Sequence
MPRNPKSSAKGPTAWKTPQMTSAVKTRSRSPQGFTLIEIVMVLAIAAVLLGGAVTIMVFATDERDLRKASGQVELLAKRARTISILQQIPYALEFRPGGVRLLPFAEAGQDDKKTLGGHSIGGERVIIPSAGAASPVHDQLSFDAKMASFVRRWNTVEWLPMSDHFPHIWRFDPDGLCEPVSVRLTIGSNYIEDTYHPLTASICDTAMEVK
MGRRVGRSVAGPEVEEGGVMGVYGKKTAGSHRWFDRSHRLVRMAMLLGAMWSVLICCSSTGWAQGRHRPAGEDNPKTLMETKFEAIKQRILRNRVGLDETTTEKVSAIIAEFAPKRRAIRQKLIEKRLALKELLRKQVEDQNAYAQHLDEMEKLRKDMVSVHEQEMDALRKLLTPKQRAILWMEIQHIQKQIGKALKKRGMKGRGWPGLGADDDSAN
MNLRTWFDASPDYEPPPVVGGVPGIQAAIAEANRQIDELDFSDQSAANQQLNNLMYERHLLMLSMED
MRWWPNPEFSTVAGVHLSDMSIRTKVSTALEKFEGGRAFKARVGVAAREQLSRSRLHPRLADLTHIYVEASFLCNLACRMCPRLLEGHKEGLMPMDRFMRLVPLMRHLEAVVLTGYGEPLLHHDLHEFVALVHSCGASPRLSTNGTILNREKSDRLLDAGLDNLQISIDAGTAETFEYIRVGGKWDRVLRNAENFHALLRERGLEHVGTGWVFVVMRDNFRELPLAVREAARCGFPLFVAKFIERNALEFETEQNIHDNDGNLLIDAAEYADIIAECEKIAGESGMEFRLHPFHMGERGNCLVDPLSSFFMDWMGNISPCCHLPVRSEFGEFPHHSFGNVDETEIMELLLGPRAQSFWGNWRNMRIPHICRRCHQVTRMPNRADYSHGDDWPAELSGAGFLSR
MQAVQNCATGSYKGGRLLRQFDRVRAWRTRSFNTGQLSFREMKQDDSCANYSFEHSASLIFFDVAHESVSWTFILQRGQDKAAICGESKCRTVLGDSSGNVRPTSCVCRQCGAYIHDRTRER
MTGRKVMPVLALRMALGETFRNIIPETVARKCKREMNKVVQGSFQDFRMKHLEATNQFLEEIADMMETEPFHRLQTKVRKAPIELQLLLLERVVTVDGWLSEKDPFTEIDVPESFHELFSQVFIRDYSEKVEQHIE
MGTRDDKQIEVPYNRDLKALEDALAAVRRPGDFFVRGVLETPMPRFEIEGVGVISFPIPDSQIQDIIKHAERAPYGRGGETILDTSVRNAWQLAPTKVRIGGKSWERSFQHILATVTDGLGCAGMNTSAEFYKLLIYEEGGFFLPHRDTEKTDGMFGTLTIVLPSVHCGGELVIRHTGREVTVDLSNAEASELTFAAFYADCEHAIKPITQGSRVCLTYNLLQRRTGKKNKPITAPLYDREAGRAGATLEQTFEKPD
MVNRSTNQKKEESSLHMNKSGSFARVHVLLQTKHIAN
MNTPSVPIILQLPDSKTELEASALDFASRVAQNPPDPNLSRSAALKLALRKLIENWGVDISDKAIDTVVRDIQNLRRGTTVDQIILHATSPKAHIHHLRPMTDPAFQTTRLNHFEVVREYPDSSAAALYNDLSGLDEHKKQLLVELELLLFHDRVSAWSKAQHDRLLKVVNSLKHRVPLIIFQGDVGTGKTALAECIGDALSHHVENNRPTPVHLLKLTTQVRGSGLVGEMSKLISSAFDEAITFAQSRPAEPVLLLLDEADALASTRESTHMHHEDRAGLNTLIQRLDNLRLSDLRLATLFITNRPGALDPAIQRRASLILRFERPSDDARRSLFANRVPELNLTEDQLNILVRQTGPKGSGNDGVSFTASDITERLLGNALRESYANNKPLSFECLTAAAQSITPTPPFREDISV
MSSSPSYDSDTTGSGDSIDTDRLASSTETDTSDTGGSGDGGLLSGSTRETLAKFAAAPGAFILTYVVREVVFRPAAAGLGLIDLGLSLVRDGWAMIPDLLFGTPTNPGPLTDAAETVFIIPRSVTGALENSLAGLGLGAPLVFAFGILIQTAFLFALLFGGHAVLVSAIPGASGVTNTVGEVWGRIR
MFGAQPTLEEGQEHAFKVYGPGMIINDAYALQPSQRHCDIRCMQESRVLYISLSKVRRLLEVSIELNHLLLASVSKKLNASSLLLFIRKEKNPTLKVSRALNFLATVDENKTVHLNYSELAH
MYSILIPSYNEPNLNNLIAEIRKSYSFEIIVVDDGSRLPIEPIHNFPEVTVLRNKKNKGKGYSILKGIKESGRRGCKYSIVLDADFQHDPEDILSFTNDSRESDLILGYRDFKRPMPLSRILSNKITSSIVSFIVKKNIKDSQCGFRMYRNSIFKNMQFDEKGFQFESELLLKMGSLIQINQVPIKTIYNNSISHINGTKDTYKFIKLIIKHIIYGK
VTVDADGQHKPEDVLRMMAALSETPNDLILGSRDFDFSNVPLKSMLGNKITRFITRFIVGQKITDTQTGLRAFGKAAMKNYADIPGERYEYEMNMLLYAKRFGIKIREITIETVYLDHNSSSHFNPVLDSIRIYRQIFAFGFASIASALLDWGLFSLGLYLFAKMSEPILFATIAARLVSLNFNYVMNRKLVFRSDSGWKKQALKYYILAAFQMLASGYLVRFGALAFTLPVLMIKIGVDLGLFLLSYQIQKALIFTKE
MRKKSSFSIPIIIPAYNPDERLVQLVDELIQQGIVNIVVINDGSRESCASVFKKLTKFKQCHLLHHATNLGKGRALKSAINYCMLTFPQMFGVVTADADGQHKVSDIIKVVQSLLKHPDQLILGSRKFDKDVPFRSLLGNKITSIVFAFLIGRRLIDTQTGLRGIPTKFLSTCLRLSGERYEYEMNMLISTKEHRLRIFEESIETLYLENNKSSHFNPLIDSARIYFLFFRFAFSSMLTSAIDFCVFTVVYLSVSNLLTSIIFSRTVAGTFNFFVNKRFVFRDPGGVAVEFVKYAFLVSGLGLLAFTAIRYLHSMHGWNVIVAKVFVETIFFLVSFAIQREFVFGNKSEEE
MVVVVNWLGEKSMMIRHVENERSVCEIKEQHQMPLRIMYAASQVIDI
MNGLSILIPTYNDECRQLVGDLLTQCEELKRVSKGFCFEIIVADDGSTDRSVLATNSTIGILPNCRFVRRIKNCGRAQIRNFLAGEAAYDSLLFIDSDMTVVRRDFIAAYVDAWNPTHIIYGGYEVPAKDGMDDNLRYRYERSCREAHTAQRRREHPYSDFHTSNFMIPRSIFLAHPLDINFRQYGYEDVVYGEQMRRDGIVIDHIDNPIGFCTFEDNASFVSKTEEGLSTLCRFREQLEGYSRLMAVVEKLERWHMKGILRTFLQWFLSSFRNNLTGSHPNLTVFNLYKLGYLLKIMR
MDQMTPVERLQYVSQGSLRGLGLHEGSGPPEDAGVNSGRENAPGRGNNMGYGNGSGGGSVNGSKGGGNASDRGRGNGGNGGGKGRQ
MTSLALGLESAISLSTSLLMILMHTRLEWPVTRLARAARLRRLEVAALCRMPFPVASEATQVWSTKLWTGWRPRRESWRRRGF
MFNQDKFDFRFSKLQQGVALLEALIAILIFSMGILAIAGLQGYMLKGATDAKSRADASFIAQQQLALMWANPQNLAGFAEVDTPVSALPNGRRTTDVVDVVAGLVTVTVTWQVPGENPHQYIVDGQVTGCNLSC
MLNRSRHENRLPNTVQKGSVLIESLVALVIFSMGVLALVGLQSAMIKNSSDNRYRAEAQLIAQTHIANMMAYGGDAANYITQVDKDKIKSQLPNGTLTFSALTNTMVTVTVGWQVPGGNPHQVNASSYLFDVMP
MRYTCHHRNRQQAIGTQSGVIMLEALIAIVLFSVALIGLMGIQARAVNLSEQAKYRADASFLANQIVGIMLADQNRASAFDDDSGSTEEKRDEWIAEVEDKLPNGTGSIEVNGTQVTIAIGWRAPNEAQAHQYSMTTQVNYN
MKVLERLLWISGAVLLSIFIGHLTLGELQRANAVAAFKTQQATADSVAVAVNPAPVASSATLAIAADSISVDPTGEVASFDVSTEPPDQSLWSSGRIASYAASLNDDTSEVIGLFSIPRLDLEVPLYDDASDLHMDRGIARISGTSQPGEAGNMGIAGHRDGYFRVLKDIKFGDELIVTSVNGPQTYRVEQLMIVDPSSVEVLDQTEETAITLVTCYPFYFVGHAPERFIVRAVFQD
MLRTIALLLLAVGLFAIGYASMQLNSVRQLERVALDQAKSVLEQAARVQGNGEAEKLGAKPSRPKLSFENGQTIGILEIPSIQKELPIVEGTDEDSLKQGVGHYTGTVFPGQKDQILLSGHRDTVFTGLDKLNHGDTIIVKMEHGTFAYAIVDTEIVDENDKTVIHSTAPEEMLTLSTCYPFGYIGNAPQRYIVYAKPK
MFLRIFGILLISGGLLTAGYNGYHWWKESHIVQTYDRKAYARSMGTGQPLKPLDQGIEYGEKPPIGEGVGDLIIPRLDAKLPLIEGIADPQLSQGVGHYPDTAFPGETGHSVLAGHRETTFKRIGEMKKGDLLIIKNGEGTFTYKVRKMWVTDKNDRTVIVPKDKPVLSLITCYPFDMIGRAPERYIVQADLVEIQEKK
MRHKMIPALVVVLLAGSAWHLGSAGYLHTKAWVAQQLIARAWARPDGPARPWSWADMHPVARLAVPRLQSTLYVLSDATDRSLAFGPGVWQGSIGTMHESLVIAGHRDTHFAILRNLIAGDEIGLDLHGGAIRRYRVKESRLVDTRDQALRIDPHGGELILVTCFPFDAINPGGPLRFVVLAEPVRSTMLEKVVL
MAATLLLAVTAGAIGVNGYQLWWTNVVASQEQASTVEDLREAFTQKKEQDAFVAAAAAEIADQAMQSSSILGGAIPGYDREAAARDAERRREEFLAQSLLAEGTPLGVVHIPRFSDGFAVPMISGTSLWVLEDGIGWNPTGARPGEKGNLGLAGHRTTWGKPLNQIAELVPGDLVIVETGAGWYTYRVVGHEIVKPTSTEVWAEVPRQPDEIATDAWLTLVACHPPGSLAQRWITYAQMDSFTPRDEGPPSSLDGR
MRVFRATLGVIGELLVTAGVVVLLFVVWQVGYSSLIEGRAQAGVVAELEALGPDGTSLPELPEGPLVGGVAPTLDDGSIMAIIRIPRFGTDWARPVYEGITPGTLAKGPGHYPRSSMPGQIGNMAIAGHRTTHGHPFFDLDALVEGDVVIIETRQSYVVYRMARSTVVRPSQSEVVAPVPQKLGAKPTEAWLTLTTCHPKFSAAQRLIVFAKLDRVVPRSEGAPPEMTTAPSALSLVGGA
LMVNGKELCNAYTELNDPIDQLSRFQEQMKLSEKGDDEAMFIDMDFVRSLEYGMPPTSGMGMGMDRLVMLMTNQPSIQEVLFFPQMKPERGGARDGESEQSGDLQSQPEQSPKEEDVELTEDEKAVLNLLKANSPIDLNELKEQTGLSNKKWDKAIKGLTKNNVARVEKNKEGLWVSLV
MTAKQETTIEMHCESCGMVTNEQGEYCQYCVDENGQLRPYEEVFAKMERWLARVEPTLSHEQIAQKTKNYMATMPEWRGRDEI
MKTQTDKTQESQSSITPRVSQEPGNGGTVQLMDNRTSSLDQRKLRSGIDGSGNKNNPVQRKRKGSSRFQKIAADMGAAYGVDTSDLNATHNSSFPIKLNADATIQGSNIHFAPNMDTDYNIRHEVSHAIDNKLNGTPKGDKTVNGQKVDTTREKVVDTMAENSQPAKRTHSKNSIKQEASNLPVQRLPSGALNRVVKKLRKGGFEFDDDDVIIGGNRNVSRLTIQQMEYGGLDFTNVVFQVNGRMLDGDALQSVDLDIETIAGDNLNVGGKYYRVALNDLNMNMLLIKKIQNLGAILRGMIINGIVRWLPMSRRFKPDTSVPYPKYGLNMLGAGSGINIGRLQIDTLSGSVATRIGKGGYTGAEGQVQTQSQVEDSILINDLELNVEQVPGRNQEEFITNVGIGNLRMDQNKRKLIKRGQRVNKPKTEQKQIDVQDLNFRLHQNENDFNLSNLTFNDQNQNTVVIRGLPFLNTLTISDLESDIDPNNGNGDIQANISIRIKNLPFVNINFQITIDVRNWNVVLTEVLDQIRTGLRSNRIPNAVSNMILEKIRTSAINYENPDVLRRTLEVFDPDIPGSDRDVLITGATVPNDPTIRVIGINIARLFEYHLGSKIRNAVGR
MAKLSFDVSAKWQEVQKLREEVEALKAVLKDFNVAGDLKGFDELNKKYQESTQKLKEYEQQVQNYQRIIDQLNVSNGVVEGARMMTSELNNATDVFVEQQLKVKALNEDIKKLNKSYFALSDIDKRGQKGANILTELKEITHQYTIENEALKKLRKEYSDNIKIEGAAADSLVALRKQLSLLNAEYDRLSASDRKAAIGTDLQKQIQSLNTEISAAEQATGRYQRNVGNYASAWNGLGMSVQQVARELPSLAISWNTFFLAISNNLPILVDELKKASAQYKAFKAAVAAGNNDVAKVAPVWKQLISSVFSWQTALVVAITLLSVYGKDVIEWTKSLFGADTAQKRLNESLKEFNNLQRNASEKTLEEISKLNLLYGVSQNIALSIDVRKKAVEKLQQMYPDYLQNLSEEAILAGKANEAYRLLVENIQNIASLKLIEDQRTKSAEALTEAKKRNGSTIEDQQPNERK
MAPSVSVRPLPDEATFVNGYYGLSPASVRGLVRLTALDRWSVRVKHLRVYLRGKQTCSCQPSYEVVNRERTLFSEIYADLLENEILPPETILDIPFDLPFPDAPEDYNRPPTHAYPSATPYFLPASSHLLGVTNRSYPYEAHTVYELHVELQELPSTFYRPGPRTASERVEGFVVYDPRLIPRILHPETRQWRSAPRAYPCEYDVDVQPTVLGPGDDLHFNYRVSISSDAANEGIRIKRVRLLLREHHLVGDNRGSYVRGVLEILRWEQNEGPDAHPVMGGYELAELRPRSEMIVPKNRMSLESDGGSVGTDSGPGPSRRSLNSFDDHAGSSSSRRDLGDAPMGLNFSNDSVDSQPRRHHFYASYRPSLLPRLGGYGGGGDGLYAETEVVLRIPSRGGFYPTTPRSNEEPLPDHDIQTGQTPARIDVKHSIQITIELEGAEKIVVECGCCLTSVGKKECAALLESEPHLVPTLDYDKVVGGEVWHPPYSEHQSDFDIAAAAAAAALPDPRNSQTRRRSNPRSDMRPDIRQNEQSNGQPSPQSQQSNEALPDEMQSTRPDDEPAGRDHPVFHIEPLDLSTLTVSTESTTPTTATTWDRSVLNSAQLASAGTVDLQNALDDRGSSVDDADDHADIDDSEVDQDANVPQSDPDDPSRLDGALNSVDAFVPSLDIPNEPPPPYSP
MQKAQSSHALRVAGFFVILYALCLIWQMWSTDPAVQEFHLTSLKFLFPGFTGFTLPSIIVGALWSFAYGFVGSTVFHAFHGNGCVPKK
MKLKLLLGLLFVVWRSTTGKEYTNHYRATEDITNVEFCSHDSFNNGSCICDVDYNGNFCLNRKCRNFGYNGQSFPTGFKVDRCICPPGFLGRNCEPVSCVPGKDQLYTSLPNEKSISLLVTYNTKMAETWKTGNIGDLVCNKTSYWRSFNYNYENINYNMIFVVTNMGLVTDTAALKESIIATSIARRIQINVLVYNNTGFMSDDPGLAYLSDIASATFGTYVLPSVKPVNEQYDIVKILLDNWDQGNLVSAVFTDETKNLPMDNAVDYYVAAQTYYGENLSFNLDPQPIVVNSTDFWKLYKITSESVGHILTITGQLPNTPVLLYSSGGLKAYSAFTSDEPGNVDVVDAAYSISVIGTQYSLVVRLESGNSVLDFDEKLRSIGAPKRMTLGTSFYNRSVCQFNYQVDANCKDVGASIVTLVTGDNLRSVSFPVYCASYNYARSIYNNFDNEVVDFVHLQQKQSMSRDFACTTDIGVEEYGGRSFVIIAENSKDSTNPEK
MSITPIAGWALNRIVEMDEHHPGIAGHVLRASAERRQVVAAFLSVSRPHVQISCDDERGSFLIHATHDAILRAAYGAVPSGLRRALGRAGPTPHPRRFYLYLYALLSSPGQQAFNKILGRMPAIDLDRLRIARVLPTDLLRDNIISHITSVSDARDLRAYVSLLAEHDVDRDALIDAIGSAPSRDAITHVARRWTLKARLPDHPVPASSTYRPISTGEELRRTALRYRNCMRMYVVNVLERRSSFALFRHGDQEAVVHLIASEGGWELERLYGHRNGAFGSGFRQEAEAYLRQHHIRLNARTGTKRPLDPLRRMMAHFDPYGDDD
MGFLDIFRKREAGPEIDDSLHSIPLWELPSWMEEQFGDELGRNKKLATKIHSDIIGAASDVRDMAMRLEKSKTCGSDRVNTMANMAKDSFVKKTLSTMRSIKPSECDCSYTSLKNLSSDVSGVIREISSASPKQLFMLSKYFNEDSTKFMNSLKKLEHKNAELSEFLKSGGIILKLSSDTERTLGRMRSMVDEMKEKRGDEERIKARIDELDREKKKAKQDMESLLSGKEWMEMKKQEQEEASIEREMSEIASEANQLLNPSRRPLKKLKHTLGSNKKLPDDLFMDVVMAGRTEWLRGMLEKAVEKEQEGELNLKKSDSDKIRDVLSGLDSIIPKLTGRYEKLRTALGGEGSKMKSELSARKEETERKIADLESELRREWEELDNIKKEIRGLESSMMASKGKIEKEVKEKGGKRLELKMPKDKI
MIRPLLIAWLACLSLPAFSDDCYYYWVAQCIDVTDASQREFQQTVLISPAVNYLNSAEGQQCSEAVAQKQAPVNAELLQTFNQAASRGKACTQPITELQAKVYNQPGKASWHYQHSKKERPHKTVIMVSGTPVLK
MHCSGPLAGLTALLTLVAFPVQIKTDSTVSTELYGDIENTEYGDFESLSQTRERQQHLCHREVPSVFFQTERDSPVRGNGSTIYFHRIEVCCAGYRRDPYANECVPDCSASSPDNCRNGFCRSPGVCECFAEFVRNEHGACIHTCPIACQHGRCYLNGTCVCHQNFVLDQETRQFCRPKCSQSCGTHEECVAPGQCDCSPGYRRTPDLGCQPVCAPDCGFGKCVAPNQCECFAGFIKRPNWNVCEAECYLNCENGLCESRYKCHCREGYRYDVNTTSCLPECSDNCGQGNGVCIAPGVCRCFRGYEVHGAECRPKCESRFCGKYGRCVAPEICGCGEGQQHCRNGSCDDIEHCSCPSGETHFIDRCLKADRLSQHLNTSEKRKHFNRQLAYEFNALIGRLFNF
MKISAYFFNVFARTGEGSPYENKKIFKKQRIKALFFETFDVLVGDPLWELFSINNNDQHIGILDYLFPTQLLAKFLLKGSSFQGEKSYQGEKSYYESKELAYDLFGGILTYFILMLLWFVLQAIQYLIGFVLAALVTLVGAVVILAQGDLRTTLPVLNFQDDEIGDVGVESLVEALKKNRVIRELNLKGNKIGDVGAKTLARVIRDNTTLMTLNLASNEIGDAGAKELAQALKDNRTLTTLNLNNNRISEAGVKELAQALKDNHTLTTLGLVDSEICGDNDVLNILQQNYALTEFLYDRRSYIDKNRESYVELLNRNKRIGVRELNIFLEESSIDNKVLSDISKRLRKLVDFIREEKLPLTHYLDKLYRLCSAVLSLHQAVSSESSAIDEREYYEDALRLLLQPFHDPELRGKARDRLKDCLVQLIRLTADSTDQNEKDKHTAYARLLAYHLRYNRQDLAFGIAMNAFNPKIDIAPSLEKFMTFDDLVVIARKVLKALKEKRETVNSSSCYLALIKEISLLRVIVNQQDYHHAEVTALFSLPSFVKELHAENIKALYLLEECLEDSSIDPRREDTRNYVLSFDQTPGVLFEYLEGVRNQYFVEKAKPDHFKEILAEATRASLRGACFYYEGASTSDTASFSRENVSPLPTAAGAAERSKPIQTEIEAQRAQDRCPMMIEQAPCVLSSSGSGLGLFASSSSVRANPSSQQFSSSQTASSSSSMVSQM
MRLVLAVLASVIVTPAFADAVTFKGTLGDREIVVEIIEPSDGPVGGRFAYIDQGGDIPLDPAASPEGMIYLAEEGPCGETDCVPNADGIADMMPQAAIWALTITDDGHTLYGTRTSDSKKAIVEDVEIYEIGRRELGTDEPTPFGLHDRSAMLGFDWSMPFTAETAPYETALMAIEYEVGGVQTTADGSEYFYATDPRTKFPFPRVGVLSDGGDTTAINARLAEFQERLSLSALDCLAFRYAAWGQSNNMYGYGGHLAEIDQENIAVSALTINLISWVQAGSTYCMGAHPYNHYNVYNYDVRTGEKIDEADIFAAWVAREYGSMEPVDAEIASANPDSYSWGPDQELIDYVKAHTDVAALYGDEPELLEACTSDEAIADNLTFRVLPDDQIMFVLSGFPHVSSACNSDLFAVPALEISGYLQAPTENYFPSLAD
MEDEDRERRQNLEKEMEDEDRERRQNLEKEMKKEIEETD
MQFNRYIGHERLTVKRKKELPMLLWSNRINPQREVNQLRKWWHESNNCTGNNLNKEYR
MKQLLERVDRRTWLGIGLAATAALLTLSISKPAPTVDVIFAGEDLPAGVRLADLTLVTRQMPASFGMLNEQDLDAVGEWTLAEPIGQDEPILPSLLRAPALAENPDLFALAVDPSHAVLGRISAGDYVDVYVTWRDDDITTRIAEGLYVVEARTDDDGFGDGEVQMLLAVDRDSAERLTHAVRTGDVDIVKRAP
MPVMADRKIDCVGLFCPVPILRVRDALRHMQPGQVLEMVSDDPAAPADMQSWAARSGHELVASDRHGPVFRFVVRKSR
MGITNKRFRDVSVRTKLTFLAATAITLAVTVACVIFVVLDVRRISASKATQLTAIAETLSTNSTAAIAFGQQDAAEELLAAVKRRPTITTACILDSDQNLFAIYRRGGVDHHSADRSLPSGRSIAGGARYSDDGFLEVAVPIIDDGNRLGTLILRETTADLKQARRSHLLAAAVVLALSIIIGVFAISHLQESVTAPILRLASTAKKISQSDDCSIRVYHDGEDEIGVLYRQFNDMLDRVQSREKAVIQASKRLKELNQDLEQRVENRTRELESSNTALQNQVEARDHATKQLQETHAQLLEVSRRAGMADIANGVLHNIGNVLNSLNISANLIDQRVRNIKLASVEKAVQLLTQNEDDLAEFLLETDQGKILPRYLGKLSESMRDDQRSILDEVVSLASNVEHIKDIVRAQQSHAGAFGVTEQLQPESLFEDAIRFVHDSFSKHDVKLVREYDPVAVIEIEKAKAIQIIVNLVKNAKESVLEHDSDTKRVTLRLRQDGDEVWFQVRDSGIGIAPDRITTIFSNGFTTKKDGHGFGLHASAIAAVEMGGRLMVHSDGIGKGATFTLVLPVSLRSPGKAFAG
MKYYSDLARYIYPVFQYYESARLCPEIVPLRDSADADLLRVYQRITEYVADQKVTFKFSASPFFNALSTLDETLNKLWHRDYIYASDNLIDAIKQANIVIGKQQKYFVGPTSKNIIKSSKAGVTAIDNMRKLMDINDLESSINDIYAKLKSLRIRTMADLTPLQNELNRLSLSFSDDDSFDTMQTALKTYTQAIETEERNLASAEKSLINGSLLLTGAAVAGGLVYCLISYGKTALTAGAYVPVALACTAATINAGMDVYNVVTAVDTLNTAVANYNDFVRNIANEPFILYIAINGWLETANRVIRNLDRFKDLLDVYISYFNENSESVESYMNSVNKLITAREEKWLSAADIKSLENSFANIKNNFKFDNLKITYTITKDSMVCFKVLAAKEYHTLT
MSKSFPKAAALLNKLHQAATQADWDSYFSLYLPEAVFIGTDATERWSTPEFEGYARPTDGWEYTPKNSVFMSVKTQKMI
MTTTLHRPRTVTARIDTAPPPPRTVTAHPEGRVVTVERRRLARIPSNRPTTSTWWLVVTETGERLLTHCPDTSYASVSVYLRGLMVSITARSIVDPADHPDVRREVLPEYSPGAQYCPLPFTHPAVQLR
MPAQPTTLSLAQISRLADDLAHYLWPLWQWRPALRQFNLRDEVPLADLLVPAIAAFAGRLMTLTPSTGQLAQLPTALGDFFGNPDTAAALDHYTDGETEAADELLHLLLAAGLDAGLPLRIYFNVALDTLLAAVEASLRHNPPGPSPAQEAGSPPLPTLYGDLSPYLLADVERLVIAYYRDGLSRVELGQAVAADGATVIYEWDAAPMGGGPPEEMAQSAGPDEGWTSAEPGDPLESGGEFATGNGAGEEPPPPPPPPPPPPPAVTEPQPPPPAPPPPAPPEAPVALRLDAALPERVVVGRAFDLAVAVRRPQSPALAPDDLARRESAGFEAAWPAGAAFIRLRIQVAAPECDIHGGDSRDVRLPAGADGPPVYFQLTPRRAGPLSVIITVYQAEDWAGSTRLRTEAGDEQPRGALAMTVASAPLAAADVNLVTLRRALDDGYNDSELRDVCFELGIDYEELPGDNQSAKARELVLYAQRRNLLAQLVAHVMRERPHLLIAG
MRRLSFWILLGIILAACGSSTPTTEPSQLALAPTATIAVTVTAAPTNSPEPTSTTLPTVTTEPSPTPEPKIELAVVGDIMLARSIGERILSDSPEQPFAGVRDELVNADLTIGNLETAIADAGEPAPKAYRFLAPPESVDSLSDAGFDLVSLANNHSLDWGESALSETIGLLNEAEIANVGAGMNAEQAYRPVIIEKHGLRLAFLAYVNVPVERGGFVTESWTATAEQAGLAWAEPAVIAADVAAIRPSVDHVIILLHSGYEGIDQPNEIQRSNAYAALDAGATLVLGAHPHVLQGYEARPNGQFIAWSLGNFVFDGFDGTPSLDSAILHLTLDKTRVIASRWTPVRLIDGYPQALDPTTDGAYIIEKIEQLSN
MTLIPKSKLARCALGLIAVLLGSVQAAWTGGDGDFDGDDPALAEPEKVATPALESPPPAPLAEPILKEGSGNTAQWIEPADPDEFTLIAGGDVCFGREVGQRLLQDPAYDPFAAVAPLFSRADMRFVNLESQLSDQGGETQSKLHPLVFTGPPAGADALARAGIQIVSTANNHMWDYGERAFFETLANLRRAGVAYAGAGHHHGQTYSPVILTIKGFRIAVLAVTDIWNQGSLARHPARPYVAGADENALAVTARRLRARGEADVILVSYHGGVEYMAQPLPGTRALARRVVDYGADLFLGHHPHVIQGIELRHGKAIFYSLGNFLMNVNEEYPETGIGMVARVRFRRGEAPIHEICPVKSGGLSAAPLAGDSAREAFRESIRRVSARFPHAPEIGAFGDDGCAPLLPSDRRARAVASRTRQKRHAR
MTTRSQARRCLPCWVLLSCVLILAWTCASGWLAGPPTNSQPLGNASGQPPGPGRSQQAGQETGQETGQARERLQAPDPAGLPAAPRRFSVMAFGDILLARTPGLRVETLGFRYPFTGIRDLVSSADIAFANLENPASWLGSPFPGKPGNVTFRADPATLFGLAWAGFDLVSLANNHMNDYGPRALAETLDFLDLLGVARVGAGRDLEEARRATLVNRDGIRFAFLGYAEPIWSVIGACPASAGRTWARIEERFHGPLPEPLPPARPDSAKSSLAGVAIADIQTMTDDVKRTLAVLQPDYLFVSVHWGDELQRMPNRFQRAFGRAAIDAGATAVLGHHPHVLQAIEKYHGGLIIYSLGNLIFDMESDLTYGTAAFDLKLEDGHLVGLEIIPIRIGRGTYVPAPAKVADARAILEGIRTWSGATGRDIQMDGGRGLLDFD
MAVLLAALLWPATCPPDDLPPSGDAGVVQPLPIATPTPTPAPTAEPVPARVTISAAGDCTLGYDPQLGYSNSLPNELERQGGDYSYFFRDVYPVFASDDLTVVNLECAFTESNSRVEGKTFCFKGDPLYRNILTEGSIEVVSLGNNHARDYGDTGFNDTVDALREAGVGFAVNGISCVREVNGIRVGFLSYRNHTPELDSLKADIASLRAQCDVVVCSFHWGEEYRNVANSEQLERGRAAVDYGADLVLGHHPHVIGSIEQYKGVYICYSLGNFCFGGNRNPEDKDSFIFRQTFELTEQGAVSVGIEIVPCRISSTDSRNDYQPTIYGREDALRVLERLNSYSAPLEYGVALDESALGWQWIDG
MFWHNIKIKIFLGIVVVLTFVFFFNVSVIEEINKTPEILHKDPIYVSMVFGGDIMLDRGVRAKVEKNFNGDYNKIFENINLKNYDIFFANLEGTVSDKGKDSGSKYSFRMDPLVLPALKNIGINILSVANNHVGDWGREAYIDTLTRLKENDIFSTGGGNTSTEAEQPVIIEKYGIKIGFLAFSDKGPDWMKATETKAGLLIASNPRFEEIIQNASRQVDYLIVSFHFGEEYQPIHDPRQEYLAHTAVDNGAKIIIGAHPHVIQDTEKYKNGFIAYSLGNFIFDQSWSKATMQGMLLEIKLYEDGNMIIKKNITQLNKFFQPTIAI
MRAVLRYLAAAVAVVTLAACSSSGDRSTAGARPSRTHATASPSPTGPPTITIDFAGDVHFTGRTAGLLDHPDTAFGPVAKQLSAADVTIVNLETAVTTGGTPQPKEFHFRAPPAAFDAVKAAGVDVVTMANNHAMDYGRTGLADSLRYAKAAGMPVIGAGANEAAAYRPWITTVRGVRIAFLAFSQITELAQQWRATPDRSGIAETFDTAKAVAAVRAAKKRADAVIVYPHWGQEGNHCPIADQRSFAAAMAKAGATAVVGTHAHLLLGAGWEGRTYVDYGLGNFLWWRDDAYSNDTGVLRLTLTGGTLTGTRFLPAEISRRTGQPIPVTGATARRVTSKVDDLRGCAGLSDTPG
MTVSEYSPSTSREALPTDPEVQLLQVISSFSINRPGTFLNDSLRVLAVNIAGVTELEHGTVVALNLTSPRHRLQRCYGDVLVTRDWKRRLRSKFEILVR
MSDDVVGASPGSSTLGDLGSLRLNLGCGFDHREGYVDVDFAPKHEPDLLADVRHLPMIDTGSCEEVFASDVLEHLPRADVVPALREWGRVLREGGTLVARVPDLVAMATLLGHPDNQGLDRQDELVRCVYGSQGYEGDFHLSGFTEPLLRHYVAAAGFVEATIVPTDGWLMEVVAVRGPHPDPAVTLDALASYEATWGDVELPGEVVSGSRAVGTAVVTNAGMQGWSATGGDGGHGMVAIAYRWRGEDGDVAVWEGLRSPLPHDVAVGEEVLVDPVTIQAPATPGAYRLDLTLVQEGIAWFDHEGCTTLPIDVVVREA
MRCETDAHVLEVPSILRDRERKASIEGEHIVEYMLSRVRAHGLDDTVHRLCGINLQTYKVRRAAGRL
MARLESVRHDGDAPVRRASRRHPRSSVSYRGGTRHAAEDAMRCRAAVAGALVVALACGPPRLPSPPYTSHPASALVEIPYPPPPARVETVPLRPLRSGVVWIDGEWSWQARRWAWKPGRWVIPPPNARFAPWTTVRDRVGTLYQATGTWRGPSGEEVPAPEPLAVASPAPAAIITPEGAEIRQGPLLQLDAGAREARDASAIEVIEERDGNHTSPLDYTELDAGSEP
MAFTARKSFVAAGALFLVCSSLSAAFAVPDASVTATTVPQAPAPAAEDQNHRRSSAGPAQLPRFKGVGNAHGKVETGFVREEKSHDLLEDKEQQSGIKFSPGIQKLVDNAGKTIKDIDEATLNAVQAPLGLLGIEAESARIRPSHGGVGLGISIKLDKKKKHAENENEAAPARHEENELYELLAPQNGGTHSPSGF
MKKRVYMFSIMLTTGLAVFSFHQQALQAQTISPVTAEAKMTPSPPRTIKEGETLTLAKVVAITRQNQPAILAAKGNVNASQSRIGQAKSFYYPQINGSTSYDRLSPGGTMYGTSDDSAYNQLAVAMNGSQLLFDFGKTKTQVEIQKTSFEAARSDLNAADDRSVFNAKLAYYDILKIARNKKVAIETVKQFEQHLAQAKGFFEAGVKPKYDVTKAEVDLSHAKLLQIQVENTLRLARVVLNNAMGLPAAPDYQLEDTLTSVKFTLPYEQALGLAMDHRPDLKALVLRKQTAQQSVDLARKGDMPILSGNAGAGYSGDADTMDEGWSAGIAITVPIFNGHLTRHQIGEAQANAVILAANVTALQQSIHKDVQQSYLNLQEAEERIAVTQLTIEQAKENSRIASGRYSAGVGSPIEVTDADILLVQAKADHIQALYDYRIAQTSIEQSIGMTGENSLTTKTE
MTKYLLLLIALLVQTQPLHAASSLGLEEALAAALKNHPRIVEAKENLSGAEARTGQALAGYYPQISVAADWSRGRTYLTALEAIRTTEVHTEALYLKQTIYDFGRTAGAVEASRSNRDAVDKALAITRQDVTLRVKSAFYLLLAAEKQVAATAETAKAREAVHRQALEFFKQGIRAKVDAARAEANLFAARTSLIRAQNNRDIARVELANAMGVASLGEYSLHEPALVPAALPAGEQAYRAALANRAELQQLSDLKSSAEAGLKTARGSYLPVFSGTAAIGYADRDFPPKGKVWALGLNLTMPLFSGF
MKILCKITNNKYIMLILCICAVYLSVTGIYNERENIKNKFIITEAKVTGSEQYGSGRRDYNFNNYYVNVTYSVDNTQYTAKLDKMYTFSPDIGRKVIIYYIPNKSDYFYSYVGTYKNVFGIYLIIFGLVFAIMSMIKIGLHLYIRISNKEYKEMAIDTRLIGIYPNEIAVFSIITYPALGAINEVNMLANIVGWITFTIIFGTVMSLLFYDVKLSILGAINLKENNSEKVV
MGKRSTGRTSDLVFETDRRIQIDGLKSTAIQQTFPPSGLSQRKIVGTHPVSFAATRANFQPVVIQDLFHHPVLLYDVPVGVAFSCGMNVGCSWPRRNTALLFPVDHPVVSLKLICSQCRS
MFALPPHHVPGRRCYATNCDVTAPIASDEPYRLLTVCDFPGGFSLSFRFVVSRSGRKSTAMNKIVLALFALCALFGFSSAAAATKESTKESTYTNKYDNIDLGKILTNDRLFLNYFKCLMDEHTCSPDGAELKKVLPDALSNKCAKCTERQRSGSEKVIRHLIDNKPEMWAKLEAKYDPKGTYRKTYKNEAEKLGIKV
MTWLPWVGSRYGSRPPGQRLLVVGESHYAKPSSPELLAKVLKDHIDYKPYTRDVVSECLVHQDWPNRTLDTLPKLLFGTSSIDRERVWSDTGFYNFVQRLMHYNREGQPERPTWDDFVQGWQVFSDIVRILRPSHCVFIGVAAANSFDYAVKALGHSHTPVQRTEYISRTQGRKATITLDGHTTDLAFVQHLGKFFSWSQWHSYLRSHHPELTTWLDAQRYTVS
MNTQFENFDELLKDLDLKNYVSFVKKCFKNGIKRDEENICSQDLRNLAKCLPRVSGVWYDLHKNSWEARWSEGAKSARKYYSVQKFGFHEARKLAIKTIKTKEINYIYNSINEDFNKPLKWNLNNDFLEINHDPTINLKKKYRTTNCKIKEIKIKKDLIGIGNYSNTNIGKRLKNAKKNNSTFICSIQDENYYENEDAQIDTTKCTIKNIDHINSQCNNKMDILNYKNDLCIDKDDTNVTLNESASLSSKDTALFIPQNKILGKKESKRQNIMPPLGNTNTSINNKNGTTLISSDMLYKNGKYSESANNFSGIVNVTGDTIPYDENGEHFECSGRSISVSQEKNIVSYKSNKKMLLGNVSKEKCNSKNRGTIKDDMFSKKKKKNIFETQNEKNFNNDNNKINITKSAIIENVEDCLNPNISTIDKNFTNPIIDSQNNKVPPFEYSDEINCLRNDVPYVCNNSIYIKKNEDFSNLQTQYDLAENKKNKISELTLINIKNEKKSVTGISPHETNKHGDLCKDEDTVRKYNCRGKCTNIFKRKYNKMIDDSPTSELAISDKNQPNINGCFNGNMYGFTDSGNEGNKKNDDPTNFDKTSKLRKKNKGSNNLCDKKNNYNGELNLKDSIHSDTLHIFKNAINLLLNDLKYKCIPNFDKKILNIIEIIDNHTNYVNSTFNETFLITYVHLFDTCVSNNILPSQMDPKIQKIFCNALIAFHILLFNFQRPKKY
MSLQVGDIITFKRTYTVEDVEMFTKVSGDEGTHHLVPDENGRLIIQGLFTATLPTKRRGLFPPFFPVVIRRKKK
MRQIIPTLENYVVLVQLKLSDFISKNSKLKDREFSKALYVLFVNKYNRVGNCNNLRIYNIQKEKILQII
MDGDVHWALLLGLVSLAPLQHLPLLRLDCLVRDHLEALRVRYIGGPSLISTDDTVTNRRSNHKIEDIEKDGATHRQEDNEIQRTSIHNSPKDVHLCSNQIEHSLNWLTARSKQSK
MTISHSVRSQCAPPVLTLGGSPIFRVSHTKLLGVTLDDKLDFHCHICDVAPKARRSLGFVTHLSRDLPPEAFRNLYTALVLPQLEFCCAIWGPLQQSRQNALASIQRRAAFTFHRRSAPRSSLLSYRDVSTDSVLRNANWRSLQHRRDVASIRLFCRVMSADDMDCPNIPRLNRRSGRLQPVLARTLRHRRTCLLRAAELWLALPPELTPVIPKDRDSIKELCQLISREDGWHL
MLSVQSPSYAGMERLGVPVRTSIGSSADDRSHIGRRLRMDSLQSEDLLTDHHAIGASNHLYHHVQSDVIRCPHHVALPDSERGTDRDVHSRTSFQQMELTRPYIKAPPNKTALDVPELSYNIFPHPSCNLNNQQRITTTTKSKPNPIAKFFLRISSKTAETTSCSPAPTTTTNSTTLAHHHHHHHHPTQTMNHPKNSSSSSSTLSIYKSDISTNSSIPSTKLYTSVPTSSTQSLATTIEPSPPESGSHI
MADFPIYVVEQTPGDLIVFPSRTSYQLLNISQLVTNVAWDIVHTSSVQTYFDYLEPIYNQVGYADIDRVPIIPIHALQRVRDGSIDIGNLHNRRDAELMLQIFRKMILEERLPQAVAIQTADVGDDL
MKDTNIHDPISLLHVHLTIMRSFLRRPHLPLTKGDYVIRIVGPVLNASLDPEDEYFHLSKQAHRYGKKEGMRRQMSKVVVAVGKPPPRPSSNPRPRPSSNPRPRPSSNPPPKAVVQSPAQGRWQTPAQGRRPIPCPRPLANPRPRPSDKAVVQSQHKAVVQSQHKAVIQSQHKSGIKPGLDAAIKPESGIVEGSDDWNDVLQGIEPEECDLLDKAGARTPPRQMLGYWISLLAQIPESAIVSISVFEKAEKTKTLGIS
MIYNVNNFHKNCNVSIGNMTVVCGESDQWKLLILHYIAKQRERNSKMQALLEMLREASAGQQAHILEGLHVLQEDNEQYLKALAETADVSNGQQ
MSPTSESVLRNGCRNRHQGLSKTSTEYYRVYSHPSFGLETLPGSDSSRSCRSLGHRVCYRFRPLELEKLLGYPCPWPSVPRSKWPAKSCRLIRHLEVPNTRAGRSLLRTHRFKHGRPWLAVGTAPRTELGRPLLNVACTPPRSWSLVLWPQPSGHLQRRSPAQTFNFQKLFPKK
MAVDEGSISKAATRLHMAQSAVSRRLAELERHVRQPLLERSAEGVQPTVAGKMFCAKADAAVTAFDDAMRTDMHRVRALRVGYAWSAAGVYTSEILRRWQEVNPDVMVRLKRVDDPAGGLTSGLSDVAIMRTHAQRRNLREELLVMEPRVVAVPAGSALAGRGKICLPDLADYPLVINSFSGTTNLELWQEGRQPVVAVEVDTIDDWQSYIAAGVGIGVTPASTAWMHPHAEISYLPVPDAPPVPVYLVWASNNRHPALHSFIQLARNVVAEVAE
MTVGIHHLRTFLMAIDEGSISKAAARLHMAQSAVSRRLAELERHVKQPLLERSAEGVQPTVAGKMFCAKADAAVTAFDDAMRSDLHRVRALRVGYAWSAAGAYTSAILRRWQEMNPDVLVRLKRVDDPAGGLTSGLSDVAIMRTHARRRGLREELLVMEPRVAAVSVDNPLAAKGEVRLHDLTDYALVLNSFSGTTNLDLWQEGRQPVVAVEVDTIDDWQTYIAAGVGIGVTPASTAWMHPHTELRYLPIRDAPPVPVYLVWACNNQHPALRSFIQVARTVVAEVAE
MKIAVAKEIEVGEHRVALIPDQVAKLAKQDWEFWVEKGAGEASFFSDQAYEAAGAKLIADKNSLWGETDVLLKVGVPREEEISLLSEGTVLISLLNPLGNPQVVQKLAERKVTACSMETIPRTSRAQSMDALSSHA
MAQPFLQAGAAAPQLHAPAVQTSQQVTARDVVVRDQIVRVLVASGAPDVAVADAKVADSKSVAGYGGFAVAGGTQALLQGLFNQRVVIGNQIGALTNQVGALTNQVGALTNQVGALTNQVGALTNQVGALNNQIGALNNQVGALTNQMAVMDHKIENDRAVVYNSGARPGEELMKLRVVAAGGPHPVGTLPGQGVPFPNTPAEASQLTTAQLNALEVFYNQPFAGQNVEERHAAFGKFIGVRIGVRM
MRNRIRSPFARGGYFAVPSAGRPGGAPPRLHRRRIEPCRTSPFVLMSPPTHATMPPRFLGSAADRTAPAKFDGVFAMSSTEPKAFALAGVVMKRLLSGSQTDGQFCLFENVSGGDTRTPIHVHASDDETVYILEGELTAVIGGTAHRLTVGQSIFLPRRVPHQLLNVSRRPARYILIGTPAIFDRFLEEAGRELGPGEAVKPPTSEELDRLRRTAPKFGITLLADWSDQD
ICLSRMFFIRKIALMILIALVIPGAIMAKGARDTEDDYENQLQQRTEQICNSLEEKNMTAQEAKGLLSELRLEYQKEYSNESETMDSLIDGVVNGEITAEQARDRFEELEKLRLRERERKELLLKEQKKEEQKAQEKKDTNSSEDKPSSSSSSSSSSSSSSSSKQK
MADKRTAMADRKKRQPDDKEALRLAETTDVSPNQAKDLMRKHGKDSAKVEKEAKNFKAEG
MEVAYIQPPRVNVWPANLDADEALGSFSCIDLVVSHQGGVLLAAGCRESICIFASSDGDSKLECVSLLQPSAGRILQVAIHIHAEMVACLCSSEVVVLGLTGLLRNRVLRRLDREESQSIAWILQDGKTRLKVGGRVVEVSPQHAGGRVEVPRRKQMAAKDNLQAGDRRCLDVHSDMCASPQMRWLATCKTFSRILYVHGLRAVDKSGNQHQHGQDDQRQDECRVLFLPHPRMITSFKWKAPPRQQLQRNAGECILTCCKDGIVRLWKEISHDRQSRHAPSEFVIVAMLQLSHELQPDVSISAAWLQEVTIGDKANRAKDESSEHYSDAPLIDSSKSSQQTDASWIVVASRQEISLWRINNLDDCKLTFSPASCVLRSHVKAFYDPSTFPAEGYPVDKLTSEDKDRSSLFSQDDLSIHDGRKQANLIVVDASGSLFITSVDVSLTPGSDMSTVSSSCRLESSLRSLTGFDAHRFKSLQLGLPVDGRLSLMKQQDQWPGVAEAVFAVSRSQTVALACHSDVWSWQTCYDTYHGEGIALAVQHRQKDSLVHVCILSCSLLWSSHGTKPREISIRHAQTLVVEKNISHITVLALEQTSSGKSCKLLMMGVDTQSSLLCILSGMVSTRGVDENSAIAQTVNERCFERSEVELKEMALGGISCLGTVDHDVYDCFPASNSNATDNIKMVNVFAGTQSGFVSVLHVYNSQDLSPSNMAIDVIAKFQLPESLRSDGELGGVSLLRPLSLYMIAVVYSHAPTQVFVWENSLSLQDWKIRCVVDMPRHSEVRCVEWFQSSPSLVFLAVSSDDDVVVTSPLFTSGDFKKLPMMQLLWWNRIQNCTCLKWTTSGYLRLCVQVDDNDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDGGGGDDDDAIIIMLELMSSMV
MKNIKNYFITTILLLLSGFTPLFAHPGHGTTEGHSLIHYLSEPMHAMVLAAVIIMIASSVTWFMLRKRKKETVEA
DQRIENVLGPFQKKFEGLVTTESLGPMAGYYGSFLPMYKRPHPITSQMKPLQVVPNFST
TSSVGESGIVDKERAMLSIGRCAVLPYLGYCFSGEGGSGAQWFDEQGNPIWPPNRGFDGEPVTTTLQPGTRIDRYGYDGGTFVSPEGTPYSQRALAPGTESKPYTVFEVAKPVEVQGGKIAPWYGEEGGGIQYEFSESISELLEQGILKKVG
MIKLGPGHRYRFQYDRREVERRHFDLLAAVKIAVRVFR
MIDFTRPEWLLLLLVLPGIAWVAMRMSFASLGPVQKWVSLGLRIVIWFLLVSALAGVQLVHRLDRVSVVVVRDSSDSVNGEEMSALDGSLELMRQGMQKDDTLGRVNTGALAYVENLPLNGVDEDTLAQWQSTPRGNFTNLAEGIQLATAIFPDNAQKRLLLISDGNENIGNALAESRVARDNDVEIYVAEVGSRRGEEVVLSDLKAPSRAALGESVRLRFVVDSSVATGADITLRKNGQFAQKERINIKPGKELYEFITDVEQSGVTTYELQIEPDSDTIAENNNAYAFSVVSGQPRLLYATGDPGELEYLPRTVRTNNIEVDVVPPGGIPYAMEDFQIYDGIIFSDVAAYDITPDQMEMIKILVHEFGKGFLMIGGEKSYGPGGYFNTPIEEVLPVDMDFRRKKITPSSLVIALVDKSGSMSMTVNGVSKIEMAREACKELVRLQRDEDYVGVMGFDSVGQWVVEPQAGINKQEVLEVLGSMQAGGGTDLYPALKNAYDAGMQINAQIKHIIVFTDGVVAPGPFEELVSSMVDDKFTVSTVAFGADADIPFMEALAEVGEGRMYEANDLSDLPRIFTREVFMANKATINEDPFSAIPTMDHPLINAIGWGSAPYLYGYVATSAKDTAEVPLVTHKEDPLLATWHYGLGKSAAFTSDAKNRWARDWLRWGGYERFWTGVARWIRSDLDDSGVDVVTEMNGNQGHIVVTATDDDGTSVNYQSFSATVVDPELGTQEVRLTQTGPGTYESEFDITDNGNYYVNITRKEADEEGNEEVAGVQLSGLSVSYSPEYRDLQTNTFLLSQLRDGSLAPTALSPEQLFTENRVRQRKTEDFWEQFALIALLLWLIDVAVRRLVLDSREWREAFAGLLTAGGSTRAAQTSESLAGLLNVKEGLAGQRTRREPQSRESAARSRAQAANRREQLDRSASDIQQAGGKVSHEAPQNVPQGNISQKQSTQQDTSGLADLRRKLADKGPGGGGFDASKLPGGSGSASSRKSEPEVKRPETERELTSSLLDRIKKKK
MGSIFSLDRFDRPAYLWLAFLALLFWWMARRSLAGLGPIRGKLALAIRTLVLLILVLAIAGTHRILKNDDLTVLFLLDQSRSIPAEVRKQSEEFVRRAAAKVPPDDRVAILTFDGGANIEQLPSRPGPDGGIHVPMPLADGQKPDRTNLAQGLRVAAACALNDTNNRVVILSDGIQNIGDALEEAKTAGANNLIIDVLPLTYEFGSEVVFEQLAAPPYANLHEQVPLRLVLKSDRATSGTILIYQRVGQEEELLDLTPDVEGSGQRVTLAPGRNAFNVRVPISAARAHEFRAEFVPDDKSADFMGQNNIARAFTNVEGPQTVLFVGTRSDEPDDEVLVEALRREGIRVQWAQAESLNIDTATLQDFSAIVLANVPAEMFSAEQQRALASYVRDLGGGLLMLGGDESFAAGGWQGSVVEDIMPVRFDVDAVKQIPRGALAIVMHSCEMPQGNMWGIETAIAALKTLSRLDYYGVVGWGLSGFHWEVPMQVAANKDGITAQLRRMQNADMFDFDTPMRMAYKALMNCTDAAQRHMIIISDGDPAPPSTGLLNQMVGSKVTCSTVSIFPHGNVEIGTMKNIARVTGGRYYSLSQAGDEKQLPRIFTKEARIVRRPLIRDEIFTPKLRPHLSEIMVGISESIPKLNGYVVTTPRKVVDVEMPLITERGDPLLAHWLCGFGRTLAFTSGRWKHWGADWAGWPSFSKLWAQAVRWCMQQGTAANYDVTTTTEGDEGHIVIESMGDREGFENFQQFVGRAIAPDGTSTNVQIVQTGPGRYEGRFRLGEQGTYLLNVVATGEKDKKPAMIRTGVTVAYSPEYRDLEPNEALLREIAEVSEGRILSINADPDTVFAHNLPPTVTRTPIWEFLLKLAIFSFLMDIAVRRIALDPVKALAWARGYIASLAGRFAPGQKAAKTLTDLKGVRARVRSERTREGDATAVSAAAPSEKDMSAVGAKFEAGPGAVKPAKDLTTALGGAEAKSPPLPGPAKKDEAPEESMTARLLKAKKRARDQQDEQK
MGVSFIHPWWWLLLPLLLLVTLYLRFPWLVMARRVGGSLWFLELRRLGIRVFILFLLVAVLAGFHLVSVIQRQAVVFALDASASVGAAKDQGERWIRKALEKKPAFDLAGVVAFGDRVLVEEPPVRTPGFFKSGADPGADTSKLGEALNLARALLPVDARKRVVLLSDGRATHGDTVTVARQLREQGIRVDVVPTGGRAGPDIRLESVKLPPRVRVGETSALEITAKADGESRATLFLERDGKLISSADVDLRSGENRFSLPAEAGNAGLHSYRAYLVAKDRQKDVFSANNEGGAVQEVSGPPQVLVIAPGAQEAAVLVRSLKAAGRVGIEVVTPEQAPAGLTSWARYQAVFLVNVPAYDLGEQTMAEIEAYVRDGGGGLVMIGGPDSFGPGGYTGTPVEKALPVKMDISGRGELPSLGLMLVVDKSGSMAGYAGEASKVDLAKEAAARSVSVLTDRDQVGVIAFDTSPWWVVPLVPVKDKDKIREEIGRIYAGGGTEIFPPLFAAYQALKEAPTQVKHIILLTDGISASGGPYQKLLENMRRDGITLTCVAVGQEADAGMLKSLSELGRGRFYAASDADSIPSIFTKETVMATRSFAVNERFVPQVASSSVLLQGINQAPALEGYVTTSPKDRAEMALVSHRGDPVLAAWQYGLGRAVAWTPDVAGRWSAFWMAGQVFPRLWGNILSWVLPAVNTSALQINTRIEENGDVQVTVDDPARWQEVVNYLLKVTGPAGQTSDVELAPAGPGRYTARLPAIKAGAYLINVVSPNGRGQALVAQSSLVIPYPAE
MKWWLSAGRPAPANRRXXXGMVFQHFELFPHLSIVENLTIAQIKVLGRSKAEATEKGLKLLDRVGLSEHAHKHPGXXXXXXXXXXXXXEGMTMMCVTHEMGFARKVANRVIFMDKVQIVEDCAKEEFFGDVSARSERAQQFLATILQH
MHARTGTGYDAAMHTAEPAFRIDPGTQLSTRDDLVALLRDQHDDLRVTLERLPRLAGPARDDEFWQVRRRLAVHHALESLLLATGAARDLRPDLGEAIGDVEQLDTDDSAFAAAARRVLLAHEQHVEHQEPVLRDLAGELTEVERAVAETAVALWLGEGDTYLGHDYRDMVAAARSQLEDASAPGHGPRP
MKIQWIILSIVTFFFGVFHLPLITSNTEKFLKMDIMALMTATILALQVSEKVNFRLLCSSLYTPCIQTCLESSITERFGTMWKDLKQSLVGKTGCDSRLLQTISFKNKLRFTPIYRNF
MTEDKRKKIITGCIIAGMVVLIIVLTTVILKVKKTKVGTADKTDPAEYSSSDITSKETESVTVPQEQPTEYSSSDITSKETESITVPQEQPTTPAGNDKITNTVNYSVILKKNNSWSDGTNEFFQYECIVTNNTGYDITSWKFEGNIGNVASIESSWNGNFAYKDGMLVITPLDWNGNIKAGQTVSTCGVIIKGSNLKESVEYGSSCNNNYNNNTSASTETQYELPELENGTPLANHGKLSVNGVNLVDSKGQKFQLKGVSTHGIQWFPQYVNKDTFKDLRDNWGANVIRLAMYSAEGGYCNGNTAEFDKIIDRGVQACSELGMYVIIDWHILSDSNPNTNKEQAKQFFAKMSKQYADCNNVIYEICNEPNGGVSWSEIKKYADEVIPVIRQYSKDAIIICGTPTWSQDVDLVASDPLQDGHNVMYAVHFYAATHKDDLRNKVINAIKAGTPVFVSEFSICDASGNGGIDYDSAGKWKELINNYNLSFAGWSLCNKGETSALIKSGVSRLSGFTTSDLSETGIWLRNFISGK
IMKDIGLEHLEKCHDCEARGKSLKGTASYNYIMKPTASGSLIMEAVATEVIQFSPFNILNGAVQMESRQILTFLDIENTPVDHSRYDYVHHGSLQYEQGSEVLQTPIHLLRITHAEAQIVSTMNHLVASNVARVH
MSALDSILKQVSGSPDTVASLAAQVGLDPALVEKGLAALGQAHDEPGDTVEHAAQKSPLDTGALQAIVAQLGGESALGEMASKVEGSDQISGFAAFLDRDGDGSAIDDIAGIASGLFGRK
MFPFCYDYPHKESPRHDRSSIHLRTSLILRIPPYLIGFASKKRAWSFDIELLVIFDGQNSFV
MQKEVIFLALFFGINLSMIYGVESPISHWQFDSVLHDSVDATSLQNSSPIRLCTGDISDYCYSSDQKIGHLNFIDGIIDKAHNFDGYTWFATPQNMEAKFDLDINDPKSFAFWYRADEWTGHMYIITKKGDLENSHKIGYSIGVTEDGKIWYEQRSLTEILYARTSFDSSSKNKWTHVVITDKGTGNIDDISFYIDGIQASADPKEAASTLDRMSTSILNDEPLFIGSFRGWYGWNYIGDIDDVKIFDYALSNDEVKSLYLKYVVPESESSPLQQIKSGIPISEIRCEPYLQLIQNSAKDKPACVTSYTAFRLLNLGW
MSNDRILSGALMIAVPVVFATGFTGLQMVFEYPDILRHPAGEVLTRFAEGGVELHLFWYA
MSGAPGQELIGKPSDEFRDLEKIRRQSLAESSQGAFLIIAVWVLGFGLLLALGVKEMGLSILVMLGVAGATGWIHKHWHQNAGGHYQNIYKRQVVPALVRSLQPGMTFISDRGIEEHTFISANLYWWGIPGLRPSIDRYMSEDLSIGYVGRTRLRVADVHAEHEKGDKGDSYGTLFRGVFIEADFHKDFRTELYVMPENYLKSASMVFSSELGERMDLENPDFEKAFVVFGQDSVEARYILTPDMQERLLLLKKVLGNDLRIAFKDSNVYIATSINKDMFDPQLSRPANCPEQIKELTQQLAACFHVVEILDLNTRIWSKQ
MTGAIELQPDDGPRSSLSIGPGFRRYSGISPEFARRFAEGIRKLTGNTPGDRRRKTRRLIARMPEAARLAGGQWINRPYPGVRAVEPPSSAGEPPIPGFSGYRFGRHPKKISSGRWCASRRRTREWT
MSRTSAVSLTGWRISDEGTKHTYTFPSFTLAPGATVTLHTGDGTNSATDLYWGSKRPIWNNDGDTASLYDHNGRLVDSLERQGWAWWATDGYTAPLGPGRGGLHRTGSTGSRMRSSVNR
MVVAILGERSHGDGLKLTGLGHNRPLTGLPFIVMPMCDCRFWAHKDHRCDASCSENRSIHIDYSPVHEFRL
MSRQDEILGLHPINHHVIGEWLDYEDKEPTETSCIRVLSEKKPFDDAVIEPFSKNLIDYHYTSATINSLKKRFEKLGFKEFSTYYAQSRKLPRNVNTRKGNAVEVLMTEYSLAAIDKTDLTYSHRFRYNPNVDQSMKGDDMLIVDFSDEANPTIYIGEAKFRKTVDKAVLDDVKKSLAKDKMPLSLTFLRNCFEDVDNDKYEKLDDMLIQELSDYDIRYIGFIVGDKNAGKYVEEKWVCDNPKHVMLVLSLDEPEEFVKQAFDKAKTLLTETPEIL
MPTNQNSLIGRHPTSPVFGIWLSCDDVTVTPTKCHRKLSELVPSNDADLVEWLSKMLIKYHYDDNKIKRLKKKFADIGFPQFAEQNRSLPKADTVQKGNAAEIILTEYIQSTLNKPLIQSFRFRYNANVDQSMKGDDVLLIDSPKTPTNSNVKIYLGESKYRGTPNSQVVTDLSKALEKSKLPLSYSFLMDVLRRDKSTEALADILDELYVKDIKANGNLIYAGLLLSNMNSHSNIETHFSNNNPNLVIISIGINNPGRLITEAYALAESKLSKPTTL
MLRTFAVVLSAVAFCTAASTTDVSEAHAIQEAMLLINSGSNSMNQMVLVGVEEEKVETQTDGTEIYKLKLKAGLSSCRKTNDMKPTSECTLMADSTPQIYEATIKHHPLANGNTELWEPVDFNVLGTEEVKNMPGGITPADTNDESVTGALHFAVTQHNTNTNREQLSEKDLEITRVDKQVVAGVKYIITVRDNHSGRVYDIQVEEQAWVADSPYSLVSFEAKVSGSPPKSGANQAQKGYGSDGKGLEMDSNAATETEEKKNGGSSFWNSMGIFFGVVLAGGLLVSFAATRFKERLRQQEMSRRRYDLLNNEDDDEFSESYESDDDM
MFLKRFFSRKPLNLINPPMFQSANFSSKAFITQRAPHFEGTAWHRDDFKEISLKDYFGKYLVLFFYPFDFSFVCPTEILDYSSIAKDLRKSNCEVVGCSVDSHFTHRQWDITPREEGGLGGLDIPLLSDQSHKISKDYGVLLPGGMALRGTFIIDDKGILRHSTINDLAVGRNIAETKRLVEEFQYTDK
MSSGKAFIGKPAPDFTATAVMPDGQFKDIKLSDYRGKYVVFFFYPLDFTFVCPTEIIAYSDRADEFKKINCEIIGASVDSHFCHLAWINTPKKQGGLGTMKIPLVSDTKRVIAKDYGVLKEDEGIAYRGLFIIDEKGILRQITINDLPVGRSVDETLRLVQAFQFTDKHGEVCPAGWKPGSDTIKPDVQKSKEYFSKQK
MDNTTQQPVMPQLNRPAPDFTAKTTHGEKSLADYKGKWLVLFAHPSDFTPVCTTEFSAFATRAEDFKALNTELLGLSVDSVHSHIAWARSIKQNFGVEITFPIIADLNMKVAHAYGMIQPGASDTAAVRATFVIDPEGVLRAMLYYPMTNGRSVDEVVRLVKSLQTSDAHGVATPEAWQPGQPVIVPPPATLDAAEARASEGYEYTDWYFCKKAL
MPGILLGDVFPNFEAETTIGKIKFHDFLGNSWGILFSHPRDFTPVCTTELACAAKISNEFKKRNVKMIALSIDSVADHLAWSKDVMAFNSDGADSPLPFPIIADDKRELSVQLGMLDPDELDKDGIPLTARCVFVIGPDKKMKLSILYPATTGRNFDELLRAIDSLQLTALKKVATPVDWKPGEKCMVIPSLSDAEAAELFPNGVTTKELPSGKKYLRYTQP
MTRIASYLASLSVAVLACGGHASTAPAAPTVATTKPTHLRALVEIDIAPDVHPPLPMGHCTSKPCVSEAPTPSPEYPNDTERVIATLRRQFLACFESAAVHAYSFIVVVVVSANGEVDEVETHEDYGELPRAARECASRALKRVTFEPPIGGSARVVLTLHFRPQE
MSTQTNYDVIIVGSGIAGSIMAYQLGMAGKKVLILEAGQEVPVDRSGYMETFFKANAKTPESPYPPTTQNPAASTADNPLGLPDPAKENVPRYTVLQIGAWRNPKQCYFDYTPQPEHLKPDSPEAKFAFASSYERIGGGTTWHWLGTSLHLLPNDFELQTQYKPVS
DLVVALMYLHRMDIAHRDIKCDNILLTAKYNVKLADFGFARYTRDHQGSPLFASTDCGSINYTAPEVLLGIPYNPKIADIWSLGVVIFIMLNGSFPFVAKDVTSLYREQIARDFKFTGRAEQNASKQLLGVINMLLEPVYHERMTLEDILHSDWIGIPKGQSGLTALEENALKRQPSSIKSNVRS
MKTPLGEFQIGKTLGEGSYGKVKLIVNVATHEKLAVKIIKRFVPPDEKGRNGRGGKPFEQRILREANLTRFLNHDNIVPLRDCRITKTHFYLFYEYVPGCQLTDKIGRNGLDKEQARKYFDQIVEGIGYCHSNFVVHRDIKVENIMIDTHDRVRIIDFGLANFFDQKTNLNTFCGSLQYSAPEIMRGDPYVGPEVDIWSLGVVLFAMLTGTLPFEDPRNPGAWDNVMNGRIKFPHNVDATARSLISKCLDPQPKRRITMNEIARHPY
SSSSSSSITAPSDAVADQQASGTGALTRATRTSRAKRARDDSELDDEPTPAAEHPRHEPPSCETSEAWTCVPIAQRAPDPALVSVYAAHGRALLGMSAKTTTTTTITTTTVVTGLDGTSQTSQVTHTIAAPRPPVPTLEPARLVQLRPLEAEALRGVLGSLVADVQPNLVTATLDYSLSSLETGFYRGPTVATNSGHFWAVMRRLAAVLSQPSARGNLASQAISRVLHRPRPTGPCSLGAANEAVAFAIGFVHTLLSADAANSPDAHAVEVRGSFGLGGIGGKAQTARAGLSATVALILPLRTNMAMVGALSDEQYTSMAAWAAHRSRCVSGEGRWITGSATGFGSPAYQVSPRGPDSAPLVRGPVLTASRAPSPARASWQGVAAGCSAGGTAPAVAVQQALGGQLVSVPSAPQWPGQTVFVVPAGAAGAAAEASRVARHSMAAAGGDPASGGALSHPSASPSAFAQAAHPSGPSSGPGTATAAEAIHASAAKRSRPSVASS
MFLRLSYLGLVIVLIFFLAGCNSDTKNSDILWFEEATSFLEGLALVKVANRYGYLATNGKYIVEPRFEDASPFAEGLAAVKVKNKWGFIDKTGKVVIKPEYDRVFSFAEESACINVGEKWGFIDKTGKTIIQPQFDNAFPFSENLAPITVGDWQTGKWGFIDKTGKYVVRPQYDDAAPFSDGLACVRIGDWQTGKSGYIDTKGTMVIQPQFEFGFAFTAGFAKVRSEGKYGFIKKNGEMIIPPQFDSTGAFEEGYASIERNGKSGFIDKAGMVTIHPQFDEVSSFQEGLASVKLKDKWGFVDTNGKMIVKPKFDWVGGFRLGIANIKSKEKCGFINTSGKMIIQPQFDEVIGFSDVGLAAVKVSDKWAYIDKDGKFLKRFK
MKKVITLICAIFLLALTVACSGSGSTKKDYFTITQNEKVGFINKKGDIVIDPQFENAYDFSDGLALVNVGSKYGYIDTKGQFSINPQYDYASSFNEGYAMVNKGEDAFFINTKGEKVFEDVQFDYAYTFSEGLALIEVDGNYGYMDKKGNIAINPQFEDADSFSEDGLARIYMGGQYGFINKKGEIVITPQFDRAWDFSDGLAAVYVGDSWGYANKKGEIVINPQFEQAYPYQDGLAPVRIGDQFGFIDKKGEIVINPQFDDAYIFQDGMAAVLVDDKWGFINQKGDMVINPQFSRTYFFEGGLAAVYIGDSYYGGKKGYIDTKGKYVWNPTD
MTYSMLRVKPKTLSLLLIVLFGFVLMTGCDLVNSVTGNDDDNKSSKTIHPILLNGSWGFISNDGNIVLEPRFGQARDLSDGLAAVRNGTLWGYVQANPARLVIETQFTSAGDFEDGLAPVQLPGQGYGFIDETGTFVIEAQYDFAQPISEGKAAVRIDGLWGYINADGSTLVEPKYSDARPFSNGLAAVETFDGWIYINNEGTEAINPTFQLSTAGEFVDGLAPIETADGWGFIDKSGSPAITPKYEEAGRFSQGLAWFRDGDYIGFINKQEDILIEPQFAEVKPFSENMAAIRLSNDWFYLNKDSKLISITTPFEDAESFNNGIARVQLGNDENIRYGYINKKGEYIWYPTR
MKRFILTLVILLFSFLSLSYKPNIISKAKVINISGQTSNYLELFPIKQNNKWGYIDKSGQIAIEPKYLAAQPFSENIAFVRTSKHIKAIDSTGNTIFNLPLNSSFPGLYSEDLAPMLVDGKYGFIDKKGKVVIDFQFDFARSFSDGLALVKLEDYYGFIDKEGKIVIKPQFASATSFSDGLAAVRFKKTDQHPAFIDKKGEATFYIPSQLTKTFVKKTISEINTFELTGISDSTATSKLTSTFNAKIAFDSRAFSENLVSVKINNQYGYINKEGKVVIDPQFAYADAFSNGLAQISLRGKCAFINQSGNVVINTPYHYYSCSTFSSGLAKIQNGGQIGYIDGDGQFVWPLTE
MGINHRDTETQRDVESRRQKAVGIQDKSASRLGYCLRLLSAFCLLPAVSLCLCVSVVINSQAQNKDSVGLFPIHQDGKWGYINRTGQVVIKPQFDDAWDFSEGLAYVREGARRGLIDKSGRMVIELQQVDFAGRFSEGLAPVQTGGANPRRGFIDKAGKIVIAPQFDAVENFADGLALVMIDRKYGFIDKSGRTIIKPQFDKAFTFHEGLALVVINNKHGFIDRKGKVVIPAEYYNAYYFSEGLANVKIGQKYGYISKAGKVVIGPQFDFTGTFAEGLAPIILNGKFGFIDKTGKVIIQPKFDWTQGFSEGFALIKDRGKYGYADRTGTIVITPQFDDAIPFSDGLAKVWVKGKQGYIDKAGKFIWRPTK
MTFMANGFNRFSRALKELSICAAFLVPFAMVSPSMAQNFAIQPQYDAVGHFHEGLAPAQQGGLWGFVDRTGNWVVKPQYDSVYRGGDGRFGVKRGGQWGYISTSGELVIEPQFAEIRGFSDGVARVRKDDAGWFYIKRNGDRESDETYIEATDRVDGLSVVKIKKEYYDGELWFILDPRGATRETWDLPQQEIESFSPFSEGFATARTKNGTIYMDAKGQLLFNGGAITGGRAFSEGLAAGSNGKKWGFFDKEAKTVINLGLDGARDFSQGVAPAAAGGKWGYIDKAGKTAYPPVFDAAYSFREGYATIKKDGKFGFAQIDDSGKISIFVEPQYEDVFGFQEGLAPAKENGKWGFISAGNADYSLIRGIGELTPN
MVVLARVIGTIVIILVLFAIITEPQDSAESVQSGLSTAGDAGSSLTVFFSSLVSDLESISVSGSSSGSSSGSSSGSSSGSSSSSYPAGGVETGDGSAPPTP
MPLAIAMHPLERTQQVTSLYPSRAIRRARVFIEYTLQRPDGFLALSGARGAGKSSLLREVTEYLPVSTRLVQFSAAAFAQASGPHGATEALQRQLREARLAPREGEQSVLLWVDDAQALGEAALGRVMHLAAEARDVDWPMRVIVSGQGLSPRLSDRAGLGQLDTYAPSPLYLELCGLEDAEVAGYLDHWRQSVRVARAPLFRAEVHSLLHEASAGMPGRLASLCDSCLRHARDAGSEWVDEAMVVEVLARMDRQSSAGPVSSASAGARDPRSEALLAAVERLSGVLNECAGLIEHELRSMSEGVRPSR
MNAGFSTDTAVSNREFPLVYSRNSINFPRTARYFSKD
MAAIGVFDRTSGIGQRDGKQEKGMRTGRAAGSRFVRRCGGAAAIGVFDRSSGIGQRDGKQEKGMRTARAAGSRFARRCGSAAV
MQVCNTRLTRLARILVDIYDIDAKLHSRMNVHNLMWSIVWFHCYRSLTPVIALIANSSPRLTSVSELMTDDTVYPTKSNTLIVSVWPSVDRSIGVKVFPTGVVKEPPTDASTKKSALSWGNNRLDSYDTDQLSLSLTDGGKALQPYGPVPASVKESKIWSVS
MPEKITEEEINSMIETCIKAVNEGKHVTREQRSIFTTMLRRIGKSRGITYPQSRQYFNLFFTPQGMLTLASDLKLVTKPGHRRFLAQTKMLIEIESNLLSLVHVFPQKFRDFIFEQTSGSIEARWNNLSKLSGMNFSKLLALTKRADALADQIAFRRGLGKKEELQKRQARLILLEDKFNELNEQTEQEAGRIVSAIKGRLAAEFAKPDIRERLVSALKGAGSDASKREKEILQKYLKAHNERIVRQKPIRGRIQYR
QGGRVIVRSPTDASVASRGGADADDDDDDDVASRRGDDDDAGVGAILLVKSPSAFSRDAAVDEEEIATPSASARWGRRGGESRRRSFGSRGKQLRSRSRSRSHSL
MQVSSSKDKNPVIKDMSFYGLIQEIWELNYNTFDVPSLHTMDILMDDFNEELGVGELNTTFGIEDEEKEIGTGNEILKQMKRPQESTIMFDVTRIKCLGEKKVVRYNEDGAIGSCSLKCIYSIVYTRKLFKAKFLGGIELKRLMKEKSKLKVEQKSKRIEVESMEKPKENESKGKEVEQININDNEAEFDIFKERALIQMPKEGEVVCE
MKNSALEEDYASLSSQNTQAAQHSSLIGLLIAAVITVVLFNVPYGNYISYPFLILGTWFHEMGHGLMGLLWGGRFSHLDMFSNGSGVAYTATSGIISRAWVAAAGLMSPPIVGAILIIAGRSQKTAKIAMTVLGAALLLSVIIWVRSIFGVVAVGLWGAVIMACAFKLPHSYKPFVVQFLGIQAWASAFVSLDYMFSDNAGSLGVSDTQAIANLLILPYWFWGGAIALFAVFMLLVSLKVAFKK
MQLDRPIENLKGDLKVRVIAGSFEQTSKVLSDFKGQLPVDASMKQISIKLGEGESDWYAQHDAHSYAGLMNTLSRQTDADVLSYSISGPNRGSFSYYYKDNDRTHVGGTTGTDGRRYAYKFYDEKFSSIQSDYIKGDTDVVYSLSKTLEPKTPKIFMMTDEYSLQDLLEQFKGAMEMSSTPVSEIQIITENNAISVSEYKSMMKFLSTELGVKVKAFETLRSAHPWLSINHADSQVTLDIDARHLAETQPHNDKKLQDWDAPSQEQIDKLKAESQKTKPQLANHDYQVIIQTESDDNAKDSSFKLALKHPAQTTIVQMDKDGAYRVVYGTELDKITGRVKLSVVGYGRKTEQGGDTLGGRSATELSENITKLNQALTNGVILQHISLVGCNLASNNPTDDSTSAYGAEMLQKLKGIGVSSASARSDYVAIGPDGKKLTSSTGANPWRHKDGKVKTHYSFNKITGKVDSRVYDGEGTLVRYNGTHLSNNNSQYQINIALQLSDNETVRNATNALTRKHPGNSYIAKIDDNGNLAVYDLSGNEVSLNVDGKYRINVVAHGSEMEAIGTEKLATYVTDLQEKLKIKQTAQGRIALVGCETDRPSSGGTSAAITSLAQSVAKRLYDSGNGTINAEVTGRTTQIEVNADGTKTMLTGGTKTIYSWDADKGEITQKTETVKSHSEVLRNPLVNLNEEIQRLEELLMSKKSHLKSKLSIFIFYLTLFILFVKYEKMI
MVGSTKRNVGISWGKIDKILRKIQVKFCQLQRLIAQQTLKGANIKTTTNLQVAWTGFIIGTTDYEELLSLCRQNKPELSHATSETSFTLKDGKKVAKKGPNNFIDYIRQGSKFYGELVEIFQVSLGWDGVLFKIVHYDS
MTLPATKSSAHFGGLPDVPILLLGSWNDPYARNMLEMGAAIRARNKAPLRQIFGPWLHGRRSTGHVGEADFGMAATIDAATGRDWVTLRLDWFDHALGRGPAPLQRDLFWQMQAGLWPSMGGGWQSHRAPHRHSVAGPPGVRHTVTIDFDPSNPHPTPGGAVPSGGSLMAGGMFDQRSMQTLNHPGSVRLTGLPLPEDLVLTDLTVCATGTAELPFDLHAMLVEELPCGAVINITDGILRRSTPGAVAVELAPTSYKASRGNRLGLVLARSNFPRHDFVTHQPWSLHLTDVAIMPDKTIHASEPPGLGYTRSAHKPHSTRHPS
MWSDSSQRATRNAPSAKRIEGTMRTNLIARAACALAIAFIGVGAIGAGRALAHASLEASIPAASSVLETAPPLVVLNFDEDIEVPLSSIQLFDQTGKIVPLGSPTAGGDTTIVQSTVPTIGNGLYAVVWRVTSSDGHVIDGAFSFQVGTAIAGDSAKLLDTVLHGSHAAPVVGRTSGVARFGAFFGASILLGGLFMVMMAGGEAVHGWAARRLLWLGWGLLAVGSLANFGLLGATSKAGSLGDMLDTSLWGDVAGTRTGGLLVARFVLIALFVPVVMFVNRKQAAWWPIAAPFLGLLTIFTFSAAGHSSVAEQAALWIGVDAVHLAFVVLWLGSLVMLAVGGGAWTRDTQFAGAVKGFSRVATIGIPLIIATGFAQTWRLGASLSTLTDTTWGRLLLAKVAIAVLMVTIGAASRWLLSHEGPGALRRLVFTEAVCGIAVLGLAAGLVTQPPTVAPAAKVFTASLTEGGLIAEVSLAPGRVGVNDVHLVVTPSGGSLVPVVSIKATMSLPAQQIFDTEVTLAAEGTNHYSGKVTLPFAGDWVLTITIEPSAGQSVVLSSAVVIP
MTQTGLVDHIYRYIEQRDNRLRLQPTDPSQAIRHQMRRDRWLQDRLILQSLFPRGKAVEPEYLRFLIHQAVVFDPLQHPRQKNPRQPENLIRIASRLSAPTLNGLQKTLSDYATHGKKSILKK
MTEPFPFQFTPSFIGWVSAAYSVLVSGDRLVVANDGGEIRDFVGRANQRFVLTHAERAEEEEFTLDTDSLDAIERYLTVQIGRELRDQAQMPRIRIPFAAQDAAPGFAVGTFRDGRKGLRRESGDTVDVRFADTYSAVQYSYYADADLGDLRRSLETPDGAPLFTPFVER
MTPWPRPSGPGGWRRIPAALGVTLRLLGLLFTCVPILGVLVFAEPAAAAPLASEEVLDAARAFARVFDAVPALSARARGEAASVPAETLPAAQQVLRALEREEGTNPFFWAAWGIVGDAGQDAAGAARAYRRASELAGNGLAARWRLLKIFQALGREEEARQEWQQLRRLRLRLGLDRVTFIADELTFAARAFAAAGQGEAAEQTVALAAEFDPVSADVHLARAGLLL
MALTSSAQHHVYDANPIQPFSAGLLSDTVNFLSFSLDGVFLAAGSADGLLLISEVDSWTITRRRRVEGGDYPVSAVWTPHRVLFVGTQAGDVHQFVFGSSRSTDKQAHWTIGDGPIYALAYSPNEDNRGRLAVSSGYEVYTQEFGRFPSGEITRDSVSSMQLPSPGPFPDMERDDDGRLHKPRAIALGFVPQSTLLVGAFRHHGIVSTLTSSRIWNERGELVHRIEPRTVYIGKATLSDKGDMLYATNLNNGIDVYAINEKRCTRSRFVRTLDHDIGSNVPLPIINIHDGRDLLVGTTLGKVEVVPNDGDRSRASFSVAAPGHGRVVQALAYVVVEGSRRTHYIALGTSDAGASTAVHVYKGVDKTTAKTSSSYVFKSSRAHSGIPGGFVFRAIGHIILWYPS
MAIKAEVIDTNIIEIPKEVNFVSLEGPEILFCSDSILKIKSINLNSASSITSVDTDKYYTVFYIKFSSQNFLTLKCDTDAFLKYIKHSRLTPAPFEVKDKISGLTIIGAVFGVIAIFSILFGGDNSSDNSKTLSQNEMAKVCKAYIGEIFGKPTSIIENYKNQDGLTYVRYTRNADNTRWSYVCDMSNSSIAWAAWMSDTQEWGRWRYEDETKLSYDQSTNSISFVMNDTGSKVVVQL
MRNRLSNSFWRCRAQLARECQLLELEQRCDLLADHSLSFGMGRRPALGHSDAPKANDGRRFKTEQPSIGPNRKPGGKGI
MSGYMKDKVAARKNVGKTTPAATMPIAKAHANAVLFVSSQSDFQLRFYDENKSARRIETVRISSPVWRAERAHSAHPTATWINPRASLSSLDRTAFRFVLIGLSVEILRKKQVSSTNRDSPHQLAVWLAERAHSARPTATWITPRASLSISSTNRDCPHQLAVWRAERAHSARPTATWITPRASLSSLDRTTFRFVSIGLSVEILRRKQVSSTNRDCPHQLAVWQAERAHSARPTATWITPRASLSSLDRTAFRFVLIGLSVEILRRKQVSSTNRDCPHQLAVWRAERAHSARSTATWITPRADDYVFEREMELMKGGMKDHAHAEAIIPPIDGKIQGFWDPIPVSPFGASLAGNFNFGLARLRFTLGFKVCPVTSRLSDFLLRFLPDSYRFKVRDSALSSLCWTFEDQKSDQVAFV
MQQDVLQDFFIYVPTLDRVDETLTQHATNTPEVGEKLRGLAMDKKWQKIALAGQLVIYAKLPEEETNCA
MKRGVFWLIDGKLYCYPFDGSISEGIAKSGNTYNHKKLWEHLRPCGSKAGFDYYPRGRVEITAKGKAVIYMSLHIGSEYVSEICKAFELDNVPVIKYDHSEHYHCYLDREE
TVPDQSTLWRSWHYRFTSDLRECIETAARTILFKASDAGVSVPRTPPRTISRRQTDDDEPTGPSAFERKQQLTTHVSHLVYPVFSLDRANGCAIHENAFWNLQTYLGLQENLAANEGARSFRYDTTRERTPLGHNHRDQIRSLSIERIREMYHEAAQRLVNRTAQMRSLYQRSCIAIDTTEADPFTGDRTGHEDEIIGTKEKTAEYAYQWATVQIVGDSVPLVLDARPIRKGDTRLEIVEDLLDSAMGLVDVDRVFMDREFDSQHILEAIADRGVTYVVPKRMHTSEKAQAKRLLQRDQDRYVTDRKLHLGNNEWHETTLQYRRKKNSDRTDYGQYAVFMTNGNPSAITEYGNRWDIERGYKSIKRFMAATTSKDFVLRFFYFAFACLLYSIWRGIDMLFQCEKGGVYDREPVVTAQNTLTLLRQETGIG
MTEENKPIERSGKGTRKASQQSDKATQRSNSGQQRGSKPEQFQDTHKPLNAQADSSEIFPSGRAPSAETIPISLKKSRIPMAATPQYRSNRPSRLSRSSRACARFMKLLSFRPIV
MTINKPTVAALVLLGSCVLPLAGCENVGNENPISPDRMQQMRKEEANQRANFQPKGGPPPTAGQKK
MNLQYRILSIKLPPTSWLLFLITITILISIVKDADESANENNQHLHAELSGTIGKFSSIKNSDDTDEHFSSSVDNSDQDQLLLAGYRLVNSQDIISSFQIVTPDEGSTDGSGTTTEEDSTSVTVTGTTESTTIVHLTSSDFQTGVLSTHISEVTSERSQTPLFISTSQTNFSPPTMAPTQTSTLISIPTEKLTSETSPSTTTTT
MTGVSTGLLTGLRRVMEQQRISTAFCRQSRVSSSTVSFSDLDEKGDMEYDDNSPNSKRELRPQGVDPNKGWEFRGVHRAIICGKVGQVPVQKILRNGHTVTVFTVGTGGMFDQRVIGPKDLPKPAQWHRIAVHNDYLSAYAVQKLVKKYVV
MISFIHCADLHLDSPFKSKHHLPETILNKVMQSGYESFRKIVQHAIDKEVDFIIISGDVFDQLNRTLKAEVFIRDMFEQLQDHDIFVYMIHGNHDPLNDGVKTTLPENVVVFDKDVGSYELITKRNEHVFIHGFSYQKDMSYENKLDEYPTNHENKGIHIGILHGTYSKAMDVKERYTEFTLEQLNEKLYHYWALGHIHKRQMLSDLPEIHYPGNIQGRHINESGEKGFLYVQGDKAKLKTRFIPTQSIVWNRITIETEAETTQQLFDEIKSYKDNHREHYSQMIYLELDHKTKDVDNIDLLEIRQLLNENELREKNFIWLEDLTWNHSTRMHDMLIQEFRSTFLERDDLVQEATQDLYLNPKANRYLKQLNDVDNEQLLLDGEAYLNSLMRRS
VFYIKGNHDAENPLTGELSLPDNVHVFDGRGGKVQLREGVWIHGVSFANRHAPESLLPKFHAPVEGAINIAMLHTSLAGAEGHDPYAPCTVADLAAAGFDYWALGHVHRRQVHSKTPWIVMPGTPQGRDMGEPGPKSATLLNIDNEIEIEEVPTSVVEFLHIQIDATEAGSDDDLRDALKRTLHDASRNLPSESAVIRLELTGRTRRRWQILRDQDMWKETAAQYARESGTLWLDKVVLNLSDNAERGHSATDELAGIMNTIREEPGFSETCRAEIESILQELPPQRRAELLPDEAAMDQLALRLAEAGAERILARMKGATA
MREVRFVHMADLHLDSPFLGLRHLPDSVFEKLKNSTFVSLARGIDVAIREAVDFVLIAGDIYDEEDQSIKAQARFYKEMERLNQAGIPVYMIHGNHDYVAKYRDRLQLPENVTVFDTMLEMYTFVSQSGVRVNLYGFSYGERHIREAMYQQYKRQGDADFHIGLLHGSEMTGNAMQDVYAPFKVSDMKQMDYWALGHIHLRQVLTEEPMIYFPGNIQGRHRKETGDKGLTLVTLRETGAELTFVETAPIIWEEVILEISEDLDFSKLFQLSLHAVKEAARMDKSVLLGLHLVAHVP
MKESISFIHAADLHLDSPFRGLQNIPEEIFQEIQESTFTTLDNLIEQAIKHKVDFLLLVGDLYDTDNQSLKAQVRLRNVFQKLEEYNIQVFLSYGNHDYLNEHSLSVQFPNNVHMFTSETVSYLPYNRTEETIAHIYGFSYENRAVYDSKVPHYQRINEQVPYHIGLLHGSITTNTEHDMYAPFHLEELKNAHMDYWALGHIHKREVLSDAPPIIYPGNIQGRHRKEIGKKGCYFVEMNEFEVQKQFISLESIRFEQLELDLSGVEFLHNIEPLVLQSLPQLDNPGLIELIIKMNEQQYESWKQTRIDEWIDIINELTITQSPWIYIYRTSIQVPKSHEFGENSPFFTMIKKQIDEVPIQPIVDELYQNRQARKYINALSESEIEAIKQEADQFLQDAFRRG
MVRFIHASDLHLGAAFEGIWATSPEVAEVLARSTYDALDAIVKLARDESAAFVLLAGDTCNRAEGNLRAHLALRKAARELQESGIALFIVFGNHDYLAPGRPDLDWPENCTVFGSDASEPVIVSTDAGEAAVFGLSYGRRDEKQNLALRYPAPPGDLFSIGLLHTACGPVSGHASYAPCTVEDLVARGYDYWALGHVHARAVLRPSSPAIAYPGNPQGLNPNETGPRGCLLVSVDDRKNPTIEFRETDAVRWCIEDVDITGMQRDQDLQDALEARLTELQGHGRPSLVRFRLVGRGPLHRLTSATSDLSDFEEHLRASGSGAHPIVWTESIRVLTQPDMDMEARRQSEDLLGDFLRIADDLRSSDERIAELAAKLAGEAKKPLQEIGLDAAWIGPERVREWLAQAEWMGADRLIRAED
MGRGMWTSSNRDGQNSTRSNRRKRISITGGPMLRYKYLRRCLINLVILYLGIISAACFFGGVTIYLAAVVDESAVRGPDSAVHSTAIAGSKAAWVSAVFSVLAIGSIIFRNVDNTQPGASQNSGEGGGGVGNGLMRRFNKHASSGTGSEADEQGEGVA
MKKVHWIFVSVFAVTMMSQAQPAPGGRGPFGGGRGGEIFRQFIEGRGGRGGGSIEERMAQGARRMGMTFNEAPTMRMSLFDVVERIGGKRAENILLAQLQVSVRAVEIVHLDGCLERLSGGDKHKDEVLAVAKHVLKEQVDQREAFGYRVDARGLGQVWDLLEKYGDESFVQEAQSLLIDGEGKMDGSANNYLRRVMKENIMTVYQNLYANSDVDDGAKNEMRRRAMDNMGKNSAANAIVQMRFKELATQMAEEQAKAEAAQANGEESPPRRGFGQRNPIQTIGSYMSRLGRKSEDLTSQEISSRKQLLASLRVNFNTPEITAMFDRTSERLDTLADPEKSKDARRDFSAGPPRQRGDAERQANDLRSRIEQLRRNREAGGGQ
MDDKLSPFEIVDISNMCIVCREISDFLVGIYSKTRFWLHESEREKEQELCLADMINECSGCKVKLLDGFPAYMCVRCVGATNAAFRLKRQYEESYRYFAQVIQAEDDLCTMLAKEEWILADEKAEPRRPEKPDDNQMTLGETNNTMDTSQETDENDNWEDPEPVAERKWQLIKDPIKEEKRNRKQKYSEDTIPARKRKRRTCKDRQNDELKESDEDESETYIYGSKLNEKKTKRWLCSSCKKSFAQRQTLKVHIRIHTGERPYQCSQCSKAFAQKSNLDIHMRNHTGERPYQCTQCSKAFVQQANLTAHMCTHTGERPYQCSQCSKAFAQRSHLDIHMRNHTGERPYQCSQCSKAFAQQANLKAHICRHTGERPFKCPHCPRDYTNKGHLYVDMCTHSSHRRFNCLECKSSFSQKSALQKHMTIHTRLLYKSTNLIKVQSGVSPKPLEEVKPRAEYKLRPRYTK
MRFKASLDTDMPGIPCPNYRGLALSINTDDLAGGQQIFDALTDEGNVMMPFGATLWSTGFDMLLTNRFGIGGMVSAPHPG
MASDSGAGWHQKPQGFAIYLETPNAPEAERVFAALSDGGMAQMPLGETFWAERFGMVTDRFGTPWMVSFTGSKDNAKAPKRRAARTG
MALVFKETMEEEHHPISASSPTDNNKIKKQFLDANNIIKSLPIPKHSDEIYTNKIISMKRKNGYKAFKWYQKSLEASDISKMTNIGYCYYYGIGTKKNEYKAFE
MGSGCSKKGMPVSAFHSESDDDDDGGSESGASKKSVSNNLKEKISKTKVTDPKKKESPSKVNVKKNLSTKKKADADTLLKQSKNVSPKTEPFVKNVKESKGSGGQPIGVNIDKKIPLISLAQLKEKVKKDQQQNQSTLMQQTPKSGDGTTTPSSTITQEFKPNSTVQTLSGQNQPLSSIQTQPRPPPPPPSVKPQIQSKPKSPPAPTPIKPQVQSKPKSPLPSTPIQPQNQTQPQQQTPLSTPSAIFAPPATINQPSTPPEPQHRVHQPNQPSPSRQPELHFAQEQFQFNPNQQIRLDQCNTSQRQTTNNLNDPFQSSQPNSNQYQQPMNQMNQQQPQQQQMNGQQPINQMGMVENNSYQFDLNRISQSQQQQQGGNVTYQEQPRVLQLEQTQQFVNLPRANDYYTQMNDQLLQQQIVEYYQRLMQQQQQQPGMNQQGQQFPAMPNQSMNSNRMGPNMDRTPPSPQPPTPQSHLQYQPPNPMVPQRSPSNLTQSPTTTQYQQQTMNGSQRESMPVAFQYQQQQQQMFQQTQLRQRFQPQHSTRPPMQFVPNQYSNYLQFGSPQNMQPMNLYPNDYGQNMQQQPQPNFNNMDNSKPPPNRIKTNERLLQMHQEMQEIKGLVNNQDKEV
MVKVTSKNKNKNSHIFIILIFIVIASVFFSGCADSDSNTGEASLLNDSEEAGGNMSSAKDGNLRAVMIFGPDESLDPGYKWVGWYVREAGIYETLFAYDADMNLVPELAESYESLNDTAWQINLRSDVKFHDGTPFNAEAVVFSINRVLDPDNTRHTEYDFIESVSAKDDMTVIIRTKEPYAPTIASLSDPLISIVSPEAEDLTHKPVGTGPFKFENYEEGLRLSVLRNEDYWGGDVKLESATIDYVSDPLTRSLKLQADDCDLVRGIPATESGIINENPDLEVLSKETLRLCFMYVNTNKEPLDDLRVRQALNYAINRDQVVSAALEGEGVTAKGVFPSTLPWSANEKLEGYDYSPEKAKEMLSEAGITDTDGDGWLEYEGKPFELTIRTYEKRAELKPTAEVIASHFGKIGIKTEVIVLETGALSADTSDGNYDLSLYAWGVAPAGDPDYFLSYHFESSGEQAGWTGYSNSEVDEWIKEARESTSPEERQEYYDLIQARVLADSPEIFVFYYTELDGINKRVKGYEIYPNEISFITKDIYIEE
MLIDGSRDVIAFKPVLALSLQFLRKQTFRLFDQPVDSLPDWALMAMRLIAISMRIARLSDGSARYCSTACRIDDQGAGRFRNNF
MNDRDRLFQATAAEGQGASFVATREGGFAMLTPLTAAAEAWLRANTAEESTWIGDTLVIEMRYFGHLAEAIIAAGFLFERNALPN
MCRSSRRRAETRFPYWPLPSTACAAAWTRQWPCSTANGGERMSERMSERNRTWLCCVAFLDVVGFSKHHLQAQIAIKAHLDAQVQKLLAKYTENDYILLDRGDGAAACFLVEPEAALYFALDLRDAVRAQEGGTVPYEVRIGINLGPVRIVHDVKGEETTLGEGINCAARVMDFAGAGQILVSRSFYEVIGCLSQEYSELFQYMGRKADKHVREFDVYEVAPARSEAGNRAAVLNQPVPAVVTGPWGPEALQDRVDRLSQEIGPMARILVHRAAQRAGSLEEIDQILAAPEIRVTPQSSDTTPAPASDIDPALLARAEQLLSDYIGPIASLLVRNAAGQAPDPDSLGRMLAAELADQTEQQAFLADLGQDR
DNREVPTLQEFLTFLENQFTAFETMKSSKKESQSAEKAAGTEKHASRNYEHKKWQLKTYHTSYGQCPLCKNNHVLMRCPQFIDSDTKQRNSIVAKLRLCKNCLFRHENETCNSVKTCKECNMNHHTLLHYNKSHNKIANSTSTENQRSGQRPSKETGTASSNHLSANNMEVLLTTVQVKVMTVDGTYINLHALVDQGSQVNLITENAAQLLRLPRNKLTAKISGRERESGLGRCRLYV
MKSWQNSLKFSISQLNQNDITTKLFNPSVVWQGSLIFGEGQTRTELPGFNLCFEKSKKKMEPSFSLSQCQEKRNEKSSTDVANCLHIAPEITILCKVQKHTVPFHMFLPVRLGLSLNCLSTKLSARLVEWLSFENNKNIALIGSLRLVAPSKHCSKRNNKEAWFDFVKSEDHLAANKVDSKAGENDRESCRRVCLICGNTEKELSVYVLRPPEHLNGWIQHDLSTIHSSLLISNDQEVGGPLRFPDLTDRFLETEQNLTEVLLRILQQELKTSDDLLSANPTPDIVDQIISEKWKFLREQREKLFRDGKTRQLEAVKREVLLQGLSEIPLSPSKWPERAWLIKTDPNTRVKHATVGDTDKQFPLLASVSSLSIQDILEKFRMDGTPARSDLVPIQPREESLRERRIQIGADDVKGKGYPEALATLYHGIEYCLDVREAISKDSQLAQLQSSHVKLETFSSCVERDHKPLASRCTQAATKAAKNDRKQSLMKLSVPLTKTGHAGKGRKPVSLIGRSLKRESPVAKKTTVATKRKRQEPEEQESKPDEIDEDKNRETRSERHKRRLRQVVQKTLEDNGIDSNHPFYEPCTERLYSLCKSFLKDLRSSHGLNDEMKRLAKSIVHQVIEFETKRRSEEKKT
MRPSWPAQALIDDIGMIDGQNYGVFRGACCRTLTAPPLVTHEGASLFLSAWWQSGPRMWWADLLRIVDDLAFSPRRPRVVGRRVPAAEVQRLDGQDYRAVPRLRASA
MAIRDTMDIRMKAKGAMTEEIRLVGFRGIQPHETEQVLFGQLKERGGEIPHMLGTETYLVTLRDGLVAARRVSAFGELPPGMIPFTLHPAEHLVFRFEERQIPAFWRHFNEEAHREAYNLDFDQPRFEVFTDALQPRGMTEIYVPVRKREVRVVARGEMLLAGIRIPAAYRDGAAFLDWARSEGAARLERITGRIGADGWIRLFTPAGAEGAEEEGWLCAQVDREGDAPEAETVHAIPAQSYAVTEHIGPAAAADFAYALLRNWVAAHEYERIGRIQQLEMYETIDFEAGLVRACVYEPITV
MSEHYILRESIHVTLPLENHIVPIMPEKVVLIFSDVGRCDIGSLCYSRRKSMATWRKSREVVSDSIIPHRIDAIKKIINIISDEFIISNVKPITLSRMTKGFIRFMDWCDLNSHGDVLRSKDDAINACGAFINELKHKLRRGELKSQSTVNLQHAMLTYMSRLFDIDFKEHLHLITMTRGYTPTDPPDEQAVKQLLSICKCLFNSIAAFIIESLPYPYMIPMQDVESTQERVWIFPTVQWMKNLETKNRQGVYNHSRGGLYELEEVKHLYASERNAKAAIRNAKKLIERANSDPMHTSRLGVYNFAVFSFIHLFLANTGMNLQQLIMLEWDASYYNAERVSHRFYQIKARAGNKNVYFEIQGSFFPIFKRFISLRSFILDGKEFKYLFFTFSKIRGRQPVKIQWGSLYQFNKQLSRVFESIPNITSRMWRAFKSEWYIQNADVAVTAMALQNTVDTVKRRYVNGSSTKGINEMSFFLEQLSKVTIVESGRVVGSATSIGGCSVIHENNPIIPDCFKPESCLFCDKYVIHADHNDILKIFGFRAYLNKLGELGSSLEQLDRIHKPILERLTDILNQISSRGEELYRMVKIIDSDSESNQTYGSYWDYKIMMLDRLL
MRILVALITLCGLAVVATGSSSTLRLTPMAQATAEQLRILGRAPTPFEEKWGIFVEWSEVPGGVFQLSVPEGFLTLRDRNARYVCSQRWQADGRGGWRSDEPLQFARRDPARQFEPGKPYTIEPYVQYPARLRARVEPFEDRVELAFELVNLGREPLESELLWICFLHGWGGPGFDQLTVPGFSRTTFFRRGGAFAPWPPQETEYKFMSASGAALTARGWRRHADLQARYGDALPVRPHPAADGVRAAKIDRRGRTLTVAIASEDAEVLGGPTGNPCTDLGLGLEPVPAGGSASVRATAWFVRGGFDVLERALAGARGRGGR
MVRDFYREIGIQHLQIYLDGQMLAIQSFSAFGLPATLLLDHQGREIGRKLGAAE
MTSDDNSHLMHVRMLLQFHAKDIGAEAIIRMFAAHPTTKTYFMHIDIAPGAFDKLSDLDAQKLRVEPVNFALLGR
AMKEHAEIMRRSHRLGVKVIGCAGTVYGCPVGGDMTTAEVAKIVRFYLDEGAQTIMLGDTTGVANPRLVKERISELQALFPQAEFIAHFHDTRGTGIVNSLAALELGLKYVDGSIGAIGGQPATGAPKYSTGNTGNTCTEDLVGMLHEMGVNTGIDLDKLIATGLRAEEIVGQRLRSNLVYAGPVIHGASQADKAPGAAVISPA
MLWLFTILFALASAFPFEGEMMLQDRGLPGFLSCFRPPARAELPRVDLGMTRYVDSHVFARPPTEQQLPFETWSESGPGHTMRFRAWRTPVRNQFFEAMVEVHIDAPLDMFISFGGTAQRQGRFLGLEIQDNGPVSQSGTSDRTRRIYSFRVGPNHQNIEYWMTGRWG
AGWALFPACSSPCRSAFEAEQLIGVRVHVCVQQELERQYSPSRWSHRMDADAVIQAHVKALKAGTERARSLAQTLLNIPYGEGDGEKLDVYIPKTNSLDLNLVIYIHGGYWQFLSKEESGFLAVPLTEKGVVVVAVGYDIAPKGNMDLMVSQVRRSVVSIVQQYSHIRGLYLCGHSAGAHLAAMVLSTDWSQYSLNPQIKGRICSSAHSPSFRHMYFSADSDNKPTRVAERPRAETSKVWL
MTANRYPVTKGCNIVNNNTFCLSNPITLPFLVICETFTPQLNITLHHRVNHYSSTGYMYRYNVLLCIPYTDFKILSPIRWPYRILLW
MLFLTIFLFIHFISFCDSTLLEECNEVYELDDKYNMKECKCALDANTCSDSLLALQTCRGSVKVLKNTSDCEIINSQCSTAEKNKSRSIGVIAGFSGFIEGNFGKTPTELKKED
MCLGRFDLYIALLCVTMDHMAPQSTNSSDAHSDEPAPGQTSTSGSSSPSTRNEVLDLASTLRSAMRSGVHMVRLMDEGFELTTSQLATLNSLREGPLNITLLTRLKAVSQPTMSQHVSRLEKLGYVERAVSPDDARITLITLTGEGRAIANHNDSARDRALAEVLQKLDAKDRRALQDGLEVLKRTAQEFVSPRRDH
MTAIADRIAAARAELADTLQYLSPAARIELATEACIENGGSLVPANICKGTPHYAEISLLGVYHAGNDEAEAIANWIKAVRRIEAAAPEAA
MAPRRVHVASAFPPHLLRLFPLQGRESAALLLLVASSYSRRIDEKKLGGAAAGGLEHPASRPPCCCGSRRSRGFPTTAAVALSGKQLSTDLLSSVFAQ
QGGYGIAVAHPHLCVRFYAFEKSVFFTE
MTFIDGVGKRKTGDCGWKKVGGLCHRTRRALKKRRRALPSNTAGFEKNTTGFTIKHGGLCDPTPQALRKTFERIFVCNNSLLFVEGFPRDVRRSVPGIF
MGMNKRGAVSEAEAMEEISTFFWMRNNFDASVSAPAEWRRGEARSLLAHALDMAAQMCGPEVLALAYGPTGSESRKDHLLALADRLHWPALLFASIAPGTHNDSFEALAEECESIARGDKPILTAKLPGYRKQVRLLVAKFRANRWDAYLEGAGIATAERHSALANAFGQEWDTMSRWPSDAVATWGAKPINDRLKMDRYLGKDHIRRNAVLSQESWLSAVNRSGRIFRQQAGYSA
MLAIEQAGNRLVACGERGVVAFSDDGGTAWQQASVPVSVTLTALTFHSAQLGWAVGHSGAVLLTQDGGSTWRLQTDGSPAMKDNAALFDIHFRDERNGVAVGAFGLFMKTADGGTTWEQRSTKAGNPRGLHLYSVRRYGGTTYVAGEQGLLLRSLDDGETFDAVPSPYGGSFYCQAMESDGALLLGGLRGTVLRWNNDRFQTIQVPSVASILSLRPSSAEGGVVAVSQAGDLMVLPTAAASMTRVLASGLPLVGALEVSPRTFVGVGFLGASRLAAAESSYPPPARAKS
MSFRFFRRCWKVAVIACVCLFLAVPGAYAETGSLDLLERAAPDNPQASRGVLMDVTRINKMLVAVGERGLVLQSADNGFTWHQSRVPVSVALTGVRFVDERQGWAIGHSGVVLHTADAGVTWQKQLDGRVVAQLEVAAAERDQDPGRQRTAKRLLAEGADKPWLDLLFVDSQRGWLIGAYGLFMRTRDGGATWSSAMGDIDNPTGLHLYAIRVIGERMVIAGEQGALFVSGDGGEHFKRIESPYEGSFFGLASDRAGDLVVYGLRGNAWRLGAGEENWQAIDMGNDVTLTADARTNNGDLLLADEAGRISYSRDDGRSFQPLTEAAKGYVSGLTQAADGALVVVGARGVQRIESEEVQP
MMRRLFHSSLTACALGATLLFAASLPALASDDAASMPSKLAVHSLLLDGAQQTGLMVAVGERGHILYSHDEGHNWLQASVPTQVLLTGVHLHDAQLGWAVGHDATILRTQDGAKTWQRVYRDIDEQAPLLDIWFSSAQHGIAIGAYGLLLTTQDGGDSWQRNMISDSEEDDFHLNHITATHDGALIIAAEAGMVYRSDDNGKQWRTLPSPYHGSFFGSLPVSEPSLFLFGLRGHLFFSSNHGEQWQVIQTHTTAMLTAGLKGSDGRCYISGLSGVLLIAPHCNKDEIELKQLPGRSGVSALLQGDDAIILIGESGIIRFTLP
MEKFILSCLATAAIFLTSISGAVADQDKVVHPERMMLLDLVRNGDELFAVGERGVIIRSEDDGQTLTLINAPTTRTLTKIVFLNTEIGVATGHGGVLLRTADGGDTWNRIDLEGTGGDSLLGANVLSNGNIIVYGAFGMYFESSDQGLTWARKEVVYEGFDWHISQVIETNGILLLVGEAGSMGMSADMGETWSELESPYEGSFFGALELANKDILVYGMRGNVYISSDDADSWTKIETNTINALNTGFVTDDGTVIIGANNGLFLISEDNGRTFRKKELPLRAPLSAIQQAKDGTIIYVGVLISGFLDLSK
MAKRLAGVCVAILALTHFSNVLALNVDSKDPVYTPSIIVPKPLNQQFTAITTAGVRLVAVGLRGRIIISDDGGKTWIQVEVPVSIDLVDVYFPTIKQGWAVGHSGVVLHTKDGGETWVKQLDSFQAAQIMRDYYRGPNSIDASIAEKALSEADWVEKEGHPFLSVWFKDEIEGYVVGSFGIAFRTNDGGVTWVPMYHGFDNEDGLNLNAIAGKGNSVFVASEQGIVFSYNVETDRFDKRRSPYTGSFSGVLVDDEVGVVSFGMSGNVYSLALNSMQWSRMPFPVKNTITGGDIDIRGNIALVTNKAELFVSHVGSTEFVKVTSEDDVMGKMYSDVVSNSKNHAIVAVGSHGVTLLRSGHEK
MTCRLFSIIAFFLSFAGTFPIARLVEAASISNASPSYYLTAVASSDGKKLIGVGERGIVTLSEDGGSTWHIAENAGKDTLTDVVFTDADRVWIVGHAGTLLSSVDAGGSWKTVLQGSKVSELALAEAQRIKDTAVSDEQHQLAENLQANAQRMIESGSSEPLFSLLFTDELHGYAVGAYGVVLRTDDGGHTWKAWLSHVDNPQKLHIYAIAQAQGGIYLVGEQGFIARSTNDGDHFFRLETDFSGSFFTIAAAADKSLYVAGLEGVVIRSTDNGSNWAPVEVATKQSWVASEAMSDGSVILANANGGFFRSVTGDRFSTLSVSQESGLSGFKTLSNGTVITAGPRGISTKSLNLSGNASTEVSQ
MARNAIKHGLLAREVVITAGDGEEDLAEFHALVGSLWEYYEPAGVVEESLVQTIATCWWRKARVIRAENGEIRKRLDTMRVDRALGDSDKVNLYLAVSQMELGFFGRENPADKIPLIEQWAAMQRVQTTLRSHHSGLAYLHALLEKAKAEITRDGYMSAETRRFFANSAFGTAGSHFNVATPVRQQP
MDSLDSLDSLLSKINQVVNNKSQTPSLNNNSIPRASGIIRDGYEPIKLEGTDKVFSPIISNKDNSDTKKTQQKNNSNSESFIEHELSSKEHNLEVLSQQSSSQPPSLFPTNTAGRKSTIAEQTNILTTKQQSELDSQLLRPITALSRSSSSDGSVSLKESEVSDLSISVEEKFDKEDNCVTKKSRHVYSNNRDVLPKILKDQVNFSEQVEPLFVGETGEQITSDNSTISTNIIIPTTHILSSNHSSSEYSTSSLSIHSDNSILGDIVYNNIAIPSSSPSSSTISNISSPPLRNTKLHREDKYPSPGAYFSHKSGPMGDLSGGLSPNKRVNLIYIFFHIHNLKI
MTGTSMYPILAASIAVAVLGYVTLQLLLHGTQSKEEPCLLETRVPFLDSAIGIMRHGAAYLNVIRGKHGIPILTLRMPFQRLYVVYTPNLIRTIQSKVNATIFVPNLLDFGMLFSGLNKDSQKILRKGFNVQGNGFTKSVHKYLLSGLSLNAATRSAVDRLSASLPNDLWDGREDGLLELVQHQLTLAMTGAIYGPENPYDDPNIEASWRPFPYLTARKALRARSQVIAAFRKYFAKGGHLEAFPMVAEMHRMNMGHGLSSDEAAKMEMATSLAMLSSGAVTVFWLMFHILSNNCAMQSIREELHAAASDESETIDTIPRTRVLKLNSIKEKCPSLVAMLNETLRYHSTVINIKQVQQDTKLDGQYLLKKTQSS
MVLELFFLVLVALFDAHVQSEITVNVYTNNFQSKEAIIPSIESLKSVIPNKTYRVEISNQTIARLPSGVLEGATISELKLKHDQLKDIEPGALQGAHINAILLDHNEIETVKKGVFNNRSIWKLHLSNNQISTIEDDAFDTLDVSFLQLNNNNLENIRAGIFHNTKVSTLDLSNNKIQKIEVNALTQITSLFNVQLSNNLIKDLGGIFSKLFTVTNLNLGNNKIEVIEPNSFAGSRLFTLHLQDNNIKELKTNSFNGLSLNFLNLSNNHISSIDSDAFADQPQISSIILSHNNIGNLESKFLKGINSQHASLFLDNNNIVNVEPGAFDDIVIGVLKLQENKIELIKRGVFNKKNFYSINLAKHKISKIEDRAFKDLVVDSLTLSKNLLSTLKKDAFLGAKISNVKLDNNQISNIENSTFHHINSLKRLDLSNNRIGDITNLFSYLDQLNDLNLDNNLIKVLNPNDFAGSEITTLSINNNQLVQIGKGVFNDLLFMSISLIDNLISKIEEGSFDDTDELLNLRLGSNKIGDFTPASLGTLNNEELELSLDDNGISNIAPNSFSQVTALSLKLQNNSLTAIKRDGFKSAEIGFISLSKNQISSVEENAFEDVKGLNLIDLSFNKLHVPVSSNSKHINLFVTPGADLSKYRSETLDNLAQASRGNRVEF
MSNVDVIIPSLEIFDTGIKFFIYTKSEFGKNQTIQNGPTLVVVTLEDDLGNSYELTSHGGGGSSSPEGFEYKHEFHGKPLHPDAKQLTVTLHEILIQERFPREDPNYAVARKPMRGTKFEYASIDKFPSFVIISGPWKTTFQLE
MNKTTVSLKFECQGGVTQRRRKRPNPKSLRNHRPTPAPSHPLAPSATPRSDEAASGKDDGAADLADGGECQGEDITVESSMDSPVNLTGDCGVITLSGEGIVLEVESAKGIVDKSGKSGKNTVTTSTAESVWVAGDGNTVMWEKPLSTLPETVGSANTLTGPQ
MTLSAAQADTARRLLDSVRSGAGLGSLPSTANLLGRTMVTWVDALSLAPRAECPVEPAQGYVIVDRALTRC
MKKENESKFLGPDPKKDDEPSLLVCLLFGKDMPKDYNKSPDTYDPCDPADPRNFDFFYGDDDDFGKNDY
MKIRAKLTIVTISLIIASIVIPSIIALESFSQELESQITHNLEQESIRSIDKISRFLFERQGDIKLLTDPSNSIMRGPSISQKLEYLQSVEKTYQVYSAFSIYDKNGIKIADTHDFSIGADSSNEPFFVNAMKGNIHYDSVPTFSNDVGQYVMHFSGPLLNEDGNIDGVLAGIIPISRIYDIVEQASQSRENLQADLVSSGGLVIYSNYDKEAIMQKNLSYLPIFGKIAVSGNPSESYIGSVNDVDEAEFFSNDETIYVAASEQGFLDYKGNQWILIIAVPTEVAFKEVTQLQNNFIIVAIAILSAAIIPVIIFSKAYTQSLIKLKNAAAEIAKGNFDIAVEINSNDEIGELSQQFDKMKNDLKDRERLKDEFINIAAHELRSPLQPIISYNELALKGLMDKDEALRIIDSESQRFIKLANDILDVTRIEGGALSYNMQRIKIIDIISRIVSSTKISDKLGSDVSIDLVKDKISDAVEVYGDENRLSQVFMNIIDNAVKFTRKGRIRIETRVQENKLGIKISDTGGGIPAEIMPKLFGKFVTKSVQGGTEHGTGLGLFISKAIVTAHGGEIYAQNNSEGGATFTILLPIADGGVFN
MSLGMISADFVIDKGFSRKPCTPHGFYPENNRAPNERDRIYNGWWKIRSVNGWNEELYVYGMHVVWS
MTWETLLHALTVIGGLGGAAALVLLGRHRALAESHNKLAASCNSLTARVVVLEATLPEVREQSMMLSQVKHTLDLVQRDGKNNNELLHTIIRGHLGDKS
MRGEYEQMYPGDAVTDWIGVSIFAHELCMPIYDNGYLYNGTPPQNYDTAALQCRNAYIGTDSWGNPAAVWKNWDYDGNVLKMMKFAKDHGKPMIVSEAGMMNFTADGSDTRGLETARGDQWVKRFFGLMNYSGPVPNLSGNYDLSGVIKAATYINLDFRYGWDGIQDGSFDFPVNSTWFADGRLSQYGAARTSFCQGLSARSFTTPC
MCGWIVKRALQHALSKQFFQGQELERKRIAVNLHDGLGQNLIVIRN
MSPEERANAPDLAESVGILGRRLLTIEEPILREAGVSMWEYAILTTLLRGGATSQVELSRRTGRDTTRLGKHLAELEARGLVAREQDDDARRRIVSATDDGAGVVLSTKEAIRVAEDALLASRLSDDESATLRRLLARLLPE
MGALLGLFFLIAMLSLLHIANTINERADAQSRHLLLKALQNRQDTIKTTLNDYSDWGEAYLNLHQAVNVTWAWDRGNLGASLYEMFGYDGVFVVDGSNATRYSVVGDAANLL
MRIRKCQDLAKLEAYGFILDIDGEFYNYFTGHSYTVSVEVQTRRVEVLKSRRDGTFTTRDGALLARLAQDGLLEARP
MEPRELTEEVKLTKTTMDEKNYDLLANMFACMKCIDYLERIYASGDIKEDQYVMQCKQLLNQFFQLKQSVKDIAPDHERFAGDFSLQCPSALARIREGVPATSQNGVSLSGPSQIIAANKVTEKFITLMDQLHMNYRTKQDLAIGVESTYEAMIDLALLPVDFSGKVEFQKWINTFNMMRATDSLSEEQAGELLFACEHTYQKIKDLLQSS
AFRPRDAMFYACTGRTFNGKKAAEIGMVNYSVPLKNLKKEVIKLAKELMEKNPNVLRGTKHAIRATQNMDWTAAADYLAAKSAEIKQRDAARGHDAYAEGIRQFIDEKAYKPVFSPYHGAAEGKAPAKLPGRKRAAAARGTGKAGKR
MECNNINTQFQSQTKTQIQIQKSAKNQSLQLVELSIKEHLDDFWEFWKEERGVVWSTKGTQETREEALKLMKFILPKTKDNPEGETEESVKFAIVLKVEGDGEGDGEGKPSKCVGMVGTNRHSPQGLELGYMVNPNYWGNGYATWGLTEFLRTFWGMEERSHIPHLVAKVDPRNKASERIAMKAGARKGELLEKVSSTRIFVS
QQRQKLEIEFLKRQVVELQSTVEELHARKLERERQALERSQHQHYDQSTADGWDNNSSALNEWVGAAKSQVAAVQQLEEQNEKLRSQVAAHLGQLKQLEQIARSRYLANAIPEPMQLTGHSASSYYSASC
MKAQLKYATGNDSVLTLSLFVLPFVCLVHVSLLHLLWAIHATIFTSIFLHSTWHMSLNCLSLFFGGLS
MHDFGLNVPSVGPLSKPNPASPYLQVCPTEADQPNTCVPLKLGGAGSSGNGLIGKLNSLVAFSKPDSDHQHAHVLTHVGKSQVQSNFSFNFTNSEIKPAFSDVEVEVQLTESILNLGKHSAIIFRKIPNSPDHTKGRSVESLVQLVQLIRTEKLMVSLVDSMEEVVKLISSELTNEVEMG
MFSFFVGVQDSNRAEVLVVHKAVDLFCSSPLYVGRNVVFESDSRVAVSWINGKGIGSYAHVNCIYNIRSNLKFLGGEVKFKSRASNHFADRLAKLGSSIEGDFVEWRDFGG
MSHPAWLTAKFIECVLKDANNDNSISVIQISVTNATNKGDNYSSELYRVNFDVTRDGIAGKGSVIVKANYSTEGVHKDLIEDTKVFDNEIQMMTKTLREMESVLQDGTKFGGRCYYSQVKNPAMLIIEDLTPLGFKLADRQAGLDLPHCNGMRSLAKTADSWPELSEDCKKKLKKIADAAYSKACEIGKLREDEFNVINHGDFWVNNMMFKYDKGNITDHIFVDFQMCHYGTPAFDLLYFLNTSVSEEVLMDHKDHLIEEYHKTLFETMRKIGCKTCAPTLEYITTILAEKEFIGFLFSCTAFPIMIVDKNADNDNSISVTQISVTNAINKGDNYSSELYRVNYDVTQDGIAGKGSVIVKASYSTEGVHKDLIEETKIFDNEIQMMTKTLREMESVLQDGTKFGGRCYYSQVKNPAMLIIEDLTPLGFKLADRQAGLDLPHCKLALQRLAKFHASSVLVCEKDPQVRKDHRRGMFSAEYRPAVETFYISGMRSQAKTADSWSELSEDCRKKLKKIADSTYSKACEIGKLREDEFNVINHGDFWVNNMMFKYDKGNITDHIFVDFQMCHYGTPAFDLLYFLNSSIPEELLMHHKDYLIGEYHKTLFETMRKIECKTSAPTLEYITKILAEKEFVGFLFTCTSLPTMIIDKSNAQDLEEMLESDQADFNAKAELEWLTEEFIQNALRNAKKDNSILVTNMVVKNATDKGDNYTSEMYRVYFDLTCKDGKKKCSVIVKTTHRNQGKHTELIESADLFGIEMTMMTSTLREMESVLKDTKLGGLCYFTRSKDPKVLMIEDLAPLGFKMANRHTGLDFNHCTLALQGLAKFHASSVLIIEKNPELKEIYSKGMFEMEFPEDMSNFFVSGMKYLVKAAASWPELRKDCRKKIEKIVDVAYDKAANARKLDEKEFNVINHGDFWVNNMMFKYNDKGDAVDHIFIKYPVVEQLICSL
MQEQGHYVPDQFAHFRGRIITDNCRQLIKELAANNPAEFPPWFVTRDYPIIVRRSIGFGAALLCQREELPKRRKLFFLAAATEGLRHMG
MPYLNAVIKEALRLFAPLSASEPRSSPVDTVIDDYNIPRGTVCSMAPYSLHRNGSVFPEPLKWKPERWLGGGKDKAEMEKWFWAFSSGARMCIGVHLATAEMALVPSLYRLYGSRIRPGSAYSARKNFEV
MLHRNPALFPEPDRFDPDRWLPERAETVPRGAMIPLGAGNRQCIGDTFGTTEAPLALATTFASRQLLPEYGTPIRPKAQMGLGTGPLLMRTEATTKGCAGPPRRTPRTGWPGGRPADPPPADLDGLGRGRQAVREAP
MFNVPEYLIPKIAVCDIQILHLDEPISYDDFKFLTASGNVKVLHIWTPASIKYSNGDPVYIDKIFECVPKVTVIELYSEMSFIDFDFINTFENIDASKLESFTLRIISFSYFLLFTKFMDKNPHVEYDLKFNDGSLSPEETKAIEKYVQRIIDAGITKYPPPNIRFPGQTQEQRSDIRGLSLEYCETHMS
MARKRRRRRRRKADVASESGEAGGTGSCPPGGAAAQRSEGAVESGQLELRGPEGPLEPLSRNKKRKMKKKRRKAKLLSSGSAPRPRALEFTYQEDEGERGDEDSRQDLDGVLDFLQSTWDLYLSDRSRASSLPALPSNMAESLFARVSDRTSPPAGLRSFRRLGALLGRGDVGQLRVALQEFSRTSPLPADETLVVCTLFQYWITEVLPMQKDSDT
MEVYYYRCPVCGYVYQVPAYWMGFAAGPETDYPHIDLKTGEVCENTTLFYVEVEA
MKKNILAIMVCLPLTLTTYSVIAADTVLDQFYTPAEAGIIWVSVEPMFLDSNSYKADFKVTCNRVTKRFTYKKQSRYPVTIGIPHWKNNATKVKVSRPEYLFSDNFTEIVTLRHGRDPEHSYQSTAYIDDFSCK
MMVETQLHGSRSAIGQRLVYIQYITSAPWNRKEIQRPLRYKGVGTALLRYARLRSVELGYGGRIGLHSLPTAERFYENQNMLNLGIDEEYENLTYFEYGMLRLQ
MEIIRRCYDALICRQHDANSDNSVEAIIEKEECTDNSSKTGHYIC
MCRIAVLLSSYNGCEFIEDQINSILHQKGNFEITLYIRDDGSSDSTCDIIKRLQGLHSNIILFEGENIGVNSSFLELMRLAKKSDYYAISDQDDIWMDDKLSSAILQLQRIERESRPLLYGSASLCVDKGLNALSVEPHIYRSISVYNTMIQNFIGGHTQVFNYKFCEIALKTFDAESVFLYDSFFTNIGMIYKGLVFDETPHVMYRLHEKNAVGTGVGLIDWIKKNIIRVQKGQSKLYAKQFDYIANTFKKELSCEENEEIKKFQNSKTNFFTRIRYIVTTKFYRQHRVENYFFKMMYLFGGYNY
MPGNFPNVDPTTWPTPNYDDPVERTWLLPYAVVLAGVSTVLVFIRWGLRLRKQGGGLGLDDAMLLPSWILLVCFTFIASYASGQDLVSRHVWDIHPDNFVKLAFTSWLAQMTFAWGTGFVKISVLLFYRRIQSGTFNRPWLIAIYGAIAFTVLSTVVMAVLLLINCLPTEAYWMVYSFDWHKDYTCRRAISVNAVAGGLSLLSDLYSVVLPCIMLQGLEISRKQKIGLNLVFLVSLSVVAAGSARTWAFFSFAKDPDVSWSGYWLYFWSILEWNLGLMCACAPSLRVLVQRYLQATKSSSRGQTPTQPTTGDSYSSAPSSQQKGIIRHSSFSITSTRVSRAYKHKDSPYNQYTDEELLMSPELGVSSRAHASGGVKPHPVAEEYDLQLFPTISPVAESPTPQTDTETSSRPQPQ
MESIATFLNPRHHGSHRGEDGSSVQSSMHFQLPTPNYINPVTRRKAMPGVCIPLMILSTATVAVRIWSRFSHQTGRFGIDDVFILGAWAVAMALSIGAIVAVENFGFDRHIWDVFPTELAPGAKLAWVGQVIFLVTTCLCKIGILLFYRRLTSGTTSKGLYYATWAGIVFTAFYGVVFIIVLCTTCKPLNAYWLSYSPTYNAPHICDHPGAGPPVSGALSVFSDLYAVVLPYLLVRKLQISWRQRCGLYMIFSFGLFVVGCGIARTVLLDKLSLRTFDVTWTSHDIFIASNLEAQLSVIGACLPNLRKLFGSFFGESPRSIYENMRIGSPKLFRSSKKSGASSAAASDPALNKRRMSIYKTEDIEMAVESVTSRSDYSRSRDKPLPKIYENRFSSASEENLSERTKSNISVAKSQWRNMKIPHTVF
MSENSKNGHALVVRSGLPEEIWERVASHMNVRDWARASGMSKTTRKLELHSVNITACDGLGVLGIRWMAARWARAHNMCLNLARSASRRDIALDIMAAQPSPRALKQLQLEWADSAASEDPDAAIGLMLLTWLAAHAHDLRLLCLSVFQLPAVPPLPNLRHLILYHASPHLAAAARDMPRLTALQTLCISTVSWSDEAQELELALGGLRQLRQVKLEGVLPARLELPPDAALHVTVFDEWSAEHPVWQSVLPNMRTFKWDDDSWSLSRLPGVLQAPSGLTQVLLRMHAVGSGQQPLRLRAAGSPAARICLACRDAWVDLGGATWRHVCITADRMVLSGTQSCSERCPDFYLEYSALEEVPSEPLAVAPSRLGCWGAICSRTPMCGGRERLWKGTNECQHVGFDYLGKETMIPTIIRVSKESFKLVLTILLKDNKREASTVDLTELDGLIITDNTVASSCARPSWPGCSIFGEWPEGMLRSLKSLCSHKEQQLPQFSSSYSNFITPGATGMEFGHSSPPVFSQPPVFPQPPMRGPGRPAGVRLVHEGPLTTPAPKTMMSAAPPMTSASGIFSVTGAGKYQETPLRGVLLDRQHSPTSPLDIIEPLDSTLMPPPFSGVTSGVPSSGKLKGDPAPAAGLPVLPAPGSCAKSGNAPNLPLRPLVSAFAVAASRPPSFSETKDEEDPAQEEEVFRPVKSYEWVVDKLLDMSVASLLTSSPRQLSSQLNHQHQQAIFGRVAVPDKLTPKFDGR
MPPPPSPAPSCTSELSSSAPPLVARSASMSDVKASPYMLRRKTPLPPLRRLSVHDLQQSCSQQQQTLQSPLNPPKGQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLTSQPPQQHHHHHYHHYHHHPQCWKHSQHQCAPGGPGSPIPGAHMSPLVGHKGALRLAETMSPHHHHFPGIMSPTGTMSPIRSVASIPMSPLHCTPVQSGAPTPGNATPAPEPGTPAACSPRVSARCSGSAKDPGGHLPSAATASSAAGATSNEVAAAAPSSAANVRIHDSNRRRLVILRDIFMTSPAGHYEACQELKDWCNDRRAYASELASDLDLTLRAVAEMGVQPAYDPAIALDVFKIVSRHRGYMTDMAAENCLMYTQALLTAIRQREKHMQQQFMIQSPAIQSPFNTSFVFPPGVKEEPSTPLVSSAAASSATQWNGLSYTAPVPLETPAAATSAHATAASEAEQRNAETFTTINTSSAPSSSTSAGPYMAGVSSSSSSASSLSSAWAPFPSGSTSFRDAFSAAGAWDSSFMDFQSVTSAYPMTGSGSGLAGALSPTTPATATFDASAMDFESLVSGLPDANMQLSGSASAAEPKDAMGFLDSMDSLDSMDHDWTSGVTS
MKNFLKIFLAILLIVCVGAALASCNENESESDVNCEHSYDNACDANCNSCGEARVVGEHIASADDGDCTTDIKCSVCEKVLVAGKTQHVSSADDGDCTTAVTCTECDHVVTAAKAHDFTGAWQNDATDHWHVCANEGCNASDTKAAHQMGADGKCTDCGRVMQECVNHEYTITKYDENGHWKECACGDKQTAVAHSPSENGDCSIGIQCSGCDWIYPAKNHTPEQDDGDCTTDIKCSECGSVAVAGNNAHVDSNDDHICDNGGCGKPLDPNVIHQGGANTEGGWGALIRP
MTSSFRQPPEERTCISGVAALLLRPACRRQGPLHWSQTVERDRLRMAKQFITLPWTFKGSQSRLLSLSLSISLSLLLLSRSSLFAGRVPSSSLSFSALAASLSHIVASLVSLFCPLIFRAGFSSLSLLSLLSDGCLSGCYLSVLVSFWVRASFFSLSLSFCPVSSSSSGLLLLSSLFSSLSLSVSSLVSSQLFVLSFSLSRARLVFTLVQSSSHLSPTQSSPPYHTRYLVRPPTASSSSSPLARKVFPTLSSLDCPSPCIFPLFLLSSLRTPALLSLFPGALKCYLLRSSPPLSNPHLPLSSSLSVPLTLALSSLLPPSHLCLPALSPKSRLPALLIPLVRSPPPLYALPLSLSRLLYSHRLSPFFFSLSYLLSLFTNRFLKSALSPLSLFAPVM
MDAVRNATREELGTHAVFVAHNLRSNGRWAFAQLDPQRPDGSTIQPAQTPVHQRAGTTQLDGLRIDVIWKKQSSRWQIHAYRIGATDVWWLSHCTEGYPELLPGCS
MFAVHYCLLPVEEYNIIACPERFAELAEIMGYDTTGLTTMQAAQKSIDGMREMCKAVGIPASIKEIGAKPEDFPLMAENALKDGNAFSNPRKGTKQEIIDLYQKAYDGIY
MQAIIQPNIEKEQQTPKILYFRGFLYILKIYQTPSKHTKMFR
IVIKYNNMKCNKCCKDKPLKQFVKNKQCKDGFAGTCKDCQNDYSKKWKQEHSKELSEKRRKVYAETEGVEVKKRTEKRKLLYPLRVRCQLLRSGMRDRSKIKSIEFDERFFTVKYLMNRLTKNSKCECCGKELDIEFKKDKKFNDNSPSMDRVNPLKGYTKENTAILCWRCNKHKQDATSKELRIIADFMDVWGNEVESDINLD
MAEPIPPVVVVGPEYCISDHSMELEIVRKVLALTKGNFKINDVNDNELFRMEVQWSLHDSRILRDTAGNPIITIRKKMRTIHSRWEVFRGDSHDKNDLLFTAVTSSCLQFKVKLNVFLAKNEKEEEPDFRLKGCFFKESCIIYTGHDKSKTIIAQVIVISKNTYYIYF
MEHVQAYPPPATPSAYPPLATRVSVIGPQYCYPQPIDLVVVRKVLTISEGKFAVTDIYGNIMFKTKGKFFSIHDRRLLTDAAGNPVCTLRHKIMTVHDRWQVFRGESTEEKDLIFTAKRSSMIQLKTKLHVFLATNPKEDVCDFRMEGSWLERSCFIYSGERNTILAQVLHTLFLRFNYAYTLLWHTT
MLEQFRSETMEGLPLPHDRTFIERAKVALASYGIEDESGRGGSEGSYRLKPDSPAYAAFLDFLAQEFDAIDRRFDEPLGETKSMRPDSREVIRTLRDYLKGRAAGDVAPLPPEVARVFEGNNLEAFKDEIYARHNTRGIAYNVDLSRGRDERPWEIALERRYVEGREDALKVLDSGFIKFNVNNGTITLELIEWPTENIHTGLK
MGFATKGIGLELDLIPNLPGDIELSLGPEFRYRQTRTGDLKDDVVDLLPKLDKTFEVGIDAGISFKKLFTPMDSLAFGASKRWDVSGNGAGSNHNVSVSYSTALSKGMGAGVSLGASWYDDDYADYYYTITPEGSAATGGQLPTYNAKSGMKDWDARIFYGLDLDGDFRNGGLALAAALSYQRLQGSAAETPIVSMRGDRNQYAALLGVGYAF
MKRLTLITISLLMSLTGLFFAQAQDQDITLEKIVVTPYRYGESLGNVPTSVLVIDSDQIASSGASTTVGLLSDLSGVVVRDWTGNGSKATVDIRGFGEQAGLNVLVLVNGR
MTTDPAPPTTSTSPVHDLVQHLLAEVSAIPDPVDREAAALHLSRELADGSGAASALARLVAHSRQRPRAYVDEEDGAPFARPPWA
THIINGAPREILGEHVWQAGAEKTLEKARLDITHYEAPSEKTLEEIRKRASEIVAKNLKVESRIYGRKEAEAKFGFRLYQGGAVPGRELRVVSIDSLDTEACGGTHLHSTGEVELIEIIGSTKIQDGVVRLEYAAGEAARNYLAQELKIVQEVLSIVAQHSPSLSKVTPEQLRAAAEVFSVPKEELPNTAKKFVREILALRKEVREARITWKGRKLQEVAQEIFNEWKELGKKRERAIARKASFALNAILSKPPEKVGGTEYRIEELEAGMEETIKAASELLKVAKAVVLFGKNDKVAVVGMCDESLDIDMAHAVREACKILGGGGGGKRSFAQGAGTKKEKIEEAKQFVRKYIRDSISPLPETGEAL
MARFKITNQSQQLAKSKGYFVKEVMDTKTDDTYSLFLEPDGKTAKTVKDYNRFGDKPNVVEMQYTRVD
MKTAEVRSAYLDFFEGRGHRIVPSSGLVPHNDPTLLFTNAGMNQFKDALLGREDPGYRRAASSQRCVRAGGKHNDLENVGYTARHHTFFEMLG
MDSFTRGDTTYDVQSATDASSLDGGPAASTVVDERLTSLVTRSVVDGDATRLDLVRTLTGLRDEGPGPEDATITVTGEVDLP
MESSQQTPTKNKIKNQHPKRFTNEQIKALESIFEQETKLEPTKKMQIARELSLHPRQVAIWFQNKRARWKSKRIEQEFTKLKSSYNSLSIMFDDLKKEKHSLQIQLQELNDRLKKNRDGDVGVNDDDDRIITSCYGENEDREFIFDELSEIPNWWES
MKTLLVFFLTGLILVGIQAHAQNDFWMPTSGLQSGYIWAMDVAPSGSVFAGGDKGIFRSTDNGSSWAVSGLTATAVFDVRSKGNGHLFAATSSGIARSVDDGDSWMPTGFNQPIMRAVAFRPSENTLYAGGNGGIVMKSEDEGTTWDTIQAGGDFATDVEGLAVGSDSTLYAGTYGSSVWRTTDNGQSWQLVNTGMTTSGVVSMAIIRRGPLQNDILFAGTNGWIFRSDNRGDTWTQVGLDNQTTIYDLKVDGGTVWAATGLGIYKSADEGQTWQAFNQNLTDSLFMRSVAVDGKVYAGANGNGVYRSSISTDYWERVGVPYAYVYSFAAWPAAGRVLAGTNDSIFVSDDNGATWKRSNAGLSGRFAHVFTVYPGNPVLYCGLTTGVYQSSDAGDNWQPTPAQPSNKNISALQLNAAGDVFAGTGNALFRTTDGGQTWERIHTGLVDSNIVSISLDPSGQDLYVGTWLGGVFRSTDNGDSWTAFNGGTNWYDPSVQHFAVDTAGNYLYAGTSGNGVYRTRLDSADWQQVVSGMGNGGYQSITGLAIGRNGDLYAATADSGVYRSSDQGDNWSQVNTGLRSLNITALDTAASGYLYVGTNGNVIHRSTDVYTGIHSNVRVTTSLFLYPNPAIDQVAIVVDLPHGCSHATLKVYNLLGEQVTDIYGGALPEGTRAFTWNAGGMPAGVYLVKLETDARSWYGKVVVR
MKRILQFLTLFSVVWTLLFSLKVANGQTWEETNGPFGGPVNCFGENNSYLFAGTSGGVFAKGIFRSADHGATWSNANTGLSSASNGKDIVAFTSSGGKMIVSTAQGIYYSVNNGDTWSVSSYGGQYYPTNFLTVDTILYCVGSSGLYVSLDYGMTWTPQNDSFEGIVAPALPEIRSIVLNDTIMYVGTYHKGIFRSFDKGLTWTTVNGGLGTALQLNSRSFNHLGISGTDIFVGTSGQGVFRLINNGTTWTQEVVGLPTGFARSPSSMLVKNDSVYISSNAGIYATVSSGTISWNLINTNPSGLVFTKLFASGSDIFAITTKGVYKSGDNTANWVPSHDEMRGLITTKIYSAGGTDLFATTLWGVGDGYFYRSSDFGNTWVMGNKIGSPYLFNNFLFIYQNDGIYRSADNGETWQWIYDFGTLSYFYSMGNTLFVRITCCESIFYSTDNGDTWTPCTLLDLGGFTSNNITILSLANDGTNIYAGTLAHGVLKSVDNGVNWTACNLAGSVPIRAMATNGTYVYAGTSNYYDDPNIQPTGIYRSADFGATWELVNSGLNNLDVGAIEFNGTDLYAGTEGGVYKSSNNGNSWTAYNEGFSPIPNPTSLAVSGNYIFTNNFTTSIGSPVFRRALSGDVPVQPSAISGLSAPCSATTQSYSVDSIAGVAYAWQFPSDWAITSGDGTHSVSVTAGTTSGLVLVTPSNGWGNGPFQFLTVNPIPSNVAQPGAISGETGPIEGASYGYSVANQAGVTFTWTFPAGWTQT
MADAGTYPYAGTYPYAGGSDPGPRLDDVAVAYQDLAAFFRTAARLRQGLLVWIG
MEGEDSPVNDGETQTIGRWEYYEILGVDKNVTLEELRKKRKRLALRYHPDKNQGDDTAQHKMQQVNRAFDVLSDPMKKKLYDRYGVEALTMYENNTITEDMWNVLFLFVNQRFTAFVGCMCFTVFSIVLLAPIFIGLKLDDRITWSWFAVLSPLFFIEFFIFLLDLLVVLLGIYFRENEHTDQSDNSDSFLQEDEKQKIPIKKVISSSLSLLVILLVVLFQLLLCLQLDETVSWSWWIIFAPVLLAQILFVVFQYPAASYSSYQERQSPIDPFYKFYCRLQYPGFLLRSFYKEIIVFVFTVLVAMNLAYAPNSDDVLGEDSGVSGYISWWYITIPMFALVVIAMILSIIENCWYSKLKRKDYVPLDDEENEGEEEPSLFSQLGYICCTCLCVSFLGVFFILLAGWLQADFGFSAMTMLSPLILVASLICCACVCCVFPIYAYVVQKYSKGDEFELPVHKEEATS
MASYQIFIVDAFTDRPFSGNPAAVVPVPASQPIVGTRMLQIAAEMNLSETAFVIPKNANGPNAFQEASHFDLRKSTYAVMPPLATAYVLFFKLQNISNELRFDTLGGELVVRRGTDGHLDMTFPVDMPKPVDVNDDVKLLISSVYGEYRDSIEVELSPALRFLIVHDPDTTKDHIVGLEPRFSPAAIAAGERLNLVAVLITARGVEKDFHSRVFGPWVGVGEDPVCGSAHTVLAPFWHRRLGKTFVLCHPGIKATW
MQLDIYQVDAFADKLFEGNPAAVCPLDFWPGDDLLQRIALENNLSETAFFLKQDDEYHLRWFTPIVEVRLCGHATLATAHVLFNELNIDASTIYFNTLSGKLSVSKIELGKYLMDFPADHPTPVIDESIHTSIHNALNTDIKEYWKGMDDFLVVLEDEEEVKSLDPDFRMISKLPSRGLIVTARSSEVDFISRGFFPQTGVDEDPATGSAHTLLTPYWAPRLRTNRIKAIQWSQRKGYFECIYKGNRTGLIGHAITYLKGSIFTK
MLRKCAFTFFVLSLLVIGEVVTARAGSSAPSYPDWAAAVVPAYPHALPSSRLITPKMYGIATTDPMPTVVAWYKSRVHGAWSESEGGNTWSVKSGGVRIQISANFYDESGKEKPGTRVALTRYP
MKIAKTWHLFAPNVWAPVEVCAVTVYLDAADAETPVGSLRAAVDESLPERAELFPAPIAPELPGPACLVELLGQVALTLQAIARGPAGRFLLARAAADDAGSTLVIEARDPVLIVDCLEAAAAMLETLQVGGRPAAEAIRASLVERADDVCLGPSTMLIVDAAAARGIPWRRLGDLSLVQFGHGRRQRRIWTAETDRTPAIAEAISRDKQLTRQLLAAAGVPVPRGMLAASAAEAWRAAEAVGLPVVVKPLHGNHGRGVFLELTDRAGVEQAYAEAVAESRPGAAVVVEEHIPGIEHRLLVVGERMVACARGEHLHVSGDGRRTITALIDAQLNNDPRRGRTETLPNKTIETDPGVRAELARQGLGPDSIPAAGRRVLVKRIGSHGPDVTDLVHPEIARIAVRAARTLGLDIAGIDLVATDITLPAGPQAARICEVNAGPQLLIHAQPSAGPPRPVGAEIAAMLFAAGETGRIPVAAFLGCREADLPAMLASRLEDAGLTAAVTGPAGKWVAGQRCSTADHATPAAARDALVAPDIDLLVCALDWQSIAAAGLPMDRIDLLVLGPLPERTAAAAGATVEAVVRLLVAAVPASGMIVCDATPAWAADHARRGLADVVDAAALPGAGDLAARLAAVVAPFPAIPRRADAILR
MRIIEKRFLRGPNLYSAAPCLMAVIDTAGAEAAPGLVARLVALLPDLSPEASARLALLTCAADAVEPVVMELQRLAGAPADFSQTLEVARNEGARRAVCGYRTEQVAGAALNVALDLIEALQAGKEFDLAAAVAALHEVAEDYAIGTSTGAVVSAAERRGIPSLRITDEANLFQLGWGSRQKRLQATITGATNSIAVGIASDKQLTKTLLDQAGCPVPAGATVTSLAEAQRVARRLDGPATIKPLDANQGKGVTTVCATPQEVERAFEHARKYSRHVIVEEFLEGRDYRVLVTGNKIAAASWRRPPCVTGDGKSTVRELVELENLNPARGEGHTNILTKIPMDALASAILAKQGYEFDSVLPAGVSVDLRGNANLSTGGTAEDVTDLLPEETRDICIRAARTIGLDVAGIDVICQDIAQPLREQKGGIIEVNAAPGIRMHQYPSRGTPRDAGAAIVEALFGQDDGRIPTVAVTGTNGKTTTSLLIAHTARLTGQRTGVTTTEGVYIDGQRIMEGDCTGYRSARALLTSPEVDFAVLETARGGILKRGLAYDKCDVSVVLNVSSDHLGLDGVDTVEELAKVKAVVAQRASRAVVLNAEDDYCVAMAKDLQADVEVLYFALDADNPVLLRHLESGGRGVYLQDNTVVLATGARHEALVDVRQMPVSLNGCARYNIANALAPDCRHR
MSKPGNDPQAHRDIAQTVASDARQGYEQAPEGGSEALSIQTANRHNTTAAKLERAQNQ
MIDGFIVRFLSTCLRKANEPNLPNIKLSVAPTQRQRNESHRDVNPEAEKGTRGNLKDLNPLGRRSVARNSTYPDAELHTRNPRDVTSALLAFWVGIGYLMERESSRCNRVEEMDYSNSHSLDEIQQRKMSLHVRILWECGISLVELATVCVAAKLDATWLYYMKENIVRKLACWRRLVENFKPIWRPTSFDSITDKPALKVSQLRAADKKTDRQTDRRTNGQTWRNYKGVLRYLHGLGSFEHDNVCLPPDTPNDKYVQYYFVNGSADDQSLENCASSPPDDQPSAGASIGHSYRASIGHSVPTSIKHSVRMSTEQDPSVYSQGNQPDPGRNVRETGWGLLIAGVIFYESRFAFMPLSGRLITRRVFLWPFS
MKKHLPLILILSLGVILRFYHNTLISLWHDEAFSALLIQYPWGEMIYRIGLDVHPPMYYIFLRFWHYIFGDSLLSLRGMSIFFGTASILAGYAFVKEAFKNEKAALWAALLIAINPFQLQYVTEARMYTMGAFFALLTAFFLVKAMHQQKQLHQDESLNMPNLPRDIHLKRKMVLNYAGFTLSMIIIIYTHYYLFFTAAAIAFYGLLYLFFHHRWDWKKYIPLA
MKKPSIRKFANSLILLAAALRFYRLGAQSLWSDEGNSLALAQAGFAEIAARTAFDIHPPFYYWLLKIWLALFGSSEFAARSLSAMLGVLLVALVFRLGARFFGPKAGAAAAFMAAISPFQVYYAQEARMYMLLAALSSFLFLVSGFLFYQSPPKTRNLKPKTQNLKLAYVIIATLGLYTHYAFPVVLLVINLTAMAALWSNKRRLWRWLALQLVPLALYLPWLPIAWRQVTTWPSLIVEASPAHIALTLLRQLSLGPPGAAISNWWLLGFGAVAVGYLSFVILAFSVQR
MGKAERISSFLKDCLPLIIILAAAAALRAITLGSKGLWLDEAYSVHLAGQSLGGLISQLSLESTPPLYYLGLHFWMNIFGMGEAAVRTLSLVFSLGTIAVLYALGRKFFGLAAGVAAAVLAAVAPLQVYYAQETRMYSLLALLSAGLLYFTVSLSSASSADAQDRPGAVKRRAIGLGIAGLLLLYTHTVALFFVAACFAVALFFAREKKTRTPVLLSLAGMMLAYLPWSLVLIEQIGRQETVLQWFVPFWNGKPVWRHAVDSLSSFSFGPFPPYLAIRDGAGFAAAGLVLALAVLVWGLIARRKSAPARVCALVGLLAFGFPLVYSRLFQPVYIPGRTDQYLQPVFLLLAGAGIAAVSGRKVIPAAVIVLFAALSVYVLIPWYGNAAKDASRDTMEEVRAAAAPGDVLVATGYTVATSEYYASRWKLPVEVVTYPLALASHPGFFNSEDEASRPDALARDADSLARKLADIAAAGKKGIILLTPHGINDTLLRVLSDKLMLGDLPGRLNRHESLVGTPVRILIAQKAE
HAASVRPEPGSNSPLKNNTHQPKGQREKEMPDKETLTKKIKPKSDQPESLSKKSTNHNQPKSAIVDGA
MALTLILSQVISQLSGVSSCSTTSPSTICFLSQTATATTTTVASTTTIEWSMPLVNSTPTSDYTSEPTLYQDCSTASGVVPLPNYTPQDLSYRHELLKSVENEVGHLLSVENITSDNSLSIQSRLKDINKVHKIPGCVLKLSYEFAKFSYNYGLPMTKSTWRAFTTVVNLASGEQIFHKGETRRTGIKRLVKLLDNKVASDYPKIKREFDPSLAKLEVLFKKSGVASLVEELPLVQSDDQFQQLKRVIDVTGYHCEVTVKVSEPVNGEAGMNITIVVDELSPLDNQPLIRHNNSYFVPTFAGTIDEDLLEEALNGIMEKLIDGLDNFGTKGVNSHS
PPPAPGGLGFXAPRPAARRYFNVDAESIVVAVLSALAGEGTLDRSKAVEAATKYRIDDVRAAAVSYTDTGSA
MYQTATMEPYYHRNKGIEKNINNNPNVTDIFYFPQSLTIPQQQQQQQQQQQQQQSLSQQALVASSMNLNNRTNFVLPSLPLLDTSISNDRIFYIQQQQQQQQQQVFGSHDNMRSQSNIIEGYLNMPAVADRTSLNPSFLENSGNRLSHPRNLNLNYQLSGTNYNLPPLNVDILAANNLNQKDTTNSTFNAVIYAAEMSAGITPRRRRKKNQSSASSSPDATDGAIVKSYPCDKCDKVFHRPYNLRSHLKSHSTEKPFACKHCGRKFTRGHDKKRHELLHEGSKKFQCGGFLKDGKTKWGCNKKFARADALGRHFRTETGWLCIKPLMKEAKEKEMDDINNERISSLQSLSPLTDGQSPYQNQTQVNLVAQQAIDQLRQNYSSKGYISTAPMFESIPVNSLHGLDVSSYDGIGGSINKVESMKNEIPKMTYNGVSLNHFGTDAPNESIINSMVKDVKSEFS
MFKINRLKIIVKTNDGDFGFDESFHERINFVASFENTKGKSSAIEAIYYCLGFEELIGGKNDHALKPVFRKTLEFDGVQKNVLESNFYLEIKNIQGKVITVYRTANKDNVSPTLISVFDGDINDALVGKVIYEDMYVHQPGSATNARGFHRFLESFLGWKLPEVPTFDNNDRKLYIQTVFAATFIEQKRGWSNILATIPTNFRIKDVRQRVIEFIIGLHTLENERCKQKCKAEENRIKHAWCTLFSDINSLIAPYQIYIQGIPSQPEILSDEFSQCINFLKKQVDQPDIYLDQYLKGLEDKLIALRNSNLRVVDNSEELQEELAISKEKAKELEQLIDEQRDKLILESDAIIELKKSFEIINKDLQNNKDAQKIKKMGSTQDWYVNKDICPTCHQKIDDSLLPQSFDYNLMSIDANIENLLSQKAMLEFGLNGHRKNVDLLRENIQELEKDLVCIRRIIRSIVNDIYSTDNSISETIVYKKLMFENQIEQIQLAHNRILELIPSFRQLSIDWKSMLSEKKSLPQDKYSESDLRCLKSFEETFRKNLKDYGYTSFTNIHDIEIAKDNLQPTVAGFDMKFDSSASDHIRAIWAFTTALLMTAKKCGGNHPGILIFDEPDQQSTIVKDMEHFLASLTKLNAQVIIGITLKDEEIKSVLSRIDQNICKIILIDKKVIAPIASIELKENENEDE
MVSCVAFLYLVKERAGSEFYQLELTLDFYKLLFAERCDN
MSTMKHFAIAGVGHIGEFIAEEFLKLKANGRVSTVLVLTRRVPDGDETLTKLSALGATVKTVDYASESSLTSALAGVDAVLSVVGSAGHGAEAALADAAKAAGVKLFVPSEYGSYSKGAKGGFYTHHADMHKKLEDMQLPHTLIYNGQWSDFIFVAYFGWDVPGGRVAIAGEGNTPISYTTRRDVARFVAHALTTPSPEQLYWKSLCIQGDRKTLNEVAQGYMAKSGRHLEITYTPISQQEQILQDNPPFSVPAIIAYLKHSSSWEIGGADLQSKSPEGLSNDLWPEWNPTSAVDALIETYERA
MNDDIFLLDQRTGCYSAMCNLKVNEYLALVDNAYANKGGIKNQRTPLKTRSAIRIREQMISDICDGAVLPPLVIGILLPLGGFEILERIENPQNIIEIISDFDRSTISIIDGMQRTTALFEASQIKNIDNNPIRVEFWFSNNIYTFIYRMLVLNTGQVPWDIKRQLETIHDPLLSKIEAEIPDFKIIKADANERRSDASEHQASRIIEMFFSFTTRKVNTDIKEQVAADFARLDIIDATSVSDNADIFLIALKTLDLVDRAFSMGGMSTNGKFTSGKMIFSSLPACIGFITAIAQLVWGKPGFDKSQEMARETLNKIHDNIKIFHDQTIILTPDELNDYLCLDELNDRISRKSGKIGEFEREFFLKAFIVMLEEAPSIPKMDSCWKQF
MNEPALGLTRASGVPITVEQSQSFIDVDVTALVRAWVDGAPNVGVCLVPANGAQFRVDSKENLKTSHPATLEVLLASGSTVPGPAGPIGPQGPAGNDGAPGPQGPIGLTGPAGTTGAIGPMGPQGPAGNDGATGPQGPIGLTGATGAIGPMGPQGPAGNDGATGPQGPIGLTGPAGTTGAIGPMGPQGPAGNDGATGPQGPIGLTGATGAI
MKRWQEIEGHPDSVARAVEAGLTPFQAEIVAKRMPEADTDTIRKGFVDVRLANLDSPDTLPGIEAATERLVSAVLLEQVIAIETDHDVDGVTSHAILYRTLVHVMGHAPEKVQSYIGHRLKEGYGLSDAVVDRILAADEKPQLVVTADNGTADEDRIARLAGEGVDTIVTDHHAVPEAGPPRSAVACVSPARDDSAYPDTTIAGCMVAWLLAMRVYRQWCEVQGLDDPGPGRAALIDMLPEVALGTIADCVSLGESVNNRTIVRKGLDRINADDPRPCWRVAKRHTCQHGAPFTEERLAFDVGPRINARGRLDEAMAGVKFLLSKDEGEAEQWWSVLDAENTQRRAVEKAMKDVAIGKAEAQVSKGVSGIMVWMENGHSGVHGIVASRVLERYGRPTLCVSPVHESGDRVTGSARGVEGVHVERALRQVKADLGNRVMKFGGHAGAGGITLERSALDALEESWNAACNEQAQAHGLVLEPLLQVEAWPEGGFDDRRVRELEALAPFGERFRRPVYALKALIQTVKAMGQEKTHLRLALKTDGGTYGAVYFNAAEPGGETQWRSGQSVWVAASVGLNHYMGHCRLQLVVREMRPANQEQAAV
MSVPPQKINIFAPCDGEIKKLKDLNDGVFSKGMLGNGFFIIPKNNEFYTPLEDAKISLITDTKHAYFFELENNINLLMHIGIDTVKLNGIPFKEHVSINQKVNLNTKIVDVDLEYIKSMGLQTQVPITLDVDTKNSKYIFKFNKKGNVKRGELIGYFELKEDKKNPNKFLNNKNNIDFEDYFNQKDFYTKLALKINELVGLKNNYNDVYNCMTRLRFKIKNKNLVQEKQIRQIEGVKGIIWNNNELQIVIGQDVYKVKDAIISFNNGINLQDFTLKNNQKENLVKKFFSIISGIMVPLIPLLIGAGLMMGIVSILQISNLMPKIVYTIPDGQLLPTNTYFIQDVNVFWGILKVTSDVPLKFLALFTAISASKYFNFNPLLGAGIGLIISNPILFYGSGFGDKGIQWDLITTNVNPDPTTNPAWFGFSKIPIMIFANRPFVAMLSIFVASKLDVWIKGWIHPSLELVFRPFVVLLTIALLTFFVIGPIYYIIETIIAGLMYYLTLAPFGIAQAILSLFWPILVVFGIHLPVSTLIQVQSFAQGQVSALLPAAAMTQWGSVGALLGLLIISKNSKTKNEAIGALPPGIMGITEPAIYGVTLPRFKPFVAACLGGAIGGLFIGAMGVSSRVSSGLGVFGFIGYFSDPVDPSSAPTNLLPNIQNGLFFILASLIACASSIVFTSLLHVERIKETSLIQKINNNIVKFVKLSSIFSEETFNKFKSEIKAISFNVSKEEKKEIKKLEKQILKNANLKELYNSLLKKDKKIKNLLLKKGQKAVKNNDTLKVEKIYEKHITDIYGNKKRQLQEQIKLENEKINFDLLKTLVAKNKNTILENIQKIDKEFNTNILKYQNEYLNALNSLLIFYNEAQPIEIEQSILNDFNDLKLNLQTNGKKEKTRKAI
MDQAQNTPEQILSAWLMLERLSEGDINLKDRKLLTFDSQAVNQVDYYQLFKDELKNQAQKKRDKKLPKNAGIIIYGEIFDFKVLIDQFRKYFNLNSFLEAETNYGNKFSFMLCFDKDLAFIPNQFFYSFSGYLYPDKIKRLKTADGKGGFREFETAEKNKLSQLFESEDPLSAKQFNQALMTYFHHRGVQTLEYCRYKFVDNLTSEGVNLHSFFAEDLDKAIRYQLYKSSPVLKTYLQGSQAEVNLDSSKTSSSFNPEALQEILMPKNFPMGRFLGKYSLSLMQQVSVNLLTRMDQEDLAIQSVNGPPGTGKTTLLQDVVADIIVQQARSICRGQSLEKTVEYDPGRRMDKLSQDLTKKGIMVVSSNNGAVQNIVNEWIQVDKVEVDEYKEKLKDLNYYTEIANEGKSSPEFWGLLSSEGGKFANIKAMLDKVSQVADALSEQKDDRRAYQDFNQAYQDLKAEQELIQKAAESYLAYKKTVRQLAQLEKQENPQLLAQLDQETTQYQKLVKDQADLIKRKEADYHSLINRLFLRKKYRQAEHDLAHLRPEYESNAVKLQDLEAKKQSLKAIDKAITKLKTDKTNYEKSQAYGKLVNPLDVYDHADNYRELQKASPWFDEAYRRKQTNLFILALRVRKQFLKENQGNLKAAVEIWRGRYKKYRKNSEAKKAAWYWINMAIPVISSTFASFSRMMSDMWVDELGYVICDEAGQATPQSALGALFRCHKFIAVGDPKQIKPVLPIENAILTEVSDWYQVSARDYLSDNSSVQVLADQASQYGYSSNKHDPDAWIGIPLWVHRRCADPMFSISNAIAYDNMMVLPDDNQGLGQWLDVKGKAVNKYVKEQGQRLKEEIQNKLDVEGVDSKQIYVISPFKNVANQLIALLRQREVEFARFANGKCTNIGTVHTFQGKEADIVYLVMGCDENSKGAASWAVSEPNIINVAATRAKKKFYIIGDRDLYKNLGCMEETIREIDHYNRNREDQEVDS
MMHCFRVYAPPASACQHSASQCTKSQHLQKDINNANTIMWKRVNWRGHESIVTYIVSLAIGLHCSIENPFLYTVGILQSLPQTEYPGIFNWFTLANTTSRQ
MTLLSDRDTMSQEEALIKEARRLRRRRWLTGSALAALAAGATVAGFFAASAPPTRSQGRPPRGDLQTPGYSTPSSDPFHPTRALDLIQPTTLATLLDGDLLILDSSRDQILRMTPKGVLSVFAGNGRIGFSGDGGPARNAALDLTYFSSGSMAVTPGGSVEFLDDGSCWVQQVSPGGSIHTILRLPLVRVYPNGKACPIAALAVSPAGSLYLAVNSTIERLAANGRLIWVAGAHRLTTHVVTRASALHYAFFPAGLAFDRAGDLFIYNRSPKLVFELTPDGKLRALPGVSYAHQLAAAPDGVVLAGTQGGEVQTVNRRGVHAFYDVLPSRVSGLHWGRYNAFQEDGIAVSGSGTIYVDNAQGNGYGQGTVLVSISPHRHAALVPIRTPLSATLPALGASGFPRSVYPASRSSHGTALATCPSDAGLERFSAAAISGAERIARRYMSGQFASDIAVTDRSWWAADFNALAEGNTGGSHSVAGEGPAAQTPAAARIERACGTRLVSDSVAIAVRRSSHSDFSGTLYLLDRRGQPLVYYVR
MHPSELIAEMRNSGFALKQNVLGRNLTANDPSQEFVASEDDDPEVEFLKSLSTKQKQKLLRKLDRLEKKKKKKDKKKKKQQKKKSKSKHKKHKTRCSSSSSSETSVSSSDSETDSRDRAAQKKMYSKKRKKDKFSEASSSSDSEGSAKTKEKLHQEHSGSHGNKDREREKHRLLKEDSSVENNKWGSSEGERKSKS
MGHDAAMEVGDSLQVYVDGDSSRYQASLRQGEMSPGQTIVSFRPGMDKLDAITSASEKFYAGRGLVYTWRDGRRVDTSHLHLREWLGCIRDGGTPSCSIAKAFATTITCHMATRSYREQRRVTWDKEAERIV
MTRSILAGIALSIGLVLAGCQTSQSRQAELATICADPNNRAPKSGYWSECQALYPSSDQALQKDYLLGAAVGN
MQRWRRLNRLTIEQAAYRADVSVSTLRRLEQGHGATLENFLRVCRAFQLLDRVEAAVDPMEHDRGRALIEQML
MNRPPARPWQLVLIVWGTKYGGGDLSRIILAARALSPSLARVVVITDRPRDGLPEPVLQRPFPEGWLLPQLLRSGCQAKLAMFTEGLIPTDMPALFSDLDTLFLKDPAALIDLMETPQTVALFRSTPLRFGWPGRLAFRLTKGRRYARGNSSLVVFHPAETAYIAARFQALLKDWPDCTFHPMRADERFISWAAQDVIREIPGRLAVKMPTEFMLPWTWASRALGALPWVRRRRAGLTAITLPGDAVKPEALLAAPEGALIVDHKGRRMIWSDAALGPIRQLILKAIRALPPGVQR
QGRRHNSFCHLQGRRHNSFCHLQGRRHNSFYHLQGRRHNSFYHLQGRRHNSFYHLQGGRHNSFCHLQGRHLPSELEKKPTTTKRRLTL
MKSCTVAALQRVPTGTNLESKQLGFTDPELNAT
MAEETDLDIIRCLSPAPIPRINAWSTCALRKEKSTSSSSPDRTPEILTKSEEDEPRVVLSGLEANTEAATAKTTGVDTKSEAPIYVSVGKNTIIKKPNFKRNKKVFTRKRRLSAMESDVSLFDHLWNNSRPAGIPGYSDSDSETHKVLPDRNMGLQAQSEAETFSSDTSSPLAQSPPLPYVVKFDLRYYMEQSTSETEEFPEASMSPLTTTFENFHLSDPEQSISLGSLHSNESEEAIPFYCCIPTNGYSNNIQNQQFNTEEGNSSIPRTYIDSTPLLDRRQSNGSMVESKKIKIAAQLNYYFSIENLCKDTYLRSLFNPDNGGVELSELIKFRRMQIMTGKNLKLLLNVIKSGVLPNLELVNDDKCVRIKSWREWIL
MKDTARTGTRRDKRDRSPPRGGSRDRRAEPAEAGAQQHGAVGAGGGLGPDLVSKAFSAPFNVATALGGLFSTSFKEVGHKFTARSVDGYGVLEGQLSDLASAVERKATWLKSQGAIDGQVAPGAAQTAEDLKRLLGDFDTLSGRFADLGSKLGYDYAETLDKHSASMRSALDKLDGKNEVIAELQDLVRKLVDKLERLFSNIKMGR
MSADKESSGVNELIQRLREQGVAEGQTRAQELFEQARLQAEQRLEDARREADAILETAREEGRQVRAAGEEAVRLACRDAILQLKVELIDQFSDRVRRLITRKMQDENLLKQLILEVTQRAIPPADRSVTLLLPPNVVGLEELRRTPEDVKEGTLSHFIVTVTRDLLRDGIEIGDHDEGHGIRIRLQEDDLEIDLSDTAVATLLLRHLVPRFRALMEGMIQ
MPTKHVCVCVCVSEFIYRSHWPLHEVFVARPAQVTLQGRKIKTPPRGPSIRPPPRCSWNRLAPSGKQPQVRPVCPPHWWSRYPTVPSPYRRDLWQVRASELSTINSG
MRELQLNINKSFYVLLCVFAVAIWGFGALLNDFGKSSFNHVELFLALVIVLFCAFHLALSLTGQRKAVFCSQGLYYINALGKRRYVLAEQITGVKVLSVFGLKVTKISLVDSTLLFVAFNPCEKQLAQLRVQGYAI
MIYQILKYIKFPPLYVAIENNHVINCITALIEKKEVNINLQDNKEKTPLQLAAENGREGVYIRFLINKGANRNLPDNNNLTPLDMAERYSRDANCINALTHHSAKENQTNAAYKDNAHIKSFVIAMENSDQKDSNGQASLPMVANASQAKTRKVEVKVEIKVE
MFNFLSDRFSGIFDWLNGKSRITESDIDQAFEQLFDALIDADVPQDLAKTFLTSVTKSLKGHDVQKNIKPGHYVIKVVQEKLTAFLGAKDTESFWRIQYPSTMMVLGLQGSGKTTTTVKIAYAIKERKSEKGKSKRIMVASVDYYRPAAIDQLEILAQENGIGFYRAEAGNPLDAATEIMEHARKQGYDLLIIDTAGRLHVDETMMQELKDIDKIVRPEKKILILDAMTGQESLSVAQSFSTSIGFEGAVLTKMDSQTRAGAAFSFYYALKKPVFFLGVGEKVDDIEPFLPERIASRIIGMGDFATLIEKAEKQFDSQTKVKQEDSSRRFMSGSFTLDDFLQQLGYLQKLGSLQKIASYLPGMGSMTPEMVEKGERELKKTRAIISSMTAVERVNVGLLNGERKRRIAKGSGTTATEINQMLQKFEQIKQYAKLMKNNSQFKSFFKR
MFERLSNRLLNTLRKIQGPVLLTESNIKDTLCEVRQALLDADVSLSVVNSFIDLVKKDALGQKVNKGLTPGQELIKIIRTYLITAMGGGNSNSVGLNLLGQTPLVIFMVGLQGAGKTTSVAKLGNFLRKKNKKVLVVSTDIYRPAGVKQLEILAHKSDIDFFSSDFDQKPIDIIKLALNTAHIKFYDILLVDTVGCSHTNQSLMSEIVDVCSVAKPIETLLVVDSMIGQDAANVADAFNRLLPLTGIILTKVDGDARGGAAFSIYHITKKPIKFIGVGEGIDALEPFYPDRLVGRILGMGDVLSLIEDIEDKVDKVQVEKLVNKVKSGDDFNLFDFMNQLKQMSNMGGMLKILSKLPGISYLPEVVRSKLDDNFFNRMLVIINSMTIQERNNPKIIQGSRKNRVARGSGVKIQDVDNLLRQFFEIQNVMKKINKYGISKVMRTLHSKIFSSFSNKY
MKKNTFIITAILLIGLILRLSGINFGLPSKNQALTTYNPDEPLTIYSLEAMNPSKLDFSPKRAFLWGGFSVYIVGFFLKLSQLLGIVNPASRDFLIANLREADKLYIIGRLLSVFFGTATILILYLTVKKAYNKTTGLISAGLLSITGIHVINSFYVRPDVIMLFFVSLSVYFAVKILSGENTTGNYLLAGIFIGLGTATKLSGAVYGIVPFVAHFLVPGNSYIKKAFARKLWIYLGAGALGFFIGCPYSVFDYKTFLYYMDMNFKFSNATTHPIELLLHGKGWISYLTYYLPHGAGFTLVITGISGFVYLFIKNLAFKERRNVFDILFLTSTVIVYYVIAGTKNQAAWYTLPVLPFFILFTAKLMETISEIKAFNKLTGKIIFFAVLISIVFGTAVNTFAYLKLYTSANTRELASGWIKNNVDTKQTVAIARSYFWTPGILRQYNPPFRLLMGGDIQSNLTDAIIGLEKIAGKADYVVISEFEYRDFTYPKISQEMFPEHYAALEKIMNNPAKYEKIAVFNKQAEFLGFNFVKNYPPSDWLIPNPEIIIYKRKK
MSIESTRIHNLKYDCELEKSALEYAKQCSHKPSDPATRQGQGENVHSGPQESDKVKAAKRAVQSWWSQIFQNGVNQKMTFLQNLRDKPNAPTAFTQVRI
MLWGFSKKNGKSSACLKEEERAASRPQLTQETPLNSSASLVEKVISPGTTIEGRLSFNKPVIIEGNLKGSLLSTAKVVIAPTSSVEGEISANSLVIEGKAKGDIFVKETVKILSTGECQGNLRCKRLLVQEKALLNGKCQIG
MNVAKTLLLSWCAVSLLGTAAATAADTDWPNYGNDAGNSKYSPLAQIDATNPEAASIAAT
MESEVKSQTLQIPAKLYRIGELIRYTPFSRQTIHNYTIMGLIREVQWTEGGHRLYDESVFKRLSKIIELKKTKL
PGCKYDSDYGLSIPEDEEMNRMHQSMKLFDNICNNRWLSGTSIILFLNKKGLSEEKLKKPTKSLYPEYIQASSIHCPFEDLNERKDTKDVWTHFTWAIDTTNVQFTFDDVVDVIIKNRLKECRLY
REEVGRRFALKAAGEATVVLDERHDLPTSVLVGQVRSTAVDLLRASGMHLDEANGELDGAVRVASERQNRTDEPRA
MNKKLKDLFHEKDHILDQVKSLDVFRQGSLSPRYRKCGKPYCHCAQDVNVKEFF
MLSSISADTVEEIISFILENFNDDYETPYRLLLYFSSIRPKNIADLVKIWREIYKQTKITLSIIPITLENVYFADILYHSGVYSTEQVEHNAPYEKIDYRTYLSTFSKPPFKKSLKLSNLLKLYPEKSILYILKNDDVDSLNNMTLNQNFSIDMTVKPSVFDGYTDDNEISLLEAAAFYGSENCFKYLLFNSPNNNLSNSGKHCLFGGNFEIIRLANQNGCTFTDCLPLGIKYHRNEICSWLMENCSQCGKFTFLDAFAAFNTTAVVYLNEQISEAAIFKCCSYAYFPAFLSIIKRAENSVLDSNSIYKYLEMGCLAGSLPIVSYIFDNFNVDVNRCGKGKDFPSLHNACHGGSLAVVKYLVEKGADVNLQNDFKEIPLFYACYYGRYDCAEFLLDHGSTIPESIMRVPKTDFLVDLISLKRKK
RHRCPNNRNASSICIGTSNQNTFYKKEYAVITGDNQSKVIRKPEKSSVKDHIDLSDGCMISSVVSESQCAQEGAKFAANENANVAQFHTDAKEKA
MWYNILPFIIDVVNKAGGQVTNCQVRRFEFEGAHNVMCPDLSHVAHMPGDKKVFWSSYYRGTSDACFIHMVYDQIPERTGMGHGRHSEYWPRIENLKHAAGFLSLSRATTDDMCELHKMCDRKYVATSDNRVSAVFWPRPKDAVDAFQKAQGITKPYFLAVGQRFGYKNYGILWEGIGRLRQEIRDKYMIVLVGNPPASNENSHNMQVLSLQGVDEEHLALLYSGAAAFVYPSKMEGFGMPPVEAAACGTNLILGPFHRDRMPQVFEDLAVYVTTADEMKRAMSDIFEGKRIDSKELIERASRWGSDPKHAWNEVAKDYLEYMIHGPFRKGPSGGKLCGGIAQSPLDCRFVTTDTGLKLAPATYPFVT
MSVVSKSSATVRKKAHDRRTVFKHALEKPYATSWPEVDGDMQSAIVSDICATLSAHEETFQRAKHAIKKEQDCARSAAKRASQLDKKWSKDQRAQLVKDGKLQKDIEQQIRSALEERRKARDKSIRSRRDELEAKNEHVRQMREILEQVVVGINKNTRVLERMAAEGEGCTCKLGLVVVCRGDVDIQLVSHLQGLAHAAFVAVEGEPAADTDIAGLRMVGVGKGSEKQLALAIHQPRASVLGIKAGSELFKDLLAKVPKTLPPPVLAWVGGGERGLVKMAVREIRTTAPILNRGTKNKREETSDEGKQETKDAKKRRLTKKKKQLQKKKKQLQKKKRKPARLKAEKTTSAPKPKEGEGK
MKEEIISSVRVTPRDSSTPASARVDADESTQDTSIAGNSTAQNGTGTGSQDRLAGLPINKPASMGALLRASREARQLSLHDVSAQTQIALEHIAALEADKTLALPQPFVRGYAKSYAMLLGIEPEYLDKLMPRATSNAAAEATTAKPTTAQGATAARKAQDADSAPAAPGLHKQRGKKFGFRNGSSWSQGLLGSPDGLLRAATTTTVPLLLMCLVTFAYHAIDSEFDAARDANLARSALGQTPDALGTLAHEAVAAPSIADSTRLTVGAESEPAALQVVGSPIASVQLADTPATTPATTPATTPATTPTTTATAIAAATEVPNANMRDLHEPVGPGAANMISQLQVPDRLVIDVYEDSWIDIRDSQGNRLYRNLARAGRRIDVSGSLPFSLHVGNAPALDIALNGERVPITRYRADNSARLTLASN
MATLSSAWQELDLLLTEFPAEWRKEKQDILEQAMREGRLRIAVLGRFKAGKSTLINALIGQELLPADALPATAVVCEIEAGEPEGYLSEQAGQVTAIDRRQFQHYATGKFQGQGDLTVLRATLPGIPLPARVCLADTPGVDALNEDHAKVAYGYLPQVDAALYVLRATQGGLSQGDLEYLQRGTLSSTRQHMLFVLTHIDQVPESQLSKIQDAVQTHLQEIDISNPVILPIDSHNTRSAQNVQEQDPQLGALWQALKTHHLDQADALRQRRAWRTWHDILLESQHQLEIQRQGLGFDDAELRREIENFHEAQRQLRDQRHILERHVHQLQQKLLEDLGIQVRERLQLVANHAATEVRNRAQGQKKSSAQQLAAEIRNDLAEQMSQLVEDWLRPELERVQKEIQGEVKRMTLELPSLQQVRIEVAGNGWRDHLLDIVSQVLVFGVLDLLLPGGAVAALIARVLGQKVFGKTAEAITNVLARVINATSVEMLGKQISSSITDKDVEILQQFRNQLEPLLAEMAKQSLGQVDAQINDTRASLEKARESQQAGERNVASEQRRLEQLIGQLSRLDAVHKPAWQ
MVGGASSSREDLGRSVLEGQLAAAAARAEDALAQLREREQELRTALARTTTLEAEMAELRLRPEAAEVARWRQEAEAAVRWQEEAAEATCWRQEAEEAARLRAEAGDLRTQLGEERHRSDMLRSEMRGLERALALVGRS
MRKIVSFSIVLGLAACTHQPVKPVINSDSVLSMTCQSPYGPPLHIEMSFNRILEDAEARKTALQIAAQDHASQLIDFPASIETRSQNLQDRTKLNLTYYPYFNEETGTLWFKGQVTCGFPEGTSSDNQHYYSEGILNMPIQILDMSRPIFPIIQYDGTGGYKITISIEKQKAR
MSARSWLIGSAALAAIVAVVAIAFFRSADPDVPAQPAPATSIEFVPPSSSAPVPETTSKSTAGSAAAEDTLQQAFTVAYTWRPGQGDVTPNDAFMRARRWFTAALAQNYSVDARTERWPNAEWAEWAEAGTTVIADVRVDCSGCPPDTDSAVHRAITIRQSAVTGDRVTAIEPELVVWATVIHQDGQWLVDRISY
MPSGYTAELYEGEQSFEDFVKKAARAMGAYMHLRDEPSDTPLTKRTLDVSYYIQRIDKAQTEYDEFTAMSEDEQRGLYQKFLDNRKEYEVESNEQTDALAARYGEMLARVISWRVPDELDGLKDFMREQLEASINFDCGYRGVNLPTPTFEEWRDEKVDQLERDKVYYGKRMDEEKQRVKEQNEYHDALMKALGLL
MGQKVNPNGLRFGVNKQWISRWVPQDKNQMGQWLVEDDKIRKYIKSKYKHAVVDHIEIERDQQRVQLYIYAVQSGILIGTEGAEIKNIELAINKIVGRSKKVSVKVMDVVAPELQATIVAQEIADAIANRVSFRTAQKMAIKKVLKAGAKGIKTHVSGRLGGVEMARSEGYSHGVLTLHTLRADIDYALAEALTTYGIIGVKVWINRGELFGNKLVNNTFHANNRVSDKNKKWGRNNPRGNFKNQKPKTAGVQVAKSKKPAIANK
MGQKTNPIGNRLGIIRGWDSSWYGGNEFAQRVVEDEKIRVYLNARIQKGGISKIVIERTLKRITVTIHTSRPGIIIGKGGQEVDRVKEELKKLTGKDVQINIIEIRKPEIDAAIVGETIAKQIESRINYKRAIKMSIQSAMRAGAEGIKVRVSGRLNGAEMARSEEFKDGRTPLHTFRADIDYAHKEALTVYGKIGIKTWICKGEVLEKRDLSPNAGVSKTNSEGHGDHKVDRRGDRRGGDRRGNSRRGENRKR
MGQKVNPVGLRIGVIRDWESKWFAGKDYADFLHEDLKIREFISKRLSDASVSKVEIERAANRVNITIHTAKPGMVIGKGGSEVEALRKALNSLTGKRVHINILEIKRADLDAQLVAENIARQLENRISFRRAQKQTIQRTMRAGAQGIKTMVSGRLGGADIARSEYYSEGTVPLHTLRADIDYATAEADTTYGKLGVKVWIYRGGLRVSFHPNQFTLFTSPKPSITENAVIDMTYHYQMLEAMKLEKEGYMNIHVGGAYGDKDSALQRFDENIKQLPAHIKARMTLENDDKTYTSLETLGVCEKHGIPFVFDYHHHVANKDDNAALEDILPRMFDTRTSTGIPPKIHLSSPKSEKAIRSHADGVDMSFVLPLFHALKPYGRDVDFMIEAKLKDQALLRLVEELSSIRGNKRVGGGTIEWKP
QRQKAPLCVTPTGDYDNLGGRDTESGFVTLASTESDFLNFDLGELSFGRGGTHDFYDGSLGCPGKRALNDNSLGRPGKRESNDSSLGRPGTR
MKLNNKKSKMLDKLLPRTRRILPSRAHRAAVSHLPTRAMPLALVHPAFRRFFSRPRKFIKRHWKQPSVAIRIRNRTIHYCPLPRVAPFFCQKCRFSSYHAHAIKNHICSRRASTVYSLEREEKIVASGFRCKSKNAQAVLERAPNPNVFTLSKLHTSAAEPSLPSFNVASLRYSSCSYNNIILSRAQFTHLCGNNNRRETFTRFGGQTASLRTCLWFVP
MRKILSYAGLLCVILWLVFSYLPESMVTLGTVAFPAGANLAFRFLLIGGVGLFLLIQAWLTVEAVGFFRSGSSRVTAKAFGLTRPREVLLTVLPLLLTVGLALASRSVWASLMP
MGSPSRTVEVTVDDGVDKESSASIERARHRWRAQCRSTLSSRSVDDDVDGKSSASVEIVKLIKLTSMREQCRMVEVDSVERDPCVDPNESGCKATRIQVLNTPRCTNNV
MAQLPESSLPATGEAASSTLPSKPVTLPFESSGQLHSQQSSNSTSNPMESFTDPTPTSNSTPLPLQNSLESDRVNDAAIPHVVEEEAIRLLEERLVVDRSKHKIGEVIVRKAIETQIVEVPVRREKLIVEQISPEHRQLAEIDLSQGDLSAVEIANAMATDSQQGVVRGEFASPGAASQFLDAIAKTHRHGCEKIQVEIVLSDPNLQETYANWLAQYAAH
MSNEKASKITRISSLLESLRAKVKNYAVIDRQGQAVGEVQDLIIDGNRQLNFVVSDSDSQVKDQLFLLSSKLVEKIDSQIKQIFINVDKSQIEQIESLPEYTQRESQVSEMEQHSNAPEANTQDSALSAAVVNATDVDIDSVEEIIRLLGERLVIDRSKRKVGEVIVRKEIETEIVQVPIRREKLIVEQVSPERKQLAEIDLGQEEISGIDLIQAQTPEGVTEGETPAFANFDGGLTVSGEFSSPKIASLLLNAIALERNHGCKAVRVTILVEDQEHQKTYQEWFARTAKE
MRQSAFQLLKASLDNNNGAPVSQVQREVLLNKKVLYRYARPTQQVFGTITAFTGNIQRVWVKWSDGAVEARSIKSLEVIK
MLRTTLLLALLLTAASARSAVFCVGNSTEFADALTAASTNGEGDEIRLLAGDYLPPTEIGFNIALIQNAESLQISGGWFNFQQLGCIGQNGDPRLSRIVGNQTHRLLRTTASPDVQLGNITLSNMSFSAGRGPDNQNIGAVHLGLIPSAIRILIDRVQFNGNSGYSGAAITALGMQELTIRNSLFMFNEVRSQQGAIFVSLTRDDQRFFFVNNTVIDNVHSGSQATRCSGLYLSTPQETPSPDMLIANSIFWGNEDFDLCLSPEGDSYLLNNNIQDQFRSATVESGNLSVAPMLAPQILDITPLPGSPMIDAGRPQPGLFDQGDPIDQSWSHGDHGFNNLITPRVVGQRVDIGAAESTFVDRVFCDGFQLEGGCPGQP
MHVKVVHGRVMHGKVGDGKVMQGKVIDGEVVHGKVIDGKVKRRPNKLRKVIASVEAEKKNNMRNYFLLKCL
MFKKLLLTIMVLLPVVASAQEPKASLTIDGVAPVVYNAEGKSSSEIYSKVIEWINLKYNSPKDVLKGDIKDKFVSLNGFMDNAWSYSTMGIRTTYGITYKLDIDIKENKYRVTFTLKDFTHPTQKVWYDYHSLFKKDGTIRKVYVEGHKELEDSINNILQDLNNQVNNINTRDDEW
MKVLLTGLSDASAHVIETLATTLMPGATVCRHTQGQAFGGSLRGGFGPNDICIVDLNGLGLAQWSRTRQEQLQDHMLGPCKALLLAPGGSGGGWVGAFKPLAGKNRLVLQKPLSAAALRDALATLRDAVHAPLTPLTPSGQAASTAATGGLTESAWEQLRDACPAVGANPYLNLGAGLAVKGTPHEFRIGSHSGGVLHPAEGWVASNISTGMRERLLRHPAMQSIIEITALDSSQARMRAERLFGRRQDGRRPLDAFLWALAYHTLADEPLPLAGDLHFQLQQFPNFTRLPAAPDLFIQLALLSQRGPQRLSSLQRTFAQHDPALVVLFAACAVLSGCATVLPAASASPTFTQPAAPRKPAPPRSFLRSLLGKLF
MGTFAQSLGGSQPATPLDLSDFPSLSGAPSQSQAQNQSHLVWANASQRAMQQTPVQRQQHPTSQAPSRAGQTPSHLQQQSQPSQDDVFPSGAQFANRLDDFRNGGQGISGQLGAGSQPQTGNIDEFPPLGRNVAADLSQDRRGSLMQHTGFGSYSAGVSERLGPLLTSRRMDFPDRRKRFGYSPPPLMEMSQANVNQDLSSAIMSQQQQLQQQAGSGDAQEAGTTQSAEQPPMAEMSELDKFGLAGLLSMIHSDSPDVASLAVGQDLMTLGLDLNQPEPLHTSFASPFVASVTGVPLEQDFALPSCYNVANIQPLQSRVTSFSDETLFYIFYSMPRDIMQEVVAEELMGRKWRYHKMERCWLTRDETYPGPVDVERGVSERGVYLLWDPANWKKVRQRRLVFRALADGRSGVASLAEQQGVSEL
MKFLIFIILVITVLTVDHPEVNKVRSYLVNLIGQTAEGEYSRGKVPQRIYNEISMQFDSFKPREEEYVKEITKSSRDLMTFYGTYCQNGEFNPKMSAYNVRVVCVKVTEQFTDLENEIIRKRD
MVRNLAEDADCRNHRLLEQTIHGLLNMFDAFKSRNLDEYQPANDAEDPDSHFGRRLKHAAQMLEEEPSPLHIEAVMVNLQNYDHHGGQVGDSAIPGRRQIRNPSGRPHFSPSPVGAIG
MACCSHCSLSSSPLDPNLLLLYDPYKAPSASEATTLRNTVAAAEQELYDLQAAVEARTKLLERTIVEYRAMLAPVRLLPPELLAEIFVFADAPQIDSQSDSESVGEDTASLFDGVKRNIIHVSARWRTIALAHAPLWTRIHVSFKTTALRGRWESAPLVLAQQLEWSQSYPLQIRYGSHSPPLSESPATDTDRSGCFDLLLAHADRWAEVSFGLTGSEMKNFLESQATFSMLKRLELGPWSFAQLYDDPAGLAILSRCPQLRALPDLQVTNGNIHSIGSSIPLAQLEAIKVLGTPFFVMQTLGRLQQLQRVSIHAQGTFPEDFTQRTSCPKLHALRVLHQKIPTDMTPHLLDGVLAPALKKLRYDHSILDEHTSRSGAGPMILNFLASSQCFDLVRLQLNARIPLPSLLELLGVIPRLEHFIYTARAPLGDDFFQSLCLDDITHAGLVSSLTFLALAAEFTCQAEPVLKMLRTRRGTLRSTVICSRMQVLPDVVALTMKKEGWLEVSTRWNLD
MKVRPIAGRARKYDDYRDGSGYAVFCRALAGLGVNASFEPRGWAEGTKPTPVTDG
MDLVRCESSLSTTIVGPQLVLRHLALDGIETRVAGGCGDALETIRIWLPDLVLLDIWMPQRDGFETAEAIRHCVPAPRPLVLAYTCAEEQFVMANAASESFDGYCPKGTSPSELVLIVKSLCATAPAH
MSSRSAITLVVACALTVMLPDQGAAQEVSVLAADLFVPDAKAPAPSGPRITLAEAVQLTIRHQPRIVQAAQAARIAAGLHRAERGVFDLAVRTGVGFEYTQQPVLPFLLDAEEQKRRVLDVIADSFTDLNVAMRDAIAGLHPDPPPCPFDIDLDTGAILFALPPGVNRQPGLLTFDLVEQVEQALQGGRELPLSPTGDLEDMLSGFRDLCSQQLNGSLEQRLLDRLFRRINLAGDLGLEGALISGSQALNETFPLLEEISEAVATRARLGLERLGPLPEDQFRRSFSLSAGFTKPFRSGLTVSGSLLVQSEEENYRDKIMDPTHGGMGLPPRFPSHASLALDIPLGNGFGSTAVAGPERSAASTSVARTEQMRHTVAEEVYRTVLAYLNLVAAHESVRLLEESAARHADYVKLTAQLVTAEELPKIDLARAQARAASLSSSLREARTALAEARISLVEAAGLDVDTLDDTPLASEAFAEPPSGAPVLDDLLRLASSRRRDTRALERVREASDALARAARANLRRTFTFNLSGGFSNLYESPFPRFLPDEEDPIYSDFEPLPERDSPARYYSPRGVYRSMLGRWEPFVVAQLTVSFPFGNNRAKGRLAQAEATLRSAEIELADTERVIRQNVVEVRNTMQTTREAVEHYREVVENVLETRDGSRQLFEAGEITLIDALVTEDEATTDQLTLVRQLQLYFSTLARLKYEAGEIVSFANEGTDAEAVQFSATDFVSLP
MVVGDVVVVVVVGGRGGGRDGGGGGGDTVEPVAGAAAVDFCVAAVVRLGSEASAGSVVRRVINRPIRGRDRSSTTTARCELAAGRVVSESPSALPAR
MNEKSINLLDLFTVMVRWRKFIYRNVIIVTIISIIISLLLPKWYKATTIILPPQTNSFMMGMGGGALGELAGSLLGPSGYELPMLATRSDVYETILKSKRVSESIIENNHLLKIYGTDNIDKAIKKLKSDLEIKIGHDGSLFISFQAKNNPQLAAQVANSFVRELDEINSTLNQSNAKSTRLFLEERLTEAKKDLANSEDSLKVFQKKNGVISLKDQTEATIKGAAELVAQLMTQKIRLGVLLSNLRQNHEKVTEIKTNIKQIDKVINGIKYGTRQNTKPINKNFDDTVEKIYLPLETVPDLGLQYGRLLREVKIQETIFELIMQQYEQSKIKEQEDTPTISVLQYATPPQLKSKPKRVIIVIISFLFALFTSIVLVFIFDLLNDPKHDMENSKIHWIFQEISSDFSIFKKLSKTKNK
MTQVVFFTGVVDRLGFVQRLLRKKYREGARVAVYGPAAVLSRLDNQLWTADPLEFLPHIRVRETTAPAPWLCEHTPIWLLDQPRPELGCDTAVNLGWDDVPALLGHTRLAEVIGLGDEERRAGRQRWRAYEAQGCTLQHLPQNA
MVDLKQYNTFGISALCKELIEITDPSQLVDAIEKKLFFEPFLILGGGSNFLFTQDLAARVFYINTKGIQWIAENSTYVYLKVAAGEEWDDLVNYCVEHNYYGLENLAGIPGKVGSSAVQNIGAYGMEAKDRIFQVHTLRLDNGRKVLFTNEDCRFGYRDSIFKREVKDQYVITEVVFQLYKIKSFNLEYGALKELAQEPDKVTLAGVRDEVLRIRNSKLPSVKEIGSAGSFFKNPVVSIEQWNQLKAEYPNLIGYPVANENIKLAAGQLIDMAGWKGYREGDAGVYPKQALILVNYGIATGQEILQLAEKIKESVVQKYGVYLEPEVIVQR
MAINPSVIEDFSLKSNNTFAISAKAKYFAQAKSVVCLKNLISSYPHLPKFFLGAGSNVLLTQDFPGLIIKIAIPGISYLYEQEDNVFLEAGAGEPWENLIDYAIQHQLYGIENLTLIPGTVGGACVQNIGAYSAEFQEVVEWVKLYDMAENVEKILTKQECQFGYRESIFKHSPSFAIVSVGIRLNKKPCFRLQYPGIKEEMQKRGVSTPTLLDVVEVIRNIRNSKLPDTKKLGNAGSFFKNPKISLKQYEELRQSYPLVPGFPEGDNQVKVPAGWLIEQCGWKGKRRGNCGVYEKQALILVNYGEAQGNEILLLAKEIQSSVQSKFHISLETEVVIL
MLTFQTDFSLQRYNSFGFSAVAERFVAVEDIATLIPLVNHCQQQRLPLLLLGGGSNLVLSDWIPGVVAHMALKGVEVRRREGTRAWLRIAAGEPWHETLEYLLQQGFYGLENLALIPGTVGAAPVQNIGAYGLELKDRLTGVEVYDTQEQRMRMLSPEFCQFGYRESLFKSEAPGRYIITAVEVCLSTEPDVMKLEYQALRETCEALAQGDIITPQHLFTAVCQLRQSKLPDPRLIGNAGSFFKNPYVSSTEYQHLKAQYPSLIGYPEGDLVKLAAGWLIEACGWKGKSVRNVGVYEKQALVLVNHGGGNRAQIEELAAAIGQTVEQRFGVKLEAEPRFYP
MLLIQDFDLSSATTFHLPARARWMAEYDSVDQLRSILADSQFATMKRLHIGAGSNLLFTKSFDGLVLHSAMKGMSTVADDGESVIIRAESGIVWDDFVQHCVDSNLYGAENLSYIPGEVGASAVQNIGAYGVEVKDLIVKVEALDTFTSGMVTFTADECRYGYRDSLFKRPDLAGRYIITAVHYRLWHTPRYTLDYGPLKELREVTYLTRSEE
MKLQENIPLATFTTMRTGGPARYFFSAQSEKDVREAVQFAREQALPVFVLGGGSNILVSDEGFDGVVIKNEVRGVAYEEAEGKIRITAGAGEVWEDLVADTVARGLYGLENLSLIPGTVGAAPIQNINAYGAQASDTIDSVTVFDTESMSIRTLSREECKFEYRDSLFKKKKQLVVVRVVFSLAREGTVNYSYKDLEKYFSRKNMSAPTLAQVRDAVVAIRTGKLPNVRTIGTAGSFFVHSIVSESDAEKVRREFPELLTVPYGNGTVKVIAGRLLDILGWKGVRQGNVGTHSTHALAVVNYGTDNAQEVYDFAQQMKKDAKTKTGVDLNFEVNLVGNFVDKDEV
MHIQANFPLIDLNTFRMKAKARYVVEVDKQSDIRVLRSDPKLASLPWTIMGDGSNILFTHDIEGVVVRCRYSKLKIVKEDDEGVWLSVGAGMNWHALVEYTVDQGWWGLENLAYIPGTVGAAPVQNIGAYGAEARDTITRVQALNIFDGERIEFRNNDCAFGYRTSIFKQEYQNRLLLHRVTFRLRKCHAGQANLVYDPLKVAVQALDKSDLSPRDIFDAVVAIRKKRLPDPNYMGNAGSFFKNPVITSDYFDSLQNEYTTAIPHHRTLDGQIKIPAAWLIERSGANQLTEGRAAVSDKHALVLVNLGGATGLDVLKLAHKVQDQVMYQFGIHLEPEVNIL
MGGDIYSFSLSAGVSLDTFGSISLTSDQVNSNRYTKGKEQVWIGDVLWCCFQAVTTIGYGDITVTSAVGHAIVVALSVVGILFVAVLTAAVVSYCNELMLARRNESLALYLDKLEHLDQLTQGELAELSRKVRRLRGSQE
RRERSEGKEEEGRGRKREREGREREREREGEEREEEERRRRERKRRRRERGKGGKGERKERGERKRKRGGREKRKR
MARTRIKLISGYEADIEDLVNDFIEDPKNKVKKVNAVDFYLFGVYDDITACINYELDK
MSEDTERTRNGTKGGDLPWVEAGKRTGRVEGREEGFREGKAIGLTEGLAQGRAEIATAVDPSLLPQIRLAAYDAGYAAGSKDARVDAKAESDAVLAQEHTRGYLQGCEEEHRRWVHKEIEERSHLDDLRFWEGFNAGQQHPNALNMPSARRLVEVETQTDAPVPIVYVDAHVQTPAPSMRVDAVSQATPARDNPSNIPGPTVDNSPSMHFSWADEADSLPVHSAPPLLPAPRDFSVLRSSSKSPFGSLQCRARRSHRTRSFVPRPHIPWPAPPSVPIVTRHHPAGLRAGQPSILQVSSPPSGFAVPPSPLSWHGDPRLVELSRVLRSLGWVRESSS
MISATEFVGQLFVTALLIVVGIFVIVVILRSIRIVPQAYAGVVERLGRYQRTLQPGLNILIPFIDRLRPLVDMREQVVSFPP
MEFVFLAMIIFVVITILFTTVRVVKQGYVYTIERLGKFTTPANPGLHILVPFVDRVGQKVNMMEQVLDIPGQEIITADNAMVGVDAVVF
MSAQPGAGSVSPPLPLSRRAARMALARVCRGVSARWRRCPGRAAAPRPGSPFLRATSLPHCKMAAGPPGPGPFRVPSRSAVTRRGQLEWPPRCRPRSAVAPFRRPGTPGRSGNPSAARGSVPPAPGGSALRAAASPSCTIEAGWSNFAAANSEKMPRNLVT
MFLNGEAWKFTDLNLEDSYIKGITNNKLLFGYFNDSIRDSIKGSEHEVNSPGLIHKYNKEQFLEYTSSIVAGLKNYSFGEIPHSVDSYSLFNEDVGINLNYAACRDGFTLWDKVNIFAENKTFIERIEMYRQGIMMSVVAQGRYLMLAGTELLQSKPCDYSGEESERCVISPYDDFGLEPENNSYCPNSYKTTDYTNGIKWNNLKNKDVKKYVFDFVADLNKFRMNTDYLRLDTNEKIIKRLKFLESDVEKGILIFKIDNIDHTKELIVMHNFGTKDYDIKNYNGSVLFESKIDNKNNILQANSTIIMER
MPIASIVQTLAIAIISIVTLWLTRNRVAPEKQDEETPLISPSPTPAPQTAENKIPVLLRDRPHKPNPLLPQTKPKPKPKPKDEDKKVRKSLPQPDNTEYQNTEYHDSFLTRLLRRWPFLIEVIYCNAVFLLEAGFRRLTVLYINSNATRKITLELLARSNALRVLAFEQQIPLAFELLLQTSIRLYFKSWVMQFLCLIYLAQPVIAFAFLAYSFITFRRSKYQRIRRTMVIFIMFSSIIMSVYRCTSPRFMPAAYGYLDPFNPIEELPDPIHGPIGELPDPIHGPIGELPDPIHGPIGDPPRIRRQRQYDDPVDNPDDRGPGIPSPDIPVGLLPNDTELSIGAMPSVHFGHSIPMSFSIVVSRKEWWIKGLAVLYPVFTLIVLVGVGEDWILDCVVGALVMVASWYCNKAMHLLQPAENAVFHLLKSERPE
MGSLTNKQRFLYTFQRKKIDRIVYSPRLYYWYFGNKLFKKTRHPEKVEIDIPLRYLNKSQLKIYDMIDASPRYSEETLYLPLLDLKIDSEVGIEKKTQRGSKEGETITKHITPLGNLTQTTAIGGGFGVHYTEFPVKTIEDIKIMKYIFENTECVFLEKNYQKAEEILGERAIVSGFLYSSPYQRVIKELMGFVRTTILLKRKPKEMENFFQFLEEWDDEMYDKVANSPISVINFGENIDANLSPPPQFENYLIPYYKKRVRQLHRAGKYCHIHIDGSLKDLLPYFAELPFDGLEALTAEPQGDVSLEEIKDAIADKILLDGIPSILFLPQYSVDYVKEYTLKVLELFSPNLILGVSDELSPNGDIRKIEMISKLAQSFDPS
MFALSALSFVLPHAAKAASVSEFYAGKTVTMLVPASVGGDVDARARLIARYMGKYIPGHPTVLPRNMPGAVGLQAAN
MGCISCSGSTEHVRDNKERLTSHTKDHRRILLVKSSLILEVILN
MRFEARTGTAMPSMAELIKLNGSGSNNGLYGFASEARRNEGAENLLTPAKLAESLARRDKVPFDQATPAFSGEVDLFAPKPKVRDDVIFREAIPASAISGVVGVFPEANTATLVSDTTPTPHDESVRPRKQARRANTATRIALAAILAEGTIGTVVLAQDGSPQPSPIVPPSIEPGASPTDQIIAVLPCPTPEVSPSPSPSPTPVIIAVAEDGQTVTVALPQAADSPAPSIGELFPTLSPAPTDPITGAIICPSPSPSAPASIEPSPSPSPASPEASKSPDPNAPDSKKTLLKSELDPKAKISQVQSIVDSMYKNKKIKALLKGLHASYDDNTKIVKKDIDDFLERCKTEKVRSDRFASCSGNVIDFISYAQQFPLDSGNPVYAEINAQFMKAARTLRSAAIADGVPAKYLDEIISQNSNIR
MWPPFLYPPPASVYVAAMSAVSLMSMANAGLAELRGKHMAYSKFWHVVAAGAGGNTKQRGGGAVLSSRDGMLVAYAPALAAAAASFAVPGAVDGARAQVLAAALAAHFLKRVLEVLFVHRYSGSMPVDTAVTISTSYLLSTATMIYAQHLSRGLPDPPVDLLYPGVAVFAVGLAGNFYHHYLLSRLRADGGGGGGDKGYKIPRGGLFELVTCPHYLFEILGFFGFAMIAQTVYALAVATGTAAYLAGRSCATRRWYESKFDEFPARIKALVPFVL
MIPLVMCSIGRLSLSSLILNRWSQNSTSCLRSTAASALGPLTPIKKCPPYRM
MKGDGSTCSLCGKVCRGVIYKCNICYFRLHKSCLDNLPHSLQSFFHPCPLVLHRTSLPYRCEACRERRSGMSFSCRKCGFDMEPICALASIKMSETGIDGKLQHFSHGHPLKLIQEDANNCCKACGKICFGPTYKCAGNSFYSYTCKFFLHKSCADLLPEIQHPFHPKHTLSLQAKLPESVPASSGCSACGQESSGFIYFCAKCTFYMDVNCASLTPSIKYNQHKHLLTLFDEAESCFDCNLCGKTCRGSFLRCVECKFNLHVHCHPSLPLTINHECHVDPLTFTKLLVQDDFYEANEFYCDVCEEKRNPEASVYYCAECDYVAHVRCVISEVFSEEARIDANSFRSDTRITELDNEITKLYREMKVLKEEATRVKARMEAITADLKGL
MHNSDNVQPLTSCRHESDHCVADSQFDYPGSESGWQYQTLDSDNCFGAWRKRAESAETATPTAFLVCDDPHCMIGRDFVHPGHTHRPSMQWNADRAGAYRVDGEFALVRAAASGKLLIQLYVDDVREIEQTLDFPDTLRFSVTCHLAEGSFVRLVVGRAGIIDNNHCLFYARITRLGTDHGFDLMSRTIRKGRHGSWRVLELTLRDSLGYDVPARGLAELSHNLFVGESRPNVTAMQVARSVHAAHFAAHDQNFRLPRSFKIRRDNDGHAAATAGKHPGGPVTGSTDGAKAASAPAPTDASVPGAEAESLTSFTPEPHTLPGGLPVTEVVNSPVASPTHQNQTHNQPLIAGNQHVEDVEETPGGDAGRPTVVTATDLHTTRDGNPLAPPVHTDVPVSGQPNAKSNSDE
MITPSASSSDPLSTCYEVPVRFAPSHAIARPGFLSHRKGLFWHDGVALGAKPFDFGDADVLGTALRRLLLTHQGGDKYLLSLEFVAARSLQDTEDFIQRLIDAFTVSMAGNQRDPWYGNLLLEALWPGLRDSTPKPPGTISPEISTAMTSEEFIVVSEASLQALSWSPLTAIFAEGMRAAQPKSKFLFWFVILEELESRDEFKALFDPLFSADEKNHLLQASLSAGARQRLEQLLKNPSATQQGRAEKLLAILKQIDLGEVKRLDKTIPLDLAICRSLIKQRNNIAHKGAMIDLDQLYTVLFPLAQGALAYLTNVIRPAILALTHF
MEAFGFIGVVYLLAGIIQLIILFVLIVKFLQLVADVKQLKNLYTERSRELSSSIDKLSSAIKEQSNSKDNDKPDVAKDENIVAEQKKEPNKPYNEASAEEVPTVDENSDDFKQHLRKWKILKNKGYTDQAIREYMEYTKRNMNSAVDFINSI
MHAEAMRKADGAGVKVALCNVCFEYWILLHFLDTDAPYQSFEDLIKRSPLNGAVKEACGCNYDKASRSLFEHLKERVPVARERGKRLNERGKSSAANAKDKSYHINPYVGIVELLDAIDAFD
MSCDVYKRGLHQIWQRGVSHLWLAVCVYIILTAQLVSTELARDIDHPDVRSSYMMRYMVPRDFNDSDMYTKALTNLNWQHFTKNELSLSNEDDMLSKTIIDSLVMILEEPVTLLNPKNTTFYYETKPLIRLISQITNSILPTTIQRHKLTQYPNDIRHEGGVKLLSRGSLFERQNHLAQDADIKTGQSMVPIAISTSKHGNADVCESCQMREQVKLKSLESIKNIILKRLQLQRLPNITKPIVVPHSIIDKFYKKYNGAMTDNGAGNSMNYKLQNADLNGPQYMKIATMHRAHYQYAQQGLFDKQNTQGKYQTIHSSSRSKSSLGSSHTPIRSNDKYGKLFEPTNQEQNDDDVQNDGLHLLYYDQQMLNINKHKEPMNFQQEQLFLSNKDDVLPQESLGTYDYMRDIDDESLSHVNSIYIFPTATRVRHNRRWDVINFNFDHSHLTIVRTVIHLYIHGRDWMRKHYPEILEQKVVDGRTMRRRDLIIMIHHVARRPHYQNLTHTLKLVESRHRIPAGLGEWVQFEVKHKVPIWLNQNYVTMTLAIKTREAWMRPFLAIDTEDTQNKQFPLHIEAFIKQPRRRKRSTSLDCHESDHEVRCCRYPLKVNFTNFGWNFVVAPTSFDAFFCNG
MEGSEQFGSPDYEIMGVIGKGAMGVVLKARYIPLDKLVAIKLIQQDKASNPEFIQRFLREMSAVINLDHPHIVKAYDYGIDVEKDRLFVSLEFVDGGSLRNLLKLQGALPLPKALSYAKQIAKGLDYAHKNGIFHRDIKPDNILITQDGVAKIADFGLAKSQESGALTSAGTILGTPYYVAPEIVMELGEVNHRVDIYSLGITLFHLIAGRPPFWEGSVMRIISAHCTQPLPSLSSLVPEIPSEIDELIQQMGAKNPDERIQSAGEVAARIEEIEKKLFSSQHSYSSFGQQEEKLVLSGDISDHYTGLRGKLKTISFPELVQVIILSGKSGVLTITRYSRKDKITYHGEVTFKKGEAIHCWCNDLQGEEAFQEILSWHGGRFIFQEDDEVDNAPNLPPNTLSLLADSLRKMDEAKANLSQASELLSLASSKTGLKGSLDSLSLLEVIQSISLMRKTGILYIEKNNQELGKLYFQNGNLIHGQAGELEGEDSFYLLVQSGKGFFRFQESSLENVQTTITTNTGILLMNGCRLLEEQDA
MVGNRVGTQFGPYRLDELLGRGGMGEVYRASDTVKDRTVALKLLNPGLADDSTYQERFRRESRAVARLGEPHVIPIHDWGEIDGVLFIDMRLVDGHDLRSLLQTDGKLAPSRAVSVVAQVASALDAAHRVGLVHRDVKPENILITANDFAYLVDFGIVQSGDSGTLTTSGNAIGSVGYMAPERFDGGPVGPSADIYALGCVLFECLSGRPPFPADSISGVIKAVVMDSPPLLSSVGGAELDVFDPVLARALAKEPSQRYATVLEFCEAAEGVVSGVSKSGPRGAHESVSDGGGSAFFAPFAPTVVNRQSGEDYPGRAPGQDSLGQGTRHLSVHSGERLRSSDSGEDEGGALRSSARRDWSWRQPAVFLVLGILIGLAIIGGWLALRGDGGDEESVGPSASAVATQEPTRVTETRVEVRTETVTPRATTPPEAGGTGDPEFLRYAHENCGNGGYADTRNPSRRATCAWVARAAEYVRGRAPDPVVSGVAYSEVAGGRSYTCTLRPGAYYECISQSRNVFWVVS
MSGGSARVVGDRYRLDGWLGRGGMGAVWQAHDTLLGRDVAVKEIYLPGADDGPVGADDPAIRRAMREAQAAARLRHPGIVTVHDVVVQDGRPWIVMELVGGGSLAGAIREHGLLTEHRCAAIGLQVLDALRAAHRDGVLHRDVKPANILLDGDRVVLTDFGIAALDDATALTTTGQMIGSPAYLAPERINGRPATAATDLWALGVTLYTAVTGRPPFHGTDTQSTLAAVLHGRPETPAHAGLLWPVVKGLLAKDPARRLTADQARPLLEAVVRAHAEESGRKRTRRAARRDRLRPDGPEPAPTVPAPPVTVAAPTAVRPPDGPTAAGAPTAVPDRGLPGRRPLIALIVVSALLAAGAIAREVWQYTGPAESAPPGPSTTTPSSAGSPSVKAVPAAFVGRWSGRASQPGGSVTSWKVTITFAEAAAVGEFESSTLNCTWSLTLADPAPTEREMHLLQEKTSWDPIGNCVTTADLTLRVDQRGRLEMRWQAIGDKSNQATAWLTRS
MSASPPTLAAGRYRLTRVLGEGGMGRVWEAHDALLGRDVAIKEMLPPRGIDHEQYELLRIRVLREARAIARIDHPNVVRVIDVLHEHGEPWIVMDLIPSRSLFAAIQRDGPMAPERVAEIGLAVLAALRAAHAAGLLHRDVKPANVLLGFDGRVVLTDFGLALVSGDSSMTSTGVVLGSPSYMAPEHALDLPVGPAADLWSLGATLYAAVEGRPPYSKSSPVATLAALAGDEPPSPPVRAGALRPVLDALLEKDPARRADAETTDRMLRAVAAGHFVPEAAAGERRWARSVVVTVAAIAVVLAVTAGFIARERVRSTAEASSVPTPATSDHVAAPLPSATRPSRPASTQPQSSRSTVPSSSPASPSRTTRQPANPAGIDPATWFRLVNLNSGKCVDVRGDDPADHAPVQQLTCGTTKGQHFRLIPTGDGNVRIASRLDSLKSLDVTDQAPNDGATIQLWPYNGRQQWRAVPDSNGSVHFVNEFSDKCLDVPSGSTGDGVQLDQFSCNGTPAQAFRLETA
MTDDRIRRFLQRTAGATTGLGPRSTFRPTHATQSPQPTPATELGTEGDYELAEILGRGGMGVVYRARQPRLDRTVAVKTIRPEIGSDAAVASFICEARVTGHLAHPNIVPVIDIGRSSVGDTFLAMKLVEGQSWEELLADDGPVAESLFMDLDDDTAFPDSALQDLPMRVGSELEPHLEIFLSVCNAIAFAHSRGFIHRDIKPTNVMLGEFGEVLVMDWGLAVDIADERREGRPSIVHKSEVKEPWGTPCYLAPEMAEGDGESLGPWTDVFLLGATLHEVVTRRPPHAGTNFKRILEAARTASPPSYPDEVPAELQGILQRAMAKDPMDRFPSVLALRDALRGFQKHGESTRLTEAARSAAH
MHPDTVLLDRYELRARLGRGGMGTVYRAFDRQLERFVAVKVFAAGEAQEDARRRAEATALARVSHPNLVTLLDAHLSTEGDDGPSFLVLELVDGEDLRSRLDRGPVAPEEAAAIAGGIAEALVVVHGAGMVHRDLKPANILLADPGVPGAMPHAKLADFGIAHLIGAERLTTAGTIIGTAGYLSPEQANVAEPGPPADIYALGLVALESLTGVTEYPGTPLEAVTARLARDPRIPRSLSEDWRGLLGAMTARDPALRPTALEVAVMARELAPQLAGWTLPAVDVPGWVAPTAALPVSELGAGALGAGALRAGALGDAPTAGTDSGPAAGRTDAKRRRVLAGAVAAGAAALVGLALALGGVLAPAFTEPTPSPTGAVGTPRPTPSVVVPAQTVAPAVTTTVAPAAPAPAAPAPAAPVPQKPVPQKPAPQKPAPNPGNGPGHGHGKGKGGG
HLFLVFSARFSPEERCHGGLLAVVPCLWRRIIGIISEVKWGNAKKVFNRLFFQQCGEECRKKPPFCRFNRRNGAPGGILLE
MNNITTEALIDLRNRSDIGKENSSPQTTILPVMTSVLKFESLSFTPPNLPLVIEVDTAVTSCAEGAFLPKFLPPTPANGAQLYNNASQTLEINISAEATNSTISELLFSGPSSVTERKTGPGQFTLTWMPSEREYGGSHPFCFVIQADSNGTKYYSELRCVIVSIGNKSEIIITTTTAATLPSEATTTTGATLPSEATTTAGATHTSKATTTTAATLTSEATTTTGATLPSEATTTAGATHTNKATTTTGATLTSKATTTAGATLTSKATTTTGATLPSEATTTAGATHTYKATTTTGATLTSKATTTTGATLPSEATTTAGATHTYKATTTAGATHTYKATTTTGATLTSKATTTAGATHTNKATTTTGATLTSKATTTAGATHTSKATTTAGATHTNKATTTTAATLTSKATTTAGATHTNKATTTAGATLTSKATTTTGATLTSKATTTAGATHTNKATTTTAATLTSKATTTAGATHTNKATTTTAATLTNKATTTAGATHTYKATTTTGATLPSEATTTAGATHTSKATTTTGAPLPSEATTTAGATLPSKATTTAGATLPSEATTTTGATLPSEATTTAGATHKIILIMGPLLSILILYK
RANGRHIAEPYTPPYVFALPETISHVVTASDKFLILADVVHACVARGEADLASRAIVEAVLTKAAETEKMTLSELLDLEPGKKRRDIHDDTTVVHRRFDKASALLSGVCEEEKEKHVLLDDIVSLLDDQKVIAAAKKNDTASEDKDKVEKGALIVRDVAMRTLKRRKDCELDEPKKKSPTENRRNSLAAAIEAEGERELAVREKELEFQRFKFEAELKERELLRGLDREEKKAERDHQVLLAASRARRC
MNLDPKTRAGITLALSVFLGWVGADRFYQKQWLTGALKLITIGGFFIWWAIDIIYYAYRYFTLPTTRTATPNLTGSTKAQSFLASVQTAAVNAQARLDEFNERNETRARRSSTRRKYVCVSFRRLPHGWTEKDIHELNMSAYTYKWRPAAKPKIGDRVTVSGQAADADHAFVVGFTNRLPIGYDDDDLETVTKVIGHRPPYVPHNPPRPTGKPIKPWVEKGHQVQADGLEYQNATVQALLGPLHESGSWDYNERTVPVELFPDATHPKKRGLTTIAVWVEGKHLGYLNDDDAEQYLPLIQKLAAEPEPRHFVTTAVVRGLLYERERYWKSFATVSLPPIEGMLATNDLPSNPEIIPMGRVIQVVGEEENIDELKTLVRPGEITWFAGTLRPAKYGKSALYETAEVYIGNVKVGAFSRASGSQIAELIKLICDAGRTPVVRLSLEGNTIKVEGKVYMQRASEFSEDRVRELQTIATERKFHVNHRGQEFDWDDEAPGRPYKGDDAA
MKCESQTTAAAAVPLQPVEPATPAPVPSDGFAATLDTTRNAAGGIPWDSDGPQDSPPKVEDAWPRWNDNPRSAEWDLVLASENRSEAWSSLVSTVEQTLNMDPHYALALDVRSMEPTSNRLRAWERLLSDVCRASAAAARKVWRESPPPGFFDSGDVAQALALLEAAEARHNG
MRSLFILALLSAPGSVNAENEATQVSPQLRQRAEDLAGAASNRFSDLLDGGQRVAQAAPPAPDTENSGAFAPVWNWLERSAQAYGDVVITQLKEKDGWTVIVQRNDKASPPAAQTPAPAAIEEPQRDLHGWSGLVEVVRDWLARANRSYRTEIVKPLLEPVPGAEAPPAIATQPPPPPAPMAAPPAAEATAGAVKDDGAAERIKQEAQAADTNRAIDETEAKGKAEDERRLAEQAEAKHKAEADAQRLADEADRKRKAEEDKRLAAEADARRKADDAKRVADEAEATRKVDADAQRLAEGTEQKRKAEEDKRLATEAGVRRKAEEQKRVAEEAATKRKADEAKREAEAADAAERRAVAQAEAEAKRQVEIDAEATRRSEAAARAKEIARAEAMQPPPATAPSTMTPSDEAPRAATPTTPTVKTPMAAGASTSRSASNENSALAAAPSTPEQKPKAPEPVREATPKAREEAIGAEVPPSVAAKKKPAKRTAAKWRGDKKHASAYRHKRAYAHKKHREPRYAYAHGRQHRAYAAEVVYADRRCDCRCGRVFQKPRTRRHARWHASAPRHVYTERAYRVRPLKHRRGGLTYRYGRHYIR
MIWALSSWYDGAPGYQMSDHTPARVSTTLNDSHCHVTPAAPRMNQRAQRRDSAHSMGTVQLVQQCTQDGKPATPLISTTLRQ
MAVVFFLPWVGAAEELRIAGMCLMPYERGRLPGELLGIPQAAFDGVLGNYGDRGFGSQPSQPIQQAAVIIWDDDIPGLEASDAQIQQRLVQCSYLVFSALADRSICSAFEYYNADTLQVVAQRFDITSPAHSCMTTLRRDGGTQNMLTGSGGLKFIRPYHVDNSYLTGN
MMKTVEDDVEDMMDFSLSHHMENEEVSTRSSLRIAKSEDRWVRYWRIMLMLVLLAVAVGVSVTVFLVFQQKEEDDFHRAYEDHAKKILGSFKRNAVMRLSAVESLAVGIASSAKAQGQVWPNVTFPDFEQRVRYVLDLAWMISLQFYPIVQPATLDGYNAFTVANQGWLQEGLDWQEANRNGNFNETFENAILPAPLRSMTRIPNKVRQWPRGQRPLPPNGPYIPLWQICPVFPAWSGISHNSYGQPTRRPYWDQLLENQEPLVTTAFDYTNTSNPATAGKLASLMLYSNRRELAFEYEAGPVSDFYWPIFEEDGGPLVGALSGYVYWQVYFENILPGDAQGMMAVLENNEVCGGQSFTYLINGPSATYIGPGDLSEPEYHEWKRETGFGAFLNSYDDDKCHYNVKIYPSKQMEDGFTTSGPMIFAIIVAATFVFTSCVFLGYDSFVEVRQKAVMKTAVQSTEVVNTLFPEPVRNRLFDESTNKAPPQNFHSTDLEQPAAEKEDAIADLYENCTVFFADIAGFTAWSGNNREPKDVFKLLEALYGVFDKIAAKRAVFKVETIGDCYLAITGVPKPQKDHAVIMAKFAAECMTAMNQIIHNELIHSLGADTADLQMRVGIHSGPVTAGVLRGERARFQLFGDTVNTASRMESNGLAGRIQASMSTTELLIAAGKKEWLEEREGGIEAKGKGRLRTFWVTPRSSRSSIGQRSVRSSDNGHLGNAVIRPPGATEATEDELVSSSENGPGDNAAFRSPGTTDEISAAPGSGPVDNAAVLSPGTTDEVAFADESPSWDGESC
MASATGVPETRPSDTEETGLTGRETEPLLGRPGDAAQEEGKSVFNNLVLGTGIVAQIGIWLLVILIWASVFTKPLILFSGHPLAQSFAVLVLVQSILSLQPTHTLEQKRVGQRIHALLNVVALLSLIAGVTIIEYNKVKNHNPHFHSAHAYFGFITAGVLLLQYLVGFTMLVTPKLYGGEENAKAVWKYHRLSGYFVLLLLLATINSATATDYNKNVLKLKLWATLILSILVVIGVYPRIQKQKLGLGRPSLSL
MAFAVVAQYQCAPADADTVRDALRKMRELVPSEPANLAYEAHEVVDRPGAFVLYECYTDRAGFEAHKVTDHFAELIRGTVFPLLKERTITMAETL
MQSKPAFLVCPTCKREIKGAAYACPECATFFCIRCAIMKAERNEPCIKCNNALKFC
MPRSSTLKDSTPTSPQSAASALAAYRQKLIDRLPVFVSAGYRDAIHGVIQAQVDAPNLDCRLRWVVEAAYHNNAEPTSREVITLCLFFDSGALEDHSLRVQHNFYAKNPALARHMHEWSLQGLVDKHNAKVRAGDIQAHCVVFRGGRDDEHAATLAAVGSGVVNHTKAAARCEKRPASAVENLSPSPPATRRPNPPINLALARFGRRNTPRLNSLVESLSLKKFARPNVAAFIPGNPGSSYVGPLPLASASPFSLGMPDTRNAPAPANIPDNTFENHWTVAEKASNARLAFFAARIAEHHQQTRDKLRQAAARQPLGDDGAGIRDLAAYVAESHGLAARVRFSAAPGPGDRREGRAGAIAAGSSSSSSNRRQRKLGSRWQVE
MYVVIGGTGFLGSYITREIRRQTSEKIIIVARNQIVNEDKKDSGDGGGG
RGPVRPGMRRARVRPEREQRGGRRHRDDAAARGQSPRPGAGIGHTPEPVHEVRGVQSRHRVGPRHRDDRRVDTGEHDLRGGAGEGLHGIVVPRGAGRQPGADRGGRRHQHPRERHDGQHKLPGGPEAGVRLPLHAELGPQVQAPHQGARGDGRHRLRHVGAPQLGDAPRRGVPGAVVPGGFVGRARRGRPR
MRDRRNEGLHSVVVNALALGKGNSVFVLHRIQLRDPFMDEPSCSLAVDDGGTRRARSVTSSRISLFFARSLTKRHVDQQEAIWEIVTTDQQFLVNDCSFVGISRQTHKPLDPVLLLHGFEGISEWSKCYIPFNLGHDDSHTYVQKKQKDNELFREFVQWAESQESMRRQKLCDTLTSPMQRLTRYSLLLKAVLSNSTDDRERLIIQTMIDRTDAATQQLNFELNNNDLRLQMAEIMKSIDGYDAVDSEDEHLQLFPTRRTTLDLMAPMPLVPGPPQFRRVIHRGNLKIRESRQGPKMEMHCLLFTDMLLLCKTSNRRTDKGLRVARPPIHIAHMIYHPFNDASEFSRLSQILTYSSTLRMSANEFKRLQGRHSEFENSFYDMRKWFLIYFIFLKYSFLTQTFNLPHCISSMDSQVVAAHAHINQVRRAATVSSTEQLDRNPDFVASSNVPFLHKLRVSSCALSNSKSSVDLHMTLPPESYPGITLPDDARLLLELGPSFSPAQPISKETLRRIACSLHELQDRLRSNARIETQHGKEQRPARALPPPPFPRLFFRQQDPNDEVD
MFPFRQGKANMPATRPLPPAPRPFVQEYIVQEIQPVLTTYVTNRVYRHVHTFPHSASVANVVHNQQAFPPTHYVPGRPPR
MLELDVVDVEVLVLDVLDVEKVEVVVAVAEDVDVDEFDVLEVDVLEVDVLEVDMLELDVLEVDVLEVDVLEVVVLEVDVLELVVVEVLRVDVVELEVLDVDVLDVDVLEVDVLEVDVLEVDVLEVDVLEVDVLDVEKVEVVVAVVEDVDVDEFDVLELDVVVVVVVVVAVTVIGGGEGDGEGEGEGEGGGASI
MTEQELLSRVNELPKVSSVVQELVSMLNDPDCDFAMLAKKDIHGPSD
MKDMAAEAEGLGNIFRHLWLLRAVSEAAGVDLDAAVKAGRLSGLDYARMVTSCRSAGCSKSCALWLSARRDDAPAVPEFCPSAGVFKRLMPGP
MNNIDNERFIYLVSYLKENRYIRNQQDFTERVKSDKSTVSQIMNNKIAIPNKMFANILNAFPFISLEWLITGEGEMLRNSTVSTRDNLNISGGNKGNIRQGDVNNNISISLPEKGTQKIIDPDGTVTIENTSSGVQNNLNEIDMLNQRIQYLERIVSGHEATIKSLETTIKSKDDLICILRSSLDKQD
MFTLFCFLERKRTVTLSKQLFAVEFCSLRENGRMPRCAVPGCRSGYSSATSNEVPFVQRHFFKPPKDPNVLREWNNAAGRANFEVTQRSYICDRHFDEADICTSFQHVVNGETVSIPRGRWELKKGAVPRHFANCAELDLKLCVENVGTNAASVLVAEDDITPVLQSESETCTEMLEHENRAASNAESMEVIMKESSTGEHWFGGIEDLPVYGAWCVQHTDERVVFYKLQEMVA
MEAKTFAAANDTGVKKYQGTLPKCNRCDFHHVGNCRIGKCENCGKSDHRTEDCWGKSIGSRNGNGNRNGNGNRNGAGNRSGGGNGNGNWNGNGAGQNQGCFSCGSRDHFMKDCQRETTFKLEHS
MSRRLLSKPASAVSTKLANPPEQLTDVVESFLDSLEEDTDPDAGFSEEQEAIDAASQELQVKSTVLSFVKKIRPLLLDGHGLPFKTSSPNSLRGVELVKKTMEQEKKATTSNALPDSSISHGKGTDVSDACGQGLPPIKIKRTSDQSALFLTAKRRKTDSGGHDPRATRMQHGADDAGWEDGYNRDNGWSRDHRHGDPRRTRSTDIGWQPFKQASDGSRSLLHGYQARWSSQALPLRPHPGHSPAAASSSVLAPPARVRSVSDLDQSRARKEIWNRHHVPCRRCRELGVTCKYRDSRNRCFRCVQDEVLDPKCHQTFWLDPRRVRKWIQAIREGKSKLEADRLAYGDNTEMFGVAEHNKITDYSSLMPTSR
MRVRLGLRIPELVRQPVCGCGQRGDLEDVHGGKCPTGGGPWRRHQNVGAAFFELLTGVRYCTVGGETTMGSLGLDLTGPLAEERDKRPDLFASLPNGDSILGDVALIHPIQDATRLHRNAKKAGAAAKEKEGKKHTHYDDACRAVGIRFVPLVFETYGRPGGETVRFVKEMVRQATERLGEMNDAPARQKIADRWWKTLSVAVQKAAASTILASVQASRGARGTQVTEMLLGREFSAYVGLAEKKE
MILLIIPIIMPPIIFDVSIVTYGKKVKIIIQSQPVFMDGAIPVLKEATRKGYAIIIITNQYIIDEGCITVDQYHDINRQMVEQQTVCDNVHEPIIPKEPFYQVKENDGETSEA
MQCKKRRVIKKIADKTAELHRYETQLTKDEESERKKLEIAQKKREKEQQDLQKKIKEEMDSQIRTLHSRMLSSKTGIHDAINDEDESPQYDVFISHASEDKDLFVRPFAEYLKSQGVKVWYDEFSLAWGDSLRKKIDKGLANSRFGIVVISKNFIKKQWTEYELNGLIASEIEGTKRVLPIWHEISKSEVIKFSPSLADKVAMNTAIQTYEEIADQLVTLLR
LVSENDISKLSLSSPSRTIECGVRGLRYGDFGIPNVEKIDKKLLINPHHLSIGHMISEGPYSIVYEGSYKSKPVAIKVIQPEKMSAVSPERREKFEREVTMLSRVKHDNIVKAIYF
MSDRPNNVTAAPGSSRRSNSGFDSGFGSNSSSNHNDNTHNPNNANHNNTNTNNNNVNNVNHSSSPSPSLSSPSSSPSSSPASSSDNLSSDPDTQPALDARGIPTSRRVRVQMHECEELVTLFRRHVVAAYASLSPFSQTGLRVPHARPCRVFAVACRRGRPAFQIALDMRALAPPPPLRRVLLRPGDAAVWDEIAARTVDGVRGSASGRRRFVALPCGRFAVRFVRVRAADGEEVGDEEYWNA
LELLKLMLPKDNTLSYGHYEAKKVLSVKGHHARPICQQQTSYLQLKHGKKTVYTKH
MNFKELFDKAGKSSLWLLIVNQIMWRKVPFNKPHRIKITSFSSHSISAKLPLSRKNKNHLGTMHACAMAALGEYITGVLLLYHLDPGKYRLIMKDISISFFYQCKSSAHATFSLEPNHLIEQINSNLGEEGKTLLPCKVEVHDEEHHHICSVSTEWQIKDWSMVKTK
MIIFAALTWLLFLLLYTAFSPRHFQTGVSIGYPVRISGWLIFTRLGFTIATLFLLAGIAEFAYAAKHDEANLIMILMAPVALFLIVAAFLDNRLTFYCLKYRLRQGLAIKQNQFEHMGFFSVALGQIQSAQIKSLSAGRGRTPYIVLNLASDVTSDLFLQDAKLYKSLARSIGGTRINPATQLILFTDYLACDQETVVRDINTLVGYKESQQKNEDEFRLTVHS
MKNKEMSRMLKWVAMIVIGVGILCCLMNIAQFNDRNLGLMVGIGFLIGGSQIMLFGVIAPLMQRNQEASQAKVTSEELI
MEPRRPSQMFLLKMKLLIKGTLQCGGDRMKFRAVGEGASQFTMDRDSQLMPLSQIPPTCGYTMQSNPLALVMLVPYDGCNMVQEDGNYKLIMHWQGVPVTLLCPFYPLPVTATPSPTFPCPSTKTIQTKAEPTKTKRTKPTRTKLTRPTRTYLTRTRPTRTYLTRTRRTRPTKAEPTRTRRTRPTRTRRTRPTRTRRTRRTRPTRTRRTRRTRRTRPTKAEPTRRTRRTRHTRHTRHTRPMTTRRTRRTRPMTTRRTRPPKAEPTRRTRPPKAEPTR
MSCQLHFAEQQGLALEESSGYPKCQIRLIHTSHAPLNHCCVQTILEFESQNEGSGDKHILKWNFGNVVQPHHLTELETWHHMLISSQGLQSSQCLLMAHHKPGSGADNLRPNQWSNVEEDPEDVRQGFRRYLVNIVQTLSEDREREQMSIIS
MAISILAVDDDHAVRISLSVMFRKWNDLELEVAESAEEAVVMLKKMKYMMMLCDIDMPGMDGLELLEHVVLEYPEMPVVMLTGNQDVSTPVKAFRMGAMDYLQKPMQTADVRQVVDRAMEVRERQTDAKEGIIDIDEMMSMVKAISSEKMGLDKVGASSAKFRKIIEVMNEKLINTAKRGNIHNIFLLSKSGIVISTVTTKAAVSDKDTDIMGSMFNALKEFMQDAVSNSSSDALDDIQFGDFHVRFCTGAYCDLAVIYTGNLSMKAEDTVTDSLNAFEHYNAEALENWSGDMDELPNAQETLDQLFSKLDQERGDE
MWQHLIRNWIAGYATDQVRKAAVEKARQFAEDDATSHERETLAPVDVAVIASDSSVAGALSDQLADKTSLRGEKLQVEVGTLGRHRLVVADRSKSEARASDLARAVVEGHQPRWVFSATLARSLDDSLAAGDVVLATHLLGPQGERLATGVEVDARSVKPGQGMHLGAIATSGEQPEPASAADNALCFDAQSWAVAEVCRERKAPCLAVAGITATGDDAPSAEVANLHKQATIAGKLGAFTGAMMRRPSSVKDLWNEKEKQIVAADRLAQFLVGMIAQLPPPTDKSAEAD
MEKIFIYNNRKVAFQFIVIGISIWLIMIFIPLNVARVFLFFIGGNFFYIGANFVSGKPQIIISEEGLFLAYNFNKTISWRSIVEVKVKVYVDDEEDKEEFLFLKLRVKSKGPSNILEKEFSVVNLTMSIQEIVKIISDTKSVLENK
MSIILLIILFFAIIFGIYCFYENVVKKKDIVDKNKKIVSLRKNIFESDQSNNSIEQNEDDENETIDNNSDNESIQSSFFKEISQDDAIKSSSMDSLEDNASRSDETDNNTMDNW
MTKHYSVSRQATEHKILLAVADIIAEHGFENIGVNMIAERAGIAKVLIYRYFGSLEQLIAHYIFKRDYWTSINVSASQIDNLRSFLKNLFAQQINQLRDDIILKRLTRWELSTHNQTMMQIRQKREKNGCDLVELVSQLTQTTSHDVAALATILSSSISYLVMISEVSPCYNGIQLQTDEGWLQIKHGIDMIIDLWLDHLKDIN
MDRFLQKSGFETLNLSYPSRRYPIEQLATNHVLPKLTNLEPSKPVHFVTHSMGAMIVRCLARQHPSLILGHVVMLAPPNQGSEIVDRLAKWSLFSQLIGPAGQQLSTHEGALPAALGKASFNAGIIAGDRAGLCLFSRYLPKPHDGKVSVASTKLVGMCDSITVRRSHTFIMNSAEVQQQTHHFLKHGQFKHT
MKRLALILLFLPSMAFADCVVLLHGLARSNFSLSVMEQSLQANGFQTVNPSYPSTSDTIRDLANATIPAAIAECDTNTPIHFVTHSMGGILVRAWLKDNDLPELGRVVMLAPPNKGSELVDQLSSWEPFEWVNGPAGAQLHTGPTSLPNTLGPVDFPLGVIAGTQTLNPFYSALIDGPDDGKVSVESTKVEGMAGHLSVPATHTFMMNSPLVVAQTVRFLQNGKFDKNLTLADVVVNVAEDVGDAVSEAASEVGAAVTETVEETLGTDGSD
MAGLRDGLVEAGFNVINVDYPSTAGPVEQAARQVAGQLDQGACEKPAGTWHFVTHSMGGIVLRQMLAEGLLADRPGEIGRAVMISPPNQGSEVVDVLGEWWLFRWWHGPAGGQLGTGEQSLPNRLGPALIPVGVLAGDRSINLLLSRLIPGDDDGKVAVERARLDGMQDFRVLPHSHPLILSSRGTLEQTRAFLEKGRFDPPAEEGPADAH
MPVVTAAVETQEKGGDYVVLMHGIGRSPAAMHKMENDLRRRGYEVLNLGYDSRKYDLDTLAARMRPDVEAFAADKTRKLHFVGHSMGALVVRTYVQKYKPENIGRVVALGSPNGGSEVADFLNKIGVFEKIYGPAGQQLLTSYNVYKTFGKPFYEVGVIAGDRTIDPISSFLIPGDDDGKVSIASTHIAGEKDHIVLHANHATMPSNAAVVIETAHFIKYGFFKRDGVKKETREAHAEP
MAVNKYRDKRFLIIDSVKPSRDLLKQFAFNLDPEVVEASGYTKDIMLRCAENHYDVLLLGYDLGESQKNGQQLLEELRENGLVNRQSVVILITAENSQAMVLAALEHKPDDYLTKPYRPKDLLQRLDRCYNKKRHMKDIYQALDAQDSEAAIALCDRAIERNTPYVTECFGIKSRQLFEQNQFKQAAKIYHQFKSVRNCQWASIGLGKIALQEQDYSTAINYFSELVDKHPLYLASYDWLATSYETIEQYTKAQDILESALNISPLSVKRLERFAKLCFDTGNYEKATRAFEQNYQLSFNSIHHKAENAFNFSEALNQLAPDLSEHDLKVKKNCVINALHETSKTFNQADVRVQSNLHTARLMLKSHGEYDAKQLLSRTEKYIHSVTEQLESSSMISISKLLIDLNRNETANELITQVVEREPDNIELMAQVDMIVDGSVQKDEQAIAQQALNQASVYFRQNHYQQALAGLSAAQSLYPQHIGIKLNLIQALLACHKQQNDEAKLVKAGELLASLVGLPDSHPGHYRYQILNEHYHSFK
MTYVSSDFFVENCIAFVGGNIEIDNSNFRGNKSCQNRLLNFNGLDKYKLSIKNSYFNGEYQCPFLNLDNGLKVNIEKSTFEKAYSNEFIGGGGVIRAFYSNIYIENCIFKDNISMEEGGAFFLDNLLDFKANKLEIYNNTSMHIGSVAYIRTSEEINSVAKFTNIKQYDTGNMSGMRLGGLIMNLEKSANVIIENYYAENLINPDSAGCAFIVSDYVRLTIRNMEINKIRGRNIDGLFLFTYKGSDIVFNVYNVTLNDLYQYNARETASFIWLEDGSSGNIEK
IDGGARKDQIEGGPGQDLILAGHGADTVAGGGGNDTIFGNAGDDRLIGGGGNDIIHGGPGADDIDGRSGDDRLTGGGGGDIFDYWRGATNGNDRIFDFGSADIIHLDSYTPADVEIQGGTDAVIVFSGGTIAVEGILPELLQVVDLGYAVDILLV
MSATRSTWMLFEASLCWINNLHGVIDGPRPSNPAIPRSPSEIGLALRFRNHEHSAWVTALGFYKLLRDPIDIPGCTLFIP
MKRIKFIYNPISGSGNTAKILDTVIATYQKYNKIIVPFRIGETFPLEKALEDIHDNYEHLLIAGGDGTVNRVLNLYMQKNLNIPIAILPTGTANDFAKHLDMPLDIAEACEKILHSDVKRVDLGKVNDHYFINVFSFGLFTDVSQKTPTHLKNTFGKLAYYFNGMKEIPRFSKIDLKVESEDLTIQTKCFLAFVFNGQTAGNINIAYNSKINDGLLDVILVKGENLLKLGNLAYNFLRGEHLEKAEQENILYFKSKSLSLSSSQEITTDIDGETGPSLPVQIKCIPKALPILY
MQEKKVLFIINPISGGKNKDFLPELIRTKINNSIPFEILNWQSASQDIETEINKKIEKENFNILVAAGGDGTVNRMASFVKGKKITLGILPFGSGNGLARFLKIPLNTEKAIELIVTGKEVIIDSGKINNKDFFCTAGVGFDAHIGTLFAKAGKRGPMTYIKKITAEFNKYKPSQYELEIDGETYSEKAFLITFANANQWGNEAQIAPGADIQDGLLNITLVKSVSLLTAPVIATRLYLKNIDKSANVKTFAGKKIIVKRQEEGSVHFDGDPGIMGKKLEVEICPTSLKIIVPANSRLK
MHSRARFIFNPHSGHNARNPWLLQRAREFIAEHRLDATVVPTEHPLHATELARRALDDGCDLVVAIGGDGTMNEVATALVGTPAALGLIPCGSGNGLGRHLGLPGPGQGAFRALLAGHIRTIDTGVADNHPFFNAMGLGFDAEISARFNALTKRGFTAYARTTWRTLFGYHPADYTIRCGDQVLRSPGFIVAIANSDQYGNDCFIAPHARVDDGLLDLTVIRRLTPFNVLPL
MKFKNIHFIINPISGKGKGKEVIRKIYSLFPKENIKIKITQKKQDAFDFTIQSVHENADLIVSCGGDGTLNEVASALINQEVPLAIVPIGSGNGLARHLNISLNEEQALQNILNSKYNIEKIDCGKINDTYFFSNAGLGFDATVVKIYSQQKQRQFVGYLKCLFFSIFKYEPIKISVNSKSINYTGKIMMLNISNSNCMGYGFSISPKASLQDGEFDINLVEKCSWAMFSWIGISFLLNKKLSKKRRQFNSSQLTIETSAKYMQIDGEYHILNSKRLQISLIPNSLNVLVN
MVTSLLIGFGCVFFILGHSTLLFFKSKELSVMPIQKAIFPWPKKGKFVSVLCLGLSAASIFLIFSLPPDNQYLTPSSAWKILSILAVFPSVIYISYLVKRIRKQPEGLLYFPSDAARHEWSLPIIVSVFISFLLWIFK
MTPYPKPQQFAGAFLFLVTFRRFFGLAYLTCVVASIDFLVQVECYIHMYIAVYVTNGVTLLLPHGRLAATTLVNQI
MLCFKNTEKKTNYISYLEKNNSFTIFPGQKIPFYPKDKFKINLKVNAIKGSLSLVIIEYSEKEKIQTTFLEANKEQVFQVLPETRKVRIAFRISGNSEIEIEQLNIERILNFFHPMSLVKTKNTLRI
MNEGLPGLEVGVHYDTINLRTDIPENFLSEEDGSKQWRVGILHNVEVGSFSEPSLLIGVVMAFTNSPRYGDTDRKWKGTMFLLIELKHLTVINGKTDCQAAVVQFLRACTAVKVSLTSQTVNFVTGS
MKRYIDDFVEDLNYRKVILYIGNLDSDSLRSKMESDNMGHTNRFATIMANHLMYVENCDLQDVDTWHGDEFGNSLLYLMEEGYAIYPLQYEPESGKYFVEIDFSREE
MKRTTKFSYILVISGTISSAFSKWFLVWLFAQSDGGAEAVGLYSMVLAVATPLFVTAQLGLRTIFVSHETRWPWSTYLFLRGMGTFIGMTILLTYVFFTANVPFYLGLAITLLKIADSISDIYAARLQYFHQIQTLGLLSILSSLITILLASISVYVFHSVTWAIVAAAMTSAIFAMAHALLGRRFEYASVHEKGGYRGIIQASIPVTASQFLSTFLFQIPVLFLGMISNPQTVGVFAASAYLLTIANIFGSSLQTILNTPFRREREKNGVKAVARRAFAVTRSIAFIGIIPVILVAFWGSKLFQVIYGPAFAIPVLPIVLISLAALLNIMAYVQSVTLNVLNRYSIVTWSMAGSCLVAVLNGLIAFFVGVPDLTIGAICAFSGSVTRALFMAVIVSRVTEKIQ
MLFLFILLLFLALCYGQDPQPCPENPIVLTGSNFPQYVYSPYDERKNYPPNTDCRFVLLARNAQKRLHLTIIESELEIPIFTDCNDYVSIKDGSNLTSHEIVRWCGKKFPTSLTSSNDALYILFHSDNVIQRRGFNLSFVDFDIPGCPPDWISFDSHCYKLFTPVHGSTWLDAQKECTYERSNLLTFEHKDEYTFIFDKFAYAHTSPWLGYHDAQDEEHFQSINPKEALWPENFPKFFGDHGSKDCIFVDFNIKEDSAYVVDDCRNKRSFICKKENVACYQYYKKRVHNRVGNLDQNQRLVAGTTGSTTITTTTTKNNHENSKTTKSSTSNDNNNNTHKTVTTSGGSASKIKTKDIHGVVRPLRQTSTDKNRIKTAEVTNDGNLKTTTDSPRFNMAANQPGAITVFNAQHVEHLEKEQAQHENATHHGATSSTIIEMPSEEDQASTSNAVQTLIEEENGNLEVENIIRNHVVVSDDDSFHENSKAVDQKIFNQKLDVSVVNDDVIEEALHPSNEINNDNGNSNSKNPTFSHSIAISDDTTATKNITDNVEKINTGNLNSDTNAAANTTIISKEDKTAMTDPASNSIHSEDFDGIQMNPMNPHTQRKQRRSHIHILSNVDAKTPEEFWEQP
MFQPRTISRTSRLRLPAGRAAARTAVGVAAAALLLAGCSSAPASAPQTDAASPTPTATAEASAAPEATATEAPADGSPQSEAEATAAATAAITDFYQTMSAVQATGGADLAPLSTVATCPTLDTVTSDTTWLADGGYTVSGAMTFSPSAVTVSARTADDGTVYPFGSVLATGCQDSTDYVVSQGGSAITLGVEPRTVFDLTVVFEPTAQAWLVENISENTASC
MNAIASPGQERQARYSELKLNVYCSLIVSSFTTLGATRVSHVWSGPALTDLAFREECALRLSAKNVHCDMPSLQSPSTWFYRKG
GGGGGGGGGGGGGGGGGGRPRKKKKKTTKKKKKKVKKKKTKKQIKVKKKKKKVVGTEKKKTKKKKKNTLMEKNINK
MEIQGGYVMGTTMKKTKRPTPVALYGLTHVLHLELVMQKVLVMLPLVDLDAFLTTLHPHKDLKWLQEESELWKELLIMHFGGRRELTSAQASEDGDSDAGEDDESTEEPVMRTVWLPKRGYCLEMHEFVLSLEEYEHFRSHFRIVRDDIGSITEIEGNQIDAIAFPTNPYLHNPHMGVAAVVFRRAGEELDEHVETLEMVLHPGEAHTTPGFGTGVRSLIHCHGPHLHQPDCFEILSQTYTSVLEEAMEENTKVLALTSISTGNFGMPIPDATRVGVRAIQSYYRKHHRWDTTVAVVCYEADVYEAFQDTLQKTLAAFNT
MPQQLRFVTGHWALAFGHSASWGSDSVVQWHWSSGPRLLDLRRVPRIPHPSCGCGRSVGQTRDEAADYWDPPIEDRGLARAWALPMARGIGHWAVGSLIPSLARRASPQRAMSHQTGPEAFLGRASVTSHREEATAGANVSCGGL
MYQEDYIIRQIRLLCRAIAKNVFGMEVGSCTELLPLAPEKRETAAELLQKIDNGQIREAEAELDRITADCTLDDLLTGMAFYARIGEMSESFLDDHAYNDVDLKLGLRRFSERFGSKHLAELLMP
EELNLDYMLHNAIPETHHMQYKAFKAIPDFLQRLEIYREYATELKQEQGPGWKLATQFLAIVESHREDLYDSLSRRLDPLTIIRTTSPLDFSSLWIEIFAPGVNKGSALQHLLSKIGLSLSQCMVIGNDYNDLQMLEICPNSYVTANAPDSMKQEFINVGLCEENGFSMALNHWLQSSNPSRILSATQK
MRLSRIVRRSRREVCALAEASVALTMSRALVELPFSWIRPLFGVAGRETEHEPRDAEDRARALEVGRAIAAAARYVPASPTCLPQSLAGRLMLKRRHVPTTTYLGVKKNAEGGIEAHAWLRAYDVIVTGESVRTEYTSIARFA
MQRLYKFFKKLKAFLKIGFKEKFLFFEAFVLSGIARLAILHLPFKKLKSYMGEYKKDSPMDIDIDEEQYAVIRRVITALNKAVRYTPWESMCLVQALVAQRMLKRRKIYTTLYLGVGKANEDNLLAHAWLRCGKYIVTGAAEAANFKEVARFSNYEFNN
MKLLLIAGIALLSLLFASADNPGSPVKEEAFAWAAGIPIDSPK
MSLETFIANRLVWNNAAGLSASKGTRVVVGIAIGAIALSMSVMIIALGIITGFREEIRNKISGFGAHIQVTAFNFENPLYSAPISSRQAFPAQLMEINGVKNVQAYALKEGIIKAEDELQGVLTKGVGPDFDWEFFERNLQEGKRLETTGEERSNGIIVSRTLCSLLNKQLGDAVFIYYVQEGKSRPRKFEITGIYETGMAMMDNNYVLADIRHVQKLNNWDDTLVSGFEVLLTDYTALDKLAYHIYSAIPPALNTTTIKEQHPEIFGWLELQDMNIVVILLL
MNFTYFISSRTSFQSNRSFSRVIIRIALLAVALSLAIMLISDAIVIGFQNEIKDKVTSFSSHIQVSKTKTNFSFENEPMPANDSFVRQVSAMNGVAHIQPFATKPGIIKTNEAIEGVVLKGVDNKFDWKAFSDKLLYGKPLSWDDSVPSTDIILSKYITDKLSLKIGDEVIMYFVQQPPRARKFTVTGIYQTSIEELDKVYMLVDIRHVQSLNGWDSATIGGYEVFLKDFDRLDEINEEVRIASEVTEDTKTIKERYPQIFDWLDLLNVNIEIIMTLMALVAAINMVTALIIMILERTRMIGVFKALGATDWTLQKIFIYNAMRLIGVGLLIGNALAFGLLLLQKHFHIMKLSQESYYVAQVPVHFNWNHILLINLGAFIFCTLAMLVPSLLVTRIRPVKAIRFE
MNLSFFIARRMARPSPENRPGVMERIAVVSVALGVAVMILALAVILGFKREVAAKMTGFAGHVAVTDVRGVGTLDAEPVVRSAHVEELIRTTEGFRAMAPYALRGGIVRTADAVEGIVLKGVDGSFDWRFFREWLTEGELPRVGDSVRTKDALLSRTLADRLRLGVGDRVEMLFVEPGELPRRDRFKVSGIYASGLEDMDGAVVLTDLRNVQRLAEWTPEKVSGYEILLDDLAGADAFAARLSDALLYDESDDTRNLVATSVMERYPNIFDWLRAHDVNGAVIVVIMLVVAFFNMASALMILVLERTRMIGLLKAFGMPDGQLRRIFLWRAAFITLRGLAWGNAAGVGLCLLQRATHLVKLDAEGYLLSEVPVALGWGWWLLLNAGFVAAIVALLVVPACVVSTVKPEETIRYE
MNFSHYVAKKIAFTEDKSFTKIIIRIAMATIAVSLAVMIMASAVTQGFKKEITEKIFGFWGHIHITDSNITRFFEVVPIDGDIAEFDEIRNIKQVHYDGETSFMGTPFGKKTIEMASYGGVAGVYPYIIKPGLVTTKKNIHGLLLKGLDKNYKWAAMEKFLVDGRFISYDSDSSLQEIVLSQNIANKLVLKTGDKIILSFVKNNVQWKRRFTVTGIYNTGLEEYDKRLALIDINVLREVEEWSDHEVQGMEVVLDDIRDLDIMADYIYYENVPSNLYVESIRSKFPSIFEWLNLQNINERVITNLMILVGLINMATVLLILVLERTRMIGILKALGAGQWQIRKIFLFHAGYILLFGLLAGNVIGLGLAFLQKKFGFIKLDENNYYLDTAPVFIDGWHIAWLNVGTFFLTLLFLVIPTMVVARISPLKTIRFE
MGKWTPNGIDYVLAGKMKTVVDRVNARMEPGAPPTHPTISYEQFVEELDLGDSFTSVLFEALVKEMAERRKRKDVNEKRMISRRTQRLLENLHHSTRLLRDSSRGGHVSGRRSLYSSWNPMEFDEFSHWIHDTDVDELAANRPSVLGIGGAAVDDTEDGWDDAPFDVHLSPPATRELHDSYYPSVRPAVAAGLSPSAAPTGGNTHGSSASGATVTRQASLRRSRHRLDAFNEYTARRRVTARAEAEATPANERALDGTSGSMFPSPPLRDWSSAPPPWTVSAGVSVGSSSRAVSGTSRLRRLQQIQASVNAAGAAAAAAPHPSFNPDSSMLYLLRSVSPTPAPIPPPADALGSRSTEIVDLTEPSAQLLTPRSTTPIDDPSHPA
MGKWTPDYLDDVLHAKMSSLVTGAIRRAAVEKEPTISYENFVNELDLGDSFTTSLIDILVKELAERRTRPSINDRRLIADRTAKSLRLLATPLRVYRERPTTSRIAVGRRPINLTDTFVQNELDMDDDEDEFDSVLDTATLEGARVNSELYDAFGAGWPSITRRIVASPSPLSDEGTTSAPPLRSPPTASRPPPWTMQGTTSMPSSNLTRQSSIRRPVRSRTVDFNDFTHRRRSSIRESLGSTPRPEASEVVTEPREGTWVRPPRSESARRFFPFTRTRRHGSPGTLPWSDILNVDAEDAMYEEPSISGSSWNFVPTTFSGISPPHGIHHAETSDERVHAAAPRLRRGGLRAPESMMSRHTSPIIINTTPADTNIPPPNPTSPRRENNDFGAANSEPVAYPTPGSSENENIS
MLSWYLLRDNIQSLRDDLVTPFFLAHNTEADFNRLVAPLDPPDLPGIQDFTEKKVFLVLKETLAFQAETLWVHQEREVSRESLDRREKLAPLESLFLGRVATMGLQDLLAPLDPKETENAPWSGACPDILDPLGLLENRAKRIGDTCVHCVSNGPPGQRGPPGPPGKQGSCTALATKLTMNTVLMWYPLWFSSAGFPGANGRKGEKGVTGPPGTSGTPGIAGTPGQIGAPGAHGEPGDIYVAPGLKGEKGLPGGVGPIGLPGVDGLPGRDGLPGEQGPKGEPATVGLKGDQGRDGEPGLIGPPGERGPPGIPGYGRPGEPGEKGSQGPPGRPGAPGRPKGEPGKGVSSPGPHGSPGPRGETGRPGPQGETCSLKRTPCHQHGGASYLDNNIQPFHKVREALRETVGHQVYLDRKVTKDVLVLACLESLDPKDMQVYQGLQDYLESQGDPGLMVWMDVQVHLGKRENQEGVYLDPKGLWDPRASQATPERRGTQGILASQDCRGKQVLQELKESKVNPDLQEDRGLRDLLGSLDWEKRGHLAPWVPQGYLAPSDVMVRREIRGILVPLARTCLDHRGRRAVRDTRGPQVTLAYLDQEVTLGPPDRGVVLVILGRQVRKDIKDRKAHQECQGRMETQVHLGSQVRKVTQVNQEYQEEWAHPD
PGYAATTAELVVAAAAAADRPRPYIAGYVRSAIGSEAQARFRQEGDRYASFPAYGAHFARMEAAPWDTGVVGETGAEIKAGLRRFDSALDEVVVRAIVANDALDAYRELIEAAAPSH
MAVTQNLLQTLEHIILQAKTRQLQHLSLETGSQAFIKPMVLRFVNPLQTINMTLIVNL
EEESRRTKKKKKQANTRIPSPEIVRCRRGHLQWSSVCRRSAWSPAAVH
HVEIQVGADKLTIESGSPVMVGSDSTDIDGTLVTTDDTYFNDTTKIVFGIVAPDNDADYIIPGEPFMDPIFGTVKIDFAGVYNGPTFTAEQDTARQKVSVLKGGNRELSVSMTDKYGVEQTALPFTYQSVLSDDASEPIHIVEGASMGDEDIFFLNSGNYQHMMRLTKVNLGGDTSDDVWMKDLFSGTVYKVDNKNFDDGQTLTINNQVYTITNDSLTTVTVVSSDYSTNRAVFPYLELFSDKDHRVAYTGNITTLGDSINRTQTGLIYELPTGTVQFNTTNASGWWYKVDSGTWTANTTNVANATTTYEPITVGTVDYVFGVTWTKKTLPTTVVENVSIEAGQTSGGVVRANYPGLLFVEEEDKAETTADTKNFVWMPVDDTGTYANVNSTLLWAGATKYDTQTWDDTDYTGYVTNFGTYVLVDGSDTNNRLASFTYSKNQMYADVYIAEESAAITPGAGGPAVTFNGVVVTDAEVGTVSGKNLIIVGGSCVNSAAATLVGGAYCGSDWTDNTGAGANQWIIKGYATHTLGNKLALLVAGYEQTDTANAATYLTHQAVDTSKEYLGTSATQATMVVDEA
MNKQKEALKYDWTPKDWGETESRKKAYLNMRSIHSCKLADTYSLSRAILCLCTKSTGLSAQARSFT
MCFVLQSPVILVAPAQAPARRAWLLNRAQQLLEQAREMLPRLEPWLPALEMDTARREVQEGEEALADAHRGVFPSEQGEGPWSAAWTAAPTCAQCDQTALHLSKCSGCRAVAYCSRACQVKHWKEGGHKKECKRLAAEQSSQ
MTFTRKCALVWAVVVLVSSALGPAYVGLVLALVVGLALAVYWRAFSRMEDWLRSAFFTPRRVASRRQYRWRRGGGGWLTAFDAARERPRRHLREMRDDARRDAVVAVTVSSAAAEPESRAAVIPATVVADGANVIDGANVTDGVNVDRQDSSLPLAKVIEYQQDSTTDASQVEQPHGKAA
MAFLPESGLTDQFMHITELHLIHALYANYDCKQSFGATQQTAEHHAANHPGDLTYRLFFSSRLHYCKNTVLMAPDRDIVDTSNIPLFDLRGADLRAYKRSEKALTGENHVDARKEFKELLQSHIEDAQTYKEMLDDKSSTKKYVPTGTIVTNGHELQVLAYGLTKPKPP
MMERALPLLSPYTPPGRREISVRTAFRGPGARDAIQMVTLAVLEDRYVVDDALARPERGRTLKRYVSVLSHRDRSVTLTIRTGIVRDDFTQPWTLRRGRVALTTGGV
MFISTFQISYWVRISFEMLQNTHVAISDTELVTEDMKIRIESKLYGSVTRDLFEFWKLWVGPNVDGLLKTKDVRSLFNAMKLFPSQSQVYEMIQCARDRDRNHGKCIGPHRKCSESCMRPVDDSEDYLTFGEFCVLATELRKYSGKELCRPRPVSRRNDRHEKWVERKLKNSCKYQIFLGGSCNPTTWREEIAIPFLKQNKITYYNPQVNRWGPELIELEHQAKTTATVLLFVMDKHTRSVASMIEAAHSSAKHKKLILVVTPFEKGQEILEERITDRELDDLRTSRMVLQDLAERRSIPVFDDLDKALRSAHQILERNINSMDLTLEDGAKPVRHGHIPLAEKLIQIRDVFESLDTNGTRKLTLNEAFMAFKTCENPDVQYDEFLEILSGKSLSDLSKDWNVISERREGLIQFDQLCVVLAEKSGSRMESKRNVELVLEKITSVFSPLGKVLEWMTGSNRPRGVSRSSSQISGEIPPCESKDVYLGGSCGSSTWREDIAIPLLKKNGLSYYAPQTAKWSRRFIPSESRHMDSCRILLFAITKETRSMAAMCMAAHYIALGCQVVLCVQYLEDDCEIMGEKLSKLAIQDYNRGRAYLSDLANRERIPVFDNVEEAVLKVTQVATKSKR
RDKLTGSDGKISIMSEVLAGACAGFSQVMFTNPLEIVKIRLQVAGEVVAAPATKISAWSIAKSKNHTNHNQSRKDHRNGINQLMVLGWTPKRKPYALCRVIILLI
MHDCLQIPEVVRNICDESSKRDALHFGLTSSMFLQPALDSIWRELDGFKPIIGCFPADLWTVKRKTKEHFSPIDIYAPCNPQRLGTPQTLQGLQLATQFRPGALAPLLTHLEWISTERLEMVLGLSHVERVYPFVALLLAESIVSLRMSFYTYIQVHTSTVAYTLDRLPHLRVLRLRTETPGVALDTYLGPQTWGLDNLQSLHISSTITSSIQHLASLPRLQTLEIDHLFSSADPAPLRPKPPAGFTSLRSLTVSFATVEDFAELISHMEPQSNTIQSIDARLKGPSPQDRLQAQGVLNEILERANLDTLTYLDICLGPEPSDFVFGEEQIDTATEDLYQPDGQIDFSALLKLKNLERLHLGTTETIRITPEDALRIPLQWPMINHLGLGGGHPCRTVPQIDHTHLLAILEGCPALDTLFIQFDASRITGKERSRTGSFGLSLCNVGDSPIYSASRVTEFFKTNFPNLSGFAIHHNYARSGTPQPRLSSGGGVWLKQL
MPTRSRGTRAAEHAEKTMNRSRSDSDGGLPYWRLLGVDALKRRSGDLTGGCWLSPPPPVKVLRHQWNPVQDQPLGTLLEDRVWRVPTSKPPMYSPRQLIISAESLGLVHSSPDETMLSPKAPLQLRHEGTREAWNEERLKRHGTGCSSSPQVPRERKTTAPGASTRSSRDVSAERHRCEQFTLLSLLQQVAVDRRGALPEFLASSLLQQFAGTNLSDDEAVAGPRQRPNPKSFLTSAPRLAMRSRV
MCVPVPVESIQGVAGQRTILPCNIQPRESNDAVSMVLWFKEDSGEPLYRVWIYYPRLILSSERRSCRYRSLTILVPRPLADSNARYYCGATASSEPSLRTPVCDIDFAITEMGIDTTKIQQSSELPPIAISFDENLLTEIATKTPVMGATTLLKSCGWTGGCQSGPVQVFSHHCGSDLIRLARLSNGRDSAITESIGRRNIEIVRPDDSP
MRDRHEAGAVGTLAVGDRVTVQTANFDPVELTVHSHAVVTDIEDDPGGPRYLVGHPPADRRYGPYPAARLTRGWEAGR
MNHISQVQCTKRVKPTGDSELMISFASVTLGLGMLALIVFLVDADDGTTGTYEVLALACALILLVSAIATVAFACMYTPWLFVSLLVGIAGGVVIGLEVSPTPIDNATEMIYLLLGALLAALVVHLLFFFTKKWRDRDDFAVKAVETTGAIEVDPILWTRIGAS
MEVSLAQFFDQRAKIVSALDEYGANVCEHGFRYYSDIATSLQSEIYDIASLVLTLSHTATLKSAHCAQERLSRIISCLGSLRETLVLFRDSDPGEVMELLYMVSRQVGRIRLLGVIDPYADGMDQHIKELNGTYGARGLSGQSRQRQWWARNNWRVLNFGDSHCDCSHCRGHRENRKLEV
PPPRTPPPAPPPPPVPALKRPSRAKAPAKKAKATRKLSFADEVTTSPVLGLRIKEEGPEGRPGAEPPGGRTPLGEFICQLCKERYADPLALAQHRCSRIVRVEYRCPECHKIFSCPANLASHRRWHKPRPGPSAEGHAAAAAAPPGKENGPERRPRAATQPPPPAPPRQHLGGADSGAAAPGAEAFSCPCCQKRFRRQAYLRKHLGTHGAPRPAPLGPPERGQLSFGCHLCGARFPSADIRDKHRLWHAVRDELLLPPPGRPEGGGAAGGERQGFPCKQCPATFFSAPGLARHASKCHPAEGRQVLLLQVPVRPGC
GLASSSAVFSCLARAIQGLILPTIELTDEQTSVMARLGSGSAARSIFGGFSALLAGEGDAMDSAYGKQYA
MQHKPSFINALTHPSIPSIEHVDMVAQREPSPNWWIVVQADRPSGAQATYPWPTANGYRGQQKI
MHLTAIQLFQSCANNNKDNMMQAKCEIVVMRK
MGSSKFQQILDWPQPKNIKALQSFLGFANLYVCFIKNYSKKITALTSLLKKDSHFIFNEEALSQFQILKEAFTTAPIFSHFNPSLPTIVETNSSDYDLGAVLSQFHFTITYFPGRLATLPYSLSFWDNLYPERGVDFMRKSPKYFHQVIKQDEISESRFSSIRAEIFSDLAEQIQKEVWKDKDCKEILKQLERGESLSDYNLEPQDKLLLFKDREVIPRNGEIQLNILEKCHDSPLAFHPGQEKTLKPIKRNFHWDGMNKFIKDYLSSCQEC
MARVKRGVTARRRHKKILSQAKGYYNARRKVFRVAKQAVTKAQQYAYIGRKLKKRQFRSLWIARINAASRMYGLSYSRFMNGLLKAGITLDRKVLADIAAHDIKGFGDLAEAAGKALNIEITRPANLPNFVPAEKPKAKKGDAKKGAKAAAPAAKAEKAAPAAKKAPAKKAAKGDDLKLIEGVGPKIAEVLTEAGIASFQDLANTDAAKLREILDAAGSQFASHDPTTWPQQAELAATGKMDELKALQDELQGGREVE
LLLTGLFSTALFFTFFSKNTKVSTSRVSILQHRCCFCSHFAKTFLRSHQLGWRSTWPPSTGGGAHGPPAQVEESYGPPAWSEEHMAPKHGWRSVRPPQHGWRSTWPPSTGGGAYSPPARSEEHMAPQHVWRSIWPPSTGGGAHGPPARSEEHMAPQHVWRRTWPPSTGGGAYGPPARVEERTAPQHGRRSIWPPSTCGGAHGPPSTVGGAYGPPARVEERTAPQHGWRSVRPPSTGGGARLFSYFFKFHQTTFRQEKETFKHTHGQRGVRGRCGQCRSGFTFRDVRASWEGGRGKASPHCLHLRL
MGSSTFGGGSGWDRRYTGLSDSDLANHTRSTRRSYSPERDRDPMGL
MTLDDVEQLLRETDHNGFPVVVSRQSQYLVGFVARRDLNIAINQAKKNTEGIVGESVVLFTASVPSPWMGPPPLRLRKILDLAPITITDQTPMETVVDMFRKLGLRKNSCHTPMGMSSLVE
MSMIFENSTIERTETIIKKPSSSNGDVTRSLNFGNVSKQVSFNDGNDEGYYKVRPKALKPSAHNPRPDWVIDDAWLVKHVGIDMEDIFESNMNLNCLVKINEEEVDGKLIESIIFPQFEELLNSPNITQKKEFDFLVNLAKSIRETGQIQPIEIESDSENNTLVVLEGHLRRLACILGRIPYIKAIRNEGLHNLSRRDKIGRQITENSLRTNISVLGNFKLASEEIKENPKITVRDLSTRLKIQKDLASTLIKLILYPDKYHSSIYSALESGHLSANNLIKVASYNRQDRQELFIYKLLEKNIELPVQMKKPIPRGTDGRKRSVASMQIKTIDNCVKAGNKLLSCIPELKDYSTISEVKTVDDMVSLLKSLEEFLLGKSTEEK
MMGQRALQIKVGLLVVVAAVVLGGFIFILGNYSFGEGFTVQVDFDFVGNLPPGAPVKVAGIKVGRVERISFLGGRVDERTKRRVYVRLHLWLESRARATVRTNSRIYINTQGILGEQYIEIEPGNPDVEGSREWTPDLPPLAGENPPRTDLVIARLYTFLDEITTLLTTEKPTLSKGLHEAVSMLESMNKLLTENHDTIGKVLTESDGLLVELTTTAKSANAALGDGKVLVQTLQSAQYLVNVLSGRLPKLIDSVEKTLVEAQNLTRLMGPKERDRIFSSLDKLDRLSGQLELVATDSRGMLKKINAGEGTAGALLMKREVYEDLKELVRDLRENPWKLFWKD
MTSLSLLTKVVGGIALAGTVGGGLTFGSYEFKKRFIDESISELAKTSEYSWEYFLISNKNKKECGRLENEEGKVVECITPWSKKVSSENIKKEIGWWIIGDMEKVDLFLKSWETFKGENTYKWKTKEGISSLKKDKENKCHIKEDLKLKKVEIFCE
MKQVCFCCHEKIIYLEADNVGTGIAYYGTCECGAVVNYRKDKNGRKVDDYSRCPDKSKD
MDHTIEKSSADIQREIDADRRRIEDRIDAIQERMSPGQLVDEVLAYAKGSGGGEFVSNLGKALKANPLPVALMGVSLAWLMAKQGNTFLAATSDARSLHEDPLYPARGTVRRIGPPEEIDGTRYTRFSDEAGQQFKALTDDVGNRAGNFVDMTGKAYRGFVDSAGKQITEIADEAGALLDASTGWASETWQQFKDTASNIGQKASNATSTVSSSASTTGSKLVNQSTRLNDAILKHFRDQPLVGGALAFAAGAAIGAALPHTEQEDEALGDVADAVKANIASHASDAVDKGKDIVSDAYDKAVSVATDVHDAAKDRITEEVDSLKARTERV
MKTSLILSATLAVRSAITKQRDDIDALDSALAGANHYAQLKRTVEEIASQYNTLILMREDQVFNQIGNQLSANLNDYSGLLLSNFFYGMEKFTHNHVIHNTQDIAKMLSFGVQTAMQRSKIKIGGKTLLDVLVPVSTTLTTMAGQGKSNTEICYALKDAADKGLEYTRDLQATQGQATSLGNRSIGHLDARAKSCQVIIHAICDLLLKQQS
KRFLIKEDFESTSFNGLSKKLRF
MIGFVAYPWQKAQDRKNERHRELREFYFQTVSELVELRGSLARGPQLTGDSDISVTAENWVSEILPKVNVIVGRVFLVSEDQKTLDAAMKMQRVTLDFLRGYSEFALTEFGTKDLDRVQLREIATRYWHQARKGVDEMHSELESAFVSEVQGHEVKITNLPLDGRD
MGHFDVESIIVQRLPDHEAVSKAGFASLRRILNQSPNAAERIFDALPMEQKYALFDKAKLETSDLVDPLNYQYSKLIHFNESGQKKISNAIFSTLNALLPFYHAGVKKRDFIVKGSV
MARNTPTFRFITAVPCVLALLLLLALAFSQSRASEFETPYVTRAEATMVLLLTRLPAVPALKNNGRFADIQSGAWYEPYMLAAERFRIVQADPLRRLRPNQTINRAEFVKMMTYTFGLPQYVVHRYTDIPSDSWYASFAGIAYAYQLFPRSEPSPKFEPGKFLTHKEVSNAIQKLLNARGTNFPPLTVLSDARHAAAVPKPYLTISNLQDEVTIIHGTGTAPARAPVSEFRILDLFTGLRISDLRTEVLTLVNEQRAKAGLLPLQANINLQNSAQHYAEDMAQKNFFSHVGLAGETFRKRIEASGYYDRFLRATCTTAKDCRRTYALAENLARGQKKAADVVAAWMASTEHRKAILHPFFTDIGIGISSGYWVQHFGGTKN
MDFPNNAYKYLSDEERIYFKYKSKEELQKRWKTQEGEEFTRKILEINLAGGSYKNYRSFLGSVKSDFFKNDLKVDLRGFDLSSYSNILHEQIVSLDFSFCDLSYATFSNSELNKCQFMHCNMEEVDFSKALLQECDFSHSNLNKSDFSFSNLTNTQFFSSNINAIVLKKTNVKGLKFNKKVDFSYLDTKELESINDSMFLKFLRQKMSFKEKIKNSLFQKIVYFLWLKY
MTLPTNSTSTVAEDDSDMFGPPHSSPPIRRNRPKVPMISPQLKQREVRKRILQLCVHKLERIKDSERNLRRSVCINNTYSRLTDDIRREKQHKLLMLSNLAKTNDSNRKQLDEDDVSSEDCINNNITNANNNNHHHHLHHHHHHHLHHHGSSSCNDELGEFMHNNENMNNNCQLQHNHNLSSQHHHNHLNHHQHLQALHQRLSQSHHLHQAANANQQTVPHGAANNSAGTDGSAGSTTASSNSSASNNTSALSDGNTTITPDTDRPVSQRKSSSVETDLETLDRELCALDAAMPLVDPEITQGAEQLEQAMVSRKRKFASIDDDDSDRLVREALSQIYFPSAGRLLTGIDECPPATENGTGVERSVNGDDASHDGECIVSPKRPKIGDLLEQHQNHQQQQQLHSFSHPFLHPFHPLPDLAGFDYQVHHHHQKEFEVIMDALRLGVSNGGAMAAAAVVAATSAAGAAAAAAAAAAAAAAATGNATGTAMGCGVTGSAAGNGALPAASNVTGPNASCNGTVGSGVDSCGQAAMLMGTDGGASVFHNLVVTSLET
MTQNELNIIGLAFDIIGVVLLFFYEPPKPEIGAILLESAPSKSDRDKIKKVKKMVSKIALILILIGFSIQIYSNTIQ
HGPREREGRSGHLLGWAAMNAAVITLDDGWQKLKTQGVLKIQEILEDLTENVYRIRITTDEYSQLYTTVYSMCTQKPPHNWSEQLYNNYCTSVKEYLSARVLPRIKAKSNEAMLRELARRWENHKLMVRFLSHVFKYLDRFYVKRFSLPELSDVGSAAFHEIVFNAVKRDVRAVLLSLVLREREGELVDRKLVKDVVAIFVDMGGARNSLEVYTSDFEDHLLSATREFYRVQASAWTEQDSFPDYMAKAEDRVAQEAARVTHYLHPSTEGKLLQVCEDELLAIPETKLLEKENSGCEALLRDHKSDDLARMYRLFSRVATGLQPIGAIVRKHITEVGMALVRKQEGAAGGAAAAAQPGAGAGDGDAPTDEPTDAAKAAAASTADMNPYVQELLDMHDRYHELVKECFGQNAIFQKAMKEAFETFVNKDIHKVTTADMLSNFCDNLLKKSGERLDEQQLDDKLEKVVRLFSYLTDKDIFAEFYKKQLAKRLLLQRSASDDAERSMIAKLKLKCGAQFTSKLEGMVTDMNLSSEHQTAFVEWMNAKDVKLETDLTVQVLTTGFWPTYKSDELNLPSEMVACVSAFKEYYDSRTSHRRLRWVHNLGSAQVVGTFQPGGKKKQHDLIVSTYQACILLLFNSQERYTCDEVQQALKLPVDDLKRYLLSLCLGKYPILLKTPPTKDVDPSHTFAPNLEFTDRARRVKVPMLTAKVTQEEKETTRQTVDEDRKHAIEAAIVRIMKTRKSLDHQKLVLEASQQLMRHFKPDPKQIKKRIEDLIAREYLERDASRSSVYKYLA
MPPKSKAKKRKTSEANQSTLDSFRIGQPGRSQTAFPPKHHTVSTTSTTTNDRMQQQPTTSDDSSTSAKHNNLHAGSFLGSFRQHALIDKVPILLCKETPSTPALSNEQIIDTFTKLDNVLRSILHQTPNDLGFQVAYELCRSVCLFGKPKELYNDLESTLNEHMQQEKHVIMGETDQDTFLDTLDDHWTTFCQQLVTIRNIFMELDRRYIMSETPYPSILHLGKSMFRKIVMGVPRIQSKTISGVLKLIQQDRDGLDVNLNRLRSITQMMLDLSLYDDVFEPSFISSTIQYYGTESTETMDRLSITDYLQHAKDRVQQESEYRIATYLDKGTKISLTEVVTNQLIYTKIDIILSQGFDDMMDHCERESLAILYHFVSSHESITKLRTAFGDYIKKRGVRMVKDPSKDQVMVSTLLSFKDEVDKILHDSFDNNPTFANAFKESFETFINSRRNKPAEMLAKYFDTMLRSGAKQPPEHRIESHLDKVLVIFRYLQGKDTFEAFYKRFLSKRLLLNRTTSNDLEKSILGKIKSECGPDFTKNLEGMFKDMDISADINTTFKESKDYGEQDSIPLYVNVLAQGVWPTYPTTDIMLPTNMASLLQAYQTFYTKSFKGRKLAWRNALGSCVVKSHFPQGSKELTVSLFQTIVLLLFNDTTKSTMDYKDIALATNLDDKELKRVLQSLSCGKHKLLVKQPPNDTVTDEDTFAYNSNFSASAVRLKINSIQQEQSTEERKETQTKVLVDRQYQLEAAVVRIMKSKKQMTHVALVNELFVQLKFPIDATDIKKRIESLIDREYLSRDQTDNSVYIYQS
MRSALAPQDLPTTKIVSKGGYVKLLQTGESSADEDPRHEHEFLWQKVALKRLLLTSVSLLCLLPTLGFAWICMRWAFFASRTDAFDPGYCGKNASEAKLLGCQFSLLTISWSPPHCHDESSSEEYRQWVHSADRKVRSFPYFFDDEGKWPVEDEEALSNHLGPLWTTREEHLGHCAFLQRLYFRAWLENRHLPEIMTGEHMAHCTEELTKELEGYYADLHLVDTPFGINYETCVKPGSKATYK
MIRLEKTQVVMPLIVFLSGWVLIQMGISLYHQLRDVESSHHLLGYVSSFLFDMWNKHSIFEMLFTCLICFTLYRVLLFVSKHMYAVYKWNVYIHNKLHTKLTKRWNKRLKTWKDPVLVIREPSPIAFATGFLHPKIVISTGLLELLSNEEVMAVLLHEKYHCQCRHPLTKWVVRMIIHSMEYVSVIKRLGNHYDIWIELLADRYAMKQMSSLILGSALLKLIKTNKSNFQTIGVSFADQAINYRLQQIIEPDQPVHVPVLPLSSILSTGAVLFGMLMIVIFECM
MDRNGDLIEVDQLDDWEDNEDENEDEDATCPECGEPLAPEETVCPNCGAEFGFFCPECDREIPPEADVCPFCGAELAEGFEDEDETHEPAVAVERAEFCGNCGQSIGPDDEECPNCGVDLCPDCGAALQEEDEVCPVCGAEFAFACPECGEEVPANADECPHCGFAFEEEDEDE
MLKIEICKQADTPVYLQLRDSLKKLIEEKQFQPLSKLPNVTEIAEAAGVSIRTADLALQELVRDGICFRRPKKGTFVGEEPCFKQPICGVWSNFSTNQPQTYPMHHYFYSGIAETAKNIGVETVIMTGDSKKLIQRYEQSKEFDFRGVIVFDFDKFDSISALAKEFPEKKFFYLNYLADKLESMPENMYAIINDDFAGAYHLVEHAISKQAEKFMILSQKLPLGDCTYQERIRGFCKGINDYSSSLLKDAVIEVECAHNGKQQAEYAFLAVKKALRSGGTPDAILCTNDSLALGALRAAQSEKADIQILGYDGIFRNLFGDYYFTTTQVGFYEMAEQALLLMNSKIKADSNIIKLAPQLQTVNAEGVMYA
MRRHGIALALALLAPRAASATFDTDCDIVPDEIDTYPCDPSVSATGFAPAQGDHGMLLFKDQFPSQGDFDFNDVAVTYNYVFRMDRFGKVASFRVTFNVLAVGGTYHNGLGLRIPMLAREIRRATRTVAGQVTRLTPSALDADATFVVSDDLRELFARPEELINADPTLPRQIGDVIEVEVEFDQPRVFSLAAAPYDVFIFRTVDPSIEVHSPEYRGTARMDTSLFGTQDDRSDGTRNFVDTRGIPFALRFPTVVAYPLEAVSIDLLYPNIVAFGQSGGTLHQDFYTSLPQSQYAYRDSAGQAAPTPGFVATNDLPADTSCVPAWGLAVQWGTRRSIFTYGAKLADNGDALVTGYTRGDFPAGPNLGGLDAFISRYDTVTGNENWATQIGTSGDDTGRDLVTDAAGNIYVTGAKSNAASWGPWHNGQLAGLSDG
MRICRSINIKFCSTKKERSSKIPKMTAKSLVCPSPGTYQRDPAHLPLRCRFVVVVVVVEGCGQRIRTTIKEDLWHFGLIINCSPIFCGLVSGEGTT
MAKPHKIPKPPSPPRLLRSSAPAKQDKSVLIMGVSGNSSYPCSSHVGERDDTSNTTTLLSDFTADGMQGNPNKASSSLADVKLECAWSSVQAFVVDYIASEDLDLGSENEAVESNGFQYAGWTELRDITLYGLHVFFTTAVETISHEGYSQDAVIHAIRDSTLCYQFDGPITNISDRARALLKNGRQVDSSLGENLDMHLHMLGLYVLSCASSLLKNYFPFFTASDALWCILLCDMDISIACDFSVSMSGYGNEQSKGLVVNYQNINEPSGGYCCSHSETPGGAVLSHSEQVEIWRAALSQFPERMWSNVLTDYIAFEKSTGGDQVSSSGQLDKSSTLTRTVVTQSKKAAKGTSSKRILKESRSMRTLLESASSTSTGTSAVANTKRVQSPTSFSTMPLSNLSLVKRIDASTVVSTHPIYSPVKHSSSASRSGKAATKHQTKADALVRFSLPNTPADGFEFEFSHDGMWTNWVPKDRKEEMALDLIRRLGELKLEVKVCADWAHERLQQSIKRLEMEKPVLVSLRKEKETLECGVLNRERLDETQRAVDSTSDELERAHCLELELTGKIALSAREKDSAKLQDKQSVASLAEILRKGKETLERLKSMETEKILLQEELAAEQSNLCKILENLEQAKGYKDILMQKKEEGEKMSVEAMKQVDLARNELERAEMSARTECNNVMLNAENENKRLKASAKELEELVKGLEFDLASGRRPERAMFMGRPPGFRPDSVLQERECQMCLDEEVSVVFLPCRHQSICVSCNQLHRDKGITECPTCRSPVERRICARFADS
MNSVSNEIEEASLLDNNGEISQSQIDPNLFKAAAAGNLEPFKDMAREVIERLLTAKTKNTILHINIISQERENVSTKFVAEILEKCPSLLLQVNAKGDTPLHVAAKFGHSDIVRVLVERAKLPQHENEELESRVGAARQMIRMTNNEKNTALHEAVCHQNVDVVELLTKEDPDYQYSANNYGKTPLYMAADYRSSNM
MKESKEKRAEQTMKSDRKRRKYYGMERTKVNTYSANHKPDYTGERKHDESRCDQTDE
MNNVPVPQQVLDWLFKVLQPTYKDPKSTFHDVVQVLAHFKLRPRTRVFTFPDGSSALLLSLYGTLQGVPIVIWVPKTYPETIPYVYLDIDSIPIHQKLSVNQYMDSNGKFYLPIFSEWKGTPGTMLKLTQQLLEIWKTAYPLVNNIINASNVPPIPAKVPEPSNPTVTKLVDNNLENREEETRKPEIPAKPISLQPISTNFKSHEQNIPPKVPIRPFQSTVEYSAPNSPSPPKIPPRDYESTNPAITSPTGKTPHALSHKALSPPISQKEETSFTFTLPPDLIDDFIDVNPNSSHNELLDALHGLIEKLTIQDSKELHTNIRRHEQHIKAVLDKYSSHLGFERNVLLQLRQTLQDNTEIVTEEIKQLDMEIKKAQLLENELDPALIAIPETPAFVQLYNLVAKDHALHDAIEVVSQLFHRKKISITMMVRKTRELSSEQFKTRYLISRITALLD
MGGKHAPTTDAAPSSALPSAMNQGKGTKDDPYYSPKCRLRRSDPSADQLVQFLRGNQGLQRETTEMRLLRRAPLPGIGQSLTPRSNALNPINPVNSPITIPQQFHHDENEFNEFRFSKDDNLERVGVSSDESDSEYDLSHTYGTRMMRVPSPPRSTITLNPAGLNLSNSSHLVPKKQIKPQRKQKNTVYKLNAKNKRFESVQRRATADVRYLPPIRRDDIPDRPSAPRPNRTPPLIESDDDYYDATIAEEPIDYIDQD
MDHRRRRHHHHHHHHQPKRVPYDQARLGTAPTQQPTNNTATTNTNYKHHQLPVYLTSVTTPPVCSMACRAIAAAAVITISISITTTTTSTAAIPIAFKLNSI
MWKVLQYEAVYDDLIGSVDDAAEARIYNAVVQLKEEGHTLRGKVSKPLGDGLFELRIRHKHMAFRLIYFFDGANIPIVVAFVKKTQKTPPRLMKLAKARKNEMILEGKKGVEITFH
MTPIFYNDLSLDQLHPIGCSREIRDIIEDEPLAPVSADNREAKIEGLVTRPRGTIGQLLHGPTVYWRRAVPRAEARLYESIEGMGGEDGLGDDDDFGDKAYDHIIFYRLLTPDEKIKFRKRTGIMVVSVRNNRDCVPYIAKWWSGPS
MEFPLSIPISTKIMAIIDKIIELHGGAANNITICLHKFRPENSLSPTSSLMELGITQEGEINMYYDFRPVSHPLLS
MAKTASEIAGTKALSFDFSIPDKNGMSIPKIQIRAYRITSNLMTGMDNGTIDITSPTSIINSAKRSREHSCTATLPIPDNLRFSTDFNWNAEEKNLLATFVGSLNAPESSRQEIQSPNAIGIAVARAIESVDMFGGDMIIRNMGLYSDALRELFFRGQEYRKFTWNWELVPRNAIEAEQMMLCVRKLNLDSHPEKLSHGINILPGEFEVSWVDAKLPAIGKLVCTNFEADYGDGSPVPRFTRDKYPSAIKFTMSFMELERQDRKQLERSQY
ISFQPAIFAYKRQLLLLAEAAAGAGDRLGPGLLQQSRGYFAWLVGVWNKVDRDRIKQVGPDHACAEWLLRNGASVVWADDRGATSDYNQLGGVPPDQRIQEILADTASLSSAGFPHLLGLSRLSSMRLKNCPYLDDSAFTYLADHCRLERLFVSQCPQVTPKGVLEMARIEGLRHLRLGQMASLGASDWARLSEQLVSKLPKCQLEQTSMAPSAASPARGCLGPVMKTRRSRATMHTTGAGCSSSMIRVSGLPPGASAADLERAFSRFGRVLSVWSPTVAVVIVEMSDHCAALEAVDRLDDSPLCGARARLELAAHCRGLVESCYDCGAEGHFAYGCPWAGAAGPAVEQLATEMSRQLVLHSGAAPSRSAAMSGMRLDCKIYVGELPRDASESELERAFKSFGRVRQVWIARNPPGFAFIEMDDPRDAEDAVRDLDGSTICGVRARVEMSSGRSRPKGFDRGGRDRGGPRRGFDPNDRCYDCGEKGHYAYNCPRKRGGGGGGRGGRSRSRSRSRSNDRDRRGRIFYCNKLLHQAQPSFARCTDQLEKDLSFDYLRIGAQPVLLGSQSQSLTASAKAQVSQRQQQLPLNSKSMLG
MTADSGAPGPTASDELVAKAHELTPFLRSHSETSERERTLAPEVVTALRDAGFFRLTTPAKFGGHDLSLSAQVEVIAAVARGCASAGWVLAAEAASTMLVHIVSEAAMAEVFDGRPDTLMLSTAVDLTTATVTQAEGGFLVSGHCRFATGAEISDWALVTSLVFKAGDEDRVLSCVLPMSDVTVERTWNMSGMSGTGTHTIILDNVFVPEHRTRQFIVSEVYASDEDERPSYFVRASLTTLAALVGAARGALDVVREKFSKDPAETDKPTDFRGAAAMESPGIRLWFAEAAHLIDSSMLHLMHAASRLDGVGYEEPVEWLERAELRMHASSSVKLAREGMEKLLDISGARGFALANPLQRYWRDLAVGSRHVSFHAPTALEDYSLALWGKRPSLVLI
MSKIHLARAIVAVGTLIVVAAGPVRAQDSAETLAEQARALDAEAHPTPPAGVPSPDVPGGVGGPLFAGVDDQAIPAVRIDVTNNAFTTAFSGAQVWGAAYDSVGDQVYFNDGATLMVWPVGGSISTIATITDASSGASLTMVGLAFYNGSLYGTRNIANEAIWQIDTTTAQATVFIDYADGDFDCGGFDADPATGEFYCTNDDSTPFGAGLYRMNPDGTGTQIAPYPAGQSDIDGLAIGDDGRAYLVTDDANGPIFVYDFGLAAYQTPLVNNWPTSEVFAAGAWIAAGGTAEIALAKTVGTVPNVCAATNEVAAPYGSTVYYCFTVTNTGTLALNSHDLVDSHLGTILDDFSYTLNPGESTYIVVSDVVAGDVTNTATWTASIVGGPSASAEATANVVALNTLAQIPTLSGVGLAALAVAMAAMALFLLARRRAT
MKTKVILLAAIALLASLPLALACGGDGGGGDEAGIRTDKGLSVAALAAGAGGGGEEADGLSGDVGVATGAPAPQADVGIERVVAFPPDIAPFPSLQESQTGITVQGFGSASVDADSALLELYFIGEFIGIGPVPEPAPGQTEPGFGEGVAPR
LPKVHGLPKVDEQPKVIELPKDHGRPKHHGQPKPHGQPAQHGPPKPPANHGRPLPKVYGQPKVDEQPKHHGQPKPLVNHDGPVNHGRPLPKVYGQPKVDEQPKHHGQPAHHGQPKVDGQKSKVDGQPKVDGQPKHHGLPKVHGLPKHRGFPKPAAPEDHSYIVGENGKPRGGKKIPPWRIGSAAGVVPTAGDADAGALRVVVPEAEGGWRKLD
MNNYNNIALVIVSCDKYSDLWEPYIYFFKKHWQDCSFDKYFLTNHLESPDPDFKALKVYEDVSWSDNLTRAINMLDVNYEYVMLFLEDLILSEKVNNLLLNFTIEDFIKRNGNYIKLIKNQNATKKTSSYLSEIPKESLYRTTSVFALWKKETLLKLLKPGENAWEFERNGSIRSDSYFGFYICNNSIFNHKHLVIKGKLLLDAKRWICKNGYTKPIERDKFSYKDFIKYKTYKILRTIFWGFIPLKHSRKVYNHLSNA
MISRAFYIFVGLFFLILALTAFLYPDNLSYSPYNVGPSGLSELHRSYILENSSNVTLMLLVGGSCESNFSSYVFSGNTLILAGNYSLVSAYLIKIIKVNVVLAPNLITSNNSYVFNCSIDIVKDNGMRYVFPYPHPIIGGESTLSFEGNSLISCVSYGKGKIIVISTPYLFLNKYMEMMNNTNLIHSLIAQKGLRLIVNPPDSSLLGKIKGWVWNIPLTILGISIKYRKMFHTYIKLMQTGGNS
MAVNEYNRHFSGKEGVHLILPSTLKGRLTTGSVRTIPAHNIRASIGYLLMRMANFEYRSALGADTRIYEITVKPGDSLDKIAKAQGSTVDMLRKLNPTAVVLRSGQVLKCQKARLRSVVDTQGKGCSMCAVKLLGVCLAAYLPVSALAASTDPVPDERALREECSAFSQAGMRDCLAKKAENSQKALRQTEENVARTLSKWDKEKKYVSQARAKLTASNEDFAKYRDVQCAFASSLGGGAIGNAIDMRHLACIAELNDRRAAQLRDAVSDLPLK
MKTKTCCCTGHRPQGLPWGNSTDGEAYDKYIKELTFKILELINNGYTHFISGVALGVDMDFAEIVLWFRDEKELPITLECAIPCPEQSKKWQQPDIDRYHSILSRADKQTLISKTYTSSCMLARNRYMVDHSDTLLAVWNGTKHGGTWYTMEYAIKLNNILI
MKTLFLLLLVLGSLQGCAGIKQPVAKRPLVEHSFQQDFDIVSQDAWASLSQNRSGTTIEINAQDAQLGELFFSAAGQQCRKVFLQQELTRVVCQEHASEQWRFIKPVISEYVEK
PYLTPTRLLATAGEGQLNVIPAEAELFLDVRTTPGVDHAGLVAELAALAGTGVEVLEDRPPVETPKEDPLVQAAEEALRLLGLPVRYGGVPGATDSTFLRAWAGLPVVVMGPGRKTLPHQVDEWVDLGEVVQAARVYAALAVLVVS
MLAMSPILIRPDLSRLVPGRRIGGRYTLEQAAGIVTMMLTTMLTMMLVTMLARMLTRPRTAALAGLTP
MTANQLALFQQSTGVSTGSLTLGIASVVALVFLTFGAWLAYRQLQRWQIGQISFYELSVLLVRAAVVMLLLGYCVR
MSPSFRVAKVCRGYTNFYRVERYWKAVLNTTNYRFILFTGGYEPLELAVHTIAAEATFDQMSEDCVPLYKGRLLCFIGSIPYGWLFPKCAAVVHHGGSGTTAAALQAGTPQACEVHAPLSVTPFATLLVSFSSCASSVIYGVIHLTQLEKLLTAVFCLSIVRTSFHLIDSYEAETLWSDQFYWAERMHWLGVSPEPLSRNHLLPDKNDDRSIQEAAHVLSLAIHDALLSTVKTRAAEISERIFLEDRLLTRQNLWQWDIIGDASASTCGVGASSWCFKFFEAFLVIGKNLAPYVPFTSEVSTILYVGIGRVCSSVMSDLDGSGGLEALLYPACG
SESSATIPAGPANTKPPSISRTPSVNSNVTAKPPSIVPTPPAVQPVASKNQANLPTSYQNPLNDSESSSAASTPTNIKVVARKSSSTESESSATIPAGPANTKPTSISRTPSVNSNVTAKPPSIVPTSPVVQSVASENQTNLPTSYQNPLNDSESSSAASTPTNIKVVARKSSSTESESESESLVVIPTGPLNTKPP
NQKSLQRSTERIKKVHHRENRKKSKESRTIGIIEKDHHWVNRKNPPLGESK
MSDSNNTKFIDLPREQQLAEVHSRFQYREGSGWYTGEGVFAGRDEADVIAALIDLEDAEINAVGDCMTGYCPLQPKLEDFVRKP
MAYADIFIFSSQLLQNGYNIGQKNEWTIKIAENIPKQENNYDCGVFTILYAKFIIENKSKHFTQMDIPYYRRWLFKFFSQ
MEDCHDVADQLHTDARDQAHLATIAAAPPVAAMHIDLAMFIEEQAKAVEESCEDA
MLTVDAPVNGIRSMEQRAGFRLPDGISAANLAGMEPDDFVPTLPGSPGSASCRWSPKGPPDARPS
MFLTEPMLNACKDTFKRAQRGLFNGKKIQFGNNKPESNHKTRRRWLPNVQEKQLYSQLHDKMFKIKVTASALRTIDKKGGLDRYLLSTRDDKLYSEFGIAMKRDLEILLQRKGWTKNPSTWKLLPPKVDGMAKKPKSKTTLAKSSI
MVPTKLLLGQIKINDRFYQRYRSSQKLHLTRNCWPILHFDF
MYHKKIIGKSTSITLDSGNSRNIQIVDLRRHWHHITFILTAALTFQCKTKFITYNTLKLLPMTSNNRRRVDSQCILPPGSSSCHQSVQKGLHQDSRQCSCLNTPFQHCSERPSVRSQTWITSSHEVRIGAIPFKFSWIQLSHFVLAIHKELQRILSLWLIPVGIKVFQMWHRKHQMHCYSRKGIASLYSLPPCSGYLGNKCKLGKILFVSISGHCGAAECFSVTSKMAAIKQRLTSCPFAIVMVVVGILVRSVKPQALPSGYAPGQIKICIFPQAMPQAFYCTIFALRGDLCKFLKYSPRLFPQATPQGK
MRTLSPRCDKLLLTLAVIGLIGWAGNTRSETPEPAPASVLFSGFGTFGVVHSHTNFGGTFARDVSQLPGQAGTQFKADSRVGAQINWRLSESFEAVGQVVARDRSSLARAADTLEWAFLAYRPTADTTVRLGRVSVDLFLLSDYRNVGFGYLSARPNVDFYAPMSLSGLDGIDVTQAWVAGDTTWRIKGSAGSSKYDAFFGRSQFDGVRGLVLSREQDGLTLRGTLTHADWGIQKGELLQPLRQGLDAVALLPVVNVANQAAAMSAALRLESIRARYSALGLAYDRNNWVVNAEWMRILLENAPTVSGTGAYILAGRRFGAFTPFVGLSRSRSLSAAYSAANWGAELAPLAPVLGANVVAKAQILGQTAADQLNGTRIDQSTATLGMRWDIDPNVALKLQWDRVNVRENGVVLWGGQRSGGTANVASMVLDFTF
MATRRPRIKVRPNLQRARAGNSVPADDGKLLDPNSKDDGSSLISKTPVSPSQNDTASSITIKSPLSSVENSVRESSSEGKIEVTGVSEKTTKTGSAPQCSSIVTHRPSVKVQHSLSAKSSDNGLDKNQSSDLSPATEPEAVQNIPDSDVSAVAETNCCVPELSAAADAKENTMDSAQNENSMADQTVEASVSEPAVTEEVLKSPTRRARFIRAKPNIAEAERRHQRLSSFSETDDPGMLWKFSGNSDKGTIAKPAAEPKLNDNQVKSEIQTNVDVSDKKKQSKGRRRQSVKLDKLVSENRRSFTISDLIHMNPVSNPMQRYLNKENKMKAKVQEERPISPSSVSSKLNSPSTHDSALASPRVPDVIIDDDDDDDDDDNVDVQDGDETGDNAMPVPQVMLGPDGNIVLNDARYIHVNKPTYCVFGSLTIKNVDAEPNPTIIESDVVIENDNYDPSFYYKSRKRSITWSIKETTKFYVALSCLGTDFSLMAKLFPKRDRGELKRKFKLEEKKHRALVDKALGERLSLDMSLFDISDEDSDEETQGSGNENFADTVKGVLETERDAGKAKRKNDAKVRKNRKNEEKNENTAPPTLPIRKAKKKKSGWKSRYYDCSDDESDADADEKAQSDSGKQDGSGTDGEVNSDAETSLVQRIVETPTRSGRQPKRTVPFTIPEEKVHKKRRPPSFASSKSVSSISQTWINPQLAPVVRTPPVGMSHRMLEDTETGQRLLLVKSPPPFDRDGSSEFVHIYLMSPRRNRNDSSSTSAISHPNDETDVFIPPPVSASRQTSNIQEEIITDELRLIDCNNVYREDAQNSSQEVDWCNERTLNLTSPHPVQFSDFRNNINDNFSLNPSGSEHTRSATDIYENAEITTLTNEEGKIIEILIDPGLSNDGNQSEPTNS
MTKILNWKSIAWFVGTERHGCKGTKLIERNVMEYLRNDNECFSIAQSQTEDCFPNWKFFSQTPFSFSFKIHNLKFEWDNNNQTTGNLNARTKQIGCLGENENGSLNYNEILDLFLVSSSLIDKETDFSVLNSQYMTSDHFQIEASISIDYLIKSN
MRGCFTFVSALMSLLNGLASLENNQLDIVLQRVRNADEELTKDEDWPGKTVLRGLCNLVAGVVEIMQGMPSRGVWHVLRSWLWLRNLEVEALNYEGHERCCVRSTALLALGVFNLFVSMLPPTAMKAAGWATGFAGGRDVALAQLQSCWEEGGIQAPFAGLVLIGFSVDVSSFLGELRVERNKRHTTARSILDWANSAQRGCRGVCGVGGTVEVVDCFLVGTDWFESHKNGEGPDSEREHCFFWLPQGRRTTPALSSSRASRVATLQPIKI
MYKIIGLLLLIVLIKDGYCGTNRTYLIKKDLISGXYDWQKHHFLSHNASQELRLTFDFNRLSRRSM
MIDIWTGKGIWEGWRVTTDHPSSKDGQPVLVDPQGRAYHPSDIQPRRLNHAELAREIGTSRQALNGRRNRGTVPEPDGHDELGKPWWYETTVAHLFKK
MKVEEKKNAIREAIEQTELFLEELRSFRLKDEEANASFERLYQLLCRPYDDPNERVAEINWHHASLMHEETHLLNIAASELEEAAKSFYSPCLPYDWADTKKIDDLSKENLEFHVENGYDRIAIIAAALDLISIYSLDASNGKIVEKYLYHFLGVEYGTSGVYHMYQDGSLRYFNKLQDHVIADYLVYDFLLKSTAPFERTFVEETSRYVLTIKNPTQ
MKPQYNNFYFSSTLLWLDNLLLDKGQAYYNKGSLFYPVNQYYNGIYAYAAPYKQFAYDSSISGANIITGVYLNGNYTTIGQNGFLGINYEEGLALFDREIKVPISGNYAAKEIDVKSINENDEYLLIQNKFYNKNNILTATGNLTNQQPFPVIYVRNDDSENTPSAFGGEYATNNITRLIIMADSQFQLDATVSLITDRIQTYYPLFDLNEMPFNVLGGLKNGTFNYNGFITDKIRTGKSIFIKEVSMTRLSSVILSELRKINTQIYVGIVDLHTEFFRYPRC
MRKESSANLENETILRDYCQAMKTLQLFSGRWKISILFAIYSSVKTYTELKSLLPNVSDRMLAKQLNELITDRLLEKEKTKTTSSYRLTSRGRNICKLLELLRTFEDEKS
MVQESRARNCEDDIVHPSVSMCLRAIPVEDEVENLAHQVNEMEVVLAKFQGVNVSLCHNMISLEDHLGGVYSCLDSAVRLVHP
MGVSVGQEVLDSSLRVANDVVAGNSFNQSLSNNARNSYNTLVSRAINKLDQTGEHKTRNVPYSNPLPKNVTFLDNGKTNQYGQYSKCAFKHLSL
MPPKDDKKKDAGKSAKKDKDPVNKSGGKAKKKWSKGKVRDKLNNLVLFDKATYDKLCKEVPRYKLITPAVVSERLKIRGSLARAALQELLSKGLIKLVSKHRAQVIYTRNTKGRDGPAAGEDA
MNTKTHVINKLCTPIYGNVFWNTYKVTLKTTLRSALFWMAFILAFAAVFYKGTQATHGYVEILQDGTITSEMIYDTDPRYVLSWDRYSQLALNLNGSIMLYAVPLFCAITAMISLIRDHEDNMFEIEKSYNVGAARYFFGRVLAIVTITSLASLFFAFFSINYYYFTRGGLAQFTLWEYICDSTVRILRVYFNCIVPATINYVSVTVFMSTLFKNGIVGGIMGILYALTNYLFQHFWLRLGDFVKDHLDVTPQCLFNYWGTIGDPLFNLPQCVWITKAEMILITSCILGASALMLGASYFMTIKRTR
MPSFDWKKLRNKWIMRSGSILAWPIGRMVVDEAALYLACDLAATGRDGFPAMSKSGFDKRHGGL
VSFDPIPIHYCTPAGYAILKCNNKALNGTGPCNNVSTVQCTHGIKPVVSTQLLLNGSLAEEEVIIRSKNLTDNAKIIMVHLNRSIEIVCTRPNNNTRQSIRIGPGQAFFATKDIIGDIRQAHCNISESIWNKTLQEVGKKLEEHFPNKTIKFKPHSGGDLEITTHSFNC
QCTHGIKPVVSTQLLLNGSLAEEEIIIRSENITDNTKIIIVHLNKSVEIVCTRPGNNTRKSIRIGPGQAFFATGEIIGDPRQAHCNISIGNWSKTLQEVRGKLKEHFNKTIILEPSSGGDLEITRHSFNCRGEFF
PAGFAILRCNNKTFSGKGPCTNVSTVQCTHGIKPVVSTQLLLNGSLAEGDIAIRSDNFSDNAKIIIVQLNTTINITCERPNNNTRKSIHIAPGRAFYATGEVIGNIRQAHCNISRAEWNNTLIQIAKKLREQYNKTNIIFNH
FEPIPIHYCAPAGFAILKCNDKKFNGTGGCRNVSTVQCTHGIRPVVSTQLLLNGSLAEEEVVIRSVNFTDNTKTIIVQLNKSVEINCTRPNNNTRKRITIGPGRVWYTTGEVIGDIRQAHCNLSRAEWNNTLGWVVEK
FAILKCRDKKFNGTGPCKNVSTVQCTHGIRPVVSTQLLLNGSLAEEEVVIRYANVTDNAKIIIVQLNESVEINCTRPNNNTRRSITIGPGRAFDATGEIIGDIRK
EEDIIIRSENLTDNAKTIIVHLNKSIEIVCTRPNNNTRKSVRIGPGQTFYARGDIIGNIRQAHCNISESAWNNTLHKVARKLKEQFNNTTKFEEHSGGDLEVTMHSFNSRGEYFYCNTSGLLYSTYNGTDLLIGTISPNGARTLPCRIRQLIIMWQEVGRAMYAPPIEGDITCRSYITGVLLTRDGGEMVVALI
EEIVIRSENITDNAKVIIVQLKEPIEINCIRPNNNTRKRISIGPGRAVYTTGQIIGDIRQAHCNISRGKWNGTLQQIAKKLREQFVNKTIVFNPSS
VNGSLAEDEIIIRSENLTNNAKTIIVHLNKSVEINCTRPNNNTRTSIHIGPGRAFYGTDVIGDIRLAYCKINGTKWNETLEQVARKLKEHFNKTIIFKPPSEGGDPEITMHHFICRGEFFYCNTSNLFNSTGTNSNGTIILPCRIKQIVRMWQGVGQAMYAPPISGKIKCISNITGILLTRDGGNKNNTNNETFRPGGGDMRDNWR
DIIIRSQNIQENTKTIIVQLKEPVQINCTRPNNNTRTSIQMGPGRAFYATGDIIGDIRKAYCTVNKSHWNATLERVRAKLKDLFPNGTIKFASPPPGGDLEITMHHFSCRGEFFYCNTSGLFNNSLVNGTINGTTYKGNETITLPCRIKQMINMWQQVGRAMYAAPIAGKITCNSNITGLLLTRDGG
VSTQLLLNGSLAEGKIIIRSENLTDNAKTIIVHLNKSVEINCTRPSNNTRTSTPIGPGQALYRTGDIIGDIRKAYCKINRTAWNETLEQVKAELKERINRTIIEFQPHSGGDPEITMHH
MVVGVCQISLSLPGVTSLKAKRSIVRKVLDRTANRFNVSAAEVGHQDSHRQAVLGFAVVSGDRRHANAMLDSIASFVEGASDAVVMERSTELVSMGDLGGWVD
MLVFIDTEFTDFSNKDLISLALVAEDGRELYAELGDYDRALSSDFVRAVVEPLLGRTPGAVRGARAEVAQAVRAFLAGIDAPCIAYDYPHDLVLLRELLGDMPAPLASSNVYRKLDETLIERYFAQHEAPVHHALHDARANRYAYRPDYRRRLTRRAS
MLIFIDTEYTNPSVPELISIGMVSEDGQYQFYAELDDFDSTLCNRFVTENVLPQLNFTYKMSRQELTNKLYSWFLTLPRSITICADDFLDVKLLTDLFVERPLNLNAGWFDLRHLINTSIYHDAVCHYHDKYGPWHHALNDAKAHRIGWLAWMDNRKRKFFSK
MQKEDWRARLSTALEKSDKSKRAVSLAAGLGPGYIHSILSEGKDPTVDNLIAVANALDVSVSYLLYGYDLTPETEKILSLLEKNPEARAGVFRILRSLQDN
MARQSGCVVTVVEALDRPPSQSVSARTAEYFTRLHPCAGTRLLFGPAQGPGVWRPCTATAARA
MSENEIIYCRFCAETANSLQYIDLSIDSQTRSSVVEKLAVLNLNEVDFRDKTLPETICLSCYDIFKVSYEFFTKIKLSQVVLKERGNFFTSEATVFVKDENGENEVKSQEISFNNDYDTNCYIEDDKCDTEIEKCNVSWASYGVSCKFCGVDYSSVTELREHCKETHSTCCGFQCSDCHSNFEDFTTFVEHVREHRKELIDYCQHCNMKINQIDHTKTHILQPNCQFCGEIFQNEISLTNHHNLYQNLPKKLSKHKISELKELLSYGLEIYEVDKLEIVLWKDYIWICQYCSTRFSNQDVLRCHVKNVHGKCFGNKCIDCDEICKSFDGFIEHVRLHRPLLRYCCQYCNAKFDDQQLTIIHTNTHLQSFQCETCGESFNSKFDLQRHLTAYGKKTKRIPFKSREKPISIEDLTCDICRKVTKSLSNLRAHRVLHTDRNRDYTCDRCGKTFFTKGALYTHSFIHQNVEPQVCKVCKKTFLTLSRLKKHIKTHYEDKPFECNLCFKRFRLKDHLKGHMITHTDLLPYSCQYCNKGFRHKNVLKTHENLHTGAKPFSCTVCGMEFANWSNCNKHMKRKHGATLAKNVLTPQGKVPINQKTGKPKKIKDLETVREWTEQILVPCKRGKKSGQEKGYDVVDLEAMGGKL
MNNVDNNYCRLCAELKPSKKLLNLQTDDEKRREMIEKLTRVNASTDLSEDILPKTVCFVCLFSLDRAFEFVVAIEQAQTVLNNIFIAQQTKKEVEESCSDEDYYDVPDDVKVENLDVKQEEKEELETLKKLGIEYEARPQEKKYSPKDLSLDTIPLSQLKLTWKDYSWTCSYCETQFATIDELRTHSIQYHDYCNAFRCTDCKIRKLRLDSFLIHVKRHRKYLKLSCYKCHKRFSSPREVNIHVSKHKSTEHFCPGCNTCFTTSEELNLHTSTYYQSRKSRPIPQVPRAPDDLSCYLCKKTFKYRGSLNTHLLIHTDRKRDHTCDKCGKCFLNKQNLAGHMILHDNVRPFQCEICKASFKTHGQLRNHVGVHDNRKPFACEQCGRCFRLQKQLTSHSIIHTDALPHICSYCNKGFRFKTILNQHLRQHTGVKPYSCEVCQRDFTNWPNYNKHMKRRHGMDMAKKKHTPEGVYPIDPTTGEIIMHMETSKMIEWKQKMMSQRRPGRPKLNVKLEDKNMVQNEKPTETVS
MKSSASAINENNRRFILFYFLIIFAGCLTGLITCLVTGMQIKWFVVYLFAILFFLAFTLTKRPKKFALGFFIFSIPFFIGKQIISSGYQLNTGGPSSLGIFLYDIPLLLLLVYFVAEKVQNRDSAFYLPSVFYPLAFTIIWGFITFVNSVEPMLTIIETIWLCKMAVILIIMTNLVQDKKDLIFVLTILIAGLFIQEIVTFTQAYFQKWFTFTGDVERSTFLEGSHADVFRAGGTVGPHNVQSAYYVLLIPLASGLCFSCKKNIVRFVLLPIILSGIFALIMTYSRNGYVSFIIAMTAFVLLAWHKNFITRHHIILGVCGITVASLIIFTLFGDNLIARIRSTAAIGPRLEGMEIALNMVRHHPFIGVGLNNFSVAMSDVNYSPEGLSAMQQTYFGGEFIRTAVHNKFLLVASQTGLIGFCLFMWMLYIIYSYAAKLLKVQSRFYGGIGIGMIAAMIGASIQMLFDIYNSDLLITIFWVLVSIIFISHKLHSQEGKGALRGRPLLRQALRGDCCERSY
MLSLSKIVKNYRETGALSENVSVYGFLDEHVFLTKAGDLGLVLNVEGVDYECLDHKQTDGLAKRLESALRLLGTQFRVYQFLFKSRHEQIPHGSYSNPVVKQAIEDRAAYFDKKSRELYSLRICYVILCEGFRYQAKLGRTLQNLASEPKQAVEELKGYLSSKKQTVLIADAIEKQHRALLHQIRSLILQVSDFVKIGMCDKQAAFTMLKRLLNFSPTKSEHARLKYDTHVDYYLADSALECYPGHLVIDDYYVKVLTLKEPTAQSWPLILRQLFE
AGQQKVGAGFCPQKGVWQPLAASQMLCLPHPRVGKIASAPGQYFQEMMPYAQQGMQRGLSYSFFFLVSRGEGR
MASDGDISAKIDHLSGADLVRHHRTTSHMADYEAGVRVICERERWPPKSNAYLPAKIDAMWKEHDVLTRAVHEDKE
MATGGLNNLKVELLRILAGKNVVLFPDLGCYDKWYHKAKEFKKFCNIKVSNILELNATEDEKNKGLDLADFLIKNVL
MSWRDDLSADELREIFDRKIAPTLTAGGAAELHPSVVFVGAQPGAGKSRAIADVHSEHPGAVPVIGDDFRAFHPDYRALMRTEPLAMPHVTAQASGAWVGMAADYLRAERRSVILETTMRQLPVVESTAAAFRTQGYRVEAHVLAVPGAVSALGDRRRHRRGARRGDTGPTECRCGGCPRGSGHGRGSGVRRIRRFDRSTCRCRGNGRTGQPRRDCPRPVRGLRDDEKPPARDDDGFRPRCPDRCCVATRRAHGDGRARHSGAGPAPHRLDGLPHRRQQWSGQRDPRRHRSAERPRTDRSPRSTRDGRGASRTLPGRPDDRGARDLCIRSRPRGLGIHR
MKKVKINFIEAAMKYRQVTIVLTSLLMLLGVYALTTMPRSEDPQVTVRKGLIIAAFPGADELQVEQ
MNRLTVSLVLAGLAHQGASDGQEMAASTPTVRSPLNEAYRESGVGGPSVPRGIGRPGNGRLNPYGTLSLKRGLPLVWCWRA
MVRGVARPGVWVSQARARPGQARARPGPGPGPGPGPGPGPGPGQGQGQGQGQGQARARARPARARARARARARARARARARARARARPGPGPGPGPGPGQGQGQGQGQGQGQGQGQGQARARARARARARARARARPGQGQGQGQGQGQGQGQARARARARARARARARARARPGPGPGPGQGQGQGQGQGQARARARARARARARARPGQGQGQGQGQGQARARPGPGPGPGPGQGQGQGQGQGQGQGQGQARARPGPGPGPGPGPGPGPGPGPGQARARARARARARARARARARARARPGPGPGPGPGPGPGPGPGPGPGPGPGQARARARARPGQGQGQGQGQGQGQGPGPGPGPGPGPGPGPGPGPGQGQGQGQGQGQGQGQGQGQGQGQARPGPGPGPGPGPGPGPGPGPGPGPGPGPGPGPGPGPGPGQGQGQGQGQGQARPGPGPGQGQGQGQGQGQARARPGQARARARARPGPGQGQGQGARARARPGQARPGQGQGQARARARARARARARPGQGQGQGQGQGQGQGQARPGPGQGQGQGQGQGQGQGQGQGQARARARARARARARARARARARARARARARARARPGPGPGPGPGPGPGPGPGPGQARARARPGQARARARPGPGQARARARPGPGPGPGPGPGPGPGPGGPGPGPGPGQARARPGPGPGPGPGPGQGQGQARARPGPGPGPGPGPGPGQARARPGPGPGQGQARPGQARPGPRPGPGPGQAQAEARARARPGQPGLGQGQGQGQCTRHPFSRYRGLLSWHQLKSSNVTCVVKTLQDSRDI
MTVSIDQLFNGMKAIIDEYLESLYDSSSMQENIVSRPDFVIPDIDFLMHVDFVGVAHFSKRSISDASKAIRDIEPIAVCLELCPYRYEYLKSVQEDPFTKAFSSEKNELVTAIDLLNGMDVDAWLVDMNQEEIAARVLALASVEEARAWKRIQNYLVKSEKVGLMLWEEGLKDEAMKVFNGDVGLMRRNFPTLWKVLIFERNVFMTCGLIYLVLHYLENGLKEFKIICLVGAVHVEGIKQLLKQPKKAFQALEKLGIRFRKPYAIKSN
MVVAFLLFVKMDGRARRGRQVILDGYYQNRQVFCAMRDSVLFRTNNLTRRAGLSSIGNLIQLAAQLVVNLVVTPIVILGLGRELYGAWTMIQQSVGYLGRADLRPMGTLKYLLAAHQYSDDIEEKKRLIGAALLLWMAMLPIIIVIGFVLVWAAPIFIRASPENRWVVQLSMAIAAINIALGRLFSLPSNVLGGMNMAYKAMGLNALMILLAGSFSAIAIWIGWGLPGVALSIMLGNLIIGGARYLVAKRALPWFGVSKPERDELSGFTMISGWILLASLGMALITSSDLLLVGIVINPTAAAVYATTGAVVRMVTTYMQELLASISPGIGDLCGRKEFPRLENIRNELTLVTIGLFAIIGAGILALNQSFLGLWVGEGFFAGNLTNLFLVLIGFEIVLVNTDILIIDGLLQFKSKSITLLVTGITALVIGGILAVKYGMLGVAFGVFIGRLGFLAFFPAFVSKHTGRSLLDFLRIILRPLLTAGLILSLGYFTSSLIQANNWFSFISWGVFIACLSATIMWVVGYQKSDRGLLLRRLSVLFQ
MDLPSAQRPEMIQQVKSTRYSIARRYGRVTIEGHTYVYERSTDTLMRQDAYHRRKQSTCC
MSEFQNRAVELLVAGPGAAVAMDTVERRTRFLENALELYRAMGGTLDEAGGLAKAIYSRPATDVVAEIGDVMIALAGISQINDVDMMQAAYNTLDAEWKNLELSSDGSGDDKLYSRTGASLSG
MKNEDKKMTIHLHTSRADGLLNQIVDSLGDFDRRFQRRHELRILSCYLDKNLRGIRALIDHVSEVVRLTDVELMFEMLEIYRGRLPNDAERDLRRLERRCRRREVTFRWIPVRVGMLMHAKSYSVVQRVQGRVGDGVVWISSGNATTRGLGASRARVNVELSSKSTSRRDVADFLDIWGQLRENEIDIAQAFAQDDIYAFAYALLASGVFLHDWRDALRSQLGVRYTLTDVGRRSAPGNNELALLGLDLDRATATRNPFSAQLDFPPARRLPGAFARGYTIDTLLGRWCPCSIWNVVEQTIGQDEAFRQFYDALLSATTPQAMDRLVERESKIEDALVARGLVVPDEERLQRWRGKISWLRKDETRLTRMFLRFEPFELPYDYQAREEIRRLYDSVLESLSFRRRQSVVASKVWATQESRELAALELREDEQAVLETLLQGPIGDEDESETAE
MDPKPKSLKQSQKIDFHTKIWPQDHQLLKKLSLKYGNIQNVIEEAIHLLKVRESLLDEEIMQTIIKHEIDLYPLSHLMLQDFRMVSVGRRTFLSLIQNLPETPIQENNALELIEWFYNDVIPIKNLTLYQVLAAIRNLWISGNYFTKINIQSTEEDVNSSETIDIQHHHSFKIVFYHDFNDQTYGEYWTKYFTYFLSEQPLNYHVSQIVIRPQSFYFVVELQTLQKS
MSGGVFPLRDADLEDPVSSPPGRFRWPGSQRLALAFCGSVDLNPRSRVQTLSKRDALALAECRPDRIIKSQVSHHDDAHGRCAPSSPPRLEYDQRFRRTTAEGELQESRPSPFAKIVRRSNPGRAT
YKKDSSEKWGLTQIRKKGLRDFGATLSPEAAFHIALGAETLALRMERQCATAQSLANFLHQHSCVERVYYPGLENHPEHERADKLFQRPGALLSFELVDGIDPFQFLNRLKLVINSTNLGDNRTLAIPVAHTIYYEMGPEMRATMGIADSLIRVSVGIEDCEDLIEDFDVALQS
EMASRRGSDLAFLSLARSYVLQNTGSCLSPVNAYLHSLGLETLALRMERHCSNALALAERLKGRSEIIEVNYPGLEDDPCFETARSQFGGKFGGLLTFKLGSRERCFALIDNLKLVKNLANLGDAKTLIIHPASTIYHDCSKEEMAQAGVSEGLLRVSVGIESITDIIADFEQAFKEAC
MVIGHTKTPSHDRLPARQPSITTLFRSDRRRGCQLRELPTSYPTNSYQQTCPEQRDFAPTPNRFSLLAELKHSPTKPKWEFDFVPTTVEGTRRRGKRGGKHTKSKGDAPKKKDERGTRALNLDELCNRMAALRLNNEKPIPTPRLQTGDDQHSAVKNSSINSAESAKTHWAPQFKPNELRTPAITTPKPTNMKQTDLPKQSERRHAHHRFSRSPSEVWDYKRKEARSFPFSAFPASADLTSRDNPLPQISRLALAQVEFANKQPSICTLPPTQSQQSAVLAACRVLATRKSGLAPSPLNDEPVKHQADLQSSSPSTANIPTYIASSTLAGTPTIPNHIPTMPSPSVISVPLPISTLVPIVSVPPTPSVQGPQSLQSPCPRPLLPTCSPRMWTDVSEGITVFKPSLRSPSTTPAVPLYPSTNAPKPRLTPVVPLDPFKQSPVTSFLARPKPPIHFPSPEVQKELDDFLAMGHAGPCWCSNHSQVHTLSCSSSKTLEISHPSSPIDVDVVIGIPLATPETDLQTTLHSDETTASPGSESDTNFWDSDAESDTDPPSPSPSLSALSSTSDFEDLSNIPHPTTPSSPSSPGDEDWAIVSPSPQYLISSQLVTSSPVARYEYPISPVGGLLTPPLTPPHVAPDDAHSPWALTSVQTLSTPSPPSWAEEEWPVVEVDCVCDEKSAGEWPTLREAARTVRDRSGTRGGEGWDGGTDWFL
MKVKLNISTGFAGADYEGKVEIDDSELEGMSEEEKEDYINKEYVEPFLYEHIEAWYEEITD
MERNVGGVVLAVALATLLGLVVRLVVGVAAVLAQPELTMLGTIDAVPPVWMMRVVSLVNNMVGLLLMLVLYASCRDIFFKRDIRVKQAT
VAISKAIFWPCSGGEECGGDQQGHFLVLFGRAAAEVTRAAARMIGYAPERGSYGSSAQSIRTLYGQYGEPNWPDAEKNLSPADRPKKGIIQTISC
MHSVSAIVLLGLVASSLAGNVGFGAAVTAPLVSHAVAAPAVVSHAVAAPAVSYAAPAVHAVAAPAVSYAAPAVHAVAAPAVSYAAPAVHAVAAPVAVARPAVTYASAPVVHHTVASPAVSYAAPSLAYGGYTYARPAVTYAAPAVHAVAAPVAVARPALASYAVAAPAVHAVAAPAVASYAVAAPAVHAVAAPAAVTTVHQAPAVATYGLGYGHGLSYGLGYGGLGYGLGYGYGLGGYSYGLGAQYYGLHKK
MRPYLPLLVVFSALTVALVGCGGGSAGSSATPTPAPTATPTPAPVASPTPSPVPTRSFQLTVTGSRGSVALGVNGTSSSFVPGTPRTVTTALVGSNLLSAPASYGDKAFVAWQRNGTNFSTNATISTLPSELANGDTVTALYGPAGVRTGQLTPNYNQIDAFFWPADKLPLKVFFAASVASDYKAAMLDGIDRWMNALGSGITYTVVTQEADATIVLQMGDASGFLAQTTTTASALSLPKPLLKAVITFDPTKVPVLNSNTNRLGFTALAAHEFGHALGINGGGVQGHSDDPADIMHAIVGEDSLTITVRDINTMMNLYSSIYDGRKVPVSRAMSSGKTTVQTLSCGVRLR
MLRMKVPCNGATVCDDGTALAPSAAPGRSVLASEAVAAAFRTAEQSRAGGGARWGDLWGGEERSAEVGARSALCELTRGRLFERQ
ANILWREEGLGVGNMFYIFYEDGIKVIQPIECEIQRHIKPSEKILGYQVSTLVELQSF
MKDGDLNFNSPLMWLQGGMGDQGIQSINFGGTPWMQPRLDPSMAGLHPDLYQVMTTALNEMRGVDPMKIASQSLLQFQQPLNVVTDPLAASSFTQRQVPHPSHSQSALLQNFQENKGPSQPQLPQQQPQRNPSHNDRQQQQEQREVNQVHQQVPSMISLPHYTSTAPAQLTSLQQNFSDGIGNTIGASEVSVMQRILGSLSQDGTSPIPSLTGPNHSFSSSPLLQKHIPVEPALPSEATHCTIPQVDHLGVPRSNVSELTSLLPPFPGREYSVFHGANDPQSSVLFGVNIDSSSLMMQNGIAQLRNVGNERDTLSVPFAASNFNNAVGTEFPINSDITTSSCVDETGFLQSSENVDQANPPPRTFVKVHKSGSFGRSLDISKFSSYDELRSELARMFGLEGLLEEPQRSGWQLVFVDRENDVLLLGDDPWQEFVNDVWYIKILSPTEVQQMGKAGIPPVSSATSQRQSKIRKIYDDYVSRQELRNSSDGIASIGSLDY
MDQLVEEGYSLVSLSHKKIKNLLQLKVGQKVSYEVNGATFLSNPGQGEAKEIVPIETKR
MAMAMAGLGVGLEEQPRSLALIALLRQLSTLSAALDETTMRIRQVEQDAQTADAAHATAQASKTEALQSLQTHITGLLRKKAELVSRLQAPIMSDGLRVDAAFHSETVSLFTTMAQSLQHLSERLKDMAWMSSFSLADGRLETALDHTAAVISTYQSTFQASVQLQQAVASLAGSTASSAMQ
MPRGPSLLFLALACDPGGDSAVSPTEDSRPSPEGWSWEDTAAEPEPLDVSTLAADLQANLEAMYEVKATVPLDSFEAAYAYHTDRCPMNPVITETADRGTTLYFDGLCDLEPVDFKGPALYYEWNDAFIEPIAAMSVAAGLPSTIRWTGRGFNGQTDIYSDDGTLDFNCSCTMIDVTGDADDGGHWFLGVTSGTAHWTGPEGVGTWMDDPAVVPELQIVVNELGDQRSLHAGGTLSGYGSRYTSMSWQLGMDATRAGGGWGCSSGATLAVSFRDDTDSDWSTLDFTVDSACGACATTESEESVCVNLAPLLEFEGSPW
MNDINEYNQVINKMSLLENNQQQILSRLKKLDKIVVNLDVIEELIRLLVANQFLTDIFKEDNPFKLLTNKEIRDLAEKKQIKLPAKAKKSEMIRIIQKVEGNLPCYATKLCNNFSCLWYCNCQKAYKDDYI
MTPDGRDTKGERTRARVVEAALATLAEDGYAAASMRRIAQRAGVAPGTIYLYVPSKAHLVHLVYDAVTERIVERLASELGTTRAFARRLDAAMTIVLDEIAPHHAVAVEMLGASLDPASPTSPFGDASAETRDRMIAALGTVVAGSDLRADAEVLEALPELLWAAVMAVMLAWSMDRSDDQRRTRALVAEVVPLVDDLARASRLPVLRGQARRVLALARMARELGDA
MPQERPLRADAARNRAKITEAATRQIIMHGPEVPMEAIAADAGVAVGTLYRHFPTKGDLVKAVVDECLEDMTVEVVAAADRIASGARAIDEFIAFARLIMENASSNKAVKAAARELGAVYDEESHLRRGTEAIERIIAAGKAQGDLRPDLTAEDCYMFFVTVPTEQPSALRERWFTLMVDGFRAGAAAPTDTLTSTAP
MTLDQVARQAGVGIGMLYRHFPSREALVEALYREEVEQLCASVDTLLAQLRPDLALRRWMDHFADYVEAKREMGDALRALIDTGVVTAATSRERLAGALRHLLAAGAADGTLRADLRAEDMVTAVVGMVSATAIAGGREQLGRLFDLMLDAARGRVGEYGT
MPTDAEESSRSNRGPAAGPANRRALIAAAREVYSEDGLQAPFSAVAKRAGVGQGSLYRHFPDRTALAVAVFDENLGELEEATAPDGRTIDDLLDAVVAQAVVSTSFIELIAAHIHDPRVRVLGERYGALVNRLVVRERAAGHLGAHIDTADVMMATGMLATELARADPADRDEVARRARALFRAAFAPR
MNGPARLISVNDTPQRSAKAEQTRQSIIDAAMQLFRTKGYDKATMRAIADEAGVSIGNAYYYFSSKEHLIQAYYDGINAEHAEKAAQTLATATTFSDRLTGVLLAWVDVAEPYHEFAGKFFKNAAEPTSPLSPFSADSEATRLAAIDLHRQVIDGSDIKLAKALRAELPELLWLVQMGVVLFWVHDTSPGQQRTRTLVVQAVPVIDRALRLTRIPGVRGLVDDVVGLIRTIKP
MPTSLQQCLELFNQDISSFDQALALVALESKKYKTSKSLLLVFNRFQIIMP
MIKQHYDDGKKHIHVLSYGGGTQSTALLLMALKGEINGVIPDYIIFSDTGWEPQYVYNWVNKVNEHIKATYGREIRASFG
MTNHHHEADAVDQLDFPSKETQEKEEAQNDFDDFTQSASVVAIHKETKQGNMVETTGTIAKHANQDATETFESSVSVVVIESGATDRSNVANTNLLEEQMTALQIQETTTAASKDARRFVTAKDFDLLKVIGMGAFGKVLQVRHKHTSRILAMKVISKRLLLRKSGYVENIQAERQILTRVRSPFVVTMHCSFQTREKLFIIMDFLAGGELFLRLGREGIFLEKTAAFYLGEIILAIDHLHSLGILHRDLKPENILLGADGHVCVTDFGLAKDFSTVGGFDDEENRALTICGTQEYMAPEMLSGQGYGRSADYWSLGCIAYEMLAGLPPFKSKDGRKELFRKIMSEKVKMPSGSTAEACRLLKGLLNRNVQKRLGAAKSTMFEVGGVAALKNEPFFNHLNWEHLANKQTEPPQVLSVQDDQDLKHFHQEFTDMALPRSVVLMSKDEFTAHHVESQRFRGFSFIHDSFDLPERLDSELESYWKSIEEDAVSLSECASSKMDNEESEQPSTESPIKKRPPRKRKKNKNDASCGTPAASTYTSPAPSEVATPAPSNFEDDVVVIQNDSSAKKDTSEPVTQQEQEKFVTSTIPESNHQEKPIVSSADTSKKSVMNTGDWQHVGETSSKVSRQLPFANTGTPNISQLKMPGAKEVNKSLWQTSNQPQSSAPLPWNRSKQSGTAAWSARSESTPQAQQVASPPPTSSRPLPSSDWRYHPMPSRKPFSVEAQQPLKPSSQPIWPSLGGAQDKSASISKNLPPTKPALQGAWASKALK
MNNETESLVTRLLSFADLTHDMVSRFGAELMIQTQFIEAVLPNLNSIQRRQVATTFRQGIEHVMAYTDDVPMPAEYHAALLKRANALLEALDAPSPVRH
MTPVHDIHRFDWSDAPDQVRARCKLSLLDLIGIAAAGTQTRLSKIIRLHAQTEFAGPIPMLFDNRTASASGTALAAGMTIDSLDGHDGFNPAKGHIGCPMFPAVLALGYAEQISGREFMTALLLGYEFGARAAMAQHGTCCDYHTSGSWGAVAAAAVGSRIAKLTHDETRHALGIAEYHGPRSQMMRCIDHPTMLKDGSGWGAMAGVSAVRLAQAGFTGAPAITVENAPEYWADFGTRWYMTEQYYKP
MQDFINQTVTNLFYIVIVILIIEVLIPENYRRYIDIFLGICIVLIIFTPILEKSENLKFQFMESINKLEDEMKEKKIYSEDLYKQSLVDEYKDRLKEDIKKKIEAETGMDVEIVLLKICEDLNMPKFGRVEKIKIKGDYNEKIVNILKNQYGMSKDKIEFEEVKVKDDNQSR
KSVVDKHLQTLKHENNKKNTNNSNYLIQKTITSLVRNILNEREIINVEVVKAFTFANIPLEKINDNF
GPLRGAHVQLLPLDPGGRGERRTTDTDSAGRYVIDGVAAGRYLTGFFHPRLDSLGLDPPSQRVDVRAVSEQELDFAVPSPATVIASWCGREAARDAGVILGYVRDVASTSLLEGATVAARWSAITLGAGGARAGVQETTTRTNATGWFGLCGVPRGAVLLMHAVSDPDSSALLELDVPEHGLLLRDITLQRRATAPTVTPVVTGSVRDASGQPLAGARVRLWGSSIETRTDGDGEFRFGTRNAGTQLVDARMIGFVPTRRVVDVSPTAGARVELTLADFPLEIDTVRVLAARARRPGSLAGFEHRRRLGHGTFLDPDQVEIRRPLVFTDLLRGMPGVDIRTVDMMTRAIQMRGVNGTACTPALVVDGMRLPLVEMNIDDVIPADLVKALEVYPRRIQAPPEYQTMDCGSVVVWTGVRGWLARQLKDRPPARPHRP
MNSEDLAKNIKTLLVQQHKNYFSTLNNATTGFKDPYWLAGQKVFNSMNEENKEDLKAFIQMCIVDTATEILAPASARL
MSADAVRNLDEKVIDSFLGNGCFIGLGLQKCLVAWGNPKRLKKPNIEKPQFYLPDFYLEDKSPWVSFEHVAVTHTIALADALQSFSEQPKLDLIFQEPDFAQFENVFGKIKSSIQNSEIKKAVPVVFAKANGSITKAMRAQYIQNLLRRQNKQTPYGYLTPTSGLLGATPEILFSYDNKNLRLETMALAGTRRSDQEKVNSLSEDEKEMYEHQLVVQGLKEKLKELGDLEISPTYVWDLGMISHLRTDISIELSGQPISSALFVEMCERLHPTAALGVAPQSADWRYLKSCEGTLDRARFGAPFGVLNPMGKSLALVAIRNIQFNENGIVSLGSGCGIVESSELQSEWQELALKRQTVREMMGL
MKDVTVGRRDGLDVVVVVLHSNGKEVERAEEKSRLKVVVGGKQSFFMLIGNGREETSHNGTDLVSSTKTDDDDCGGRNQKGKKPREGLKDSSDILQRDSFANLRYLFIKGNPHLHESTIECQRVARMLITVATHLVTDFNSTVRNFANQPFFNNLSSSIHNF
MSAKDTASQEFTSYYLQQSTKEFAEDLDNIRNADDFKGDAVGMLVKSLQQGITLFSTIEKERILSSQKTTGLEKE
MSGRATVGLALEWFVNVDHAPIVVALERGLFDERGLDVHVVEPVDHEAGMALVAAGKLDLAITEPIHMPGERLEGYPIVAIGKYFETGFGLLLKKGVGLGDLAGTTIAAPLGTYAPAMVQAMAEYRGIALERDAVHYADVSYYLVDALRRNEAVGAFAAFENVEVLEARAAGIEVELLRFLDHDVPSPGHLVFCAHEDAVDDPERRDVLERFLGGVVAGVHAVREDPDGALETFLHVAPHLRGELTQQQWADTVGRFTDDLSLDPELWARLARFVHERGLVEREVSVKELLRPLGVTEQGG
MAKLTLFSLKNNHRRLDTVSPFGTRHVIISLMADEQQSQTGTFI
MSKTKFESDLNTREPIFTIPDLQPRSGEERIPAAHIWSGLSRGGRDKYLPILFDHTDSEITPEFISRFGKFTDEEKGESVKPIVYQDSASSVDPILMEIRYRVKPVLESEVDTELDGQGAVFDAAKHWKFNYSESTLEYKQSIREYGFLYRLDFMVYGTPFTAKLPIFAGKPTESELREGLKQALIPCVAIVPSKESAFSDIELLETRIEPTVFRPR
MAFAPLAGAHLTYAQHTALCFYGSAFAAAHFAYDVSHDSFARTSLINRFQRFFAAFAAAHFAYAERTALRT
MRYIFSILFFFGIITVYAQDTIVLKEITVEDNYTKNINKIIVDSITQQIHHQNSLGDLLSYEPGIILKNQGSGGIQTISIMGTHNQHSQILWFGMPLNESLNGQFDYSQLHISSIHSTSLYYGISSIQQFTGSLGGLICIENQQLDSIKPHSTIFLRYESLKNKTIYLDHITKIKKIITIHSIRYETGEQEFDFLNTALLPTLVCTSKTPFTSFSYQNNSQYKWNKTTYQLNVELSEHHRTFAPLMTSYFKAEHNENQDNKAIKAVLKANRMIRKWNVEALVGTRINNMNYTLQHSISNNLVSSISSESKENSLFFSVNANKQLARKIKTNTYFNFNQEYGQFLDLKYNMGFCKTRYQSNVNQSFQLYWNKFFRQNIIINAHIMANQINVLPALISSIHLSNNVTIYYSVGYNQRIPSLNELYFTPGGNKHLLPEKSFQNDITLKFNFLKNTAQSSTFIINPYISQIKNWI
MALVAARNAIVRRYSFFLLRVKMTAITDTHPTTSTSNTIRGLAWGGLSGGVLVWSGSFVLTRFGFKTALTPFDIIALRFGVAGLVLLPVVLMKGFGLRQLGPLGFVLLASGAGVPYALLTTCGLQYAPPASHAAALIPGLMTAMVAIMGMALLKEHLAPSRWSGVVLIVIGAMLIAGLSQLGGPEMLGHLFFFVAALVWAIYVMVFRKKGIDGLHATAIAAVTSAIVYLPIYMIFLPKGGMDATPWGGDIALQGGFYQAS
MEEYIRPQEEKALSQGETFNWKTATYDFFKDFKSEFPTIVYNDALTSKLDLLTGPTIIPRHINELELKDETSLSECDEEEQNVLYFNNLFPFNVIYPDDLKSDTNNDNDEIYIEYSSGDMSVIPLPDVINVNEQVLNKLSETSHDTSNKIFKTKTFMKKLNVIIIACNYLNNGMLLKNLYVPFGIPFDHKLFYKDGINLGQV
MTPTRSARVRGDGEARRLPSPTVASAHRPEDHPAAARVRAALLTGPSDSGRSRLRREAPAIATPAEVAP
MNIEALYHAVDRVRGSCLGSHSREATFLRTYPVLLSAARLPVPNPVDDFLRLASLAYAWMPQALRLETNHLAAAARALDAARGEAPLLSEPSIDAIAGCLGSLVGAAKVLHLANPAVFPLWDPRVERLRLQEAPTAYHMGQSSNYLRFIAEVRAIAAHPLFLTFHHDYCTAYQARLQCLKIPAYPLTEPRVIESAAAELAGG
MQSNVIQNLTQQKPPLTRNILLSQSFPLALGDGFMQQKLCLSFTYGLLLWPCFLISFLKYRAEHQQEVFFPGFHCQYLAFTSFTLSWALLSPTWQQLTHIAMNGLS
ISSLNSFFQELATVEPVIELVLCPMETNSHHNKHHHNFFTLHPQNNFIWQLRYMYDIKHIAGCAIVVRRTGELVTNNPMEDIGKHGIHALNLWINK
MLFVYELGVDILYFLTLSDGVLNNSLKMPHKYIVVGILALVLIFTDYLCGSVGSVEIAISHKLCKCFNTTNDYNDNGSIKCMCVQNELKKIPNDLPTPLHELIIGKASIASLTKDSFTNYKKSIRDIKVICTCNNGLLQCYVVLTKNRYRTTSNVNVIALAQIFVKTLRDLRNLVHIENGIFDNMKQLRTIHEDFKTIETTHADDYLVNINSRNKQQLLNSERSDECIDFTMMCVFFFCVSVYSITSRNNAPISNYGGGFRCKN
MTETKIARLDQHVLKTSGVHSMSTGKTRSFRFVYGKGYYHIFPDGVTVKCYTTFFDVGNEKELTIPMGNMKVPIRSTFDSNLSIEYFVVRDILFLQYKDIRWNNVFALCLNKAGHSGCSINIDIYNRLAAYSEKEAEGTAEEERKDRERYLEIAELQLKLSEAAEMNKRMIAQKENEAKAREKKIQDEAKTTEMKHLQKIAELQKQLEEAREVSKQKQEYIDALPRNELASFRKNKNTDFSIACGDGVSIPVHRAILASFWPFFSTMMDHACKEQEEGVLRLDYESEVVELLVADLYGQKIEFGYNQALSLLEITGLYDLPDLSAMAYERILLSEPELTLEECVSGWRSARLANHAIAKTFFTKLVVAKTKPVLGEEGLRPEFDGMTQEETLELFFESLRV
XIKQLLIFVLLQKLARFLGGRGASRYNVAIRWRAYCEHFKLLYATVPDFKGHRFNILCAIAAAVFYLLDDIIKFHEENRTHVDDLKIDIGGEFYSTTTDVTTVPRSNRKCESIFGLIDSDYGVAPNKRIARRDVKAQMKVNKTLDWFEAKPKEERESILKEAMATAHTLEKDAAEDEKALGDAIWKRLL
MVLPKSVILLLIISTGALLFSTLHSVLTETHMYEFDEPVESDFDQDGVSDPLEQPLFGFPFEPVEEMSKDRLLQAYKLVEGQCISYFERFERCHSSLQKATREQNLAEAYLEMETEKVEFYKNEIENYKNKQSSVSWVQIITNLITWGSGITAIILMWRKDFRETKTHSGSKDK
MANDAVLRTCLVCLGALVLVIGLYTYSFKKMFATYVYGILGIAGILLPDWEFFDRDFSQWVYPMSADTDSTQPPRSKRFRIYPMRVILYTMIYSFGLYKWWMYVFNT
MAFELHIRDEDQAYLDGLPLSGRAKAKLEDFIDYAIRKVPSGFRNNPENRPSPDKLVFVLQFFLVDAWGDDRWHTIDFTVDDSQAADGKLAVVFVDHAEGEWVR
MDITWVNTVLDWMAMAFILVVGFVFLLVVIYYFIDRFQTEHAIRHNYPVIARFRYLFEYLGTFLRQYMFAADQDERPFNRAQRSWVYRAGKNLSTTAAFGSTRNINFPGKILFTSCPFPLLEKDAVSSPPLEIGPNCKTPFKANSIFNISGMSFGALSKPAVLALSKGAKKAGCWMNTGEGGISPYHLEGGADLVAQIGTAKYGYRDKNGHLSNEKLREAAALEQVKMFEIKLSQGAKPGKGGMLPAAKITKEISEIRGIPVGESSLSPNRHADIGCNDDLLDMIVRIRE
MTRVQFKHHHHHPD
MKSSIFSKFEKTVGGLKFAVILILLFTTFMVVGTFLESSGGAEYANRLIYKRWPFMGLQFLMFLSILFAAFLRLPPKKRLYGFYVIHTGLILIGIGSFITWYSGIDGSIILTRNDPSRQVELNEDIIRIHDTNKGTVYKKKLPFRAFTTDLNDEIAGIKIKKFLPFSDNQMEWKKVENKFPSASYLLFNDNISQDFTLSLHPQAKEFESTLTMGKLNIHFLPTPLGNCFAKPSKSKLIIWNLDTKTCFTPEEKKVAIKKSKRGSRFLALSTKLTGSKKIISFFPDFSPIPLDEKLQPFRKSPFRILSKKLFEEKPHLFLFGNKLSYFSDGTWESKTLGKDPSSLPWMGFNLKLIKYSEVEAPVFIPYYTAPIQESGKLVKGGQKAILVEYQGNEYWVTDNAPVTMIAGDKKMIISLAKSSFLLPFEFTLTKFKMDTNPGTMDPASYESFVRLFTTDGPSSHHIYMNNPLKHSGFTFYQASYFQDNNGGYGTVLSVNIDPGRPIKYLGALLIVLGSIWHFVIRSEFFSRRQKLAGVPA
MLPSRSIELLQEDERDECRARHKPESDSRHARGPADLYIQKDSPGKDKESNWLPSPSGDFVLMMRPYWPSEKKPSLIDGSWKIPAMKKVP
MYRIILLLAGIHVNPISNGIIPKSHLTYDIVMQHLMKCQTKKEDVEKALLLDLKYIRRSSDLYKLKWGEQSCSQIVKHFESANVFRIATQSVNLIKLLYANLRRHETIQRIYGSPTKAVHIFEELRKELDALLEETPWIKTYRAMEKYKKQLDIMSFIDYGFLRNAYGDHIKQVLPYLVKFKNNDVKRNEIFELIALGFDVIFNTGYPNPVANITLDISMIAIGQWNVLDYGGFGFITAHEIMHSFIFEEGDRSPLRYYSTKNAHCVLSQHSKTCQTFPEATYFGYGAPMDDEQLLFYSLAAIFCTEHPEKENTRDIHSGKYARVNMIMAQSDEFAKAFKCKKTDRMVRSKADHCELYGENGPLTRKFVRFLNFE
MAVEKILVVEDSAVTLKLIELHLLKEGYSVITAASGLEAVDRMYEHTPDLIISDIMMNDMDGFSLLQKIRAERWWAGIPFIFLSAKSSIEDKLMGFKLGGDDYITKPFQVEELVARIRVNLGKVAKLKRDTSTDYLTGALNRRALENRLAMEIHRSQRFNRVFSVAMLDIDHFKKLNDTCGHLAGDEVLRGVTQAIQEAVRDIDVVARYGGEEFVVIMPETGKDSAFSAMERLKVSISEMVMGQACGQPLKTRVSIGISEFPADGCDAEEIIKSADSALYQSKNAGRDRVTLFSRPKNQFN
NMLDLTFAWGEEVKHKSFFPPEACWALRRGRTPWTFSNNQLTCKNIGCEISHKCTCIPMLSQGETIGVFRLNITSDEEEQSSGTSKLDYETKFQLATCMTEHISMAVANLSLRETLRYLSIRDPLTGLFNRRHMEETMLRELMLAERTKRKFGVIMMDLDHFKLFNDMHGHDAGDSVLREFGNFLLNNIREYDIACRYGGEEFICILPESTLENTLERAEELRRNLMSFSVEHLGRQLGSVTISAGVAVYPEHGTTEATIVKAADEALYCAKKSGRNRVVAAVATGGKVAAEGTLTALDAQH
MSDSNRESEHRFDLRHRFEELRQLLSQNDREHIDQHLTTLEDRLAEFMGQAEAEAEAVADANVCAVEIIERQEALNIELQTQNRLLEDQNLRIEAARAEIAAQALALADANVEAVFWVEKSEEEIAKLAQTREILSTLNRELTRRAQVLESVTKDLEQRAYRDAVTGLLNHRYFRDQVAKEFARARRYERSLSIIFLDLDHFKCLNDNHGHQVGDKVLEMVGGMLTRELRWADVTVQLGARPFAARYGGEEFVLILPETDLEGGVAVAERVRKRLRDTRFPGGDTQPLGCVTVSGGVAAISPLDSTPADLIKRADANLYRAKGGGRDRIEAG
MAKMEALEKKLLSQRRLKVDEWGNTRTLTVVGALLLAGIISWQTNREREQQSEKEKKLNNQLKFIEVEQDLSNHLLTCRTKEEAYEILHSFFQYLMPSCSGAIFEIDNSRDRLQPTVIMGEFAVIDAHTPKDCWAVRQGQMRSGERKILAVPCQICKKIYPDGVPQEMLCLPLQAHEQTIGILHLSNNQAIAREFLVSLTQQIALPLAVLHLQAELERQTFRDSSTGLWNRRFMDASVQRIFARAKRLSYETQTQYSVGVIFCDIDHFKAYNSEFGHEAGDLVLRAVAKFLMESCREDDLPCRYGGEEFVLILPDTSVEGAKVKAEKIREGVKKLPAPGNRTITVSLGVAVY
MIVTTTLARTSAHGVDRELAALLRETADAIVAQWAQELKTGDTPAYVEQPLAELEADCRQCLEGYEAAIGTGDYSKIRRFVDQEVRARVNQGFRCSEVARKFLAVEPAVWPLAAEHFAEADRLAMALWRVRTCVTNAVNEFTDLYERASQQRVDAYVAEMEQVNRRLEELSVRDPLTGLYNRRYFQDRLVTEFQRSQRHGRPLAVLMVDIDFFKSVNDSYGHQVGDEILRSVALLMVNQTRATDITARYGGEEFIAVLPETDHDGALRVAEKLREAVAVAPLHRMESESGEAFSIHCTVSIGIAGYTAGMSDPAALIHEADRALYMAKGTGRNRATAAWDLEPAAPGAAIGPKHKTAG
MALTDALTGIPNRRAFLERLAMELDHLRSGLVAHSTLIMLDIDHFKQVNDGYGHAVGDIVLKELLASVAQEMRREDMVGRLGGEEFAVLLSDTNAEVGLRRAESLREAIAGQAIDAGKAGDLHITISLGVYELQRSDASAQGCLERADAALYYSKRNGRNQSTLWSERLPAIAR
MTNLAEDERFYRLAPSAIKPHMDTKNAPYLHRAKILVVEDDMHLMEGIREILELDDYEVMTAPSGVEGLALLRSGKTLPDLIVSDIMMPRMDGYEFFEVVRSETAWLTIPFIFLTAKGEKQDIRLGKAMGADDYVTKPFGAEDLLIAVRAKLERNVQIQSVLSSQVSDIKRRILTILNHEFRTPLTYVVAYADMLHRDAQSMDLGELGAFLQGVTSGADRLRRLVENFIYLVEMETGEIEGAFSWRKNRCTTIASCSKAVPRTCCRTWKNASNAWKCTSNPTCHRLWATWNI
MLNILVVEDSQVQAELLKRRIFSTLKFQVTHTTSYAQTQELLEKGDVEFFLALSDLVLPDAKDGEVVDLVVEHEIPCIVFTSVYSDDVRERMETKSIVDYVVKQGSNVDLVVDLVERVHRNRDVKILIVDDSRTSRAVTRKMLELYQFQVIEASNGLEATRMLMDHPDTRLVITDYMMPEMDGFELLSWIRNSMGKSRNELSVMGLSAYGTNALSARFIKSGGNDFLSKPFLREELMCRVVQDVELLEHVATIQEMARLDFLTGLSNRRHFFDVGEKLFSNAKRENITLGLAMMDIDHFKGVNDGFGHYAGDLVLKMMAKQMEESFRQTDVVARMGGEEFAILLSNASPDRIYETFERFRKRIEEATTQFGSHAIRVTVSIGITTTLHGSLEEMVNEADKRLYHAKETGRNQVVFES
MEKALILVVEDSVSIGQQLKVEIEKNSDFKVCVATSYKDAEKIISERGDEVFLAILDLLLPDAPDGEIVDLAMNYDLPSVIFTSDIDDLTRADAFSKNIIDFVVKDRHAIANLDSIISWLQSNLGKKILIVDDSKSICSMVSSRLVACKLNVFTAGNGLEALQIVQENPDLSLIITDFEMPEMDGLALVKKIRTEHSREKLAIIGMSSVDNRALSAKFLKYGANDFIRKPFEPEELVSRVRTNLENLEHLQKLRHLSELKNTVLGTIAHDLRSPIGGIIGVSELLCSELKDELDAEYYEMLSLIREASGDMNVLVNDLLDISAIERGKLSLVKAEHDLGRLIMKRLKIHRFSGQKKGISLETEFASVPLVTVDGSRISQVVDNFVTNAVKFSTVGTKVLIRLMVEEGKVVVSVIDQGPGIPEGEEEKLFKSFQKTSVFPTAGEKSTGLGLAIAKKIVESHNGNIWVENNHDKGAAFNFSVPLR
MFVAAPLPSNSSGQVETVALAWVSRLTSARDRRELAQLLLQGVRSWPGVERAELDEQVEVAADAVLPDPEGCQCWEMRSEYGEQSLLRVWAAADVPDTMDFIGHLVRAYQHVLRLIDGAERDPMTGLFNRKSFDETFWRLSTHCLQTTRPIVLDGQAVAQPERRHEVPPSGYWLGVLDVDHFKRVNDEHGHLIGDEVLILLARLMRQVFRRQDRFYRFGGEEFVVLLPSTDATVAESLFQRLRQAVEGHVFPQVGRITVSLGYTQIRPNDTPSAAFDRADRAVYLAKKSGRNRVVCHDTEPSLSAASVLHQSGGVELF
MALLSHTNDDHLKLENQRLKKVLQELIEKAQTNEQALIKFQQLELALLQADSLPSLFECLLDSFKSRFKIDCVSLFLLDSDHNIQELIKEIYPSFKLDDIHFFSNSIELEDLFSYGFKTQLRQKNIFLSRQLFPDQKYVESIAMLPLIRQGLLIGSYHLGSYDSQRFSPEMSTDFLDHLARICSICLENMVNVDKLKHLSLIDPLTRTKNRRCLYQWLEREVARSEREQTPLSVLFIDLDHFKQINDYHGHNTGDKTLKRVAQVIQPILRTTDLLARFGGEEFAILLPGADRKTAQDIAERIRRQVEQQTLVSDKQNSFKITCSIGASTWDPTHENSSQEQVVQSIIQCADKAVYRAKDNGRNRCSWHPFEVLQLD
MSPSRQSEITHDVIKSVVHLTEQRDELTLLRGLLASILEMLPGVPASLLCVVPAPDREGWILDQTCVLPDPLFPFADWLMAEVGSLSADAPTRQFRRDGLGYLISSLGIQDSQRKAIVMVRPEWKPADLRIAEGMLKIYANFASVLFDSERDTLTGLYNRKKLDQKLGELLAGRMSGSHRERDKDTADYLAVFDIDHFKRINDNFGHLIGDEALLIFAGLLRNALRDEDWVFRYGGEEFVALIKGVSPGTIASILERIRVKVQDHPFPQIGQLTVSSGYTAIIDQQLPAYVFEEADKALYYAKEHGRNQVCDYRGLVSCGELAPERALGGTVELFD
MPSLDFDSIFGSLIELTRQRDQHALEVCLSRVLFDLAAPGVVRLYRVRETSDASTFVPVEQFLPGKNNDTSPGEENIPSRLRDVMRNCLKSCKHTALSLEGENWMVYPLLGVRKNVVALIVIESAREDVDLDSSVAMVLEIYQNFLALVNDNERDTLTGLLNRKTFDVKIGKAVAELQRLGQRTGDSDQREYCLAILDIDHFKSVNDRYGHLYGDEVLLLLASLMTETFRETDQLYRLGGEEFVVLLRDVGLEQTMDIVDRLRSAVEAYAFPHVGHVTVSIGVTRVLPTDDSSTIVSRADAALYYAKKHGRNQVFDYESLVAAGKLDASFRKPSIDVL
MAQRSMTASVLCRLGESMGLQSIVEDFRLAILTLLGACAVFGLFPFAIYRLLAGDYLIGILDALIVFIIAGGVAHAWWSGRVELGARLIVCVTCSGGLLMALLHGDGGLFWLYVVFVANFCLVRARLAVALTAGTIAVLLLVGTPFESDLHAWAFVTTSSMVCAFILIFVKQAERQQRRLREFATRDPLTGVGNRRTMEEELQIAIAAKERDEAGYGVIMFDLDHFKRINDRFGHDEGDRLLIKVCEIVRRRSRRNDRLFRFGGEEFVVLLSGIDVHGLYVAAEQLRQSLEDELKDVADGVTASFGAALLQPKETQDEWLRRADRALYRAKASGRNRVALAECSRKRAVISNTDGSPS
METYGVIIIVSLLLIISCLLWNRLDTSREKKIQTLFFDISILFMDTKGKRQNENCIKLIEVLGRFIKAEHIILRLDKETDKNSSNIYLWNKKESNAPEESEPELLIELKDKEEVIGAITIKGRKALNLLRKQKSNFALFIAKLINRFSNDDKLYIMAYYDQLTKLPNRDYLLKMADEANEDARKKNEMVAYLFFDLDAFKTVNDTMGHESGDELLKEVADRLSQTENKFSMAARYGGDEYLIMLQHVTELGQVIGAAETLMRLFKEPFILSGKDVSITASVGISLYPCHGNSMNELLIKADKAMYQSKARGKNQYDIISTERVCL
MSDKSKIEKLKTRIAELEKELNGLRSTEKIFNAIMDNAPALISAKDTQGNIILTNQQFGSLAGPAPEDYIGKNVYDLFPKEIADELWKNDCLARDSNSSIEAEENVEHKDGSMHTYFTVKFPLKRDEDIFATCAFSIDITNLKRAQEERDRDSLTGLYNRRLFYKSIIDEQKRASRSNAYLALLMLDLDHFKELNDNLGHLYGDEVLVALAKLLHTRFRRLGDYVFRIGGEEFVALLTCQNAEEAVAIAESIRTDVLDLDYVHPNNEGTGKLSISIGLKIVAPNEPIDHEEIFRQADQALYQAKTHGRNQVVSASLWDGTQEGQQQEKQLS
MSDGDGQDDQADDRKQSAARALLDVSGQPMLLMRESDTTVVAANRLAGELFDSSADELQGVDVKSLFADEESFVAFASKLYAEGAVEQRPVSLTTVAGDREPSKVQISARLGRLAEESVAAIVIEVPDNHSPIDAIAREQLDRDRTTGLYSRAYFLRIARHELERALRYERTLTLALLEIDGFKKVLKDRGKDTADELLAEVAERCRGCVRDLDLIARFRDDGFVALLPETGLKGAKQVFERMRGVIAGEPFGNGKVELSLSIGYSELLPTDDATADLLQRADKALYRAVESGRNAVKVA
MESFHLMSSLRYLIENETARKQFLNSPDVKNMAQKNAFVAKSLAEMSASPLELSLKCSRIRR
MSSNSSNPPSPFFSQVGSSSSVSSVTAPGHHAPLMRSIPLPRTNSGSGGPTLHFLLGDASSTYYLYHVAYPINTIQLKQNVSFNDPATRENLPELRLRIFNFGLIFDVRNPRGVAVSDVLNAIHNNLRRPVSRDYYGAMSPAARSAADYAYQQRMKSGYALDKQMTLVDCLGKSYFHSLRPSPDGQFLDVLFI
MPRVFLSGNGTADGTICVVPAPLMRAHCGSDVVAPHAKRWHIGCKLIELSGHHAFQSFLRGFGAPKCGLRGIPSWMSECGFNTFPGDDMVPPDPTSDDGSEAVYDGIYLQAEPENWQARFRRRTPILLAGEHQIVGTMIELGA
MPPTTDSFLYQTMHRQPADLRNLIENGWEQAAEGAAIIEGARRVFVTGIGTSYHAALAGAWLIRATGKDARAVSSFDLAFYPDSWTLHSGDAVVVMAHTGVKTYSKVALERAVEGGAKAISIGSTTAEHPGSKKILRTIEREKSAAYTSSHLCAMTVLAQIAVELGDGWSASGVQGWREQL
MLIFLSIKLSNAAIATILQYTSPAFIMIYMAVINKKFPTKLEILSLFLVMGGVFILATHLKFDFAIPKEAIVFGLISALCILVYSITPININKKYGILTTLSYGLIIGGILAALINKNWIHYGVKDIDGLFAIFGTVFFGTIISFSFYMKGLSILGPTKTSLLAAVEPVASAFFIYLFLGQRYVFLDYIGFIMILSCTILLARRK
MVTESNFAAWPEGAQDQLVQALTDTYLETRASEDLDLGAILKDQRLGRFALLSSFGAESVVMIHLVTSLARETPVLFLDTGQHFPETLAYVEHLKSSLGLNLHIIKPNPDLTLSEDPEKTLHKSNPNQCCMLRKSLPLGDALQGYDTWFTGRKRFHGGLRSFMPVIERDGQHLKINPLARWSKRRVEAYFYEHDLPRHPLERLGYASIGREPCTVPIEAGQDLREGRWADMPSKLECGIHLGPDATLIREA
MTTATTEQKEHDRELAERGARELADASAEEIVRWAFEHVDGKIAVASNMQDAVLVDVVTKVKPDVDVIFLDTGYHFAETLGVRDAVPLVYPEVRIVNLAPRLSVAEQDEALGKDLFARDPGECCRLRKVVPLTDGLANYRAWITGIRRVESPTRANAPKVTYDDAFGLVKFNPIVMWDDEEFAAYANQHGVLVNPLVEEGYPSIGCAPCTHKPLPGADPRSGRWAGFAKTECGLHQG
MDTLYHFPQTVDLANKAARHYDAPMHVYQPKGTPTVADFEKKWGPQLWERDDSTYDYLVKVEPARRAYDELGVKAVLTGRRRSQGADRASLNAVEIDETGLIKVNPLLNWSFQQVDDYVKTNAVPYNELLDMGYKSIGDWHSTVLPGAGDGERGGRWKDKGGKTECGLHQDCESSIDGVGNGEGFGQSKMLFACPFQH
MAVNRGRIRRIIAVFIIVLATGTGVYAYLNSKSAPAAAGQTIGGSDERRGSYFTVLDESGKTLFTTGHMLHVGDEFISEDDTRYEVIRVDEDIALAKAVGRMEALPLLPVMGAQIESGTGKVAIYHTHSSESYVPSDGTESRPGAGGILDVGASMANGLKKRGAEPVHSTTSHAPHDAAAYARSR
MPAEISPGKGTAWVASLLSMLRAARFPILTKRMNKMAHEARAEICLAWDMGVSVMYTCHEPVPFFLPQLRVLDDVIDSISIFPFLSVCPIASRTGIITERWKLVVMSRSWLLKSVLLNEWTTMEVPAHERTALTSKKTWQTSRDFAVGPQTLLKYDA
MGNRGGYTNPIYRMRYKSALAKADEICVRDAYSKQVVEKCYARTPLSYPDVVFLYGKEKKTHDKNSKGKKVCCNVLSYDYYKNAGGSKSKEEYFDEWKEILDSYTDSDTIILFSSTTIEDRKTTEEFSKKYVWQKSCVSFPDTVDEYWSQLEDVDYVISGRMHAMILAMQQGCICVPYEWKDKLEVFKREYCDSGIELAKVRRNVELGFAALKKGISGLEEDERKA
MSIGLKNETAAAASDPESAFQDQCIERLVSPEAAMPLVGSLIGPAYGRSWVEG
MGILVAHFKYELFDVKGELELEAVISWHCSSKNAVMQLYLDFFEADGAHPSSTTVATNAGIEAETKSLTTQLCDGSGLYKAHIMISLKHQWVDILWFPVSMGSQCIQFQL
MTVRFIRITDDSTREDIAEAARHLRARQVNESDPIVKAWLSADIDDLLDLMIKQDSVPR
MTSSQTVSRPPVCTNRIRGSNKQGVRLERDGDTASPTLRFPSASASSSAILAAATALAEHVQRRAVSRDWSETGRETGGARRNGDGDLHEEGEARPLECRMHATRLSDPASQPRGVFWGETPKAAKRRTDGETDKVWRAQIELAN
MNRNSGEASHITPKTPGTGGGTDAGIVTLALSKAPGHVRKLMSYLKSVKHFNPPKGYKGGRVFRNREGILPKGKTYYEFDVHPFRPDVSRGAERLVVDQKKSVFYYTKDHYGTFVKIK
MSGVRRLPSLIALLLATLCACTAPVKQTGAASAAPTQADTRQSGGSAVPSTRPGRDPTSGLRWIAARDLPREAGPVLDRIAQGGPFRSARDGVTFANREHVLPGAPRGTYREYTVPTPGSSDRGARRIVCAGAPRSTAECYYTADHYATFRRIQP
MSPLPHGGRRRLSGISGASMVLRILLFCALAAILLLGRDGLPGRDGLSSGGGGDGSGVEACDPDELTPEAREVVDMIDDGGSFEHPDKDGSTFGNREGLLPDEDHGYYAEYTVPTPGESDRGARRIVTGGDTTPRSGDTDPEHWYWTDDHYESFCEFSA
MAALVELLKDEDSYVRWSAANALGKQLTLSDTGMVALVELFKDKDSNVRRSAAIALGKQSTLSDATVAALVELFKDEDSD
MAVAAPVLERKVNTEEGVYTREMFRDSVMTADERHNACISANYAKLINPESTLKDFAENKTGESEREAAPVMREVGTESLYLVENARADADIFRADSAVNMPAIKVVNAASAQTEEEENEDLRPTQTTIQYKTTAVAKTVEEGKIKTSAKANSKSLFTKRDKIIIAVALSVIVALFVLIIVNSAVISGLNSEVSSLQNALNAAEQTFTQVTEAKNSYLDSSNLFDTVSEFAKSNGMVLK
MELMDFFNTLMDEQLSSSIHSEPPKMPNFFEVDKKKLYEISDDPQRKEFLDDLFSFMQKRDSNVLFTMLLYCATDLARPASLDVSIGCGSCYAGLRYKSDIGYLYKRFYIVEIDAR
MHRTYITPKWTISWNADVGKIFGKVPLLLAYIPRGNESYFTTKYAFNTMNKYSFVADRYASVQATISFGGTFFDYIPGINKLGWRERILVNSFWGDLSQQNKDFNYNSIYKTADKQPFLEFGAGIENIFHLFSIDYIYRANYKRSGSSSGLFAGIRAFF
MVLRKKVKTPVVILIVIWQTETNPHSDIHKPPHVLPTSNALFTWSEWCGLRCHQRPWGKDGGLVRQSLRPHSNPTHTSGLWGAGTVDYSSSNICNT
MADTKVETSKEISAKDLKEKKLVEEAENGKDTPANGKAEAEENGNEDNDVEEDDDDVMKKMMMTMRSRVVQARGRQRMMRMMMTRMMLERRSRKPTMIKSFVFNPLEAAATSLLDR
MHAFSKVAAAVLKVRMHVILVANRLPICKDVLITRLIFDLVNHLLQAKNQCLYNLNHFYPSLVMPFDIFMAYFEAFSKTRQWLHTYWEILELFAENPLILI
MTVAQVARDYRVDRGSLWNWKRRGINTRIGIPLPPGFELLGTMHV
MNRFETKVLIRETHLDFFGHVNNATYMQIFEDARWDHITKNGYGIPQILLYKKGPVMLDCQIRFLKELHARDEITVTLENVITESKAHQKVFKLRQQMINAQGEVACEATYSYGLFDTQTRRLIEPTPEWKKAMGI
MAKKGSDIDDNMPDNPVDAAPGSLREGIVKNTRFSHQAKILYIAFWHSHTRNTLIATFLGILVLIILIGRGQLQINEWTKDFWNAISRKDLHEFVFQLGVFFVIASVLLIFNIIQTFLNQYLKMKLREGLTDDLISQWMQPKRAFRLTMAGEVGVNPDQKLHEDARHLAESTADLGINLLQASVTLIIFIPTLWATTAGFVFSFSGYSFPLPGYMIWAVLLYVGAASFLTWFVARNLVTINANRYAREADLRAALMHTNRSIDSITLMGAEKDEKRYLDGRVQNVLNAIWHIVVATTKLTGITAGYGWVSNVAPYIIASPIYFGGGIDLGGLQAAVQAFNQAHQSLRWFVDNYGALADWRATMLRVATFRQAVVQMDGVDRLKGEHITVKTNDSDIMTFDNLLIRTANGKLTLTPENLAIKRGQNTLIYAPQDVDKTILYLSLI
MKTIFKSLVIFFMILIPSLFFGQNTNDGIKIENLEMPNAPALTLLDQTTANIETPKNIQALTTTIMNNVNNNFALEINPYMILNNHKNFYDFYNVKWDAQEKQLKYQGWFTSIIKDLSISFAKVKKDSTNYLSIGARTNLIRIVGNEKKFINEFNRIDRIFENATLYSTSKEDIDDYTLLRDDSDISTATFKKIIETLTEQDLTALNYDFDSDNLNNPQLSIFLTNNNITKEEFNTLIKKRNNKETLWQIIERFRRYKVIKEKNDVEILAYYNEADTFRKNFASNLSLLQDQIPKPLFTLDAAVAYSLFYPNDNYSKGQTGKFGVWSTANLNFKLLSNDNKEYLSFYAYGRYLKDNSYLDINTNTYIKTDYFDIGTKIELEYHKLTFAYEYIHRDKGSDNYRSVGSIKYKFSDTITLTGGFGKNFEQTDNLVSIIGINWGIESNNNFSTNKI
MPPTAPSDPDPADALRITPLDPSGPVRVVRLEGDLDFHTAPRLQYALAAATGAGVHALVLELSELAFCDSVGLQALITACLTTGTGSIPAELAAPPTHLRHLIELSGLERLFTIHDTAPGTTA
MHRRCDLEIAAIYDDDGHVTVQLSGEMDLQSSPLLPAVVADAVAGGRTSVRLDLSRLRFCDCAGLRAFLETNGIVFAGGGSMTLVGVRPRFRRLLTLSLLDDILTVDDDSSCHPVRLGVVDATGVAVRNRRR
MDVDISYPGEDAAVVSVRGALDIDTASEVRAAFDTLSDRAVIRIVADLTGLEFCDSIGLSTLIVAHRRCTDAGGWVRIAGPAPFLVRLLSVVGVAEAVSMYKTVDGAVRGDADQLVPPYKDDLAA
MQLRLKKLQERRATLSSEAYIRELEDIHQDLINLGKWWVGREDEVFNP
MSTSLKSTTLGFLLIILLLNKFNECKADNLDYDAIIDTTIRTGALNFFFLPPPYGAIFGSIAMIGAEAYIHIKHQTSASRYSLNSQPLIDTVKNLKELAILDSQNEKKTIEYALNNVLITKDLSETFAFLDDCYGGMCLNCFVKKNTCPSLSYNAVKRNLLDETSDYRTKLHGFIHNYIALNKIPIVNGEPLRRIFELMVHDYKNRVNEGRDCGQHQSEHTKIINAFFRILDVLEKSYSFVLLASIDEYNINIAMNDTKEAAHRLNNTIKIINNLYDDTTRLVREVKWAINETSADIRPCDPVEPKRGKTWERLTHFVQPELFGYEKGLIGKKFPICESFLTPRQRLKIIQKENPTAFNCNATLYENMNYKYTNPNDTERLIHHFGDFHNRSKYGPMESDKESEKNLKENYLCEPILCYVDRITSNRTVRRFDLNPIIAQRDHFVTGIRWTIINRTVTLEIQEGKYDGNKILPSTLMWSKNLTSLNNVATLTAKKKSFSLDDVNLPPTYYVTGVRFAENKYQQIVLHVYGKKKYEENYKIFFSGSEKDRIKLQLLNAKPSEHAPSDIAHKILSKPVNKYIVDFEPSSLDDDAGQTTIPYLDIQEVFTKPPAMIGGVGTYYKGIEGHGGYIGLKLISPDVSYKIPEPKDPLLYHGIQKKLDAIAETYIKNNNSQELLHSLSNAFVIN
MFNPKTNWFSPRFLKTMPIAVVLVAGSLTNGWIHKAFAQAATSPDVLTLSNGDQLRGKLVSETAGTVTFHSDGAGDLTLSWDKIKSIQTTQHFAVIQQGQHLSRKNADSDVPKGAVAIQNGNVQVGPEGQAKEIPQANVQYMVDAAAYDKEVHSHPGWGQGWNGTLSAGLADVEATQNSRTFTGAANFIRTVPTVSWLDPRYRTMADFAAAYGSLSQPGTVTTKTNIIHAGAEQDWFLSSRLYALADVSFDHNYSQGLSLQQIYGGGLGFVAYKSPREELDLKGDIHYERQNFGYTPGVVPEVKTPDKDLIGADAGDAYMVKLVHGIVLNQGLTVTPAFNTPSAWSALATAGLAFPVYKRLGFNLSALDDFLNDPAYGSKKNSFQFSAGITYTLK
FSGKGIFPYEFIDNIEKLDYTEELKIEDFYSLLTDESISEKDYQHYLSVWNKLKEKNLGNYSDLYNIQDVLLLADIFENFRNICLNCYKLDPAHYLTAPSL
MLYSKNPGTAQTVIKTKHLGFLFFIISIYILGALILFKYYKYQINPDGTSYLSIAQKYALGDFERAINKYWPPLFSLGIAPIIYYVKNPFLSEKIFSLGLGILTLFGFWALSYKFRMQELIRKIIVLTTIPVVWLSAFSVISPDLLLASLSLFYINIILSAGYKSETKFGLFAGILGGLMHLSKAFGFFFFIFSFVIYNLILFVKSPKFVKRQVVINFIIGLSVFFTICSIWIAILSLKYQRFVFYVANYGNFTHAFVGPNEPNLFSFSDKGLLPPREGQLSA
MIAGEGITEIIPGFEKTISDLSVFTRHPITNIKTKWLLKRRKQGKYAAQAGSGPKVTEGNASRDLKDHLHPSFVDMATRLAINTQRFQSLCQISLIQYGRVSLLLILKYCCLQTAYLISLLNSVQIVI
MDADSVNLIVRFARRSGNYVSVFPANIGGCLIAFENIQLSAQSKLEAARGLLSPIYGCFTEGFDTPDVKDAKASLDRLAPT
MTGEKSFNFFDIAEIIVDTKALGAWVIKVRLKPIVAKTTQQLSGSWTLEGKNIRISLKFWTKSRYRVDGSYSPCSSRQLHWHHPHKCIRPMCRPLGKTKIFLLSIAVLWSNDNILLSILFVFCDTHCGGQSYWIVLAGYLVTWLYMNIYIWQSYLHDVQMTRHYLLLLLFIKLTIENTLGWPTITWAKAANVIQWPSSKHNDVHTTLSIVPIVSCKSLTGDYFRMI
MEGTMIETQKVVGSAQPRDQGEPWVHEGVMALSEDLKMGYAETYSAVAGLNQFEDKCTASQAEVAKAMAWVKECKPKLPQNQLSEGFKAFAETNRIQTDASQTVRENIDAAVQKFWQRCDISEIVQQAFYKSILKARVALPDRSIKELGALFVSCIDNSDPYEADKVFCALISGVKDGVFSFERGKAVFESLLETPVWRLSSSRAFQEIVAKAQKDNDADVEAMVHFYKQRCQALIADKKRYKQHNQVGYRIGERARKDLWEMQTPLISGSTAA
MSNQIILTGPSPEKTGKALVLVHGRGGTAADILSLATELPVGSFTLAAPEAASNTWYPYSFLAPKSQNQPALDQSLETLAATRRQLNAAGVDDSRIYWLGFSQGACLTLEYTTRNAARFGGIVAFTGGLLGPELDTTAYSGDFQGTPVLIASSDPDPHVPVERVQVSSVLMQRMGADVLTKIFPGMGHTITRQEIDLAVSHVFERK
MTALPHAGGPTLTAGHDLHDARVACLMVHGRGGSAADLLTLADDLNLSAFAYVAPQADGHTWYPHSFLAPVAQNQPYLEGALARLETALTELAEAGIPAERVAVLGFSQGACLASEFVARRGGRFGALVLFSGGLITLDHTRTLSGTPVFIGNSDRDAHIPLARHEQTVEVLTRQGAQVDARIYPGMPHTIIRDELDAARNLLRDMTFGSL
MRILLYIFFICCLNVQFINAQAYRNLLSGNYPYDTIKKYIVKDQSWVPFPEYKNRTQWEKIPQNLRDAYVAEGENYLEYQWKYIPVSHYLEFTKSGSRTIMEKPYRKNIKAFEALVLAELMEGKGRFLKDIINGIFYYSEQTYWGNSAHLSLQRAGAGLPDVLEPTIDLGVGKVAANLAWTHHFLEEEIDSVNPLILKRLRFEIEKKVLKPYYTRSDFGWMGYTNERVNNWNPWCNYNALNCILLLEKDSITRSVNVQKSMLSVDEFMNYYKDDGGCEEGPSYWNHAGGKLFDYLELLFKGSQGKIDIYEEQLVQNIGKYIYKAYINGEYFVNFADASAKLKSRPGTIYRYGKRINDTVMSDFGAFLARKQHYGESTFKGKIELALDELFNLDEIKNAKAREPLLGDFCLPDTQIMAARENRENHDGFYFAAKGGHNAESHNHNDVGTFILYYDGKPALIDVGVDTYTAKTFSEDRYSIWTMQSTYHNLPTINGVDQKDGQEYKAKNSFYKAEKDSVTYSLDISGAYPKKAKVGQWQRSYNLIRGKKFEILDSYSLLENVGNTYLNFMVALEPIIAKPGYIRLGRKKTGLSLQYNPEILNPIIENIVIDANSRLYSIWGKQLYRIRFEVLGSSTQQDLNISVQPVK
MSATRTRVRQRHGNSWTRYRKRLERRRSVIALAVAQRLVERNERHCELV
MRWRCGWDPYIFQGLPSVDPAWVLKIDRTSGAPLGIVRLLDATLLPDRQPWEWRQNVYDRILWRAPVQAPPLASA
MLKIGLIREGKIPSDNRVALTPAQCKWISKNRTDIAVMVQQSNTRCYSDKEYRAAGMEVKEDMSECDILLGIKEVPANILLPGKTYLFFSHTKKLQPSNQKLLQTIIQKSITLVDYECLEHEDGTRIIGFGFFAGVVGAHNGMMAYGTRTNAYHLDRVNSTKSLQQLIHTYFGLKIPPVKIAVTGSGRVAHGILEVMNLLGIHEVEPDHYLSKEFVYPVYVHLKAANLYKASDGSLYSRDHFHEHPAAYECLFKKYLAHTDILMNGVYWEKNIPRLFEMEDLSSKDFRIKTIADVTDDKLGSVPCNLGDTSIENPIYGVDKT
LAYVEDSGDESQYELTHNATVGFRLSDDGAKQTAITCNDRRLAPLPDWQADDYAKVRSASQEFAQRCAARFARQLPSLFPV
MAFSDGLLLIKFRSSLQFKIIGINCFRFDKICSNISQMANLFYLVSCSFQMITYFSMNKIFRQSAIQIFKCFLKKD
MKKRNVYQYYVCYTAIELGCRVGEALALKVTDFDFKNQTVKINKSYNQKTDVFGLTINKEDRIVDFSRQYKKAILNLLSLHNNNKLIKSALYNIKYNNIHVDKYGNIISLSPLYNSLTYIGQKYINKNLSMHKLRHTHASLLLEADAEMKYIQQRLGHSSDRITTEVYTHMTDKMRNRQKEKYQKYFDKIFH
MTVEHPPDEPAQPRPSWIPLADKILSDWRRTCRLIVLLAVIAALAVLTLLLLELDLTIGPVHIAG
MNAWPAMGAASDVSYQLDAATLDSASNDVAANWLPSTAIYGTDMMVGTPGTANSNSGGTPALGAGDIVITELMIDPNGSEDVREYFEVYNTTAAAIDLMGWTIVDASSSGRAHEITTSTVVSANSYAVLAASGDATLNGGIPAVAYEYGFDSPPGGTATPGVGSNFPRFNNESSFDDGTPNDNEIDGIALLSASGTEIDRVEYDYGYGTAPIGFPMMMFSGGGSIESNTFDVNANDLAAAWTAATATFGTASQLGTPGTQNTLSVSSNELGAVKLYPNPATNFLSIELEDENWTSLTIYSLTGQQLISVKEYTSRVDVSNLNTGTYFVKVNSNQGSETIQFLVK
MVQDGMKFVLRIRNVQGSEEGFYKIKVKYYNEEVGSTAMVTVKENLRVSRTFQDVKASAGQEVILKCEVNREDVTAEWKKDDKLLTEGRNVVMEQRGKEFILKIKHAQKSDTGFYTCLVKSGSEEVKISAEVAVEEFDKEWRILNFSLQTRNKLEAELTQFRPKLEPLRILLYGPVGSGKSSFINSIDVLFKGQMATGALADSAEAGYSFTKKYKTHRIEVKGRSEVLPFVFNDVMGLEDKKQDNKPEGVAPEDIISALKGHIPENYMFRPSAPLTEEQKSYNSDPSPTDKVHCLVCVLPADKITFMTDDVFSKMKQIRETASDMGIPQVAMMTRVDVECPVAKSDLRKIYYSMKIKEKVSEMPASDRSMKYCELRAYIMFVCDMYTDAGVQQQTGGPNELHLPCEELPRGD
MALIGSIEHFKGNAEEFEMYIERVEHLFLVNCVEEKMKVSLFITLAGPVVYSTLKNLVAPQNPAEKSYEQVITVLRGHYVPEKSEISERFRFNKCNQKPDQSVAEYIVELKQLSNTCKFGAFLEEALRDRLVCGLYSEALQKKLLAERELTFAKACTMAQAHEMAASQVKLLSAGEVHSMKKTESSRVSYNTKGSNNRQSSSKQSSSKPSTNQQSEQSKQNKDHVIGVAGATTQICVRQPSGNVSSAEREDTLPKFVGVRGR
MARSSHLKVESYDPEVEKWESYEERLTMFFEANNVTEDKKKVAIFLSSMGAKGYGLLKNLVSPDKPSAKNFPEICKTLKDYYSPRPPVLLERFRFYSHTQSASESVTNFLAELKRLSSTCNFGAFLKDALRDRFVCGLYDATVKKKAPIGRRLLNFRKSAENCRQYGNSSQEFSDN
MLRLTTKTELQNRDKVLLGPSNYKTKCLAKYSTKLSTPEKSFHEEIYLIKDLERPLLGRRASENLNLINRVDSMAANSCKDTIRERYPQLFTGLGKMKADYTITVRQDARPYAITSLRTQLATRMSTIGSVESYDPAKEDWSSYVKRFDQFVIANDLKKEKRIVAVFLTSVGSKVYNFLRDLMAPGKPSDFKLSELKKTLAGHYNPKPFVIVERFHFHKRDQLEGESVAEYSAALKRYSERCQFNAFLEEALRDRFVRGLRGKKIQKRLLAEEDLTCKKALETAVAIESAEKQAYQIRNPPAPQASPINALRQIRPAEKKNKPCFRGNTPTANVSI
MSSPHAQSSGELPGVTAPTFSFDCPESWSCFEERLEFFFEARRITDALQRRAVFLTVVCNRTYELLRALAQPNKVNTLTYSQLVDLLRNHFDPRPNEILQRYHFYCRVQKDGESVNDFVAELRRLSAKCNFGHLDEMLRDRLVCGIRDEAVQRRLFAEKDLDFATAFSLAQDFESARNDVCVIRNADRMHVDIEKLGVSSQPRTVRKQFNAQDRSGRCSRCGDSKHQKDECPFRRARCRFCQRIGHIEQACLSKEDAQAHMVREETDQEVKEVKTQVGSSRYTTLGHTQERTHRRK
MDKKITVKQSEKENADRVKEEEESDDRLESETKKRVSSIIMASVGSLEPFDLTTPSKWVAYKERFELYLLANDIEDESRKKAAFLTLAGAPLYELLTSLASPRQISESKLSEIYHVLTAHLSPRPSEIAAFYHFHNRDQHSDESVGAYMAALRSLAVDCIFGSALDRMLRDRFVCGMRDEGLQRTVQRVLERAVTSEATAISALSMRKQSDSHSDPNAESIHGIRTSRNLKPRTSVVPEGPCAGCGGQHIRKKCPFRNATCHGCGRTGHIQKVCRSAPQTRSSSYGSPTPSSRSNRNGTSRVNQILPLVSQKKSVSIAINGRTCVFEVGSGSPVTIMKESTFRYIWPNEKPDLMSFRT
MTLLNGDRQRASVVGASTYSLMRNILSPDRPKDKTYQELVNLLKNHFDPKPSEIVQRYKFDSRSRMPGESVMDFVAELRRVAQDCNYGDTLQQMLRDRIVCSINDDRIQRRLLAETDLTVDKALSIAVASETATGNAQDLQNPGAKCFKVKQGSPVVDKSPGVVKECYKCKGQHNTSECRFKSGKCHACGNLGHIARACRDKKTQKWGQKPEDRKKKTTRSGIYRSHKVQVKQEDCQSSEEGDPVKVIGTAVVNVKYHQQSATLPNLLGRSWLEKICLHWKEIKRNAGQEENRSVHRKALHLSEKKVFKNELGTLRGTKATIHVKDNPVPRFF
MTLIAESRVSAEAVVSPTPGCTASALYAAAERLLPDLEHGRAVDAGMLRAAMTAAFGGSDAEGAWNWKLAYDAGEAAQLLLLRRFGPAMRARAKTPAAFLAMLERVAALLPSQTRRSEESQARQQFSTPLPLGAVVAAAAALTPDDRVLEPSAGTGLLAIFAELAGARAC
MNYKLINRFDPIGYLGLTLVGFIMPEVTYRIFLFDFSAVMADNQALIIRSIGIPIYFAAMAFFLLGGNAENGKQLNLIRYSGGLGMVAFAVFTDFNGFFLFGLLEISLAIVTGNSIKKEEEGSSSFTTEE
MRLGALRFDLGWSAHFDGAFERGLAQLCDQGAVPVDIGKGPDFTAKGRGLADRAAGRVPAADRCLLASMPPAVSTRSLADLVRFNRDNAECEMPLFGQGLFEQAMQAPGPQTPQPRKASAAGLRPA
MLFFWCYHQLFVASFSLVQREVDSDDLGIVFTIAVLFISLGTAIFTIILRLDYEFNYQFVGLAVIILSLIFLMLLPSKGSPNLFDVKLHLTLFPTLKDSPNLSSHAGMSYKGSGNFYNREIKLTK
MAKVGNETLLAILLALENLETPLSPDEQTVLKKTSQRLTLHPYKWESATHKLLEAFQANASFQTHYKSALMQLEAFEGNIPPELLPTEEELTQAFPSDGKIVKRAYFEGKPDLVSNEVLNLVTNVLNTPDPKLTNSKLNLGQRLMTFLKIRNSQ
MLSGLAVLVAADLSLAFVPGLWGAFLGIGLWGALMALTQGLLAKLVAQNAPAALRGSAFGLFNLATGLATLAASLAAGLLWDISGARATFIVGAVFALLASALVITFDPSRKS
MGLCCSAPRLAEKIRTARVNKERAEQLRDNAQLKAQQQEYDTTFNLYVQRSEAAAAAQEAEALAKRKAMAIKAREVLEEQMAERQDLLKIAEEQAIRERAMVDQVVARIAEENNAEATLRAAKVQETKAFIAQFLEQQEAARRAREARDKAEEQKRLDHWTMVREREAEEAARKAERKAEADRLYEKVRVEMEAANRAREEEEDLINLLRQEEAEAKRRADEEEAKRKAEQMRREMQLANEYQMRLKAQREAQLKADEEAFKATMMARFAEEDRIEQMNAQKRRMRQLEHAREVQRMVDDRRRLYQEQKAREEAEIAAQSAEEARKQVILEAERQKLLAEAAELKDYLPRGVLRDQADIDYINSVLAGTSLNGR
MKSARQPPQLTAAQLNQKKMQEVYHKEMIQLAHEKKLARTRQLEVMWEAEERVDQKRLTRLLKDEEYEKQMEEAVQKAEENKKLKELQLEQEERLATELARLNYEKLKDEKMRQQIRENSLELRELEKKLKSAYINKERAAQIAEKEAIRYEKMPNYEADLSKMSLEQQKRDAEIAQMAELRRNKGKILYQQELEKQLEEQEKKKQDAYEAFLKEKLMIDEIVRKIYEEDQMEKQLKLDKMRATQRYIEEFKKEQAIWRRKKREEMEEENRKIMVFANMKQQREEDRMAKAQASEERKQKLQNMIAQNLEREQQEREDLEQVRQELYLEEQAEADRKREMVEMEKRIRQRLELRQTYETQLAFKKIVLQALQEEEEAFRQKMLAKFAEDDRIEQMNAQKRRMKQLEHRKAEEKLIQQSKQKKLSCKERELEERQLEERRQGNICAIVEEERQKLLKEHATKLLGYLPRGILKDEDDVNMLGEEFRKAYQKRKEDMYSE
MASKAIVVIIIAVSIVCVFTQTPVKLDERHLRVSPIDNDQLPGKGWQDSVPGLPGKDYPNLTSIPETSFSCEGKTPGGYYADVEARCQVFHVCSTQGSKSSFLCPSGSIFNQRHFVCDWWYDFECHEAPELYALNESLDEPEEPSSVEALHFNTLGAPSTDLSLASSGYTNNLREQANLLYEDYAPVKTLYTGAVLSKERAATIESSDSGNIQSGSSARSTSSGISNIDGTDIADDNHSRVRQKQSTYNRNGSARSNGLGLNELQLTYHQGENNGRTSNYAVERQSTTYLNRPSPNLDIVPRGFSKNRKNSQQTRATYQEFKRNDQNPGFFINNGLSNGFEESKYKASSKDNRYVEYDKSGNKNNDNNYDSNYDGRYQNYYKKSENNSLPQTNDNYSIEKNNVTNNSAHNNKYITTNINDNNQQSNYYENYYNEAERSEINSNRNLDASRYRYDQNFEASTANPQSFNPTSTEDDVDRERQREVDSVDLKRKRPQQQIHQRYNPSAFLIHEETSYNRQDDLSRSNANSEYPPILPHQMYTEPNLLSTLVPEVTTLANGDAEEPRHHARERTKYLGRRPAGGLPSGSGNGLPGANKILVGSDSVTGKPGMDDDSDQLPPPVTDTTGIWYQSTAAGWGKVESIYVPSQVNAEENTSNNISGNNNDYHDFEGYGQYANNATSSWNGDRIFHEQY
MGGWDPLSWLERGSDYARAHDVDPLVFIGIYLITTPPFIFVSGWLLHSLRKKKPLEVLLFLWGLLYCAPYIYVLIEGDNLAWWMYAAMTVLLTGGIGISALGLRRRLREEDNNTVDD
MTGSRRILTVTLNPALDWNVEVDRVVREEKNRVLDSRRVPGGKGVNVSRVLERFDIDSRCFAVLGGTAGREFA
MIIKNLTLAVLAFSSSTLFAANHMVLMGGSGDPDGPKTIFDSTAEMLGKNLQASNQWKYQVAFNGGHSETETIIATNFSRPTAPTTPFNEQTYKKMIADYKAKIMSGEIASGDQLVIVIDTHGAEKSDKSLTHQIAVNSAKKTPNRGITDFNNLSGSDTVSLDDLQEIVKLTNQKGIKLGIIDMSCHSGNTQALKQNAPNTCIVTSTGPQHYGFAGAGTFNGQLWNSFKPGVNLEQAFLEARLNARDNGYPMISTPAGTEIDSEVYKAITPYMYYKSPISDKLTNYIKTNSSDQLICQRENQFNELIAKINALEAAANGTKNGYSGQELKRLISQYKAQQDEMIKTLNQMGGSLADTVETFIEKKSGPDSNPLQLSWKLIATSDPDGTIKYFQEQSKKTKNAKEKADYAAIIEKWNQVKLKKAEILRKYPSMSKLDETSKKLIAQMEDNYQAVFSITLQEKKFYNELYRKKQNGNSNDACAQIVF
KDINKWLRLIFKIRKRDYDIVIVLDKHWIFNLTAFLSGIKKRVGFDRFGEGRFLTHKVPYFGRKHEIFYYLDLLNGLEIEPNYDDWKMDIFLSEKEMEFAEKFWRVNNLNNKTVIGVCPGGANNPGIGNDDLRRWDIIKYIELIKKLKENEYEVLLIGGKLIEALKKKY
MDIDHAAALTRLTHYFETLTRQSVGNLGEFYTPNVYVKTPLHEVRSAAEVASLLTHLFERLDVPRFEITAQLLQHNQALLVWNLHFHAKRWLDDEQVIHGASHLRLAVDGRVAYQRDYWDATEDVYLKLPFLGLLIRWLRKRMFL
MIDAKYYLQKSQLIMKHLNSQDQNVVEWIIQLDETQKQFEENQFHYPLLEQSVQFINHIVIHPFLQLLEKKGLQFLKFFNMNYNYNMNNNLLYKITNYRLNMYKKTLDNIAFILQLKKRIYQISQKFQWIFQKVQVKKKIPIKNGIKYMGGAFFITNIDKARQIQLKEITTFCIINLNFMEDLKQGSILKKLYQILNDQNLISQQNIYSLCIQAYITLVQINQINNEIERGTLFQQEQFKRSDIRKFIQKIDIISIYF
MNDTKIILLIGRSGRGKSTLANVVTNTNNFKESSGSVSETKEVQFEQFEDIGNKINYAIIDTPGIGDTKMSDNEVLNVIAKAVYLGKDGISQVFFVNDGRFDQYEMATYDLLRTIIFDNDITKHTTIVRTRFPEFRDDEEYQKDIDSMKKEAQAKETELKNNIADKRKEIESLTSGDEQYQKLSEEIKKAEKELTVTNLARIIESCQGRIVYVDNPSLEVKDTNKLRMNKEDREDSREILFEHLKEHCQGDSYKPENLKKLSEDIADDYFQYLNKKAELQRELDEEMKRLNSWTKASVSQASSNQTSNFNIASDQTELTTKENQNEIQEKIIIIEKKERERMIAELQDKKERLKKQIQEKEKIIHQKVLKHIFNNYEAINKELGGNIFLNSVTGEHPWTAIHPEFTNRELVIKWLSQGFDYEQTQNWAAALIDFNPQIDADFCAWLRDKKQLTAERIKRASYPQNIERWRQEYAVYLGKEIGVLDKHKQQLEKRDWADIHPGFAKESFYYKKTYQGCWEENNLTYQDAQNWIPVGFIPWNYKEVNQWKNHNFTPQEVKSWLDIDLDKNDAEFAAYLRGEGHQPSLDLNLKEMKISEAFGAKDSYNKRLKNYSKPLLRLILPKIMSLFSDSKIPRN
MDILYRNGKWYASIVLEIDQDLLKNSRETDTGIIAIDLGCNDAIAWTNGVENGLVPAPRFLRKVDAENKRLSKAKHRKRSPNFKKKIKASRRWKKAQKQVSRLNRKVANQRQNWVHHETTRIISGNSTVVTEKLEVKNMSAKAYIGKYKKQKSGLNKSILDVGMGMIKDVLKAKLDDVGGKYFEVPTRKVKPSQTCPKCGHQEKKTLDQRVHLCLNCGYTQQRDIAAAEVMLAWYSNNLQELGTSFSDVDSCISTSHTRKTAGSMKQIGRAKRQKSQVIPGDVETPGSNEVSQG
MVNDIIMTGIPRKAKIVSEPVRNIVRMLDIDQYTKVNNYGYAKNLKLSLSKEFPKREYVIWKDSSNIFIGRIA
MNDLPSPSDAEGPALFEGFADRIRDGALIGWARRAGSLEPVTVHLHLHGERVASVLADRYRDDLEAAGKGQGRHGFEIRLPDGRLPDGRLDGLRVAIAGAGDLPMSAPLRCAIDDLSTAAAADPAVGTAAVWLDLSEFLFYLRTHKTLSGIQRVQCEIVRIVAGATSSRVRFCMTPPEGGEYVEVPTAVVGRLVDRLTGNAPVPLADWSAYVGMIADPARRAKAAIAPGQMLFVLGAFWVFPHTPALLAVLRGRGVHIGVCVYDLIPLYHPEYCDPGMVGGFLSAFSTVSQVADLILTISHHTAADVERFYRRIGQPPKTIRPVPLAHELANGSAAAPGAAAGPSPLLRLIGGPFVLCVGTIEIRKNHGYLLAIWQELLRRHGPEAVPKLVLAGRRGWRVEGFYDALETTRSLDRHVLLIDDADDADLEALYRGCLFTVFPSLYEGWGLPVGESLMHGKLCITSRSSAMPEAGGEFAAYLDPRDQADGLRTIEAFLFDDARRARMEEAIRTRFVPRRWSEVVNGVFSEIQAYLAGCRDGGERPPPVSLKPGAVVRLRRLDRLNGFDDMVRRPPFLRLICAQGWHPSDGAGAWMDGPNALLSFGLDTAGRPAPARLRVHLHVFRPAWARDAAVTVTSACGASATTVLEDDETMLSLDCAPVAMGGPPAVRIAIALDRLGAPPSPETRRLGLLAKTVAVADADDALQRLDVVEKLLFLMRP
MMDLEVLFASYTRTGNSTLMACGIACRQNIGQPREVERIVVSCRGVQCDDWRGDRARYSGRGIATTVPGAGARRGVSIDSRTVSPGLLLLGGLGNFCIVFAHTKNMSYLGTSRKMATYFRDILPLDSVVPWCVKSSHHFRFSWFHDRDFDAPLVPMRPADTSGDDSDDGLAQQ
MQLTGLLFATAALIAGGNCLVGSPRPDIQARGTSGQDKIQARQDTISWEGTCDPNTGSGSCNIPSLGDVVDCNSNNKCSKKGAWCSYSWETLEVTCL
MSDQLTNNPEALRLFFTEEIYLVDAPQHRAGSVSERVHDKVVGDISEHGHVSGEVSEDVQDYVPGDVHRAVPVINGVDGAVPDLSNVEQDSLPAIPDASAPATQPFSYVGANERNILILVNDDRYPVSTLEGRELLGNILKAIGLNRNDCALVNYTTCSGASFQQLNDFFKPQYVFAFGVTPEQLDIPGAGYNSIVQQGQSKLIFSSNLDALSGDATTKKLLWGSLKQIKL
MVIVRNEITGQMYRFPCGRWFGQGVEDSRWFGNGCDVSLERLLVAEPVYNDDNGDSGFGTVPNSPARTARRGRVSSRSQTPQRERSPSQTRTYDSTPKTRITEIQQVLGESVNALVKYFYSEKHSKSELAHLLCGERGLVNAIEQAFQFGRHGSVWLFRQPSPWDYVGKCIFQCHRAFVKLLYITFM
MTEIKTDIGYARAFVRLALERKLLHRHLATLLGNSRLLSELYKQYAFVRCEDEREQFLYHILSLNAAQFRCFTNTFTKTSKLTTGLKKANLLHMVGGSLCSSPQINLPANTPLFKFDHKNLGVLSTLRIGHASGSEKPPKWYLEYIIVRNEITGQMYRFPCGRWFGQGVEDSHCWLLNQYLRKIVQTQLSTRCRIPQPEVQDVVEFRPEVKLHSETAVLHKDAGMIQRLIEVVLSFYYDTQLYVILLLIVRAKIMTNSEARITEIEQVLGESVNSLVKYFYSEKHSKSELAHLLCGERGLVNAIEQV
MLLTPTFFYIRFYYKYFLLKSVLLGPKNIFGLFLQGFYSSGPKNEKYVWNYV
MNRQQVTRNQTPSKSDTPFVSGILQRAALHSQDEESSHYNDSRFHRDFSQVPVTNTEPIMQAKSAVSEEEKSNKTGLPDNLKTGIEDLSGMEMDDVRVHYNSSKPSQLQALAYTQGTEIHVAPGQEKHLPHEAWHVVQQMQGRVKPTKQIRGLKINDDHGMEREADLMGEVAIHQSMRERTGSRIGNALNSNDKKRETEKTKGETIQCYQYEDLNKGNEAVWAERAYKDEVMTRMESYIKIVDSMYPDPAGATMAEGYRVWSENYKNKSEAYLYEGQKASDYTKRGRAYSILGVNTKTESDLEVHKINSDLGVWESTAAEFKTATSAKESAVQQSLSDGLEQLAKREELGDKWGQKFKKLVLTVHIDNPENIFPYTKATWPGFWPEQEQVEKQLLMRCNAIKKAPVNGIKTELEINMEYKGTRYARVIYPAREDQDTEMKG
GGENDPDQLLDTEVTATAFRAHPYRHPTIGWLEDLRAISRDELYAHYRRYYVPDNATLVVVGDVGADDVLRAVDRQFGRIPPGDPPPRRRPPEPAQIGERRVLIERGGTTAYLRFAWHAPSATDADFFPMLVLDAALTGAKGLNLWSSFRGAPPQRKARLYTALVEGGLASMVSGALVATAEPFLYGIALTATEGVALPSLEDAARVAIDDVRDDGLREDEVARAKRQLRARLVFESDSVTNIAHQIGYFETVVGPGFLDGVAASVEAVTPEQVADVARRRLARESCTVGWFRPLERRA
MTATDTLPVRGLMRDVTHEVLDNGLRVFLVESRELPVVSHMIWYTVGARDERTGETGLSHFLEHMMFKGTADYPKGTIDAITARLGGHNNAFTDSDCTAYYFNLKSDRWTEALAIEASRMQGCLLDQVEFESEKKVVIEELQMGEDEPWRPLWQGVESMAFRVHPYRHPIIGWREELERLQRDTMEGYYRRHYTPDRAVLVVVGDIDASEGMARIQEQLGGIPRAPAPRADVLQEPPQTGERRITVRFNGNMVRCAIAWHTCKVGDRADPVLDLASQLLSGGKAARLYRHLIQGREIAAEVQAYNEARLDPGLFWVIAEGKPQTKPEDLEAAILEEINRFASEGPTARELKRARKQILTSFWFDLETVQSQAMRLGRYEATCEDGWKVLQDYPAQLETITAKEIRDTVKRFLHDDNKTTGWSLPRNGSNS
MRAAFVTLRRLHVLAAPRHAAADRREAYTGTKCFPNSLRSSAQWR
MVEIATLVVEIAKYLVAPVRRPFSYLWNYKTNLDNLEKEVDKLKADRDSVQLLIKPGEDPLPNVKLWQERTDRSIVEVSKVVGDNPEQANIQCCKGFSCHNLINRYQRGKNAVEKLKEVLKLEQEAAPWLAQISSRTNIPDDPWLQSGNGYEAFDSRSSVLKNIIDALHDPEVNMVGIYGMGGIEEAEAWSLFKSIAGMCVDLPDLKNIAPKVAKECGNMPLAIVTIASALKDKEESTWSTALNELKNPSLEGFEATMTREVYTCIKFSYDLLDTEKLKEIFLLCSRMGRTYDASIQDLFMYGLGLGYFKRSNTLEEAQCKVEDLVKKLKGNSLLLDAPNETRESLQTVIPDGEKFAMHDVICDVARSIDRQKGNVCNVIDDAISCRWAKTNILKNCTSITLHNISENEDNGASSSHHPE
MDSLDFTDYLKSGSWDIIKSPGKIDTIQDPMTGEKKALVIFQFVLRRKTLFYTVNLIIPCVLISFVSVCVFLLPADACEKITLCISVLLALVVFLLLISKILPPSKKIPLIANYMLFTFVMNILAICLTVFVINGNYRTPRTHRMPNWARTIFLYYLPQLLLMRRPNHNERWRKSKEEMTESNNVHGVAGRETNTEDLLPNYHELAELHHPHCKLNHRTLPRRIHKIENTPTPHRPDRAPFQMTPELHDATEALRFITEHLKAEDAYDTVIDDWKYLASVLDRLLLLIFLTITFTGTLGILFQAPHILEFVDQDRILQELMAKYTASECNEDAKRLYHDLLKKSYNKLIRPVSKISDKLYVKMGIRLSQLIDITFNITMRRKTLFHTVNLIIPCVAISFLTVLVFYLPSDSGEKITLCISILLSLTVFFLLLADIIPPTSRVVPLIGKYLLFTMILVTLSILVTVIVLNVHFRSPSTHTMSPWVRRVFLNILPRLLLMRRPNLDSEKTTRSVSKNCNGVEVTDSYKQLVCRRYNPMEGCFLRAVPEQDLLTGLQETENIIRQRKCIPKEIREAVDNVCFIAEHLRKEDRERSVIEDWKYVAMVLDRLFLWIFTTACVVGTFGIIVQAPTIYNNSNPLTPQAPNDTCTKLF
MMGFLIMVCTSRCSLHLSQLCCHMPLLSNSVFFSCHVL
DDFAEAYSNLGILLFQQAKDEEAEEALIRAIELKSDESQVKVVLGNLYFLKAKALLQDEKIDEALEKLKTSYSYNPNYSYTSYFFGYAYSQKNMKEDAIKYFEAFLEMEPNAPQAAQVKEILESLKK
MASPKKMFRLSTSDTSSSVKASSARVLSSSNGDAAALISTSGVITTQKNRVSPLFFLLPLIVIAAGVVVVVAEMRMGAISGPRDSVLLRRSLGGNSTTYARSRQRRQKEKQAVPFTPPPVLATHGNDYENRAKPPAFGSPPRPAGGGAGESDYWYRTVEPGSSKPRILVTHAEKYGTIVNTLRVAASPLNMEMGRKGERFDIIYATRGGCTKGPYKPPYGSINPDVMVGCFSGLASIGAKTNLPRTLKRMYGEKDIADVLPKTFALPEENDAFFKYAKAHPDQTWILKTNKHRGEGILLASGDDIATRSVAIERYGLAQIYLSNPLLFDGYKFGIRLWAVVRSVNPLRVYLYSDGLALFTTEKYSNEGSALKDKTSQLTNNAVNRGKGAQQVWNMETLADEMDSAGLNYSMFWEFVEDSVAKTFISAEPSLKQFQTEILGGAGPAYYDDNFFEVFGFDFIIDSKGHPWLLEINFTPALTISNPVQKASKVAMLRDTLSLVWKGRGRKTYHHKKKAVEGEWKDRSRPFVVGKGGETHRLFHEELHPLLESEHFCKDIAPKQVKEFRGVDVERCVPCLSLEDIENIDRALGDEKQLGGYQRVLPSSGRLQHVWKSLTIHPRSDYVVSAWMDVRPAGVNEFFSAVICTDKSEEGKEVRRQKQAAN
MNPKWCEWAVLDQIALVFGLLIDVDWEHMFKTFYESIRIKVVCKDSSRIPLERLFFLDGEFYMIGIEVEPNTDQNGSVMNNQAPTSDITIDAPGTDKSQSSKNSDNGSDKGSKTSISSLTSLGRGTGNQVGNQCGLPGAGMEVEMLASPTRLMDSVPPPLHEARRELLDLITKDLPDEEQCFNLLRDMDLVDDTGHYNYDISDCEVAEGEDTLQDLPDQGLQDQNQETVPHTAQTHKEKKKWGPVPVTRHNERVDTGGRTILERAQKLKEVQNLEKPKSKDRVEDPEGAKIMDDTSADPATKIAGSLFDSPAALPQTAAEDKRWITSLDTQACLGGLSLSDDEGPVSPTSEKKPGKHNIISPVSVLEMGVWSPSPGVAPMKRVKKMTPTGSVKPKLQIMM
MNTSEVLQVFALTGVPCDDRVMRAIRYINNNVRDHTRLRQDGGRGRNARFPIFALSGISQYAQSLVDPASVDTIEWCMDWLEANRVRDGWPELQGGGDTSIHQTANGLSAISVTYRRINEMTATIGEARAKRLRHQLAEIAQFAAKGLLRHRRPDGLWPPSTFASHGASPAKTALSILALRSLQDAGLDFILEPRLRETLPQLVEQSAIRLTQDHSRWEHYVESDPEVPGTVWQHPSYAMCLAATCSAGVHPGHRSLSAAWRELDKGWSNSARMWVEPGGNATIRAAFHATRAYFQVREAPLDGGAVEGALKPLVFQSALEPPSTVTIATGGHRFEAHLSPKMFQLAETLVNAPLGLQSREVASVLLISQGGVSRYIGRLNDAIGEQTNSLVTKFVEFDQKSGRYRVASG
MLKLSKKTDYAIILLTHLGEVNAPVSAHKIAEHYQLPYPMVANILKLLGFFGIN
MTLNQQLRHWNALLFNEASIELLRTFSSWKWARVNFFLGVFLLSLPLTYDEVFTTPQSYAWYLVLYAGLIIALFGLSRLFGSEMPLVKFWHTVSTLVLFSAIPLTIVTYVALFLLEWILDNAAIPNLIVSVIPFYLYLLMAFGAEQAARIASEKKSIAFGIVSVVVIYSAFYFL
MERRSREIAIVGISCRAPGAPDYEAFWDLLVSGASGFGRLPPERSMHSRIWDERANARLTDLAYVGGWLEAIDRFDDRLFQIPSREARAMDPQHRILLEEAWRALQDAGIEPRRPASTAVGVFVGLCAYDYGLLGHEAGPDIGPYSAIGVTLGLASNRISHALGLEGPSLTVDAACASSLVATHLACRSLIDGECDFALAGGANLVLTPSINASFQAARMLSPSGRCRPFDASADGYVRAEAAGMLVLRRLEDARAAGDPIHAVIVASAVNQDGRTRGITVPSAAAQERLVKSCWDAAGISADAIAFVETHGTGTPTGDPIEIQALTAALRTRDPSEEPCWIGSLKGNVGHAEAAAGVLSLIKCALSVSRGVLPPHIGVREPIERVANAAPRLQLASTCTRLSQSKLHGTPLHGGASAFGFGGTNCHMLLRAPPAIASSKVDLPIAITLSAHELNALRSLCSAWASYVEGASLEDAAAAGRFSMRAFSGESLRVTALADTPSLVAQALRSAAKEVKFPTQKLLAIGGETVASYGHPNQIHRASDLSTILES
MTARTPSTQPSVAIVGMACRVPGAAGLDEFWRLLMAGRDATGDPPPGRQVTRRAGYLDDIEWFDNDWFAISAREAAMMDPQQRLALEVSVEALDDAGIGYRTRGSDAAVVFGACGYDHGVTVLGGGEQDAPYAVTGSALSIIANRLSYALDLHGPSLVVDSACSSSLAAVDIALRLLADETVPFAIVGGVNLTLLPHTSDYLAESGFLAEDGRCKPFSAAADGYTRSDGCGVLVLQRTADARREGNRVYAEILGAAVGSDGRSNGLYAPNGRAQRETVRAAWSRAGLDPRDAGYLECHGTGTALGDAVEVGALAAVLGAGADTWIGSVKSNLGHLEAAAGVTGLIKTALSIHRGVIAPTIHFDTPNPLLKLAERGLRVPTEPVDWTAAPEERLAGVSSFGFGGTNAHVVLRGYPESAASRGDEPPVLIPVTGRDVADLQIQALALAQRLDSADEFASSCRGAGVDDSAGARPTEGAGTELEMPGATGHASEGESPATAWPVLRSPGAVATAAASQGNTPDRLAQGGIDGIAVTLEQAAHTTTPEQTPGATAIGRTARAAATEQTPHPTATEQAAHTTAAGQTGRSTGAGQAGRSTGAGQPGRSTAAGQTVRATATEQAAGTSATEQGMRATATE
MDPQHRLFLECAWEALERAGYGAARYRDGVGVFAGSGASEYLENNLMGRPELLQSVGAVLILGNNKDSMATRASFLMDLRGPALNVQTASSTSLVAVHLACQSLRNGESNLALAGGVRVGVPQHMGYVYEPDSIGSSDGHCRAFDEKSSGTINGSGVGVVVLKRLEEALEDGDFIHAVILGSGINNDGAAKVGFTAPSVDGQAALISEVLAQANVEPGSIQYIEAHGTGTQLGDPIEIAALNQVLQGLPAKTCAIGSVKTNIGHTDVAAGVAGLIKTTLALRHRRIPASLHFTRPNPKIDFDGGPLYVNASQREWSVGEGPRRAGVSSFGIGGTNAHAVLEEAPRQEEAAPGRPWQLLVLSARGKRALEEATENLAAHLEKNPEESLADVAYTLQVGRERFNCRRVLVCQSVEEARQALVARDPQRLLTQTQEATSRPVVFLFPGQGAQYVGMGRGLYETEPVFRQHVDECCERLVPSLGLDLREILYAPEERGEE
MEPVAIIGYSLRLPGGADTPSKFWELLTSGRDISREPDPRRLNLSKFHHAKGEHHGSSNVLKSYFLDQDPCLFDGSFFGISPLEAEAMDPQQRLLLETVYEAAESAGATLEGLRGSRTSVHVGVMTGDYADVQARDPEDLSSYTASGTSRAMLANRVSYALDLRGPSVCIDTACSSSLVALHQAVRDLAAEGDAAGSDMAIVAGCGLLLDPLMYIAESKLRMLSPTGTSKMWDAAADGYARGEGVAAVLLKPLSRALRDGDPIRGVVRATGVNSDGRTPGITMPSAEAQASLIWDTYRAAGLDPFNVEERCQYFEAHGTGTPAGDPVEARGIFKSFFDPESAKKSRTSEETPLLVGSVKTIIGHLEGCAGLAGVIKVLVAMEHDTIPPNLHLKRLNPEIEPLSRVLKIPTESRPWPTPAAGQPKRASVNSFGFGGTNAHAIIESFDGGLEKQDLGRGRKDTQHDVAGRVHTWEGLAAAAAERPTMPIVLSAHSEQALQRQARNLLEFLRDNPSVQQRDLAWTLFA
MTQSPEQSRPSEQSCTSEQSHPPRTVDRGDDELIAVTALDCRFPGAPDTESFWDLLVAGRSGLTRQTERELAESGLPARLRRHPAHVPVSALIAGQDLFDPEPFGLTDAEAALMDPQQRLFLEACRRALERAGHGSGVGAGAVGIFAGAAHSDYLTRHLARRYADSAADPVGSLQAAISGVGDYLPLHVAHRLALTGPAISVGTACSTSLVAVHLAAQSLLAGECDTALAGGSSLIVPQGRGYLHVPDGIFSVDGRVRPFSAEGTGIVHSQGVGVAVLRRLADALADGDPVLAVLHGSAVNNDGGDRTGFTAPSPRGQARAISEALALAGATPRMISYVEAHGTATRLGDVVELAALKKVFGETGPAWCALGSVKSNIGHANSAAGIAGFAGFAKTVLALHHGVLPPSLGALPLNPDLELENSPFTVPHQADPWAGPRYAGVSSFGIGGTNCHVVLGSAPQRAMAPADGRPQLVLLSAHRDDALERLADATATALDQRDADTADAAYTLHTGREEQAHRTAAVLGETPGDTHRVLTSAPRRAVPPTRPRIVFAFPGGGAQYPGMGRELLTEEPEFARTVEECAALFAGRGDGTGSGLVPLLAAAPSDQEAVRLLRDPVQGLPALFTVSLATARTLRA
MREDDRSERYQSGDADEDNGSRPDGIAIVGMSGRFPGASNVDALWQLVERGGNAFRIFSTDEIEDSFTDEERASPDYVACRPHLEDVDQFDAEFFGMFAREAALTDPQHRLFLELCWEALEIAGYDPYRNPGVVGVFAGCSMPTYLLNNVMADRAAVEEVTSNYQIGCYNQLIGSLGDALSTRIAYKFNLRGPAFTLQSACSTSLLAVSQACQNLLTYSCDMALAGGVSITMPQKRGYIYQEGGMVSRDGVCRPFDADASGTVFGSGAGVVLLKRLEDAINDGDLIYSVIRGYGINNDGSDKIGFTAPSAEGQAEAIATAIARAGIDPATIGYVECHGTATPLGDPIEFSGLKGAFAEVTGKSEICALGSLKGNIGHLDAAAGVSGLIKATMALHRRKIPAMPNFKGPNPRIDLQDTPFYIPTETRDWPETETPRRAGVSAFGVGGTNIHLVLEEAPASHRQDAVQSKGPFILPLSARSDAALSDMRRNLAGYLEKNSTVSLSQVARTLQQGRHDFKTRTAVAVTSVAAAVEQLRAETLVSGVAEDRPPPVVFMFPGQGSQYVGMGAALYNSEPEFARWIDRGTELLKMRFNVDVRDYICHTGPVSAAMAAEQRETRIAQPCLYLVEYALAQLWMSRGLKPDAMIGHSVGEFVAATLANVISSR
MVLKRLADAVADGDPVVAVIHGSAVNHDGRSSGISVPNGQAQQEVIRAALADAGVPADRIGYVEAHGTGTMLGDPIELRSLEAVLGPGRGGRAYVGSVKTNIGHLEAAAGVAGLAKLALSLRHGEIPPHLHFTNPNPNVDWEALHLAVPVKPVPWVEEERYGGLSSFGVSGTNVHMVLGAAPAPVPPAAGTTGKVGEKAGEDERTHEVLMLSARSAAALRGLAGRYAEAVTDQAPVGEICRAAARSRALLPHRLAVVADSPAQLRDRLTRYTEGRTAPGVLAGRAASRRRARVAFLFSGQGSQYPDMGRGLYESEPVFREALDRCAAILDGRLEHPLTEVLYGGAAELLDQTAYTQPALFAVEYALAELWRSWGVRPGR
MIPAFFIELDKLPLIPNGKIDRKELMNRRIELATTRKIHLPQSDVEHQVLTVWKKLLNFDDLSTDDAFFDVGGNSFSAAEMIGRVKELLECDISVTALFKYPTVKELSAYITETRSENVDSQTEQSHTPEQTDTAENTALPKMDSGEDDLKPPYPDYFEDSLAIIGISCHFPGAKNHAEFWSNLRAGVESVRFFSEEELVGLGLEKEIVGNRGYVPGRCTIEGKEYFDPEFFSISQKNAEFMDPQMKLLLQHSWKAVEDAGYISKEIPDTSVFMSASSSFYQAFIPNLASQSPNVLKNADEYVTWILAQGGTIPTMISHKLGFKGPSLFVHSNCSSSLVGLRLASQSLLSGEAKYALVGASTIFPFTSLGYVHQHGLNFSSDGHIKAFDESADGMIGGEGVGVVLLKKAPDAVRDGDHIYALLRGVGVNNDGTDKLGFYAPSVKGQAEVIQKTIESTRIHPESISYIETHGTGTKLGDPVEFAALNETYRQYTTKKQFCGIGSVKTNIGHLDTAAGLAGCIKVALSLYHNEIPPSLNYEKPNSDIHLPDSPFYVVDRLQKWEKASSPHRAALSSFGIGGTNAHAIFEQFVANAESERFKDHSVNEDTIYLIPLSARHHHRLKVYAQELSDFLIASEDINLSDVSFTLQTGRMAMKNRVAFIVKNTSELVQKLQSFTNEQAHIENCWRRKQSVKRFSAII
MKKNENNLSGLSPLQRSMLVIEGLKSKLKNLEYAKTEPIAIVGMACRFPGEANDPQQFWQILSNGIDTITEIPKERWDIDAYYDPNPEAPGKTYSPYGAFLQNIDWFDPEFFKISPREALSMDPQHRLLLEVSWEALENAGNIPQRLHGSPTGVFIGITLSEYGSITKQAELDSNIGSYAITGLPLYAAAGRLSYTLGLTGPSMAIDTACSSSLVAIHQACQSLRQQECEMALAGGVNIYSSLEPLVSMSQAQMLSPDGHCKTFDALADGANCAEGCGILVLKRLSDAQSSGDRILALIRGSAVNQDGPSSGFTVPNGSSQQKLIRQALKVAKVEPSQVSYIEAHGTGTAIGDPIELRSLAAVFEEEDSREKPLMLGSVKTNIGHTASAAGVSGVIKTILQLQHRQIAPHLHLKNPTPKFNWEQFPVVLPTKLTAWEVNEGSRIAGVSSFGGSGTNAHVVLEEAPLQVKSTKHSE
MAENNEATNSDATGPETGIVADSAAGDTGVIGRANGDMTVAQLRDWMRNWIAEATGQPVATITDDRPMEEFGLSSRDAVALSGEIEELVGVTLTATIAYQHPTIASLATRIIEGEPEAPASAADDAFYAGAADFSSSHDIAIVGMATRFPGAGSTPEQMWDLLISGGDGVSDLPEDRWEEFTSDPMIKAAVEKANTKGGYLNDVKTFDAEFFAMSPLEVANVDPQQRLALELTWEALEHAHIPANELKGEQVGVFLGSSANDYQLLAVSDPVNAHPYALTGTSTAIVANRVSYFFDFHGPSISVDTACSSSLVAVHQAVRSLRSGESSVALAGGTNMLLAPPATLGFNEGGILTADGKIKAFSSDASGIVRAEGGGVV
MRTELLGATAPSTAARTQQPRPAPADDPVVIVGIGCRFPGGLDSADDLWEFVSSGGDAITALPGDRGWDLEGSYHPDPDVAGRTYVRGGGFLPGVADFDAAFFGINPREALAMDPQQRLLLEVAWEALERTGIDPAALRGTPTGVFIGTHGQDYGTGGTAGQADEGYLVTGNAGSVLSGRLSYTLGLEGPSVTVDTACSSSLVALHLAAQALRSGECDLAVAGGASVMSTLDGVIGFSRQRGLAADGRSKAFADGADGFGMSEGVGVLLLERLSDARQSGHEVLAVVRGSAVNQDGASNGLTAPNGPSQERVIRQALANAGLTTADVDAVEAHGTGTPLGDPIEAHALLATYGTGRPAERPLWFGSVKSNIGHTQAAAGAAGVIKMVEAIRHSELPRTLHADTPSSHIDWSGGGIRLLTEPRQWPADASAPRRAGVSAFGVSGTNAHVILEEADPAWQDAAVPTGEGGGSAQPGAVPWPVSAATPAAL
MSADMSRAQEQAQTREQKLVDYLKWVTADLQKARERIETLESAATEPVAIVGMACRFPGGVTSPDDLWRLAAEGRDAISPFPADRGWDLDALYSADRDEPGTSYTREGGFLDGAALFDADFFGISPREARAMDPQQRVLLETAWEAFEDAGIDPATLRGSRTAVFAGVIEQSYLGLEGPAELEGHLMTGKLSSVASGRISYTFGLEGPAVSIDTACSSSLVALHLAVESVRRGESTLALAGGATITATPGGFIDFSRQGGLAPDGRIKSFAAAADGTSWSEGVGLLVVERLSDAVRNGHRVLAVVRGSAVNQDGASNGLTAPNGPSQERVIRQALENARLTPAEVDAVEAHGTGTRLGDPIEAQALLATYGRDRDRPLWLGSLKSNIGHTVAAAGVGGVIKMVQAMRHGLLPRTLHVDRPTPMVDWNSGAVELLTEAREWPPRATAPRRAAVSAFGVSGTNAHVILEEAPEAEDTAPDQPHTLPDRSRTLPDQPRALPAVPWLLSAPDEDALRAQARRLHDHVAAHPEQSATDLAYSLATTRTLHDRRAVLTGTDRDDLSDALHHLAETPTSSEAPVRSGGLAVVFSGQGAQRAGMGRELYASFPVFAGALD
MAANDTKLIEALRSSLKETERLRAQNRSLTEAAREPIAIVGMACRFPGGVVSPEGLWELVAGGGDAIGEFPVDRGWDLERLYDPDPGHHGTSYARHGGFLYDAGEFDAGFFGISPREALATDPQQRLLLETSWEAIERAGLDPHALRGSRTGVFAGVMYHDYAPGLSSVPQELEGLVGTGSSGSVASGRVSYTFGFEGPAVTVDTACSSSLVALHLAAQALRAGECDLXXXXXXXXXXXXXXXXXXGGVTVMATPGTFVEFSRQRGLAPDGRCKAFAAGADGTGWGEGVGMLLVERLSDARRNGHRVLAVVRGSAINQDGASNGLTAPNGPSQQRVIRQALANAGLTVADVDVVEAHGTGTALGDPIEAQALLATYGQDRDADRPLWLGSVKSNIGHAQAAAGVAGVIKMVMAMRNGVLPKTLHVDEPSPHVDWSAGAVELLTETREWDRPDRPRRAXXXXXXXXXXXXXXXXXPSA
MTTQIAETARVAALRNRLVREILAPATGLAPEVVDRAGSIRCIGLGSVDYVEIIRLIRHHLGVAIPASKLFMHHNINELAGYLVNRLDGGTGQEATKSVPQQFTGAPVQSRAGKELGTRQVAIIGVAMNLPGDIRDLDALWRHSARQGDAVTPIADSRPEILRDHLRNHSSLLGFPEYAAFTSDIRAFDAAFFGISPAEARAMDPQQRKMLELTWHVLEDAGYRPASLAGAPVGVHCAVNAVDYGELLIQEPQILESLGPFADPGVHPSMIANRVSRWFGFSGPSETYNTACSSSLVALHHAVRALRDGSCELAIAAGVNALLSARGFHQMNRAGMLSPDGRCAAFDHRGNGFVRAEGFVAVLLKPLELALKDGDRVLAAILGTAIGHDGRTDSLRAPNPAAQRDLVMAAIRDADVPAETISYLEAHGTGTALGDPIEFEGLSAAFQRLAPGMPEGSCVLGSVKTNLGHLEAAAGLAGVAKVLACFARRELPGLGHFERLNPNLDTGGSPFRIATANEPWQPDGDATPLRCGISSFGFGGANAHVILEGGFDAASVTRDAILPVLLVLSSCTADGLRAEAGALAAHLASHPERDLRTTALGLMARQPLEERLALIVSDRDEAIARLAEFADIGTAFRIRCGRVPTSHVLALPTPGTSADAVSDWLNSGNLESAQESWLAGHQVPWDGLGFMPEAAGLPGHVFAKTSFWYDDVPSPECPSLDRRLHPLVAYNTSTFAEQRFRIALDPADVLARHRQVDGVASMPSSALLEAARFCYEVACGASGPVVLSDLLWAPEQPTAGPLDIVLVPSGDGADFDFLDSDGAVVCQGTIRAGTPATRSAANWGLEIVDPAGIAEEFATAGLRHGPAYGCIVALSLGERMASARIRLPETLAALEQPYTIQPMLLARALELAWLAMRREGLASNTTEPSYLGEMAVHQAMGLEADVDVRVGSSGCDITIRNEEQILATLTDVRFTEIGQVQR
MTNSDEKLVAALRASLKENDRLRAHNRKLSSVAREPIAIVSMSCRFPGGVDSPEALWHVVNNGVDAISEFPENRGWNVDALYDPEGTKQNTTYVNKGGFLHSADTFDPAPFGISPNEALIMDPQQRLLLECSWEVFERAGIDPFSLKGSKTGVFAGMMYHDYAHNSATGSIASGRVSYVFGFEGPSMTVDTACSSSLVALHLAAQALRSGECSMALAGGVAVMSTPEVFVEFSRQGGLARDGRCKSFAGSTDGTSWSEGAGVLLLERLSDARRNGHPVLAVVRGSAINQDGASNGLTAPNGPSQQRVIRAALADAQISADQVDLVEAHGTGTRLGDPIEAQALLATYGQGRPDSDPLWLGSLKSNLGHAQAAAGVGGVIKAVEAMRHGVLPRTLHVDVPTPQVDWSAGAVELLTEARVWPVRERPRRVGVSSFGLSGTNAHVIVEQAPEPEGSEAVVSAGLPVVPVVLSGRGEGALRGQAARLLECVEGGGAGLSLVDVGFSSVVSRAVLEHRAVIAASDREELVRGLAALAGGEMVPSSVVRGVAGPVGGVAFVFTGQGAQRLGMGRGLYEAFPVFREAFDGVVTELDGRLGCSLREVVWGGDVDVLNGTMFAQAGLFAVETALFRLVESWGVRPDFVLGHSVGEIAAAHVAGVLSLGDAAELVVARGRLMQALPVGGFRWWRWRRRRVRCCRC
MADEDIAVVGVTGRYPESPDVETLWRNLTAGRDCVTEIPENRWDWRNFADVGGAEQGRHSYSRWGGFLDDIDMFDPGFFNILPKDAAAMDPQERLFLETCWLLLEGSGYLGSSTHEPSTGVFVGLMHGTYGNIGATGWPQGNFRGPRSSHWSTANRISHFFDFNGPSFAVDSACSSSLTAVHLACESIRRQECSMALVGGVNLLLHPAHYTSLCSMNMLARDGACKVFDERADGFVPGEGVGAVLLKPLGRAIDDGDHIWGVIKGSFLNSEGRTMGYTVPSPVAQEALISATLRRSAVDARTIGYVEAHGTGTSLGDPIELAGLTRAYTKAGVDSGSCWIGSVKANIGHLEGAAGIAGLTKALLQVKFGKVTPSVHLENPNPKIDFERSPFRPARSLTDWEAQGHPRRAAVSSFGAGGADVHVIVEQPPEPATDPDPQSGSGPGVLLLSARTRGQLQQLARETLDSLGSGPLKVASIESLAYTSQVGRRDMRERVAIVADDLPCLRDRMSAYLNGVEAPGVHSGSVRRTPGRPGTSPAEHENSDAAAQSWTQGNAVDWHHSWAGRRPGRVPLPAYPFTRKRYWAEGDDGRNGVHDG
MIGAAFRLPGADGGAQLWRDMYEGVSHVRRFTDTELSAAGLPERVRAAADFVAAGAPLTGIEDFDAAFFGMSAKEAAVTDPQQRLLLECCHHALEDAGYGDPARTAERIGVYATTGYRLHSLHSYLDHNLAAEREGADWVALKSLQVGNYPDFAAARVAFRLGLTGPTAGLATACSGSLVSVHLACQALRAGEAGMMLAGSAALHLPQATGHRHMRGSTLSPTGAVRPFDADADGTIGGSGTVAVLLKPLERALADGDTVHTVILGSAVTNDGADKRSFAAPSVSGQRDAVLLALERAGVRPETIGYLESHGTGTLKGDPLEFAALSEAFRAHSRARGHCALGSAKPAVGHLDSCAGLAGLVRATLALRHGTVPPLVNHQRPNPALGALADSPFLLPRSPLPWPVDDGPRRAGVHSIGMGGTNAHLILQEPPPRRRTHASRGRGGHTPTSDAHTRSVPASGLPASGDPAAVPLLPLSARTGPALADAARALRDRLSAGHAPPWEDLVTTLALGRRHFAHRLILTAGPGAPPSPAALVAQLDDWLAHEHHGHREDRRARARPTEGPGFRTAFVFDRGRAGARADEHAGLPAGVARGLYERFPVVRETVDALTRPYPGLAALLLAAEAPADRRRALAEPALFVLQTAQHALWERVGIRPCRVTGHGAGRWAASCTSGARAPREALRMLMEGRDRPAMPLPESGMAAPAPRSVPGADAVVVLGPAPSAEPADPCRAAGPADRAPGPGEAPAGFWHAVGTLYLAGAELDWDALLDGHQGGRVPLPGYPFQRTRHWKGPPPLPRTEARPRTGTSAARPHNRTEDTLTDHAILDRVTKLTADHLDHSPEQLDPDRGFVALGADSLQLIGLVRQLEGEFGVELDLTELLDDAGTPRLAAEMIAERSLARPGPELAREPRRESGGTPHQEPHQEPCQEPRQEPRPGPGPDRQEPVTRAELDELARQVGVLAETQQRMLTQLADAVALLTDGRGAVTR
AGAARPGARLRAEGERIAQLAHVPLTLPPADPTEVIGSGDRVVVIGGSGGIGRTLCRYLTRHCGAEVFVLGRGTPDAQAQEALKDAGVRSYLGAPAHEFAALDGALRYVHDQFGPVKAVFNLAGVLDDCLLFHLTPDRLESVLRPKVATALNLAAVTGAHRPATVVHFSSLTSITGNVGQAAYGAANAFLDRLSGLRPDWYSVNWGLWDTDGMQMPDDGSGLRPMPPEQACATLMSALANGERQLALYEGELDLDARPGPAPAVVASTAAPVVTADLPARTARWLRDLVVRHSGLRHLRDDDNLLDRGLDSVGSIRISRDIESRLGITGSSRLSRAVLFEYPTVAELGAHLVENFRTELEASLAQEHPAQPEHPEAPEPVAAPQVVSSAAAPVPQPAEQSEEFVDTTDAYRPDDIAIIGMAGEFPGGADTEAYWQALLRGDDAVRVIPGDRWDWRDSHSFAQDEPGTSYGRHGGFLDHALDFDPVFFNIAPREARYMDPQERRFLQTAYHALEDSGYFARPTDDVGVFVAAMFGHYQDLAAAERVIGSSFAALANRVSYAFDLHGPSVALDTMCSGGLTALHLAVRSIGAGDCTTAVAGGVNLMTHPGKYRLLSEGKFLSPTGHCQAFGVDADGYVPGEGSAAVVLKKLSDALRDGDRVHAVIRATAVNAGGKTAGFTVPSERAQHRVISSALARAAVEPSAVTYVEAHGTGTRLGDPIEVRALRRAYGGPEHGPAHLGSAKSNVGHLESAAALAGLVKVVKQLAHRTLAPTLHCALENPDLHLDDSRFALVKEARPWDRGSAPTRFAGLSAFGAGGSNGHAIIQEYVAPRPRVPELPLYFIPLSGRDEAAVRRRADDLLAALDDAPDTDAYLYGLSYTLSCARQHHRVRRGYWAANARQLAAQLRSGAPARAATAPAGDAWAR
MCFILGLGPVNNRLIRFQEASQTCSEAILNSWSEIEKVASQPNDLSILSQKFNSCNPLNQYFELSNYLETLYMYAAQYNAPPRRKIMLSYEFPYKDTEIDLKWGRQKCSEIVIPFRRGKDSMFHPHPFDLKSFVEKCKKDYGVSPQTHWISTYYGCQVSTFCFHLNNIN
MSSEGEEASAEVVVSDILYRFYGKSIPFVSSKDAPKNATLRGYFNSAQALADYAEILLHIQKNLSDEMPLKDVSELKDYLEYMYTGAAQYDDPQESPVNKACNGIDGALEGTDTLDRIFSGIVDLRGEDSCHDVDEFFSDATLDSSWGRQTCSEMVSPIGIGKNDIMFQADTFNLTEYMDSCNKSYGVVPRPHWITTY
AIEPPLALDHAVAETAAGVPLLLVRIPELPVKPAHRRGKSIEEAWVRSGGTTRKASRQEIGALLMNSSTPRWEDLRASPLLSLPDVQQ
MKKYFPCKRTKIALIISSIGISHTILAEPINFVSYPAGTAYKAPIPNVILSIDTSGSMAFCDKDNGTVSNTKGCSPNRLEYVQSGLKSLLIDKTTYDNQFRLAWQSFSCNDIPSSSGNCNNQNSIGKFSGTKKSNFGTWLNALSATGSTPSQVLVWNAGKYLQKTGNDSPWNATPGTSDNSPLSCRRAYHIFLTDGGWNFGYADAGLSGTSFQTDMSVSSSTSDHYIKNYDGKDSLTLPTPAPASGERTASPYGTQTYSSSNSQTNIYRDSYGSRIVSAQINKKTQYFAYPTLADISFYFWSEDLQKTIANEVNPKIRKTGNQTFSIGNKSATFSEFWNPQNDPAEWQHLVQYTIGYGNTASTLSQVNTTSPQWSGGTFGMYDSGFSSLVVGNTKWDDVTSSNADSNNATYGYDKIRPQELWHMAINSRGKYYPVSGDLTAVFKDIFDDLIVDTSAPITGFTSASGSVSRVGTQSYQTSYIAADDPNSNDNRWYGFVTSDYINTSGTSVMNPDWGANTSNNKAASTSDKLDALNSAAINNRLILSFNNLSSTPQGISFKWINLSSSTNNTTATQKMWMNKGTAGTATTVLGDGRGEDRVNFIRGDRSKESASYSTSNTTANFRNRKSRQGDIVNSAIWYVGSPSNGYASNSYQSFIAANRDRLPMLYVGGNDGMLHGFSAKDGTEKIAYIPHGVVQNLAS
MDLEMFHKAVFVIMFVIFVISNYVIVYKFIQISKIKKEINRSSEKEAYKLITKLKDSMWILDFGITSGPLLGLLGSILALIESFANLAERGISNPVVISKSIGFALVATAFGICLALWDLVFYKMLNDMIRNIREEAKLIFAGGN
MPSSQLPLADDLYLAAHDSARGRSLLTEATLGLGLAAALLAELVLWRRLDLRDNHIIVIDDEPTPDPATAAVLGQLLREPGHRRIRDWISFLATGVATDLVERRLARAGLVHRKEKRGLLGTKVRFVPSDSSTAGWPGTRIRIAATRGELLDTSDLVLTGLVLATGLDQHVLVTLEPGERDHLFDQLRRRLPAMLQHIVGHAEAAVGDAVMARRA
MGRLTNDQEQEEEEEDNFISDKFLKQITESPSENHKTYTEKQKRRLLEASTTRQKRSGAQREIRAQEEGLSRNLIQSTSEHLEENTPPELHSKAFQMMLKMGCQPGSLLGVSASNSLKEPIDIVTRSGRAVWNYSKVLMELPS
MRPSAAAYGGLQEGLTRAGTGYVLSRAASGPPGTTQTDVHCTVAAFPFKEDKRRIDVGRL
MEIVYLQFKISQTLTKTSLRKYFTIRKLFVQFVCFS
MEFRLRRHPVCQPGGKRHASAVLADIAFGTDPSALRIGDRAEHIHASVRDQALFGVMAVFLYLGGFALAIEQKVPTGLVALIADLLPLAIAALSQPVLGERLTARQWLGTVIAVIGVLIVSFDSLNIGTAPVWAYGLTVGSMLIFAVASVLHRRHKTQHMPVHQSLCIQTLIGSGLFGLCALTQGSLAPPLTRDFAVGMVWLVLIATFLAYAVYYTSLRLFPVAKVSAAIYLSPPVTMLWAWMLFSEPLTVTMFAGLAVTLVGVGITSRG
MTGFRSALRGEPVVAALFVVLWSSGFIGAGLGTAVSSAVTILMWRFIAVAAVLLLLQFVVKRHRMSRGEVAVQVLLGLFSQSIYLFSMFGAVQLGVTSGIVSLIDALQPVCASALAGLLLSERASGRQWWGLSVGLVGVAVVISGDLGLRPAVPAWAYLIPFIGMVALTVATLIERKVEPRAPLMDSLAVQCAASAGFFTLVGLGTGQAAMPTAGQAWLAIAWLIALSTLGGYGLYWIILKSRGVTYVSSLIYLTAPATLIWGFFMFGDAISFVSGIGIAVCLGGVVLVHSERNRRGGVVELPLVEPARSDGGESRRTRT
MNKSFEETAARLAPAIFVVLWSTGFIGTKYVINNAEPLTYLAIRMAFVVVVMTVIAVVARPKWPDRTGVFHSAVAGILVHGFYLGGTAIAIAHSIPAGLSALIPGLQPILTSTIANRWLGERVTPLQWGGLVLGLAGVVLILHNRPMTGEAGWGWLASGVSLISITLGTLYQRRYCNAIDWRAG
MTRVDNLAAVSLVILWSSGFIGADLGTAQAPAHTLLAWRYVVAVVILAAWCWYRRLRPTLRGVKRQAVLGFFCQFLYLGCLVTGVGLGVPAGTAALIAALQPLVVAALSSRFLGEEFPASRRIGLAVGFTGVVLVVAGDLGGAAAWPVYLLPLLGTLALSAGTVLERKLGTTEPIPLALCIQAAVSGVLFMAWSLIAGDATPPLTTGFWAAVAWVVVFSTFGAYGTYLFVLRRSGATRVSALL
MLWALLLALVVRTCNQPAHADTDQLEQETPAIWETDPTAGIVLEPVSEEAEQ
MQYQTLRMFMNALSGTIQLAGLPDKMEKIYLQYNHLNGNIDLDRLPAAVRTLNLSRNKFTGKVSLEKPPKGLEVLALADNQLTGTICLTSLAPALKTLNLATNNFEGSLDFTRLPYSISEMYLSENHFSGTVD
MLRDRVRLASVSAARAAGAFARGAAAPYHLMKSMAIAPPERLRIAPPDIRTTDSTVADQIYAGYFSFEGKTVQARGVSPFLVGAPSECWRRSLAGFSWLRHLQASDKRVALATAQELVADFLSLRKIPADDPAMEPAVVARRLLSFLSQSPTLLDGADADFYDAFMLGLARNARLLWRALAGEGARGADRAFCAIALAEFAVCADTGRKIAPHVSRALSAELDRQILIDGGHVSRNPQVAVDLLLDLLPLRQVIAARGLQTPSAVLRAIDRMMPMLRMLQHGDGSLALFNGMGATALDRLASALAHEDTRGAPPVNAPYAGYQRMEAGDALVLVDAGGPPPFEFSLSAHAGCLSFEYSLGIERVVVNCGAPSAPHLDARELARATAAHSTLVVGDRSSARIAPQSAPRRRAGRVLGGPRNTAVERRRLDGETTLILSHDGYVRDFGLVHQRELTLPADGAALLGVDRLIGAERGGRHAQASDFALRFHIHPRVRIAPNADGA
MLNSVVTILVTLIIGPFMFYILSRHYGKEKHLKKYPVGLIDGWGDIIFLPLFNAVAIATIEIFKPFIASIACLLGLILTTSFIIWRKNYAHHNDWSRPKKYVFNNGGWYHASYMFIQASFVFYTLILHYNKFISWIPLSGYLILVVIRFVQVHEKIDEV
QMKSLLKISGLVTFILTEYFICPFFCTFHKKGVDEIYFINTIYYRTNYLSVQAS
MSEVSTDEARSVEASTCPASLLLDRAEAPSVFAIVLPSSALGMALASITVVSRVAVVAVVVAPAALDAGR
MCLCCLLFVVCLLLLSAVVVVAVVLVVVLVVVVAVPVTVVAAAAVVAVFVVGGGGVGVGGGGGSVVVAGACRCCCGCCGCVPEARTRHVTSSFEFDFAKCKDGKCRRLQALGRSTCYVRASRKYW
MVAKGTPEEVAKNKKSYTARFLKKELL
GGGRVVQGHSLQIVPVAGTGAYHTNPITGGCLFGKDKVTGKCNFYEKPVYAYDTIVNMPPPKGPPDANGYRATPPVKFPKALLSVAGEQMRKKGPMSWQMKVRDEMRHNDKKMAKMYKVLAKNKLLVREHQEQMRRASTLEKQESARITGALKDFKEDIKTKMLLKTREVGPPGPRGYTGRPGVSGFPGRPGPSGPVGLTGPTGQRGRVGKPGHMGRTGPPGNPQ
MVAFSIQNLIDLESDNSFDRRSFFEMVEKNISEKDTPGNELKNESKNILKTLKDDPASAPGNINNQILYSFLESKGFICKEQ
MPAPPYGGDPATFPVTACFLEGAFAGPAHRTAGGSAQESSRERITPAYQWALSFSVRRWVS
MSQETERGQGIFVIAAFDRMFTRERKNQDGTFTKTHYVGLIIRSESQTRLCEVRTKHPEKYEGYKPQQIVSMQVFPRAFKDNIYYSDEA
MPNWCFNYLNIKGKNIYIMEFIQDHNIDNLNYENYENYENFKFETIEPYPNDTWDYEWCYENWGTKWNPITTSIEISKHDIIINMDTAWCPPIKWLEKASIKYPSLEFSMDSIECSGDFRGNYIIQNGNYSLFLEGSYVDYLMDTLSLKKNMSLIIREIININILYKTDIKKALNITSIVINRMDMILHPHEYLQHGLRDYIEVEYEIDESLWLCVEQMFMHITNCMFVQGENIEVEAVKDYMMYKVSKYINNMINKCHYIMKHYKSYKLRKTVKIISKKAILHRELVHFALQPPSNTPLLKNGGYLYQESMKNFSCIM
VPPIAVLPVGTGNVLARDLSRFTQTTRHASVSRAIELALSPTAALVRPDVMDISFVGPHGPQTILGIFAVGLGPAADLFYFADEARRQGSQSRALSVLKTAVA
PDISILSDEFLAEIQGMPRKNLALELLKRLLNDEIKSRAKTNLVQGKKFSVLLAEAVKRYQNGLVDSAQVIEELIKLAQEIREADKRGEKLNLRTDELAFYDALADNPKAEVVLGDQTLKMIAHELVDSVKKNTSIDWQIKESVQAKLRVFVKRILRKHKYPPDDPATGKYTVSIKKILDQAKLLAEYWVEN
MSSSKSHKSHSGSVHDSDSESSIEYVQTQSPLSPNIPLTSPIASSMDVSGLNIDVENPKDPTSSIWSIPNISITPIIPNLTNTQIHVSQGPEGTPQISSNSNPQSKFPYEFLLNPGQNPVTSQDPFGQSKQPTRNIPSVSQVHVGDERRVDGGQQKRPLENVAWSGLLEGNPGFTLHQNMAPEGKSVLSQEPIEDRDELYASSPLVYEEKVTGSHHPYAPKPRTAHASSSGEEILDDEDGNMSLTQKETKDEPRRDNFTAHEHGTQSNSEFTHPQMGLAQSMLEQSEVRQQRNQACKAHNVAKHASQKEQQKWLKAELPENFHGMRSEVHAHCLFLLKVRDEDFSSLPAPPSTEECGIAIQVAGHLGYVPKDVFNEPSTQVQSQGFQSYCKNELHKLGLKQFTWDWESSWQHPFNGLMSMVFYRTFRLSLVSTEYHHYCWNKDHNNYGVMAALIEQYFTYLKREWKSIQKDAKYLVKKKENQKLTKIHQRVSYCISSSL
MTTEEATGRLNLYDDPSPLPKTDNINILVDGIRKKTRGADVRESIAKALEVTYETASKDGNANMEVAKARGGFDTLSQNLLSINASADAANQKASQLINDKVDKNGAAQVTWAMLAQDAREQIAGNKVAVVGNNAVSSANIVNGSVTDAKLDERMGFGLLLAGRLTIDAKNSTVTLASGSWFQVGKKKLA
MAEQRLMSRMSVPASRPWFAKVSRKNRSTHSAQSIVFGDFETSDVAAAVGDRREGQAAVVWIVNNQRSRGNVQWRN
MHMTFQSLSFMTAQTLLGVQVHGLRALTALLALGSVWTAQAQSTAAGQTVDRIKAAYVYNFAKFVELPGSDDKSIRVCLLGKDDLNGSMHSLNHRMAQGREILVRKDVTLDQLKDCTMAFVGEGDARMLPAVVRQLGSAPVLLVSDARQAMDQGAHLSLIFNDDRVEFDVNLLNLQKSSIKASSQMLKLARVVIR
MSESFDHTSALYHDEQEPPKPRITLKLPSLSSLKPQKKQKPPRPVKLKPLKEVLSKLISQIKKKDDYAFFLHPVDVAQVPGYSTVVKRPMDFGTMTTKVSRGKYRSLEEFTSDVRLVTGNAKAFNPVGTIYYTEADKIEVYALDAISRASGTVVQYETDWNIDVENDEEVEAEDGSGPMDLDEPISAGQRSQSVVSATVGRRATRGPYKKQQAQPATTDGSTKGPLSETIQADGRLPGSRDGLGAFPANSGWSKTMLALKLKGKKYKTKKERMRIEKEGPPMHPDGSLDYWEMEDPFTILSALVPDPLTRPQMSLLWPPPPPLQPTESRATSATPAPQPLAGPSSLGSVALPPKREPHIPPVPPEKKHWIVQTSYSSRGFKKEEPERIPEKLERPVHTVDWGSFALLSAEMSEELKRRGITDNDGIDLVRESLDPEDNSKKRKRDDAHKYWNLERAAQGEDYLRDVVYGGVDGYAYVRSLAEFVKRPKTEEYRIPDEELELGMPLAKYVEQQIVDPLTEGKHGMLRETARLLGTQRDEDVVMSMEPGAWDDSKILAQIQLSEMVQPLLNVRGQKLDMGSLMREPADLDVSEREWDGLKFRREGKIETQDELGQILGDTGDMLARLASQTSSNDVAEGSESAETRRLRMNLLALAKRLPVTMISPLEKRLVAENVRNILPTIE
MNKKQNRINLFKNKFSRIPVGTFTTAPVLELMELSGAYRPKADFSCDEMVKLAMAQNEYLDFETVRYPFDMAVLAEAMGCKVDFGSMDRTPSVIEPLVFDEIDELYSNLDNFESKGRIPTILDATTKIKSQIKDDAVSIAGCEGPVDLAASIIGLKKLLLWTLKEPESLGKLLDVCSEACITFSNLCLDHGADSACIADAIASPQLVPPKSFKDLVMPTYKKITSKSKRPIFLHICGNVSPIKEELSQCGFNGISVEESVDDLGELVETFHKN
MCARPSAAFESQKKFCRGRPLLYGDEYDVVKPGFNERRRLNADTYRPIVKTGDRPPKGESKNQSLFTALNEDIKDKAVAPRGRAPALIPPVINGGVLTSNIL
NLHRTINYLYLQAISATVKTNNGAINFPAVYNPTKFKIMKLRYKDILNSLGSKSPARGDYTAKHVFWGLKIIIPRGRTREHFLNVISKEEPTLGILATCQ
MTRPLAPVYPRRAPKSPREGPRKRYGVKDQATLREVKTGITLLNAQNVYPFCDAGIPRGARDMSGAVAPDIGIRPTATFAKPVRTGTAGPLRPGLPSATRARAPSPPGEGRLYKGEPEY
MSGECVADPAQLELLAKAVHDYCEKHLITGKGDRERVAIEVIGLFGQGMTEPAQLSAALEKGHSQSPGTSAAVAALPGRSSIESAT
MRYVSTRGHAAQPSFSEILLGGLAPDGGLYLPAGYPQVTGAELDAWRALSYADLALAILGKFATDVPHADLKALTDKTYTADVYRNTRPGESAERITPLHVIEQDGDKTLLLQALSNGPTLAFKDMANAIARQPVRVHARQAAGRTQYLWRDLRRHRQRCRIRDARQARHPRLHALAAPENELLSDRANVQPAGRQHLQHRRQGCLRRLPGHGQGGLE
MVISHWSLGIGKTLSLSPSSPSSPSSPSSPSSPSSPSSPSSPSSPSSPQTDKFNT
MGIGDWGLGTGDWELGRISAPSSPSSPSSPSSPSSPSSHPPHPPHPPHPPHPPHQQPTPIWEYSL
MGKEKREEVEEDAKEERKVKEWERESKREKEKEKEKEEKEKEKDKDNRHVSDEEDGNLTDDDSTTWSVNSIDDMLDAQEPHEVSDTWAQDLHNRIEEIIDRKRSSAEGREHTLMAYNHYLMARYCYDEIEGKISELYPALCKSIKAGTGEKEACLALRDHKHVTVKAAAIRAVAALAVYGGASDSEISDIMNEFLEIIESDGNLVDAPDSVEVVTAACEEWGNLATLVDDLEEESEAAMEAFVEQLESSSTSVRIAAGENIALIYEKSYTQRDSNDPEPTEDEKVDEYGFPLDVSGGFMTHYQTNEVVLQSLPTLIARN
MWEFLQAALSDPVSALLVSAERSLAAECPQQVQARTVLSAIGSGERTFSNIARAAGGIGATPLQRSLGILADKRVIAAELPVSTRPSKDRRYRVADPYLRFWLKLLGPAMEEVERGRGDLTLQRIRENWTSWRGRAIEPLVREALARLLPDANLPAAPPSAVTGPVPTMWRSTSWERTARRSPRSCCSSAR
MSFQTEQDSDSHIILLFQPTTPSSTLQSIQQTITKHNGTIVNGHIKTETQTGFECTLPSHLVSSLLSFHDHPHLRSIETINKVPLLNGTSISFISSDSLQGPVVTTDSSIGHFDRTGMFLWPGSRFMTLFLQRNPEIVLGKRVVELGAGGSGILAALGTRLGCKKWVATDWGDAQVLERLKMNVEMNRLGSCVGVVEYKWGSEGTDVVVAAATGLDGGILDLMVAADVIYPSMTREQITDLVTSFLVFSRDQRMNVREFYCAYVNRDGSGKTLKRLLLEVVAVLDGLCVSVIPFEEDRSGCMLRFYLDDRIASEEKTAMITDVGNLVMPGVWSKTEEVVEEWIAPYGSSDEDEE
MRQSRASVEASATWLVSLGVTRLRTMTTQCVDHYAVVMLDLEEDEFDVSQGILGSQPFAKT
MTKEVSPIFFFKEDPFINITDGSDDKTLRTVTNKQDSIMQSHTSETLTLTHHSKDWSDYTPQMLKSTPSKSLRMKVALHFGVALDGLVVHVTAPCAVWDARPARGLHRRRMICSIWLPPPAVPTRRTRSTAQPCHESKMPHPDIRCSGIIPWLPKRAITQLPTHLVTVSPASTSLIHCTTPGSQIIHLPSLSYSYLGQSVGSSCQAILPLSRLSPVGNVYSFRKLETQPQEKNFSLIEDIPSGDESDINDESENEDGNEMNQTFDINAMDIDFLADDMPVEQDWDSEDEAPLTQIQAVLIREMRLRGLTIFYIQTMFKGKLSPLTQGLT
MTQDSELRFIKDAAFDEASKIVEGLLRSGDPAIFAAAEKNPDGSVNQVKLAQAMLRTTIRLAADIRSGKL
MKTKYFFLALAALGAVACSEKVDDGGNKTNPEANVNAEKGYLAINLKSTDDVTRADYEDGTAEEQTINSVTFYFFDAAGNPFNVNANGSYYNVAVTDNGDVEAPNIESMTDPVLVIEKYKGEFPAKVVAVVNYTGTTNLSLNDLKNTLTTVGHTSGAQFIMTNSAYVNGAGEVVDATPLSIEHFQTSADKALQNPVTIYVERVVAKVNVKAGDTAFNTNTKVGEKEVYAKVNGWNLAATQDESYFVKTVDAAWTDAVLGFVWNDAVYFRSYWTGKTSDTAVSNAFTPALLTNNDDTVEYLGEHVNAANTDRTKYIVSATLQDVDGNAIEIAQWYGTNYIGEDALLAAVAPTLKNKLMLLTTDGVNNVYTSIDDSQLQCVAGLLGAESYEVKFQLAEDAPTTGWYSFDGTQYTAVADVNAELAKIEPAKVWKNGQTYYYADVKHLGDAYGIVRNHSYKINITGVKGWGTPVYAPNMEIETPVKPTDKETYISAEINVLSWRVVSNDVALE
MKIKGLLLGMFACAALASCTNDDIVEENGNGQVLKGDGYIAVEFSMAGNGVGRAATLGDFQDAEAAEVKVTSATFFFLDASGNSCATPFTIEGNLLNPWADGSGSIDETSSPVIVMENPTATPASIVAILNPIAPIKNTTTMYSLSQLQAAGLALDYKILTEGDFVMSNSVYMDANGAPVVGAPVSAGNIKKTEEEAKADPNPVKIPVEKVVAKVDMVAGQNVTGNNEEQKIDEGNPTTVTAVIKGWWLDNTNPKSYLIKNIETSWNYNWWNDLSNKRSYWATSVEGTLEHGSYNDNTAAAKYCLENTSETAHTKMVVAAELQIGGKPASLIQWRKNLYTEDGFKNLIVGMADAKNYYICTNPDAAETDAKEYVTLTKENLDFAYNTTSDPDVTDKDWQAIVKVKANTPQIYTLSVLSGEKIATPVDQETVNASFKNIGTFKYWNKGRTYFYTDIEHNGDICGIVRNHLYKLTINSITGFGTPVPDPDKEIIPGKPTDDEDSYIAAKVEILSYKVVSQSVDLN
MRILTLFVVAAIPCLVWAQTPLTRSFQIVKYDSALDAVISSDAELELIGDRFGLTEGPLWVPDDGEGFLLFSDLISNVIYRWSEGSEITVYLENSGYSGDDITQAGFQTRRGRMAVLLIGPNGLSLDAEQRLIYLATPDRAVMRLEPDGTRTLLADRYAGKRFNGPNDLWIRSDGAIYLTDSVWGIRDGLANPDSELDFSGIYLIKDNDVRLIYSDADKPNAWPNGIALSPDERYLYMNAGNQNILRYEVMPDGTISNDEIFIAGEGSDGMKVDVLGNLYTTSGAGPGEVRITSPEGKRIGVLELPMPGGEPQAQVCATNVAFGGNDSQTLFITACEHVYQIRLNVAGVHPH
MPDITIPGVPQGVRVPFLYFGVDNSKAGYFQSTERVLLIGQMLPNGSAGAGAPVQIVGNENGLFGQSSMLADMARIVRKTSGFAEMWALPLLDAAASIKGTWIVTCALDPAKFQSPGLAGVYVGGVQYTTAVLLGDAATDVANGLAAAITADPQAKVSAVANGNTVTLTANHAGETAGHIDVRVTYNGIGVPVTGVTLAVAPGAVGAGNPDMSAALATLGDEPFKWVAMPYTDATSLATSRTFFDDMNGRWAAMRMVYGAAFSACTTLTPAALAAFGATNNDQHMSILGLYGTPSAPWEVSAALAAYALVYLSDAPELSRPEQTIELTGIYAPEIPDRFNKQVREMLYYKGMGATTVDQAGVVRLDRLLTTYQVNGLGVADNSYLDINTMAQLMYFIEYMNSGISTTFPRVSLKDDGNPVFPGQYAVTPSVIRTYIIALADQLADLNVIENVDEFANLLLVQRDSDPNCVDMILPPDFVNQWRIGKILVQFYNQYPATN
MHPFDHNAEHQHLETKIRICRAPQQLLPILNRHPVPTPKQSGKPGIQRSLDGIEPYVARMLRRRILLILLRPKQLKGQIKHG
MSNTTALVPVSFPNENSIVRPKVLEYANRYQTFLNKTAESILSLTETVYEAEKNLSADDFKIFKEEVGLNSKATVSKFLAIGKNVSLLRPYSKNLPYSWTTLYRLVRMKQFQFDKVKDALTTEMTAADIQRLLGRPPRSAKKDPADIKIYLWKLRVEEKADFLEELKEVARCFEVKVNISTELSKIMKKEKENQQA
MTNTGSGRTVPPTSGAWLTYFTAPVEPASDGRTRAAVRTRTSRCRRRHGERGVGRSRGDREPPRRV
MSIGAQIVHAGSHAEGDREEDYAVSSEPTAPLAKTAITSPRRWSGVKTARSTVSEITKAATEAITNAAGASRQGRIDGDCRECPVEAEPPRHQVTQHKSGTNRQHAAWRCDSRPDGNGAWAGFDAGRAEITDRPVGERNGSAKECQIGAPPRFAGY
MSLLFAEKGCKVYYFDISEYNMKAAEKMVKDVNKETRVFRQHSYQQLCEEIYSENHPRIIIFSIPHGNPGDECVKALRPYMTKGDIILDCSNEFYGNTERRQADLAKDGIFYVGCGVSGGYQSARAGPSMSPGGDPQALEIIMPLLRRVAAKDRDGKPCTSPIGPGGSGHYVKMIHNGIEQGMMSVISEVWYILTKGLQLNYEEAAGVFEKWNETPELYNTFLIYIAVDINRTKDEKGRYVLGRIQD
MNIVYRGTLYSNKEGSYIYSHTENEFTTTVTDFATALKIENKVKPEFITNNLNGEDVTILLGKAVQLTE
MPTPPTPIRAHCPSCRGPRPVRRVGTATVRGEQRTLLECRDTACELVWAQSTDPRPVANPAA
MKRTRTTSPWKQCPTVSKHCPSCWQGRPVKQLGEVVVRGQLLEAWQCTEPACELIWCLPPRQPSPVLAAA
MRPGPRHVLPLLLAAVLGAGCGVGADAGDRLTWKVGVLQCSTDCGFLKMAEEQGFFERHGVDVEFVTLQSASQALPALASGEVDAVEQNAGAFFIASERGDLDASIIGSTMIGLPWAIYAKKGITDLGQLRNRSMAISSPTGLPATIATVMLARAACPARPCSG
MFYRVLVGLLFLCVYSVHANASVEFHHADWHITCDNTLTCYAVGYAINNDSDDAFVSKANLLLIRHAGEKQSIDGVIKINVDYPSGTQVDLLINDHQYGPLTFDNYQYKLNENQVNVIINSLKSVDDVVMLVIEDQKINLSARGFNATMLKMDDVQGRVGTVGAIIKKGAKDESEVYPAVAIPVINRAAISNSYEAIPITYTQLLEQFPHFPEVYMNKGAGEVEECDALDELTGNKTNNFFVDNDYLPKLFNLDDNYQLLEVLCSASTTRNQSNAYWLVNKSNGDSSNQELKLITRHGMNYNNGLINEEPCLDLGFDGDGYIPTAVLQWDGADFVLREKNTVSGDYSLAGGKWILPLFISHHEEDNE
MIDAKYRSNYALILLGQSARTHPQFSKHLADVAYPCVLDGSIAPSLAIHPTHGDYSTFKKSGNPPKNLKQINQLPLPDGLLNAINGSDSQLSASPQSTAIAPVFQKIVNYLDGKDWKKDYEIKASIREFKDSDTPLSELQGYLQFLETQNLLETRSTQRGALEARTIRDKTA
MGPHHPSMHGVLRLIVTLDGEDVVDYEPILGYLHRGMEKITENRTIIQYLPYVMRWDYLATMFTEAITVNEPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFNIFRERELVYDLFEAATDMRMMHNYFHIGGVAADLPYGWLDKCLDFCFVVSANGLEVDQEKIKAIQDWPTPMTVTQVRRFHGLANFAKTFEIQCDASGVGISAILTQEGRPVAYFSKKLSGAVLNYPVYDKEMYALIRALETWYEGFLLKEGKLCIPCGFVRDLLVHEAHSGGLMGHFRVNKMLATLHEHLYWPRMRKDMERVCERCIACEYNVSATFNVVDLSFYDIGGDLGANCLEERGNDTDTPPELSAANVDPLELPVGPIT
MLAGIIKQHNELQTKRETIKRYEQELQSMKCLLEITLKEKQIQNDQLQYERQYGNMQQNDNRFIQMNKLADNEITEDVISRRLTSTPT
MQSSGGGGLGHQKATSIATSATNAEQAVNASNPCFGPSSKHPREGKRWVTP
MEKITFIFIRLQKFFYFYELKCVWCKSESFLIMNFFKKRMIMISKYQRNGFYNSANCISSHKLHFFV
MIALSQHMVYFGCGFISGWRAASLHAAGIVVALAFAALIKGARSLLSCYDRFRRCILAAILKGLQ
METKCQASNGSLPINAHVVGCLELPCSLPQNQDVEIRVVFKAPYMLHDMKTRAVALMAIPINYPLGPNEVTCNFLNNSYCPILEGEIVEYSLKMFIEPWFPTIPVTIEFRVEDKNAVSVWCIRLPIVVVRPQ
MYRAPPSPSPFVFTAIDGTVTAYQRGTGEVAWEFRVPDGQMDYRHVTRIAADEHRVVLVAARMDEKGMFANADGTAHICCLEYATGRLLWRQELKLGQNIAHFTATLLIDGGQVFVVNATGLFAFALETGQLMWRRRVEQAAANRPLSVALAVSGLAEQGDAR
MADNLAHIDRLNEIIETLDDDAASMHELIKASTTQLEAAVHVGAEAAEMGRETVETVAGKTAEMAEAAQAFFEEQERLQRTILKENEAFRDQVQTDLLQGKSDQALRIDTLGGDLMRRLSALGERIDSLEKKTAGSTDTFARKLHLALVGIGITLALEAAAIILTLVF
MALRRRPLTLHPIHSSTRIAPNSNGNAITDEIMPHTVQEAQPSSSSAPYTDEQLKAFLERNWNTIRDQRRYLVEGTETAVRCAYCKDVVELGADPSKREASWAAHLRLHDTRPNFLDDPHCKLTFGGDSGTLVSCSACQTKIGRATSLWVRERWQKHIETLYHRGNVGEAIPNTATSSDMCRQRFVEDPAAVILDESDMEVGCLLCARKIKLNDPWAWQNWELHSKSRDHQVKAGLGTVPPS
MGTGGWAASLTQFVDVAKAFGEMRAVANLSFDLSQQNPDGSVTTRHQLTPAESKALDYAKQNNVLVVASAGNEGGEMSALGKASKRSDNLIVVGTAQGNDRAAYSSFGPGLDFLVSAESQQQAGTSMAAAETTRAIAQLWSAHPHLSSSQVVQVLEVTAQDLKTPGWDVQTGLGRLNPVAAGLAADTLPAQSALAKWGVLKTSPGSNREMWTGGEGAIASERPNRLTGDLSRPPSSGISAARARNEHQAHRPSAPSSGMSAARTRNEHQAHRPQSSTSQTLKYTPGTAVMSSDRVRQWQNAMKAQGFNIDVDGKFGPQSAAVAREFQRRNGLEVDGKVGPQTWQASFPYRGMSPDTAERYAQRDRAANQLITAASGQETIKYVPGTSTMSSDRVRQWQTAMKNKGYNIDVDGKFGPQSAAVAREFQRRNGLEVDGKVGPQTWAASFVPPVRSTPSLSSTIPLAPNTRNSSTGSNNRRNEDLLIGRKPSEDPSPYFFISEDSLGEIGMAAIGARNPDFNFDMLYYSSTPSVLGHIRENGNHRFGLRGNVGVLRFDDVNVLKNLQESGSVSETSVGDLDLGFLPITGEANLGNDGFSVGLAGSILDGAYTWGSSNPNSDRDGTERIGLGYGMGSGVRGHWDDADNDGTREGGLGVDIGPISFDVTNERSGFNLIGHSLLSGIRYLRN
MSKNILVTGGAGYIGSHTVLQLLLGGYKVVVADNLDNSSAVAIKRVEELAGQFGRNLSFRQVDLRDRSVIQKLFAETKFDAVIHFAGLKAVGESVEKPLLYYDNNVIGTITLLEVMAAHGCKNLVFSSSATVYGWPKEVPCTEESPLSAVNPYGRTKLFIEEICRDVHHSDPEWKIILLRYFNPVGAHPSGHIGEDPRGIPNNLMPFVQQVAVGRRPALTVFGNDYATKDGTGVRDYIHVVDLADGHIAALRKLSDPKIGCEVYNLGTGKGTSVLEMVAAFERASEKKIPLVMAGRRAGDAEIVYASTKKAERELNWRARYGIEEMCRDQWNWASKNPYGYGSPESNGVMNSDLADLNPTLVIVAGTHLKKEKEKMDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKSDEGTREYAEFLHLPRKRITDFAAVRKEIQDETDRETGRTKQISSVPIHLSIFSPNVVNLTLVDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFASTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIVELETELSRLGRPIAADAGGKLYSIMEICRLFDQNFREHLDGVRSGGDKVYNVFDNQLPAALKRLQFDRQLSMENIKKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDAVHSILKDLVHKAISETPELKQYPGLRVEVGNAAIESLDRMRDQSKKAALQLVDMECCYLTVEFFRKLPQDVEKGGNPTQSIFDRYHETYLRRIGTTVLSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDLFYTELGKLEQKRLSALLNEDPAVMERRSALAKRLELYRSAQAEIDTVAWSK
MSNSQGVSLLENLRKVITLVDQLRDIGLNDYIKLPRIVVLGIQSAGKSSLLEHIVGIDFLPRGSGVVTRRPLELRLSNAPASICPTPTAEFVEEIKGKKFTNFEEVRKQINELTDKVCGQAKNIIDKPIILAVQGPNCPDLTLVDLPGITRIPIAGQPSNIEEITTNMAKRYCEDKSAIILCVVAANADMTTSDALLLAKKLDPDGVRTIGVLTKIDIMDQGTNAFKMLKGEEVPLKYGYVGVKLRSQQEINDNIPIIQAVLREKNFFANHPVYSTIPGEIFGTQVLTRKLTTILYRRIRSFLPELMKEINNRVAKIQVRLDVLGPGLPIEDSDKMHYIWKLIHEFSVRFRNSITGSYQKIKSAKKNDFFQVPAGAKIKLLFQELYDEFNDLEYQALKKYSEDDITQVIQKYSALTIPGFLPVDAFYALLNPELKRLQQPAFNIIDEAYSILEEYAIAILDDQLQTIPSVLKMLEEQVLEIIQECKQHAQNSVQDILEAEMNYVFTNDFNYLAGKQFIRFGKPAKEAQPVKGQYMVAELKNKIEHYFKLVVRSTRDNIPKLVGYFLVKGCQTKMLMQLQQNLMQNQYLLQAISEDQNIVEERKKLNKEIETFRNAQKIIKRDPDLSEYILQAQEDQAAEQQQLQQQQQLQQTKPQQQQQQQQKQQDPKAQVNTQNKAPATQTSNDTSKNLMTDAQLQKQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQNQQQTQQQKQQQNLFGNVQKPPQQQVPQQNANPLQGNKPSSSQTLTQQYNQQVDKSVAQFYEDERVKEQMKNAGGQAAKAALKSQIPGAPDWALNTAEKVGQQAATSDAAKQAAINATSNQLKVDDQPQQSNQQQAAQPKKGGLFGFINKS
MLDFKFNWDNRISVGINEIDEQHKELFRIGRDLEQLILTRTGQISEQEVLKYLCEVREYITYHFHTEEAVIEQINKEALKEHKALHDDLIEKINKINCIKLVEDQQEGIKYLNIILQEWVLNHILIEDMKVMKGFN
MHEIPGFADLNAGDGLNKDVDEKVKQKPVKKGGGFQSMNLNFNVLKGIIKRGYKQPTPIQRKTIPLILEGRDVVAMARTGSGKTAAFLIPLFEKLKLRSAKAGARALILSPSRELALQTLKFIKEIGRFMGLKAAVILGGDSMDDQFSAIHGNPDIIVATPGRFLHVCIEMELKLNSIEYVVLDEADRLFEMGLGEQLTEIVQKLPDTRQTVLFSATLPKVLVEFAKAG
MTIKNFTQFGLQDEILQAIDLLHYKQPTQVQSMLIEAMLHGKDVAVQSQTGSGKTAAFAIPICDMLDWNENRPQALILAPTRELALQIREDVFNIGRFKRVKVAVVFGKSPITAQIKELKQKTHVVVGTPGRVMDHLEKGTLDLSALRWLVIDEADEMLNLGFMPQMHQILQSVPKKRQTVLLSATIPAQLQDLLSTYSPKAHRIVIEDEDRVSDRIEQFKLEVTAATKIKMLKDILTVE
MEFQNINERLVEGLKKQNIQTPMSIQVKAIDKILEGKDVVIEACTGSGKTLAYLLPIMHRMNTSVKQCQALILAPTHELVIQINEQIKLLSKNSELNITSTALIGGTNISRQVEILKKTKPNILVASTGRALELIKSKKLPIQSIKTLVIDEADHLLQKDHRSEVMQIIKAARQQAQIILCTATMTFEADDILNEAQFLNSTEQVLTNPNITHVAYVTEKRKKVDKLKTLLNKANPTRALIFINNGHEVNVVTEKLRHANFKVNCLSSNQTKQERATSLSQFRTGKANILVSSDLTARGLDIPEITHVFNLDLPPHAPHYLHRAGRTARADRRGECISIITEGEQKIIKEYKKKLNIEIEQLEF
MSTTFAELGVSENICRSLADKGIFKPFEIQKLTLADGLNGRDICGRAPTGSGKTIAFGIPLITNSRRGKSKSPGSLILAPTRELAEQIFSELLSLAGNTKVGVVYGGVGYGNQIRALKQGIDILVACPGRLEDLIEKGFVNLSNVNHIVLDEADRMADMGFMPSVRRLLDQTNPKRQTFLFSATLDGDV
MGVQGNSRVRVKHLVSLAPGVHLRELQRLLGMSFNSTRYHVYKLTTAGEIIRVEEGGYSRLYPAGISEAERAIFTLPRRETNRKILASLIADPILSNKQLCELTHLAKSTVSEHLAGLVRAGIVKTRQVTE
MIEEYPMGKVAREDMLFEFKCVVAAPVVRYMQNTLGEERARSIVTSTSMNWDYLTNPNNWISFDYFCRLLKSMVAETGDPMSPFIASKTCTDPKAYKAIGLVITKLGTPATLYRLVTQFNYLWDKVCKWRMLEHKQNSCKVEVIYKNHKQDLNNCLVIQGCLAASPTFFGLPPAEVSHTECATEAGSSCIYDITWASKPARINSLIGLVAGVVFGLIIVAILWPAGPASWIILFLCALTGQFAGRLLDYKEELARQYGHTEAQLETILETNTAIEKLNQELQLMVEERTEELTKANERLKRALIEQKKSEEHAIKAERQAAIGVLAAGMAHEINNPVNAIRLSIQTLLKKTDKDSKSLVLLENAEKATVRCARIINDLLTFARNNERISAVDLKEVLQKSVNVFRAEQQNSIEINLDIEKDLPVVNVDSGQMQQAFINLMMNAGDAMQGKGNIDISYRREGDNIHIDHKDTGPGISEELQARIFDPFFSTKRDGSSKGLGLAITAELVHRNGGHIKVSSSPDAGACFTLTFPITSTNTDNTDFYEKNTNGVLNEREIRN
MKLFYRLLSSLLIGTMALICLDEYINYKVETKQFELDLMRSAEQIGTSLSGVLLHAWEQQGEAEALELLGHAQVPNQFGVRWINQRAMSEKQCVLSADERQQLEQGTVVHGQHQGQEKKIAFFTYIPVQIDQGHWGALEIKQPMVAVSDYSRKMMLRGIVIILLFTGINAAILYFFMNRSIRVPLKRLIEQVERIGHGDLCTTVAFKGNDELSRLAQTLNEMCSRLLIAKEKIKFECDARLKTLEQLRHTERLSTFGLLSAGIAHEIGTPLNVVDGRAKMIIREDLSPEEINECATIIQNQAERMTTIIRQLLDFTRRPKYKPAQENIVFLMKQVFQFLSPMANKQNVEFALHVTPKAEVMLQADGSQLQQVFVNLLMNAIQAMPEGGKVDVSVGNLAAHPQLMAKGTISSIIELRIEDEGEGIAEKNLKHIFTPFFTTKTLGTGTGLGLSIAHGIVEEHGGWIDVESVQGKGTCFSIYLPIKKAAA
MTGSLDDKGTTDTAGVVGAAGLLPIAGFFMTGTSAEIPLGAPVNAFVDEDISVAVVPASAPMIVAPAAPVAVSAGVAEKK
MKHLETIEEIKKGKNLTLESIVTIFNKKRIPKNASFHLIKINFNYEDGDIIKYLDLIYGDELTNPFNKDFIDKLNSPDP
MAKVVVVHGIGKQYLGRRTADAPALAPDDVEVAFCLQFPPA
MKEFEYNGTYADCYVLNDDRTEKFIFEFLNHFIPERKESASEYEFPQYEDDSQFIFQNDYQIIEFLSQKTNVEYSLYWNNKRSEDLKGAMVFFTPDNKIIFGLFCNTLKGDTKIEDEYFQKLKLFTQSNYGYISYEQIPEMDTNTFIELSIKSL
MNDIDGVEPLSCQPWTSRLPKMAQPDLDFIAPEVQTSSSCSVLSDMFSLGMVICAIFNNGRPLIQANHSSSAYLKQLELLEDQVHNLLPRVPIPLQEAAVRLLSRETRKRPTAQL
MPKKKNVNVGTVPQPCGNLNESNNGNNTNDEGHEIEPNDTQEGHVNMPNGPNVDVGNVNTNTLLCTLLSQNSMLMEILKSQQAYKSSNEMTIAPDLNKSIPVFNGLATGAQAKDWLRTVNGVTNLHRWPDNFKLQSVRANLDGAARHWFMSRDTITGLILKKRKGLYIWYTRLWLHIVVIYLQDLPPPHPTDRVFCCH
MKIPLGSEVAVNVIEDGRARLSGFVGSTLSFVSLCRIFELVNDESRMAQVLELLGDKKVSLVKQVYFYLDDEFGCLELKDSHVKHYIKTSEMYHPLSNFLLADTDIDERIVIEFEVLG
MLYNSVNCLIARPSQTHNVRVQMLLKFASCGSFAKSNEPYIPTSNILQHTKL
MSVLSVITLTVKYNEYIIDMGKNATIPCTNEKSTIWIKESHMERRQFHGGSDLLLRNVTTRDAGTYTCLISTSSIDASTFLNGSAVMYQNLDDTYVEAMKANVVIRTVPGPVSRLSLRISTILGVLMWEFKKNMSGGYPLKSFTAEFRRTAYSNESLRKWERLDPINIAPNVRYMEVYHLSPNTTYEFRIWGNNYLGSGEIVNTIITTLPEIGDEELIRILLRDVKEFDKNVWTYAVSIVIGAMIILGLSVCFMLLRDCYEEDERSEDEWETLDLIPNIILNPGFFEPDDPDNPSPPYSRTIIFGEDELSSSDTIKRL
MTSVHLEVSSAHLDTSEVLGAHLETVEVPSDHLDTPWRCPVPTLSAHLEVLNAHLDTSEVPSAHLEVLNAHLDTSEVPSAHMEVLNAHLDTSEVPSAHLDTPWRSVLVRTGPYWSVLVRTGPQAMEAPAEASEDEDDDEESVARAQERLLQALMARTARELPQRELERDWDILGELGSGSYGRVLLARPRQGGARVALKLLSKERTPRCSFLREFCTHLCLRGALTCVQVLPPAFETPTEFGFAQELAPAGDLCGLLTPGVGLPEAQVKRCAAQVSSALSYLHGHALVHRDLKLDNVLAFDAECRLVKVGDFGLTRALGCQVRPAPGAAPYAAPELWRPGEAHLRLAPSLDTWAFGVLLFALLTGAFPWAAPARRDPAFRRFRAWHGQAVRAAAPGAGEAAARPPRAWRGLGEAALALLRGLLHPEPGRRCPPGEVLRIGIGIGNGIGNGIGNPDPAAAPGALLAPSHNRNLEAEGFGNAEPKGFQDIGPKEFQNVKLKEFRDIDPKIPECETQRLLG
MKRIVQLSIDGGKPIIGININYRLGAPGFMTSKELQQAGYKSNRGLHDQRMALQWVERYIAGFGGDPSRVTVAGESVGGLSATRLMYSEEALASRIVVMGGAPPSVSPLTSEVAEFAYNSIIEAWGIHELSPSERLKSLETFTSFDLHQKVQRLPILPVLDDDLVPYNESFKMMASEDYKFKAKQCQGALIVFSPLDASIFAFMGLFAGRKSIATDFINHLTTMLPQHHEPVQQVLESYKISSDLDNQNALLYLLQFGSDIGHHAAALALAENVPGDVFLMQFSEPNPWDGPFKGHSTHILDVAFLLQNFNDHLDETQPMDPFTFQHESLQTTLRGFEAFGVAQFRGIPYGYIPRRFAEAEKIQHLPKDLDCTEFGPKCPQIYVDVGHLLRIPTHHILPREPEDEFTCTNLDVVLPKSGLLSSSKRLPVLVWIHGGSQAVTFGNAASGICVADSIRLGKPIIVVSVQYRLNAFALGNGEGSVNLALRDQALALQWVQENIAGFNGDPTQVTLAGESAGAVYCHAHLVINSPARQFILASGSLFLSPPQPPKSVQALRNVILKQLQATNPLFNLDNAPVEEVVKAIRLSGIQSFFLQWEDRFNDWQIETKTAERILLSDMEREAAIWQAGVRATSTNDILKAFNAAEQYADQLKKMYHIYLDRPSSCQNGALDFINDYKYLVDEVNPWQSSSGAHHALDLVLLFGGFDQEFSDSTK
MALFYLAMFHNAGGRRRIAVFPANIPGLLRPLKCEAEKRVAFPGFLRRIYRIDVI
MRLPKLKPLPLTPALSMLLAAILLCAAPAEAKRYPLPPAGSRLIGELEDYIIQQNEHLELVGKNTQIGFLALLEANPGVDPYLPKPGTRLTLPTQMLLPDVPREGIVINLPELRLYYFPKGKNEVIVLPIGIGDIGRETPEMTTTVIEKNPNPTWVPGPMVRKSWLEQKGISLPAVVPPGPENPLGKFAMRLGYGKRDYLIHGTNKDFGVGLRVSAGCIRLRPDDIEALFKIVPIGTPVRVINQPVKVAIEPDGRRWLEVHSPLSRTEEELENGAPLMLSPAIESFISAPDVMQDEVTAALENKNGLPRPISSQLVSG
MRAMEHPCEQWNSHAGDVHSDAIGHVLRVIAMRPANGKIPVRNDDGNPSYGILGASRIDLDDTRSAQKAMRRLSECGRAAPLRITTALLAAAWVGTPGLSEGQPAQPDAPVSAAQNVDFGGAAGNDGFQTGQVSRRDDKSVQLAQKGTNDTKQSQQDLEQEHHRAEMLSAELATARHDVELLLKLLNKVRYESARTKQAAESETAELRKSIQQERDRAGRLERDLAARPHVEAQRKPNEEASQLNQAADSRAAELRNSMQRDRTQRLERDLALAHGGVDPQAGSATKTSPEPVQPKQAAESGAAELKKALQQERNRAERLERDLATARRDVETQTALAAKASEESTQLKQAAESGTAEQQKALQQERNRAERLERDLATARRDVETQTALAAKASEESTQLKQAAESGTAEQQKSPQQERERAEWLERDLATARRDVETQTALAAKASEESTQLKQAAESGAAELQKSLQQERDRAERLEQDLSTARRDVETQTALAAKASEESTQLKQAAESGTAELQKSLQQERERAERLERDLATARRDVETQTALAAKAGDESTQLKRAAESGTAELQKSLQQERERAERLERDLATARRDVETQTALAAKAGDESTQLKRAAESGTAELQKSLQQERERAERLERDLATARGEVETQTALAAKTSDESTQLKQAAESGTAELQKSLQQERERAERLERDLATARREVETQTALAAKAGDESTQLKQAAESGTAELQKSLQQERDRAERLEQDLSTARTKMHAYEAQASKASDEQAQHDQAEGVERDLASARRDGETQPALAAKASAVGEEAQDKRFNAYAKPNADRVTVADTRGGPQPNPEGAADVAKLMALASVLLGRGDIGAARIVLERAVETGSAQASFTLAETYDPLILPKWGTYGTRGDTAKARDFYARAETGGIKEAKERSEALRR
MLLEDLSMCVGPAAIAIAAASSLVSYVGAAQQAKAQNQYYAQNAAAAQEAAVNQYAALGHKQEQERKAASLDLQQSNLEALKARSTTATGAGEAGVSGLSVDALIGDIFAQQGRKTEAITSNYAMTSDSIRAQMDEVQAQATSRINSVQRAASPNPLAYIVQGASNGLAIASGKSVPGTVTATPSTTKTNGLFGAADEQTYTSFYTAGGKAKSYGW
MEHIDWEVQYLSLPAIRRHCQKCGGPSRFVCSGNFRVNAQRKSLDIWLIYRCACCHTTYNMDVYSRVGPDSIPPSELARFLQNDEQLAWQYATDNSVLQKNGVVLDTVDYQIQGLLPTPQMGKIQPLCVAGASGNSAEKKDGVFGR
MESLGQRIARLRRQNQLSQGALADKCGWEKGQARIGNYERDLREPNITDLRMLANALGVSLMELIEGSEDRQNSLECDASSPSKEDYALIPQYSALGAAGNGRLNDHVEVKGGLVFKRAWLSRMALREKNLHVIYAQGHSMEPTISDGDVVLLDQSQIEPRDGRIYLIRRHGGELIIKRLIQGVTGGWIIRSDNDDKRNFPDQPISETDIDNLQIIGRIVWHGGAL
MGYMEKNEDRKSPGEHSFPASGIECFRDRLLQAMGSEKPRAFAKRAGVSEGAVRSYLSGDTFPGLDRLVHLAQALGSDAQWLAFGKEELTPAPQMDDYALVPLYDAQCSAGGGAWNEGCRVLTHISFTRYSLRKQGLTPDHLSAIRVDGESMEPILHSGDTVLIDHTRTSVEGEGIYVLRLDGHLYAKRLQRQFDGIAIISANKEYEKIFVPRDRMDELEIVGRAVWSAGWL
MKFISNDGILFVEYSGYISLIMAIIFYLVIQESPKWLVLKGRQEEAVRNIIKIGNYNAFFNNSQSEALKDDCKKNLVPGRTRLFLSLVELENYKQKLMSVEQ
MDTSWWLALVAVVLLAFVAALVDGWGRRGRRRPPRSARPGSRGAGGERPRPAEIWWTDMPRDEDGDGGGGGDRPCLVLAVRGDRAVVARITSRYQEERTGLIPLPPGTVGDARDAHEPPRFLDTDELRDVPLWDFRRRVGVADPALWDQVRYLAG
MIYILTEDSKDGFDLCSMIARIYHGDSGIKAESYKGIINLKAFLAEFCNTIQDNDTVLLTYDNIIENPLVVRCYSEAYEYLESTGKLGQFTFFPVLSFEFEILMIKGIEYFTDIGAYMEYVCDIRDIFEDNHRIHSSIRILTKYTKENALYSSMYSIIRRDMRKKGTYRNMPDILFESCVTIESLSKKLMSKVFADRYIERPMRNCWKAPCCWKKNRCPKRLIDISKIEEQQDKDPYVKANILICNTSYGKLAESLADGKEILHYDVADFLIDDMDING
HLHGAPVRLVVPGWSGNWSVKWVRRIELSEQEPDCWYHYNFYYYGNSPTDPNKELITTIGVKSIVTFPRDDQRTLPRGTHVIRGRAWSGGGAITNVEVSVDGGETWHVAHLEEPRERWMWVRWSYVWEAQPGRYHIMSRATDEVGRVQPREPRYNNMRKNFNAIVGYELTID
MKASVDAITAIFKQYFELEAQKNCKLEDYIRANEASWKEVERQRVVLKSRPKKSFSPILWAFPFWRYVNHQWTLLPFSGQEDSIAFVFALLLNTKVITFHDSSHVSWKEFKVFENDRLIEHYKFGFECWTVIEGDWDIYIEDFEFYPGWLNYEHYFKSSVRPVTESNIRLAVSARKNDLHDRGFLDRCLKHYNAYIPIREETPYHYHGEWNLNYQKWDSVVEQMSIALVPSNWRYFDRKVPQQVI
MKSGVKYDIELILKKEEAQERKAKPAGKCPVPRRTRLGKQLECDSVQENQHKMEQRQISLEGSVKGIQNDLTKLSKYQASTSNTVSKLLEKSRKVSAHTRAVKERMEKQSAQVKRLENNHAQLLRRNHFKVLIFQEENEIPASVFVKEPVPSPGEGKEGEHADENKSLEETLHTVDLSSDDELPHDEEALEDSAEEKMEESRAERIKRSSLRKVDSLKKAFSRQNIEKKMNKLGTKIVSVERREKIKKSLTSNHQKISSGKSSPFKVSPLTFGRKKIREGESPAENETKSEDMPSSEMVNDYEESSFAEGLSEASLTSALVEGKSTEGDAGTAASRGRSDSAMDSNVDLTIVEDEEEESVVLEQAQQVRYAGDYVLASHEAERSEEEPVQPAVLQVDQTA
MPVRYTNTLSCMMAILLPQARIAASQPPLPLRQFCRPTLPETRADRGRQHKIRRLARGQSWFLIRSLVHPDPSPATDDTP
MKGSSVAKEGDGGGGGGRVVVVGGGGVGVGGEDILVGGGGGGSVGGGGRGRIVVLGGGGEDNLVGEGGGRLFGDGGGGRVGVVGGGGQVGGEGEDKLIGGGSGGEDKLIGVGGGGRGGGGGGGGDNMKKDKSVNDFDNLLERVGGMGRYQILLITLVLIPVSFFTGYNDTSLEDSGEFSSCEMYRWIPDKHNNNNNAPHYVITNYTQECVNGWEYDDSQYTSTVATSFDWVCNKEHYSPLLLSTYMIGNTVGTLVLPVLADKVLGRRLVFYFSLVIHIIFTVVLPFVTTLPLHLILRFMAGLSFETYYLMPYIICLELTPVGYRALVVMLSFLAWTFGMCCVSLVAWLVPQWKFLALSTCVPCVLGFCYWRYIGAQNGATGLSSEEVQRAVNKLTKAQPKQVPLTHVFTYPNLRIRALLLFILCHCSYIVYGVLLMGVNVLPDSSVISHLILSLSELPSNFIGGAFVHYLGRRFSYIVTFIIIGALLVIAPFTRHNPWLLLFTLSLIKLFSTQSLYVIFVMVAEIFPTPVRTAGTGITVVFGMLGMVLVPQILHAQEYFGGNFKFYVMLGLTVVCLFVCLPLPETLGLPLPQTFQDSEDLGRGRPLTKWIHHWNHHHYPPYNNNNNNNSGSSPTASSLSQEPRIRSCQEGTSFLAKV
MKKILCLAMCAVFAAALPVRAQSDEYRDAVAEMMELTGALRNADVVVTQMIGYLKTSAPSVSEAVWEKIISKFNEKMRARMVDIYVPIYSKYLTLADLRELNALYAKPVMRKAVGATPAIMQEGMSAGAALGQEIMTELQRELQAEGYE
MCKKDRETAKNDSLKVINPEASSSKGASSATGTLYAIGVGPGDPELITLKASKILGSIKYLFAATSKGEKESLALKIAMPHVKNGPEIIPLMFPMVRDKEALEKAWNEAAKKVCEPLFKGMDAAFVTLGDPSTYSTFTYLHRKLKAMAPGLKVEIIPGITSFQAAAARLQVPLAEAEESLAVVSGAKGSREIRQILPYCDNLVIMKAYRHYGEIVRCLEEMGLAQKTMAVRRVGLEGESVTTRLKEWDGQKPSYFTLLLTKKGKREKKLHDS
MTPVLYGIGVGPGDPELITVKAMNTLKKVKVIFAPKATEEYKSVAREIITPYLTKEHNVIELTMPMLNQQDVLIKSWQENARMIASYLQQGEDVAFITLGDVNLYSTFYYLYYELKTLIPHLKVEIIPGITSFAAAASRTQWCLADKNHPLIIIPMNKEIDLTEMLVNQSRAVLMKVGKYLPRIKQALKATGPWQGWIISYCGQANEKIHPLSELEEISALPYMTVILLAKE
MNKGKFFGIGVGPGDPELLTLKAVRIIENTMVLAVPKTKDNKNVALDIASQAINISKKDIIYLDFPMVKNKQVLKMAHLENASKIAEILDKGVDVAFLTLGDVSVYSTFSYIHSIIEKMGYFTEICAGVPSFCAVAAKLKISLTSMKKPLHIIPAVHGDVTKSLELDGTKVFMKAGGSVKAITKAVENKKVSIFGMSNCGMDSERCITDFENDDFGYFTTVVVKECEE
MSSSNDEAAIHPREPRGHLYAIGVGPGDPELLTVKAVHALCAADVVAYHQAPGHTSHALHTVTPYLDQQRLLTLEYPVTTGSISHPEGYQGALAEFYDTAERLLRAELAAGKTVALIAAGDPLIYSSQQHLSRRLAGDYPVDIIPGISSFQAAAAATNSVLCEDHDVFRVVPATLPLAELTTQLRSGDPLAVLKLGRTTETLVSALRAADRLEQALVVMNASTPLENVVRLTDVLSRGERIPYFSVVLLPSATVADGVVRQENLPAEGCVDVVGLGPGDARFLTPDTADILTQATDVVGYGAYTQRVALKEGQRVHSSGNMVELERARLALDLAASGKRVVVVSSGDPGVFGMATALMEVLDTLDPQSGGCGKVRPAAD
MAVTNIPRKELEEGIDRWIKAEAKKIKRALALRKRVEDNGREEECRVQ
MNILNSLGCLSDQHHVNADSTADKVYSRISFSLDKQYAKDKEMTEPFPQLNKQLSKRFYKLCPCFKEADTGELLISESARKRWRVELENTAYLILSDARSKHYRQQQKIQGVKKLQKLVKKIKNGASMLTDEDSMLLIRCCLHAEHYFAQEIDNGEYLLRTSLHHAHVCYIEALTYLPYHQNHVITIAVIRFLQDGDRWNNCDFGIKIMRTLLTVRGRKFILNVEGEILLTFIAHMVPHCPRLSISIPNDSRYDGTMNFFHYSSKLDEFDVRERLNLILDMARVLHGMLIAYEFKDLGVDAWDAFDILVKIYIKVLHAVISLTQDDEVTESEIFKGDTPFSRLKGYEDDLEYFQIHRGDVYDTLYKNFLTHSNALVNLEALYTVICLIVIEIQYPLVAREIAELMINIQNSIIAMPDLPIQSPRVSAWVHATVISVLSFVATMHGLVELQELVLKVVNQRSEVAPFLIPPLKANYTIAGITDDFKDDLFFGHASVKSCLTNSCFETGREKVENESSSPGS
MIILKQKSDCCGCEVCMHVCPQKCITLKEDIEGYKYPYIDKESCIDCNLCSKRCPLINSYESRFPLKIMAAKSTNKNTQIKSSSGGIFSELATLVLQKNGIVFGVKFDTGWEVVHSYIDNIDDLWQFQTSKYVQSNIADSYQRAKEFLEQNRIVLFSGTPCQIAGLKRFLNREYDHLYCVDFVCHGVPSPKVWQQYLAAICNKQNIKFSSIQNINFRDKTEGWNSFSFSIKYFNSKKENKVFREPLYKNLYLQSFIANITLRPSCYSCAFKRYKSMSDITMGDYWGIEKEHPNFKDNNGISLMSILTDKGLNIYRQLHISDIESSYDKVKTLNSAIECPCSEPSCRTAFMDKLDSENILSLMSSYLKTPFRLKVHYMLIPLIKRLKTVLDKN
MRLIHRLSAATVKTYPPCFIHIGDGRCTGCSTCANSCYKTAIEIKLNEEGFYRPTLLEGRCDHCMLCLKSCPVLVASGLRDVNGKPAGTFQIYAAWSTDQEVHYSSSSGGIFSELARFVIDNSGYVCGCEWGDGLRPRHTIIRKWTDVPKLRGSKYIPSYIGNDLFRNIIALAMTGKTILFCGTPCQVAGLAQLASPEARKNLILVDLICHGVPSLTSFWLYLDWKFGGKAFLTHFSFRNKEISVQTICARTKNGLKYLNTCGQDPWFRAAMVYHLFLQRSCYKCCFGSSLRYGDISLGDFWGIPAQWHNPRGDSLVIANTKKGQGILQNLILTKRIHAQSSDLETASRKIGRLKGVAYPVPANRNLSFWLLKRGWSFCWFHYLCYYPISFRKRLAGYLYRRVIRIVHILRLNQRQSRYL
MCSTYLGIMPVKGESLIGSMIKLKWLRENMLELPEEPSQEQLDAHCRAYILGLIGGVLMECMSLRSPSFNQSCD
MVHHVTRFLLRFLYVNNLIRDRAEIQNLPWYHGPQQQQQHEPHHSHPQQPQQQHHPAPPYSTPTASAGAASADQYDNYGQHQHQQQLPPHSAHPPPPPPPPGGSLHPPQSHAQQHPPGQQHQQQHPHGGPPYQQQQQQHAHPRPHPPQHQHQPQPHPTPAEEERRWRHRALLSVASYPPGSSSRLYALDALRTEIVVEFARELNSADAEYGEVKAARRSGGDSMSFPAGEGMVDDDDDDG
MAGDWAMEELGPRAFEQLAVALAAKVIGPDIEVYGSGKDGGREATYDGPIDWRRINSEARVVGPNNAWAGYTVVQAKQRERLVSPANDLSWLKKQIREELNDWDAGKRSRLPKNLLFVTNVRLSADDEVGGVDQINKFIKSELDKDRHNYDGQLVATLRLRGLEDVFVWHRDTVNALISGHTSIRDAFPALLTVGDILTRLAAMPGRIDPEHLAPILIGHAQSALRHEQWLRFDEAGDSPETRHPIDQIIVDLPARDQDAHRTPSVLDRVLRRADQIARASVWRSPHPRHLVITGAPGNGKSTLAQYLTQLYRAQFAAREANEASITALVDRTKKSLERIGVAPPASLRWPLRVELAKMATEMGPAGGPDLKRWLAERVTERAGLDIQPATLDLWIKAWPTLLVFDGLDEVTAPALRHRVIDEITGLMESADAADADLLIVITTRRTGYTERIMPEHFDQLDLDYLSVEEASQYGHHITRQRLVDDAPHRDLVLSRFDTATKDPAMERLLQTPLQVLILTIILGSTGTLPTSRYLLFWNYFETVFKREAGKDTTYRSFFRDHREAITDLHQLVGLLLQIDCESTGEIHARMPRTQLHDLARQYMLDDGHDDNDATEFATRVFAVATQRLVLLSTDKDDTVSFDVRSLQELMAGCALVDGNDDAIRANLTTTAFSPHWRNTWLFAAGRLFDDSRHRRDLVLDIVEHCDNRGHWPAWLYPAAPELAAYLLEDGLAAAKPNDQRRLVDVALRCLGDPSRKSRKQSHSASRSRPRCRNCMPPISATP
MKVKLNDQSPIFIQIADMVKDAIVEGVIKEGEKIPSTTELSNFYQINRATAQKGVGILVDEGIVEKRRGIGVFVVPHARDKLINERAQGFSETYIKLLVEEAKRLEMSKEEVMEKVSEYYDNH
MSSQQHPPIDASSPSTIPDDPSPHHASQPPSSSPSSANAPSNPSQAAQQPLGGAANGETSVANHPSSPSSDLPNGVSSASNPSLTASSQPNGERTPSSASSARPTAPSRSMSYKAEGDIWGSSRASNAFSGAGDDAAQRTTRAASDGAVLSTGFGGPGSLAFVPSGEPSRAAGGISSRLSSLGSEDSGSSNNDEQAFFRDYQRRSLEHSASQRLSTAKSFASPPLASARLGPGATSPFFQPPSFLSTTSATASGLTSSASNTPASSIPLPLLSPTSTSPPSSLAPPSVASSMMSATSNSVAGSPRMSESAAR
MKVFRLFALALIALSAPVAAQEGCEPVPVEWAGWSASTAMTGDLEPGTRSEMVLAPAGSLKLAAAPGKEAVTGMYVGVAWFNVETAGKVRIALDQGAWIDLVKDGAAVSSTGHGHGPKCSGIRKIVDFELAPGRYGIQIVNAPKDRIGVMALLP
MTEISACSQHSSKFQRPAAETNLRPHPEQASGRKPTARKEESDGHELFIVAESIKQHLYLFTSVTDGHE
MKQLKKGDKVRYTGNSFPDYKGSTCRVDDAGWPSDGFVALATGEITILDSGTPDQRAGVKYFSPGSTTWS
MDAEAKAIDSIKQIVRRSLGSEALAGRNHDNDANTTVDSNDRSCDNVSDVDLHNVTAQLEQTLKPGHSDTKSLFNVNIEEFTSDLPSLSDELAIYEDRVKKEQLKWIDFVVNTSRNGRTEKVPEGTIQLNNEHLLYLNSGPNLQNFIRSSLLFMNEANLFNIKTANMEIIRDNLLQHCQGVLNNRKSQATAINLSGQHG
MHSVFCPECQGTGILIDNDDLEKPNLPLSQLFKYKIKPPLSLPPLRPPPAEPSVTSSSYLTLYPLQTTTDLIPSVLQLFSFCFLSLPFAVCEAETTYKAQKQQRVKNWRIVSDGKIPLKPRQSNLGSLNHCHPRAFPRADDMPE
MALRDEVHGGELERGTGRCSEAVRRRATASHRRRNGELECGSRAQRRRCDELERGKGGAARRLVAVARVGELGRLSESWRSDGEASVLRRRSAVRAGKLGRRSEAGRDGDGGGDELGCGAHGESP
MKKRISGRMRFSLVLLMGMLVVTLLAVTPVAEWIQSAVSEEPQNTNSKVEVRSAASIAIGAEVPDKKSPAGAATEGADTKAVGDATTELADQRAALAPEGPAGMRVYVNPSTGQIETPPADVRAAMAAADRAVLDTSSVGLQETPSPVPGGGVLVDLQGRFRIPLVATRSVDGTLTMDHTTVQAGSQEE
MSNESHPSPTGSSSSALYAQDLLLVYLKLGGGGNVGSNEPLTQPPPAKPTWAQILNSNPNAPSNTSSTTTGNNNNNNNSAGGHNSPSSSTSASSQHSTATKNVSPSASGTSNPTGSRSGFMSNFYDQTQSQMNWPLNFNQTSSWMMNDDNSQRQPSKSLQQSNDNTQTGGDGM
MKQKSKTTPENIRPHLCQNQKINIRDNTHGLVDCHAQNNNKQNYGCARFVPSSASSISSATVDKLDADSLKANIHQKSSNVKNHEAEIHYARVVGTSQSGPPDFFTPSRRKQSNLFRHLGRFFYIPSFMKLYNNLEGNTIGASYPDAVTQGIVVRLTTTYFKNACKLRIQVLSSSERGRPRDEFRLTPMHHDCVQVNPLAPLKEGIANSFDHRCKRNEENLTLGVCPTLNGSVHDTGEGSSSDVMKESLPPKRKRGRPRNTCKTTPAKRNCLGSNAQVHASSVMHTPLQSLQLDANCFSDASQRQEETIEPATRYVHQQNSMVDLHANLDTSLDDTGEGPSSNVIEQTFRQTRKRGRPRNASTSVRVRQCSSVRTASPHSVASPITEMANSHVTESATQPCKRTRRTHQSSAPCGNDHSQNITEGSTSYAPDRDCTECCNYCNAAFWRGERLAGHGYAGHVSHYHLCCGNDSPPLDPEIVQGLIHFLDAHNELVQIFRTARDKCAGADVPEFKIRLYSGEGPRGYELPSSNTLGAIVFDRGPESESNYDVVLEYRNGPVKRISKIHKSYMSLQFPLIFIYGQPGFHTKLMLRTANPDDEPKRLLMYTIILPFNKGFYMKIDANCFSDASQRQEETIEPATRYVHQQNSMVDLHANLDTSLDDTGEGPSSNVIEQTFRQTRKRGRPRNASTSVRVHQCSSVRTASPHSVASPITEMANSHVTESATQPCKRTRRTHQSSAPCGNDHSQNITEGSTSYAPDNTSPAYDDLGDCTECCNYCNAAFWRGERLAGHGYAGHVSHYHLCCGNGKVFMQPEPDPPEYIKQLLGDSAVNLPKKDVEQAKELHKHNLETPDKMVIGTQETSGTPPESIALIKQKTLKTADEHNTPQESSTKIVKRVLFENQPSQSKKQKALIKTFIRTYHVSGNLKPLNRYRRRFFIWHPKHLTHPFFVFFSFGVNKSSSSSGAPIYQSLFRRSPIISCILCLSSSSKTV
MLHGHQFWGWPSQPLPVLPKTGRSSFGPGQKMEISGRESSCVTLGPLSGGCPGP
MRIKAIFVEMLKHFVSNQNNYLKGFLLSLLIIVCCTSCFKNLTKLTVIYENNFDDYNLKGIKIHDYYGPVSDIKIQTYNGNPVLGRFNSSGIELSLTDLPQHTAMSIEFDLYIHDIWKNDLWKYSFDGADQLLTGFSNDSTIQQSYPHWLGNGSSLYPATSGAYTNNLPGACILSGNPHGTSLYKIARTFLHTNSTFDFACSDAGDYFNLPCNRSWSMDNLKITLINN
MSSLALFVFIYFYTLNLADSTLDFNVHDTYFIIGSYDALTILLTSGIILGSVLLLLSLKLFSKFKFLYFIIVFLKLCWVYTIIKAYTFISMNHLPTRYYTNSNFEIDRFYQAASVLIIPILLFLIVMLVITKLFSLRKRH
MATFSERLKMLRKQRGYLQKELASIVGVKRGTIASWESGRVPELRWVERLADVFNVSTDYLLGRTNDPTPKSARREMEQEAEKSLRSLEQTKESSVKNTEQEKDTKQKETESPKPARLTVAELLQRFADRDPETLTVEEALDMVLRSDHVMFDGVPVGHELDEDVLLDIRDAMVYFLKFLLKQSRLTAKKAPLWAEEVVVPRRRGAERLAG
MRPSTDERVAVKIDGLVQQALPALHDAACLGIDGPRADHIRAVITAHLTALPDIITAVRDDTTGWADDFYQED
MSGNTTTKHRTVLLLDRDGVINIYRPGRYVNEPEDFEPVPDLPASLGLLRATGSAVVVVSNQAGVGKGLMSAQALERVTEKMRALFAEARVPLLDVLYCPHSPDQECSCRKPRPGLILEAAPRHNLSLDKTWFIGDSHSDLEAARAAGCSFALVTGGKTSAEEIKEWSDPPDITAPTLHDAVWIILGHTAPREEPYFRKAPTYEDASRRMRDALGSLPRRILIAHHGDCDGITGAALLTHWLEKSGREVAISSRAEFRDEDLAHFEKAGRSCEAGVFLEAQGMPPSYLRLASLFLNIDHHPHPDRTPIPKMLNPRDYGFDPCPCVTQVIFELLGDDLPEQAAAWTAIAATADYCIEPARDIIARHAPPAEMQAELIDTFLAIQYVEPLATKAARFLAPLPSFEEFLSAEPFVERRNNFRRELTAAIEHAHIGKRTVIAITEPGEFRLASPLANRLQDLHPDHAVISVELSSKTARYSVRNRSTTVPLGALLADLTARMGCGDGTGHEKAGSARVPRERHEEFLEHLKRALGEEEGEKKESEE
MCLNPLRAELVTDAASRIAKITFSGEIGSRAGFEARHLHNALQGLGSYDALFASLESPGGSVFEAWIIYDYLIVGPVYQHPSRVLITGECAGAALLIAMGFKEIYMESHACMRFEPVQLSNTATGRRATKAVARVIAKRTKSDAKEILQWMHERRTLFSEECLNHRLCDAIV
MPSLWPCSSQRAKQLNCSGAFAMGKEWMPCQNFDLYEKAVAKEK
MRNTKQKYRVGRGAVASVVALLALMTAGCGGPYDATVYGAVTLDGQPLPSGTVSFFPTSDGPTAYSRIDDSGMYQIRTGREKGLPPGEYAVTVVAREKPTVDQSNSGGPPPAGRMLTPQRYRNRDQSGLVYTVEPGANQIDLELTSQPPAG
MVDKEKYWRGRAAQFAREYFFAWWLQSYLIVFFFVASLTALLLIAHRRYELSIQYPVVTAIVLLAICLPVCIHRVRSRRLSARDALACLDDANGLHNRLTAADAGVGSWPEPPQAPLKKPFLWNARVIAATLGVSLVLPLLALWIPVGKKISLTPAPTTPPGAWAQVEEWINELKESQITEPEAIEQFESQLDALRKQDPRDWYSHNSLEAGDNLRNRLDQSLGALERDLQNAQSTLGTLAENKDHLSQTQMEELSAALDQALDGLKMGNLPLNKELAEKLADMNAQNLKQLSPEQMKQLQQALKKCQSKAQDILDGKGQSTELRYDAELGQGEGRQGQGERPGSGGISRGRADAPLVLGAEGNRVDLSRTEELTNDDLRNAALGDSLGVSIGQHRPDDGKAPALNPSAGAVTATGDGGETVWRQQYRPEEEATLRRFFR
MSTPSTAADWQRLAARTARKVNFGWWVEKMLPLVVGGGVAGFVVIFWLRSRGEVLGWQQTGPWAAGFAAMAALVAWLMSRARFVTRQQALVRLESRLHLHNALTAAAAGVSPWPAVPPRIEDGWDWSWGRVSGPVVLAAACLGGALWIPVNLDAQEDLPSIEPQAWQQMEQWLEQLKKEEIVPPEEAREQEEQISELRDQPKDKWFSHESLNASDTLKEQIQRDIQNLAQNMANAERTLNALQNHADQLSAETKDMLVQQFDEAIQGLQGSDMKLHPSLMKELAQIDPKNLKSLSKEQLDQLRDSLKKKSGSCNGMCKNPGFLGDGEGEDDALAAMLKMLQEQQGGEREGPGKGSVTRGPGTAPVTLSDDESRFGTGKNEAVTSTDMSRALPGDMVGLQDGRHEVEKDGFQPRAAGSVKDAGSGGDQVWKESLTPEEKAVLRRVFR
MPLTFMLVATLAAATYRERPNPRDGSIHFNRLLFALHNIFFERYPSAEPVGAFPEG
MRLSYPYILLVLAAVSHVNFQATASDSVKLAPRLNQPDVTALEHGNEHKALRDYSIDADDEERAIIDFMKVFDVRKWFRTAVVQNVEKDFKMETVANMLATSNDRKRMFKVWDKFYSLPEVTKQLADFRKHKRVADLLADYTKHRQALNLKAKAK
MKRRFLVVLAGVLAACACLAPGALGQSEADRPRGLDDRVSTAWLVLAGSEDRAEDESAAAPAEQHAARRTAQSRWAETVTACLRAQGWPGAQAGPQDPLEAGAETAAQHAALQEDRAACERVAGPRPGTRP
MPWIWLDNVNSPAVKVESATNMQFVGIGIGFPWTSTGMVACGGLAEFPSKWTCPVLHIYRGWAVTFSNGRVHGRTDVYRSGAVEFSYQKHFVDYLDGTLFSYCTIRFAINGDPVNLIRSLNKVVNSEIRGAWTSIMMYTGTVGTIIANNYITDFQFSGVQCGQGDSNVADCMLNTIQDNYITPGPLYPANNGDGAGIYYDLHWYNPGNLDTCNYIVGTTHCHYLDFTTTGLTIDGAVCIRNHDGIKVNTGHANKISSYLVVNPQWQAGIMSCQNWCDNNCNSWAGRGLGFKWYSAYVARFDTPGWRKNWPFLSGLCNRTEWVGGQPCNPDPPMELPKNWTGYCSQYLSYSTVGKQRTADYNYTGKCSGVPGLNHIELTIINGTNGRWNPYYINCDALPSVVPTNNITSVSFNYPWNYFKFDNFTGDDFGVSNLTDMIYQKYPNFMSCSRRRVGPQKQVRAMAAALSTAESNFGKDVGDWWHNTFEIIPEDSELSQILYHKKFKGKKRDLDAPMPPASTSGRSGSPKKPGKKGKWTGLPKVSNWWSGN
MTPDLGIELCDALVTDLNDPAITWVSPFTAARGFSPRVEAEVLNDTTFVVAYPAGDRRTLFERGEEWNTQPTGAITVLRRLPILEGTGIDEYEDTALPDELMALVGQLKGRFGRKEGYGLELPDFGQAWATAVENEPSFLPEYLQKGLFASVITVSFQMVRP
MDISAWLRGLGLERYEQAFRENAIDEAILPKLTAEDLKDLGVTAVGHRRILLDAIAALRAENFLQDDRTFGRARPSRRQGSRSRTPPAHAHVRRPRRFHRVVGAARSRGAARHH
MVFQVRPRESSGNLFYLDVITLMCGSTGSCEQPDDTVVLEVRGMVEVWLGVGSKQPHNNSAGCLAYTKTHTPDVARHPYQLGLVPGLAKEKLSCQIFILFTGKVSLNDHIFWEVAKSLKL
MKKGVTMVKRLWWAEWEKLVGEGTLVPVYGASPENCHIEDSVIFFGTNIKIYRNVIIAGRITLAGECVIEDGSRIEGDGYIGFGSRIGCKIKDPKIGKFCKIYGAIVDSVISDWCEIGSTEGERPAIKRSFLGQGVTAKHFCGVRDAEIGALTNISEKVSVANYDGVSKQKTKIGAMCMLGINVNIMGGVEIGDECFIADGSRVDHNIPDRTYFNSAKALKYPQLGAHRDSCAWYLFGNYLKLDSAIGPGDRDYFLKMLKEKFGSDEEIKMWLTTPLDRMAGRTPLKCLCRDGYRAVYNVFGEYVDKIFGHEIITKRGISREKKKLLESELKNNLDNPHLWMATSFSNGIFAGFTPLEILKMEGECMIPLLIKWSKAKSII
MFELLFEALKNIDGTSIKKGDLVKMVQDTTLNPFFKDAGKVAKEALNYSGDASKIAHAFKQLSIKKK
MALSGQSANFLAGGEIPIPVPQALGTTTIEYKPFGIGLTVSPTILSENRIALKVAPEASDLDFSRGVTLNGATVPAIVTRRADTTVELGDGESFVIGGLVSRTTLSNVDKVPFLGDVPIIGTFFKRLNYNQEERELVIIVTPRLVRPMARNAPIDKMLPGQTGGQDRDKAGLPVWQPFVLGVTDSAAMPGFSR
MIHFEIPMLEMNGAPNKQIDSPSEYGNRPINGNLTRLFSTVRLSLINIRLNNKLSIMGISELIWIGKEVGCHK
MCERFMDSIDKCRIECKFVENKDKREFLAERLNNLTRYLERICRALNDVCKNSATVSCYQCFDEVNKAYNTAVCEDSCKGKSRLSFCFLLSEGLILNVIAEDEGGCRTIITFYPINRDRERTIEKKCDKIFNQV
MSPPFELVGDVLLVFEEVFFCPSLVAKSNNVVCFVEDLVGIFLGAIFVVGGFKVVFLGIGFATGLVVAGFLAGVFLASFLVEVFLA
MLENKTETLKHTHTHTHIHTQKRNFHDQISPCFFSSVGHSRQFLFQTQEETDITVYAFLFQF
MKERLKKYSKDAGNLLFTLVISEVISAVFHSEAVASIFASIKGFL
TGAGRVALTTEGDVLTHVTWWSEADPDAGTVREPLRSRLVLLSADAGVLLAASAEEDGGGVARLAVDDARGAYLYTPWDGRLAFTSWMAASVDAGSGMDGGGAMRVGLASGPGFGPTALADGGVASLLLEPLGPGVPGGAPSLALASGRLLAGARAFVDTDGGVPVALDWDGGARTLSPLAEPVLLTPGGSVGHAFALVCDRTDGTACPVDELRTVLRSFSFDDGHVLYEVDVLPTPVLPGTFHEAALLERGALGVLADAQLPNGEQQAWLEWFASGQKVDMCPLPGRVQVAGAVFSGEVMHVVLRRDGVWLLESYAVGQRTEARGWPQRHAGPSGARRAVP
MHISPQEYLLYLQYLGLAIVLEAVFAAAYLHSTPNAELRLTREGNTACALSFGGALIGFSLPLAASIRQSVQLVDFILWGVVAAVIQIALYHITTPHHQKRQPRTRQ
MTSLANLPNFLLYFGASVVLFAAFLTAYTKVVPLHEWKLIRDGNTAVALVLGAAMVGFAMPLAVAIVRSGNLLDMAVWAVVSLLLQLLCFGALRLLRRDASAALARGDMAEATLLAAGSIALGLLNAACLT
MAELQNSLFGLVPFLAYFIVAVVMLVIFVTIYKFVTPHKEMALIKENNSAASLSLTGTVIGFSIPIASAAANSVGLIDFVVWGLVAGILQIITFLVFRAFYPQVSSRIEKGESAIGIHLGGVSISVGLLNAACMTY
MIEEVAMGLGGAERMLAWVKEDSGNEKAFWTAIYPKLLPLQVQGDKDNPLISGIVVTLVQPEHPDP
MKVGPLRFRTTKRPCQLWACPRLITAEVNNFGFVYDSWSFSTDTQTLLVICAARQVASAAVVAGTPEAPPEMCQTTWRRCGPPSPANLLVACLLQPSTSRLCPASALSGLHCHFSRQTGSLRSSLVSGAVYMLRCVNRHLCSMDLMGRRRLSYVCPADGQMLRWGVQIPQQTLLLRRPSLDCLVLMISSSNTHDTGAVDQDHAARNPRM
MPAGDSSDPSTEPVAAESELSIAQMLQAIRRQDTENQRIVNSINLLLEAWQLAVFRLNTLKRRLEAWHPGELDAENVDLDHLARLTRVVQADKYEGDLLSAIMRRIRRLSGTVDELEQLAVKLKTKARADGDSEVRDFAQETEDRMKECHMEAKRITEQIITQREEIMDGL
RFPVLEAPAERRLIGCRAFTPYAVSAPMAAAALALEVIGDWLQNGGDPSPRFRTRLTARVKARKVKSQDAARLEGCPACGAGSDVA
MANHYHLITETLDVNLSEGMLQLNGIYTQASNRRHERGVVIWQWWGYCET
MDNHYHLLVETNSPTLSKGMKYLNGTYTQYFNRQHRRVGHGVSRSFQSHPGAESCLSAGIGALH
MEVENPRNGRNCGGALRLISVPCGEDREGVADTRRRRLRRVRLLLLVMLLARRAEGFLGCEAGVGCYQDSDCVPGARRALRALKGVVRLQALVRGRQVRKQAALTLRCMQALVRVQARVRARRVRLSTEGQAVQQMLEAHRTQGDVIKQAEDRWCDSQGTLEEIRTKLQMRQKGAIKRERAMAYALSQQQSRSTPDTSSKSSIQNLDKTNCNWSWLERWMAAKPWESRLMDEQQAQIDTNDVQSIKNYEDFHSTRSRCSEPSSVKIRRNNVSTRVSAKAPARTRYSSSPSSEFHYDEASPSSSSNCTSTPISRNDYINGNRPNYMNLTQSIKAKQKGSNFDRAMMQRNSSGEFRFNKKMTCSYIDSRSSDGSDPSVAYSRALTANSRREKSAMRNMKENYYYDDQPSSVF
MSTPESVRIVGASVQTELPVIIAELGPIADRLQLAREAIEELRVSHPQSPESNVKSVYMSPWKSHMLNAKLLPLCASVVEIAKAAAPKAWSGELDSLGLDLLVTHCWGAIYEQADYTAPHNHWPADLSCVVYLEAEAGCAPLVFSKTSAYQPRPGTLIMFPGITMHEVPATPGRRVVVAMNLFKQLGLGPQAAAAG
KILDIVTASRILLDCQTQSSTLGEMACHYRVLNNQSVQKILAEQQATNERFGEAAVRLGLMSESQLAQLLAWQDEDPRTFANQMVGRNALGSQETEIVLAQYLAESDITPPTNLELEIESFA
MHRVELVDRSNGLLGPVRVALIADGFTQHVIAAGVQSCGYVCWPRVLLHRTIGQHGTPTHLFTTAFRIDVPAGCVSVRHLSPRLAPAFLSEIDASLRCLWQPFEPLGPWDGAVLRFRARLAQWVADGLRQDQGPVLSNTAPSPDGGPPTPADWPAVRFDARSPSTQDFALDASLKKWRIPARNGS
MVDDIPTLLTNVGSGTQLAIPGALVLLPASDSGTPVADRTAWQRAVLRSCLPRAAKLVALALASHAVDVATICEPGHPALPGPAAVCSPGLVTLAAETGYSRTHVQRQIRLLRDLGWLIGLTRPAARRPASFVLSMSDSVRATASVAPAPVMPAPAASAVGGSARRAPVPIGDGVPDSAGPLPRQAGVRPSSDRPSAAARRRSRVTGTAVAKALTGIGNLSAVTPGPAPAQESSGSPSAVVAPVGLAPTAVAPTAVAPTGVAPTAVEPTAVGGEPDLPVVAERLVAEAAGQVVATLACAMRRDPESLTVASDRLARILTIGLWSAAELAMHLVDTIGPSLGAGRVDDPVDHLLRRLDHLPASASECLCRSCRSWVTAPAGAAQGAPSAVGAERTAPASLPGLAAIEQAAAAGAAQSRVHHERT
MINSNYEIAIAKAYNQQSSNQEVDKFLHTLVDVNNENKSSKTADLSYENIKGITLEEIEKLFQNEDDKNMAKNLRLATLFSKDENLSKALFNVILGQPFTVGFEYLTDRYSDKNSYFKSKYGNSSLFNLLHQSITNKVNQNTSNTDVISQDMLDNILLEINSFDFMSSFSKTSKDGYNKYKNKDDRYSFLYEDYSLKYEELLTKYKELDNYNTNLIKQF
MREPINNSKEIPQKTSLQNNSKLINGFNENNINSENNNYSNNCQNNTKFSFKESGSNPAKQTRRPLTAQQKSPYISNFWISTLKSRSSSEALSSQRLLLGHNATEKLQQLQQQSPSSQSLSSLSSPSSSAINNLNSEDQQINNVKNNLENNLGTVESVAVRTANFLLEMRKTQEGKKQQSTKTNNNSPFSYNNTCGRITPFPAF
SPMLLFVVPSVVDKIANKDRLDIRKTWASNFYGKDWLQTSKARLVFFFGSLGLNNIQLESLRNESDKYRDIVVGDFKDYYTHLSLKMSVIISWVVKYCPNVEAMVKVDMDTFVNVELLLALLREIPSKTHPKYVLGAQHQLLQPPVVRTGRWAVSKSMYPYKHFPKYVLGHSYVVSGPALKLMAESFPYFPVIPNEDAFITGIMSKVLNITKFNSHFADMFTTDSFARFRNNLLVSIILRKYNRGFIWESIKEQKCNHL
MLTKNLLQYYLECLKYESYYSTELSQDMLARCLINPIEPEKIAERYQAMSIRLKKNHTILFGYPLLKKRKKHGTIYLPLFLWGNSNFLSDRNIFKADQFGFHQELFTSLSDQIFLEEINKLKEQFSASPLTFVSNEENLDNLLAHTDYEMKDLIRSYVIVQAENTTNSNYLIVKEIEDLLKHKVQPSAVLTSFLTNSFALPSQDIHPEPLHIVPSNYPQNIAISDIQNTINIIKGPPGTGKTQTILNLIANQIYRLETCVVASTNNQAVDNIVEKIEEKGISRQFFGFIRLGSSSLNKSEISKIRNAIAQMKQELPNLLPEKSFDAYIVRSRQIMEQIHAAESIEQQIIDLRNTIGQLQNLIDILNDRLRLNHLTYLKNNFIELSIADERVEQRLREIVDKPIQLFGNDIFRKFRMFIAGKVKAYRKRCIQKYLTSMEASGLWEFIDTATPTESLALCEEIVKVINLENRLEQYKTTLSQLLHKQKEQPYSLKVLYDEKNKIDLMIVRTRWLHNAKPVLENAKEMNNIKRLLTELENDGRIRQSASAFASFVKLFPVLLVSSLSARRCIPQGTAVDLAIIDESSQCSIPSVFSLLQSSRRACFFGDIHQLSHIVSLEDSLSDALFGRFANGIERSLYCFRNVSAFERAEHACQYTDHGIHLLSYHYRCIPSIASFSNRHFYRGRLRIMRQEPERMPYHTGIFSKNVYGTAYGKFNEQELNEVISIVSKLESNGITQIGIVTPFDAQKKKLIEVFRNNPNIKVGSVHTFQGGECRAIVFTTVISNGSTAFQIDFVQKSYRLINVALTRALDYFILVGNLAEIDNGQGYLTQLSHYINTIEAKNFHNPAIELSIEFNRIIQQESRKALMHQGERMIFNKLQIFLGGMPLLVFPKVPIKDVLSINFELDSTLKSYYFTSHMDFVIYEKESLQPICSIEYDGEYHRRDAKTIENDKKKDRLCSYAEFHLFRIASNDETEGWERLRAYLNSFS
MGHVPDTLSCLQDIQVLNLAHNKLSGEFSMSLANLTIAYNFFSGFIQQCSRLFFRNVGFDVSLNCIPGRDMQRPQPECSVIPGGSLSCLRIPTPKPLVCGSLAVSTSKHTNYTSSSP
MAKNAQKKTLKAQNMTQLAIFASGAGSNADKIMDYFKDSDRIKVALIVCNKPGAGVLEIAKKWGIEAILIEKQGFFSEKSCILALKQHKIDFIVLAGFLWKVPEVLVAAYPQRIINIHPALLPKYGG
MKNMAILASGRGSNALELIKKAKELSQIRIKVLISDKADAGVLDHAKAHDIPHYVVQRKTLTQIEHEIEIIKILHNYKVSWIFLAGYMRILSPQFIRRFHDNNYRNSRIINIHPSLLPAYKGLNAFERAYQDQINESGITVHFVNEEVDGGQIIKQQRFKRYPEDTLSDFIQRGHKVEHTLYPQVLELLNNDTLSTQGHL
MNSPKIAILISGTGSNARRMINFEPLRTCCSFIVLSSKNNESIQSFCNDRKLEYLELSGDTDELNIQIERICQEKHVTHIILAGFLKKISPNIIKNYRERILNIHPSLLPKYGGKGMYGRHVHQAVFNAGETKTGITIHEVSEIYDQGKIIAQYEVTISKSDDVDQIEQKVRQLEELYFPEVVKNFVIG
MPAAPVPRVLHAVVLVSGGGTTLQNLIDRAGAGEIPLSIDAVVSSKKDAHALERARARGIDAYPCDRGEFANDAEFSAAITRFLDLYQPDLVVMAGFMHLYIIPERYAGRVVNIHPALLPKFGGKGFYDLKVHRAVLAAGEKETGCTVHFCDN
MVNAELQPSDAERYEAAIMHYLTEANAKISEQLAELRTSMDGLNEQLQAQRREIDQLRNPSATRRQLPNPFRSTFEPTVQAPRRRGPSDDGPAL
MLTRARDRPGGPITRFKGAHRTGELTRNAADCSLRELALLVAVKRTALLVAASAGFRGGRVFPAVFVGAGVGLLGHALIPGIPLGPTLARGVLGVLRVLGPQRRDVGAAEQRPEGALAGAAEGPGGGHRHLEQVLDPVTVPDLPDEPHRGRDRRRWVLLQAQGDGEEEEQLRVRGSLDQREQPGPERGSARRSGSPG
MLMFVTRIAQTYVVRITFKGSAVVAHLYLAKTVPSHQRLWKLERTVLNQLGIETAICTKINVLKKESVHGGLNGCTLFFYIDYKIDGLSLCEDASRKT
MKYLHFFFLLQSAVANAVTLPTPNGPFSVGLFTTALSDTSRMDPYAPADAPRLRKLMVSVFMPMDNATSQCVPELVPYMTPLVAADYDILAMSAGLPNGTFSELNMKLCKSLPLAGCARGRRDIRRAAQISRPLLLFSPGFGQSRLVYNAMAQSLASEGYIVASIDHPYDANVVEYPDGSYVTAANISSDDIAALNALLDAPLRRVIGHSGNVINFEKLVMYGHSLGGATAATLMLTDSRIRGGINLDGRFFDKVLATGVSAPFINVGRPNHRLEDTTWDSFYNISTGPIIELEVSGSLHAAFTDFPAVIGTMNLTNVDVIETVQAFVGTIPWERAGDIITRVVTDSAEFVFDHRNSTVLSGTDSSFPEVELVRGHGY
MDSQGSIKRVRDNNNNLGINVGADMPELDNIADDRSDLTHASIHGGEVNLILDAARGAGSPPLRSNTFVSRGTPKSSRPGANGAGSVTHSIRKIGSFIRGSQAALMENVNKLSLSKTDRKPNLPEKISVINKWFASCGNGKNFKQFEVSIERIAELFVQKQLVSDTDTGIKTIMKNLNIREVVDNKEFTLDFALFQRIFIRRIFKESLVEVLREIEEGVQRQAQPGQQSRLMANKSPTLRNKAPIRREFTMQSMTQIDSAMQIVHEMSQCSSEASFGAASPAESQ
MGKDSKNLDQIAQKGFGHGCSVTGELGSNNSLAAILDYELLKADAKILYDDSNASTLLEAEGSNYESANQSLNEAFGCSLDVQQGNDKKDSFPNSLAIASGSLNNSENIHEFAMKLFINKICGVSLAPMSVNDIKKYMLKSAFNHINGIKAEGEDSILYPTEDYSAYVRLFQAYGTHLVTKAIYGCKYEYFYAREYMEWESSRTTQVNLNLSMAFPYGTKFNDTLKVGSTYDFTETDKECQKNDRHVSKERRVGGDTSISDPDLWQLSCHTELPGTVDMIGYIYPTSSQDNGLVPIWEFVEDNHRKQEMKGAFDTYVAECTKKQIKYKKVIADVYGRQFDGETPPDYFYQMDYTGEVMRKFYKLAPNIFDFISASTNGHYHFYYALGYSNNAGLTGIEFMDKGDPNGSTVIGRGDNSQKGVTGCLTDRIVAITKALPGTPEADLISGFGVDIEKEGKKISSGTTEDFNWESHGSDWYKGLSHHKIHCITTKDTLLY
MQKRERIAPAYLQRVKDCLRRVIEHAASKKVRIALESRRGYEEIPTERELPGLLEEMNSAPLGYWHDFGHSQIKENLGFLDHAEWLRAIGPRAFGCHVQDCIWPAKDHEVPFTGDVNFEKLVPQPEQNGRCNSAVGAKVEGTLWRMKKILVTIFQLSVTIGVLYWVYHDPARRAQMVEAIRNAQYRWVLMGILAYVVVEIAAAFRWHVLLRVQKIQLTLPRLSGLFFIGMFYNQFLPGGTGGDIIKSYYLLKETPDKKAGALLAVVFDRFIGLVALVAITATLIGLRYDFLSQKPETRSLLWLLLFLLGASVIFLLGTFVISGFKLLHSLPARFPGRDRLIEISAAYHLYAHHWRATLVAFGASLVAHLATFATFLCAAYALAAPVPLLNFFAVMPVERTISALPISFAGIGLREKILQIMLNGLCGVPEAKAILIGSLSFLIILVCCLPGAIVYLFYKPSGAVARVPLREMEQEVVTLEHEIGEAE
MHRFLKLVLLLLSLLASQPDQRFRAFDWVTYRDPGSISSISEGYIYTYFASSNGGIYRFHNNGNFFDHPISMAQGLKSQTIHAVHFDKNTGILWAGLPEIIQYTYTREGQWNQISFSDLGLSSQDRINAIGSSPDYLWVKAKVIYLKLDRSSGILLGQYPFPDEGNIQWSSEYKDIIKPSENLWINYSVMSNWMWTGQYFIDPYGRNVDITTFYLGKNNDIWLGGSDGTLFLGDHNMEVLFPYAIGPLSSNFSTISKFEDEIWLAGPNRFNTSGVTRMFFKTLEFDHYESDITINMYPMNINDILNLGDNIFFAGDDGLQLYDKDDDYWRFLGAERGIPIGKINTLAKDNSHLWLGGINGLARLNLKKYRNEPSGIEDIFDFQNIQKLFLTHGDLWIATWNNLYIFDTENPELKTFKDKGYFTLGGPFTGFNEIVFNDGYLYIASNQGILSFDYDTENWTKIIDANVYAGKTIESMAISGKYCFLGFRNKFIRIDILDKYQKEYDFPFLGTVKDLYISGQYIYLATTEGLTKFKWTVDR
MNSIVVTEQGLAADARTDGRRKGLGEAEHRGRDGPDAPGEPSNRFDLSLASESNFGSISVFGPWSHLMYRGFVTSSTVARRGGPKWSLGRNVTHAEDAVASLTEI
MDNAKETLKELFGISEVQAVAIEKLYFKAKTPKDILGFKKYYDLTMLKKQFVGTSYEKLSLVCAFAELDLNLRYKNIESFLEWLFISFSNRFIFQTKKGDFSYSFVLRYYDGNIVYDELGKPVLKHVDCG
MNHKIIAGSVLTSALLLSALSHTTQAHAFEKSINHTVYNIEQEMDSVINGPEQLPHESLADAAAIGESRAIHNLTFTLTKVKAAQEEDASLPDDIVTVYYTVKNTSAAPYYAGQSATIYYQGKTADAYALSSDKSAMIQPGDTAEVSQSFRLNPEIKQFDVEVGPLFDINGHKALYKASEH
MTDVASWVLNVTGLTKRYGLGCPRCAESTGPDHGSSQCPFCQTVHACVNAGFTLYQGEVLGIVGESGSGKSTLMQLINLTINPTVGEIWYREPTQDSTAMNLVTMDGFTRRHFRNDRLGIVHQRPELGLNMRFSVGGNVAEKLLLANWRHIGRIRERITELMIQTELPPERIDDDPNTFSGGMLQRVQIAKALSSNPGLLL
METIIVTNFAVESQAYQAFSDLKNSMVNPLSSISQAALVSKVDGQVKILDEVDNSSNDETIVGGIVGGLIGMLAGPIGLLFGGAIGALAGAAIDDNADDNESLLIEQVMQDITCADGVTLVAFGTEQVDRFYDDIMAKFESRTTRYDASEVRDEMNLANQMQEDFQREAREQIKAKKKARHNQVKDHFTNDATKDDK
MEQTNRSETNKLKTTYNKMILRLNTLGLVIDATFLAQEAYPTVNTIIRTQRIIVEQVADYPKLIPFAVKSEIQMIQKASSLINYMVGLSLSLGDLNAIKAGDRKLLLNHALNELRAVAGMSYKMLSTIRGIILSDKLRKARFSRWVNREKDLITSIIRNAKKL
MKNIIVWLLLAFCLKLSASAQQSTLDIAGIHQLIDQSKSEHTQQVNARNNQATVTANEQANLTLLTKMKNIYRTLQNRYNTLGTAINIADIGIYATPEVKQIVSYQAQIVSLVEKNPALAFLGYQTEIEFVEKAQGLIGYVTGLTLSIGDVNQMKASDRKLLFDYVLMQLSEIQELSGNLVNTLTYSSLNSLLRSINPFQNYIDQDKNVAESIIQNAKYLKQ
MTLNLMTLVGYLVAVFTLGEEMVASKTIIISLIVMALYVFNKYETKQEESVTKGISLNVQEI
MNSNANDENGKYIEIEDIPDDISNQRNKELNIRSTLNLKKYENSIYYYLESLNKKSGFSNKIEILFIFIETVQFFSLFYDSFYFPDMPKSISLIFNIFILKDITSTIYILIIIGVLLFFVLFCSLYNGFFGFSSLRKIPDPVIRVYAKNIFIKK
PCWFQLPLHSPRLRQHWVHDEEQGPVTWHCRQPVSGRSRQHRQRTDQHPSLLVAAPSWPHGSICSAAVVAALVFDRRRRGCRVTRLAATSAAGSNGSSSDESDRVTIEVPKALLKLMPSHVRADSKLRDRYLREALEIGIRAVSQAGISLDTSFVQSSFADFAADVRRQNEESRRSLEELLSGQLTGQDSRLAQLLQVTTSLPNTVSDLVSDALQEERVRIGRLVNAGDPQSPLGLFLSQQRQAVETLQADYVRKVVVLEEGLKQQMAEIRSALKVEEKLQAAQEVLDRERQGASFEDAGYEALVQMTRGFGDKVEACGTVTVEGTTRKIGDQLIYVCQPGLPEFTIVVEQKSGRFGRRPLLRQMQEAMECRCSSAAIGVVQRKHLGKRQTGYDQHGPLQVIVGVDWRDDEEDAGNDWFALEVAYRALRGQLVASSLQLQSASIDVDKLMDSVKTAQSALREAQKIKVNATAARASLDAITGTITDIARKHVSTVSSKEWSKRRRPRADVAMGSAVMLTAGHTARVALVIRSSRGMTPCLRGHGLQEFVSSNFPAASRFSGASEPRSQVPVRGAQGSSQAAPSGGVTGRFRGRFQQFEIPHKFPQFPQIFGEWIVRRIRKKESGKENGHPCSKVYDTFLDCVRRHPDSFEKKCRTEAGKCLACQEEHKGWKAPEGFQYMRFLEHFRVFSEGKQSPDEGVGKFNYTDPGPRTHGVGTVLEFGKRLGGYYTKPTDNSGKAQSGAAEKVSPQSAEAKTPSEKPPKTSSSE
MRRRIKEARTICAALFFLTFLLASKDCLAGERLSLTIPSEPFGKNQRIAQFKVVITSGWVSSLPKLPRGWNICITKGPASLVVVEGGIPYGAYAEYVEFFTEFITIEKFPKEWVPFNVEIELVTLEALEAACGDKENIRPIILKMKDIVMRKIE
MADVTTPAYQHTPLPHPESIRILVLHPAEDRDAPIVCSFLDENPILPNIEEWECRAVDPQKCTGDCFYGPIDEELSSGCDGESFGDSAEDSEDALADEMRELAIESIDQASDRHSERLDDGEDDNVLIDGNHLNTGDKKSETSNETDDGLCNECRYDRYEGRHEDEEYDDECHCDCHDDKTSSYNDAQSAASLPKREWHEKVFRPPGFPENEALSYTWGNASDPLPITLGSDGAQIMITRSCYGALRNLRLKKSDRMLWVDAICINQKDGLEKSDQVRVMGRVYAASYRVLIYLGEETPSSRLVFDELAKAGKAPLVLKPM
MATSYLVFDGECGFCRKWVRHMTSWFSKHPTPVAYQSVDLFALGLTADQCKEAVQYVSERGEISSGSDAAARVLIHAGFPYLIAGWVMLVPGIRNIAQYAYKWVANNRYRFSGDPL
MAGRNEAEESKSVAESLQMDIETVLDRLPVSAFWRLRQKRTFVWTKFAADAVSRPTKATPRIEQELRYWLEQPIDANNWLMNQAEWDFWTDALGRRGDKGNMSSWMGRLAARSDLQPQSYEPLADEINALLELREQVTKAKSRRKPAKRS
MKKWIFAIIALSVLISIFILFTSIEQPLPQEDLVIDMEEKSSDYTFAIIYPVAHPFFEKVTKTAREHAADLGVNIVIDAPKVASAEDQAMILQKYIDQQVDGIAIGPTDPETITPIINEAIDEGIPVVCFDTDAPNSNRYAYIGTDNYLAGLHLGETVAKHLDYRGDILVSSGLPTMENLRLRIEGLKAAVEQYPQLEIEEIAHSNGTHPDTLANIEKLVENHPEFEGFVGIDSLAGPAAITVWKAHGLNKTAVTFDDLPVTLEGVKNGQITSAISQNQSKWGELLVNRLFELINEEIVKEKEYTDTIEIDSISK
MHSEKSSPTLSLAATRAARTAATRRQFGIALLAAALAVGLSGCGESKPADNAAAKRLHLAFVANSPGEYWAIVNLGCDIAAQQLGDVDLSFRYPSAATVEEQQRIVNSLLAAGVDGIAISPIDAEKQTEFLNSVAARTLLVCADSDAAKSKRVAYIGTDNVAAGGQAADLIKAALPSGGKVAVFVGHANAQNTKDRVAGIRAGLAGSNIRLVETLEDGQKSAVAGENLARALGKHPDLAGVVGTAGYHGPALRKALRDAGKAGQVKIVCFDDNSDTLDGIVAGDIYGTIAQKPFQVGKETIVHMQERLRGGQAQRDQPRIFIPFRALTGENVAHHIAQQQGIANYLKDSPVRP
MVILIILFIAIVLFFIFNWLLKRSNKITLKYKRIIAIGLSILFAPLLYLISILSFVAIVSYYPEYNFNQQKWDNIPEQRYELSADIIESKMLIGKTKAEVYCY
MSFYVIAELAPSNEDWIPAYLENVTRLVKEHGGHYLARTPKVEKIEGDRDAPPLIAIIEFPSEDAAKAFYTCEEYQPYLESRKAGCKTQLMLIAGEDIAEG
MASMRCVYICTLLWVLYVNCREAQYHDQDFDVNLPGYLYSLGARKYVGIDPVGKTRLIVIDNPNKAIKLRTYLSGVSAYPGLVFMEDNGTWPDDRAGYLPVSQDLANKRILEACPDIGLGKIIVSPYNAGIHTRFTVTPPILLNENAFQVIGGRYCLTVDKDSSMITLAACAPAGSRQLTQLFSWVNKNDFNRGKDPITYQPNPDLYVSKITPDQQEGILRRLCSRYKPVRMDVSGLSSPTNLPYPDPYLHYNTAGDVPRYCRGYLLRNDPTFVD
MTNFKETMINLGIDPQVLPRSWETYFIAAIDKYKSNPDNRERYLLTWYRRYNKWLDLDQNKLNVFVRAGSRILQSDELYDWSCFIRYLMFDTEILDENEELLHNLIPENALDDYQGLFVLLSFISGIDKSLQIYKQLGLSEYYILDVFAIIGTLLDEYYEQNGVYGTTAIIYLPRLAKSSLHVFDGIAFDISKTNLPGEIYRNSRSGELLMLAEANQIYTEENVRIDKETELEIKAKSREKQVLLDKKKVSEISTDDLEDISDLTSDNSDQVNADEKDKILDQNSQVVGNVIELPAENMWQTVLYSTSDNDLVANSFNSLGIVDRVPRIFDKSFWDLKYSKDSIALNIYVPDMNSLNLERLVKACFKAWHYFATDEDIEELDDEVKRNSDNENIIFDLLTDEITDSDNVIKVKNPGTLRPELFVFQSPILGTGVMEASENGSDIKDINNSFRLFSLPEARDTTLFEVFGKQAMNEPVILWDEETDLQKIYKTFVIENKPVNIGGGYFVMSDLVRLIQDAEEKRIKEQERLEKERQEKERLEQEKLEQEQLESSISQTDTSTENIEELDGI
MSSNTAESFNREDAGILGLDMFCVGHYEAEDQTEENGIPIIAVSKTSSCDAAGLLGHQNAIASCLDLEPNARTAAQHACQPADGVALPPFNYVAEMDNISAGAYFRLRRHLGRAASVVMDDTYWSTLSFV
MTPQILVSIDQKGILDGSAATSILQEYRDAMGDLTAKIELRIGPAVQATTPEFWATLVSPAVDKAVAEIESFNDRKSVDATACRLSEHLDLGFAPEVTNRSHFQHRFGRIFHACTRQMSFFGELLETRDGDIAKHRRQMKSRIGFYLGRA
MKPSQPTHAQYCHEQARIARIDADAATLANVRDRCLRSAEVWEDLAARSDRVTAERRVRDAATARRMGRG
MNTEIKNLLSLGLYISAALIIISAIFHLIVGLFVGSFGAGFLTFYSSPLLLSFVFLFIFLTIIGLAIGFSILNMGRKLRIDTESFNWTRLIIISVVAIIIANGFLIGALLALVIGIVGYADSRNLLNLPSLEGGLVGKRVCQKCGFVNSGTAKFCSSCGNQFNID
MTEHDETAAEPTDAAENGANAEPAEPIDHEPLTVTYERLRHSEDPQELGEFARRPLPDRNDAAAFSRATALLEAVAGNHHTALEDRIYLAQTMPFPNVLVKLSEDPESAVRKAVAENTNDKNWLVGRLTKDDDDDVRAAALANPKTSWKMRMEGAEDDRNGADVLERLSHFGVDDDKPGPDVLTAMIRRAVALNPNTGEETLTRLADDPDIQVRHAVERRRGTRS
MAESKPVDENYETLTQAYERIRHSHDAQVLHEIARQPLPQRSDQSAFSRATALLEAVAGNLTTPVEDRIYLGQEMPFPNVLVKLSSDPDPRVREAVAGNANDKNWLVGQLTKDPEQRVRTAALLNPQTSWKMRLEGAQDANTSVEALEYLAKQGLGEDAKGPAILATMVRHAVALNPTSPRELVESLKNDPEEDVRRAVKRRLEKGATEKVVTPLPVQSVPGRGPIGLRSADES
RAIALDPNYADSYAVQANVLNLAGRPEEALQSVEQAMRLNPRSPFWYLFHVGLAYQMTGRYAEAIAMQKEVIIQNPNFFYAHLNLASSYLWQWIAQQSPAAQTLEPAVAAIQRALALHDSLHWNHITLGNISLYQQQYDQALAEMERAVALVPTEADSHAAMAMMLSYMGRSEDALEAAAQALRLKPNIADAHLASVGAAYATAGRPEEAIAPLQRYISRYPNILGAHLTLAAAYSELGQATEARAEIAEVLRINPQFSLEVYKPRVPIKDPAVLERHIAALRKAGLK
MTAGSSVMAASAPSTKGTSRTGVWLR
MKILVVALNRSRQPMAVMPYGACAAAQAALDAGHETRLLDLMFEPAPQKALERACREFRPDVAGFSLRNIDNNDMRAPVLYTREAAGLIAAARRACGAKLVLGGAAVPIMPEAILRSTGADCCVTGDGAAVFPRLVEALCSGADPLSVPGVGALVNGKYAATPAYRSPGCGPEFFPDFARWLDLRAYARQMSAVPLKTKLGCPFGCVYCTYNAAEGRDYRLYPPADAARAVRRLAAAGVRDIEFVDNVFNSPYAHALELCALLAKDAAGVRLHTMELNPEFTDAPLLDAMERAGFAGIGITAESADDGVLAALGKNYSSAHLERAARAVAGHRIPTMWVFMLGGPGETRATVERTLEFARSRVRPSDSVFFNTGIRIYPGTPLEAAARREGALEVPPAGMLEPVFYLSPGVKKDWLLERVAAATRENMNFINTDSLALPFLSRLHRLAHFAGVRQPVWKHTRLLRRGLRAMGVNA
MLDFFEKHSLLDIYYDLARENSNALDAHRLETEKKHKIIVRAFSLIQLTLIALVELFIMFCLYSWT
MKVRRGFTLIEVVTVIGIFTLLSALVISNLQRGKQTQVLRETSQRLVDDVRQMQNKT
MSAEKLPLIIHVVFTITPHADDRDSLLKYAKLKSSRVTELVEGVIKGETRALVASIFKGTKQEVFDKVQFQLNQFGLLIYNANVQGHKYFSYLGQNVQMEATNQARIDVAEAKMKGEEAEANAQLPMKKVGWVKEPKIAQVESKKAVAICEVVERMSALTTTTNLKAYFAYETTVQEAKRQTESCISLNLNIS
MNRWLARAIAAIAAIYPFIVWFSLDTLPVAFLGLLLLALALGRLVLLGRAPGEPLLVAAGVIAMAAAGIYTLISSSSEGLRFYPVLMSAAMLCLFGWSLRQPQSIVERFARIIYREFPPEAAVYARRVTAVWCAFFLINGLIALWTAVAGSWAVWTLYNGFISYMLMGLLFVGEYAVRQRLLGATS
MRRRLAQLTGAILGLAVIAYPVAVYAGLRQFGPRTAALVLLALFVPAAIVRVAKLKSEKLSTLAFLPLVTVGLLTVSALLDAGGFILAVPTVMNLLLLVAFGSTLRYGPPMIERFARLQEPDLTPEKVRWCRTWTCIWCGFFVVNGGLAAILAVAAPLEVWTAYTGLVAYGLMGVLFAVEWTLRRLRFGGAVEVSR
MSLALRALETLLMISAPFAVYFLFISKGYHSAFLFVVAIMFLIRAPRILKNRRLTKSLAIQVALIGGYIVFGMVYPEIIVVELLPAFINTVLFFLFGYSYLYPPSMVEGFAQVYSERPLAPEEIVHCRRVTLIWMIFFIVDMVAIVYVAFFMTVLDWAILTGVINYAIMGSLFAGEYIYRKIRFRHLMSKGA
KKEEKEKKISEDQLKDAEDNIQKLTNEHISKIDEILEHKEKEIMEV
LSPKGEQAGVEFVAKSRFGPYFLWPQDLVLSL
MAITKHLIAQNRLSPIEQTANQATASTSNTSTTVPPGMIKTEDISMIIESTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSMEASGSGTSKVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRTKYHDAVTPKRVSTEPVTSAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVAHDLNATI
MGICSSSDSTNVATAKLILQDGRLQEFSYPVKVSYVLQKNPSCFICNSDEMDFDDVVSAVNDEDELELGQLYFALPLSKLQYPLQAEEMAALAVKANSALMKGTGGEKCRYRRKPVFSGGEVKSPRSGVVAGDGLRRGRSGRSSRNFTAMLSAIPE
MERFGKRPRAIHCLYRSQTKTRTALYDPMSHTESFLILPFYRNLGRKLIAIRSKEGRSKRVSQRESAIDSAKAREIRLNQFSPDTKAVPTFVEEMSASPYLSSHHPGTFPFSHSA
MATFRDGSSTTATATDVRDLEPATPVPASANRGTGNHTAQPQRDIPRRPPTPDVDWPSLAHAYGPADDMPGLLAALRLPDAALRRRARGRLAGSVFHQGDRYSASAPAIPYLIAAADDPGTPDRDRILRLVALLAVGYDDAHIPGGFDAAGLRAEAARTAARDVGEARRAMAAWVADAPNDDIRANRAYLLDVRDFAAERDQAAWALRCYDEALAGTPAYRRLLLDPDPSVRTAAAYLLGWFPEDAATAIPLLAAGAAGDPDGTAAATAAIAASLLGGGTSLTAWAVGVLGDGDGDGDGDGDTTAAGAADPAMPAAAVTLALA
MDFCIGAAAAMAATLLTNPFDVLKTRTHLQGELKTRGNYVAHYKGPFQSIAIIIKKEGILALQKGLLPSVLNQGVRNGIKLGFYGWLSNSGYLCDAGNRTIFYNSLVASAFGGGVGAFLGTPLYTLTTQFQAKASPEIAVGYQHEHTSLVQALKTTYNASGVRGLWKGTNANVVRSVVGAAAQLTTFTQTKEVLREYEVFRYSRVLTAFIAGTFGGICQSLLQTPFDLVCVRVNNQYVDPTGRGALYQGMIDCFYKIVKYEGVLGLYKGFLITHIKNGRHTTLYLMFWELLKAHQYDVRPIKDPTRSCYYDSKDI
MTSQATTKATGHPALLEDWAERELSCSSKKLWKSVAEREGLRGPFSPTTPITSMKQRRSAGYDYSDDYIVDYRSDQHRRIAQQSAAVNDLWIVRFGNLIHR
MCRVCAGYLVPRALYLATGKLQVLSEQPVVDCGYFVSSHNYLYYCYFFFPPDRFMVAAIKVWLYPARCSSFINLVWCLFPIFIPF
MIKKIEVTGRGILIGKSYMQNDFWIDGRAANLAGAGLSRASSQLKINDMGDGTFKCVYKPTAAGQYIINVKVEGIHVPGSPFYVRIT
MDFFTLFNCKYVSTCCKNCSKCMFIWSSFLQHFWEQHHDGFTHLMSAKSSHQGVPRYCIPPSTHLAKPKSSTSQGPTLPIHVKESIVDEYIRVKPLPIDTSMKLLPKLNI
MIRMDFTTSGWTTPRRLPTAEANYDITSDLLARRTERLLSTTDGLSKARLGARRLEERVHKVASQKCSVEEVQALHKDITDWLLAEKEEQTTSLFLSAHLLRAILVNHQAHSDSSKNTKNSENGLKNKLDELEVQKDRVEDELQRYVHIASQLFRKITRMRATARGDQPTQGIGDDPRRLLARPPEIDATRAAANAAIHVAYALLGPDPGFQIPLEVCLHDSPTLYXRGVAHFPIPFPHPRP
MSATALARQLSNRPLHLLLATCVLGTLLSIGVEIVSLPKPAAAKTGGLGYTAITPCRVADTRLDLRGRFVQDGAFRDLQVTGTGQQFATQGGTPGGCSVPVGAAAAEVTITAVGPVGSGFLRAFPTGAQSTTSTFVSYSTGQSVSNTGTLALAVGSTANLRIQNFIGASDFVIEIQGYYQESGGSGYAPVQPCRVVDTRIQPSRPTVINETGTFAPGNQRSFQVSGTGQQFAAQGGAASGCGIPAGTTAVELSITAVGPSGNGFIRAFPHGQSAPNATFLSYSAGEGVTNTGTVTLTGGRSGVDLSVLNLGASTNIVIDVFGFFIPGAGSRYIPVPPCRVLDTRTAGVAFVPKGVRSLQVGGIFVGFASQGASNPKGCGVAERAQAVEVSLTAVTPASTGFLRAAPAGSSSLPIATALNYVAGKSITNTASLPLGEFGRHDLTLQNFSGATNLVIDVQGYFAATSLPEQNAMESMDLGDLTSCAVNAFGFAACWGRGPLGNGESFSSTQPRLVSRGGFGLAGVVQIALGTAHSCALIGDGSVHCWGFDTIGELGTASPPGVVGTTLTPGLAMPGVGGVQIAVGGRTTCVLMEDTTVRCWGAGESGQLGNNSTLNTRSFTTLKTVVRNSVGTLELIPLTGVGYVELGAQHGCALMLNGTVQCWGLGSSGQLGAGGVTSSFVPRPVPNLFGVTQIAAGDNHNCALLANGSITCWGANISSQLGDNSSVNRLTPTLLRLEFTLQISAGASHSCASSVDGIRCWGANSQGQLGNGTLVPRRSPGSPFGRNQIQVSTGGSHSCSLLVTGVLSCWGKNNLGQVGDGQFLDRNLAVPVSGIVS
MDAAEVVLHRDHCLDYIRQALMCHADATFEPLTSVGINGMGATHQCRDFDKIFSWAYEHRSDKVHGSGYTGGKVTHTPGDRNDFDEAHAGHVH
MIPSPNQSINNILPRQQNIFLRAHSSCRIAAHLDSAFRIRWGQSRCREGEAQTRTGPDLMAAVGSVPSAPPVLGLEPAFGGRSLGGSVGDAYRAQVTQRLYWIVGDIIGGVRGAKWADFRKLVTLNKEGMANKNNKETSSSILVLFAWCFFGSAADDNVKRRNASSSTGPEADMVAAAKHFSSKVRLI
MLSKELVMPKLLRPILFCALLFATTATAQTSDTAVITVTAEGSVTAAPDMAFISVGVAERRPSANQALEAMSLGTQQVLDRLTTAGIASEDMQTGQLSLQPYYESSSLDREPKVAGFEAYTTINVRVRDLPILGKVLDAAVQDGANRLGGIRFDVSDAEPLLDDARRDAVRRAQAKAELFVQAAGVELGALLSLTEEGGQFGGPMAMEMRMMDAASSVPIAEGEMTLTATVTMIYEIAQ
MEFKQGLMLGLGIFLALAVMFAVIGRAAADEAPLSYAGSKERTITVTGTGSVYTVPDIAKFSAGVVTESNTSADALQKNAQLMDKVVGAIRQTGIPEKDIRTGKVTLEPVYNHYSQPQGSTEKPKIVGYRATNTITVTVRDLSRVGDAVDAATNAGANKVAGVSFELSEERSSAAYKEALKKAVSDGADKARTIADAAGTGALTLKSISESGTYYPQPCYVEWGGADSARAAPMATPVLPGEQKVQATVSMAYAFE
MPLDRVPHLPAHPLRAISGALCALALLAAAPVAAHAATLTVVGEGSATAEPDMALIATGVVTAGKTAGEAVAANSKAATAVIAVIKEAGIAQKDIATANFSISPQYASGAQSGPPRVVGFEARNTVRVKVRDLAQLGALLDKMVQSGANQASGLTFMLSEPDKMEEAAREAAVKDAMAQAKSIAAAAGMRVARIASIDMRADHSGPEMPVPMMMKADAARSAVPIEAGELEVRARATLVFEIEPQ
MSTDNLRVLRSRPLCIICGKPQEAEIIAQEMEATREISGATVQGINNNHVFYLGELNLVCERKLEYYITSSLRQGLVHFATHAGILFQVLRPRFAIHAGVCAGNAAAGVELGQVIFGDAAMSYEEGKWGYKSGELEFMPDYDLVRISLSRMAGFAARKSRYKYGDYVSGLSVREDAVKIFERITLSADRNVLALDMEASAFLNLCAHTDVMSLGVIKGVSDLGDMDKKKDPARYKQTLRTTATAITSWLEQMMESMNWDVNEEKEIGARLAKPYYENFVRIVVDSISQGLSLELLSQGRVDQQPQGLKIVMPENLNPENYAEVGHIHSIAQTFGLTEAAIGNGSHRRTMYYRHPYLFDFPRTLNTLLVMEEASYQALVFDKFLKLEKYCKVLSSKYNMPLAQVLAWDEFENMFDSAASEMVIV
MISTILIYNSLLVYSVFFSFLTSHSKNNGSKYISYLFLFLGLFLVASFRNGVGADFETYQNIFNHIEIYDYHRMEPIFLIVNKSLRNFGFGNQSIFVFMAFVNSFFIVLSSRKTKYPEVFIFSYFVLFYLNSLNAMRQETAVCILIYAIFQLFEGKRKEYIFFVLFATGFHYVSIFFLPFVLFSKIKFDKKTIFISVLLSIVFLRVSFVEILIQTGVLAGTKYQFYLNMDIYNRATEIGSGIGMFLRYFILLPTFFISKIDYLKKDLKKRNIVLLLNVVLILSIIISLKFYIFHRFVTLFSVALIYSLLIVLDSKNRWKQVIISLTLIFSLIFFEIDIVNSQKSVPGNKGITPYVSIFSER
MQLLVAASAAAHDAGIPLDDPMNLFIIASSLVTALAFVGIAGAFVMTALGRAD
MENYRLHKLIFLKDLNGLKAELSDYTPENPCPEINELDLHGQTPLTLAITTGFNEAVPLLIDNGASVLTKNSAGWNPFQEATSYGNREVMAELIRRQKDELVQWYKEKGQRAFKAFSESLEDFYIEMNWKFDSWIPLLNKLAPSDCYKIWKKGTSLRIDTTLVGFENLKWVIGDVSVIFFVDKETNEPNLYMVDRKNKIYQKIFPRSEIPTTDEALAETISINLKTPIVSNPRLPIEKLQLTRAQTGWWNKEDKYERVGKYYTSVWNLSNVEVNTVTRKEHVTEIKRLEKEKELEEKQKILEERQKEIVQRTKRLQKKLSDSTLKALKDSSIKINSPTESEEVKNEEEEETLKNENSTEEQNNEEKKEEKKEEEKKEEAINETIDNNIKKLEEGKNIKNPDSKDVENYVNTVKNTWDVIQSYVYLPPPPPSEIQFEDYFFLKKPGYLHLGRPQEIEESKLKFKAVIWVSNQESSIRDPEFIDGTKPLPQRPFPMKIEQLYPVLDILGFGSNSHISTLRNVLDATLPDGFPIQIEIPVFNVFSALISFDNYSTEFEIGEDHFKVPFNEFKPGVIISKLSEDETEVKT
MVITPCPTGFPAGVHKVATDSSGAVTELWVVVVYVVTTTTQSSVMMNDIEKARYVVSLIRSIVLTIFSLLLLASTIPARRDNVCLVLVVQLWTYAGLHATAMAIIMFCGRSSCECLGINISHLCSRVCCSSVLIQR
MSSIDKYWSDRLIYHIGFIANVSKTNSLVEKKEFHRTFIFPSSLTEIEIKK
MNSEKENKVESALNEYFSDKLEKNMKFIGEEMGKALLQGFEGGIKNLKEKEDKNTKENKG
MFRILSVAATSALAVAVVGIGVAHAADLPTPEGTVFTGEYAQYDCARALEQDLNALNGAAQGTCDPDGSGGWVLHIGTH
MADQPLAPTSVQAPKGLNEEQAHTGADTKPAEPSGGKAAGESHPRDAKDGEDAATKEPPPPEEDYPPQLHAGKVGYGPMYQAGPGFADRVGGFKEELKGKITHNAELTQHGHEKMTGELKRKEVEGDDGNPFEAADDTKEKEKKE
MNNTDSTAIRAASSPQTAPALVIDGVTKTFRSGNGSRLTAVDDLSLTIRPGEVVAFLGSSKLSGQCLVRAAACR
MDLFEKTSISFYCMWILIEIIASTKQNSKFSLITPADIEYTTDFVAIQQREKRKVIMNATKSVVTILLILVFMNTSVKNNIWYYCQPFAKLMDVGTSFQVWSSGLPLIVIYGIYEFCNMCFSHFEGNKNRNTGFDNKVCILTIAIYAIVNIFSFAGPMFAIYVSFTISVFVIFGPVAIYYISMKYFPVYSLQEGSLRNEIFHIANRNEIIIRDIIFITYPRDIRNVALSIVSKSEWIFRKLFLDAKHLDSLKSTNQKESNSRFLVSKLTEKELRSRLLLTDKLGHIQYNHQLKLTILIVVNLFGAGLILSMIFPPPNINVKCSEYYRTLYIRIIYVLFNIIIPYSHAVKILYNATQRSFNKKVDYFIMSNYQGHILKKLLKKEIISECPGQYWLYRLIHNKERSLSMRMLCLNKEPKVFYV
MEDIIRNSDFVESLGKEDLLDVLERSIKILNKEKAIVEVESKDKIVFVGDTHGDFEITKEIVKKFFDEGILV
PAEGEPATDDAVADAAVVADDAQAVPALAQAAAAVANGEAAPADGDDAAEADGELAAEVAPAEGEPAAEEAAAAVSLGGGASSRGGGAAARSEAAEAAQARREPETRVEAVEAAEPAEPAEAVEAVEPAEPAE
MKQRIKYLLIVLLASCTMSHASTSQIIDSISIYQNLSNYNLKIHNYEKAILFANKSLKYSQSTKNLVAQIEQNFILGKLYFDLKKFNEAQNYFEHSLQLNTKNSADFNKIKTYYFLGYTAIYKKEWTKASNYFSKVDILPLDHSIQKKVNCLLLKKAKLYFQHNQSEIASNNFSRLLAQADTATEKKSIEEAYLYTGMIAIQNKKFSVARDFLEKALQVNKTTKNLKSKENILLQLSKCYKGMRNFDQAYSYLEEYHLLKMHLAEIISSEQNQENFKKFKRDEQYKAIIQKNKEQQQEENANKYSKLINILAIALITILSLLSLSLYKNNIIRNETNILLKEKNQELIVAKNNAEKASKARAEFLSTVSHELRTPLNAINGITHLLLEEKPKKSQLNYLASLQFSGNYLATFINEILEINKIESNKIELESIPFHLKELLENIQSSLNKFALTNNNEFNLEFDKNIPNYLIGDPTKLSQVFLNLINNALKFTHDGNVTIVAKWIKTKEDIAKINFQIIDTGIGIPKDKLELVFENFSQGSVEINRKYGGTGLGLTIVKKLVKVLGGKIKLVSEEGKGSTFSFSLPFKIAESLEEASEKVTNYDEEQFKSKKILLVEDNKINQMITKKMLENKGIVCIIIDNGEDAVKLMTNHEYDLVLMDVHLPGINGTEATKQIREFDHTVPIIALTAISLDENREMLLSFGMNDVITKPFIPEEFYTIISKYIAN
MYYSSFGILSLVLFIVLNHEIIFKKKGTELSTAKNKYRYFLFSVILYLISDIAWGLLYERHLIAAVYVDTVIYFLTMVLSVMLWTDFVVEYLNNKNLISLIFKHFGRIMFLSVAVGLAINLFVPVWFVMDSDGNYEPLPFRYLFLGAQVLLFAFTAIYTFIIGLRSSEKVRQHHFTIAISGTTMTVFIVLQTIEPLFPFYAIGCLLAGTFIHTFLSVEMEHDRNRLLEDALEAAEGANAAKSVFLLGMSHEIRTPINTMLGMNQIIRRDCKDKEILSCTDSIEDAGNRLLKIITDILDYTKIESGELEIINENYFVPDMMGEIYSLIYTHAKEKGLKLTFEIDPRMPQRLYGDTLKIKQVLTNLLNNAVKFTDKGNVVFRVTLIEEEVEHARLRFVVIDTGIGIKKDDLDKLFHAFNRVDTEHTCSIEGTGLGLTIASNILQLMGTKLLVDSTYKSGSTFYFDIIQKVADPTPIGTEWEREYLRDNSNEKGNLSFTSPESRILIVDDTELNIKVICGLLKPLQMQIDTASSGEECLEKFSSVNYDVVFLDYLMPEMDGIQTLEKMRVQCPAKTASTPIISLTASAVAGVREKMMEAGFTDFMTKPVILQDMLKLLRKYLPKSHIHNVQQETKQSDVLTAIPKKLLDIPWINIEEAVEYCGSPQLFLGAIKILTGGIDEKAKLLEDCLSRDDIELFTVTIHAIKSSLMTIGIDALSDKARELEYYGKEEDIEHINEYFFDFLAEYRGIKAVLLEAIK
MQLFSQRDEQHQRVMRECFESLWQHSADFMFVMAVEPNGEFTLYDNNPASRKVMGLPMDTCVDHFNIRDLWDDEIVEGLYASYQQAIDAHKPVSFEQYATRGEDNAIFANTLLVPIYDKDDNPIFVCGVSRDISDRKAMEQMTLDANEKLQEYSQALENINKNLDDKVRERTCELEQTAQELEAALETKSSFVARMSHEIRTPINAVLGFCNLLNKTSLDDMQRDYIAKILDSGEVLLRQVNDILDFSKLEADSVSIENIEFELEPTIHQAININSLNADTKDLEIVLSLDSSVPQKLIGDPVRIKQILINLVNNAVKFTDSGYVSVNISAKPTGNCDKVLLEIDVQDTGIGITQEQQQRLFHSFSQADDSITRRFGGSGLGLVISRKYAQLMGGELSCKSTPGEGSCFHVSLPLGLVQNQSDSERHGSGDGFRVLVVDDLPISRQVLKTMLGELGYQVEVATDGYEAVEYVNQAFDIRQPFDVILLDWKMPRMDGIETSEQIHSRFQEQTPPILMISAYERQKMQAYCNDGLISSFIEKPVSPSSLFDAVESLLSRHLRMTKNTDPKVKQKDLSAYRLLLVEDNPINQQVAQGYLEDTHIHVDIANNGEQAIEFLSKNDVDIVLMDIQMPYMDGLTATQKLRQELGFDKPIIAMTAHVSDSAISECLHSGMDAHVGKPIDSVELFSVLLRYLASDNDLSHFKDHQEKGPLTHPQLCEALSQIQELNLQEAMSRIGGRNELYFSLLETFYSQYRHRHFIEQLQTATPEELRNFVHALKSNAAYIGAYELVNRCLELESELLDGEVQPQGLTLFESLEKLLVKLDPLLEHYLTEQSINSVNAFKQHLSQLEGQLRTADFAFEKTLKKLTSFVTRRDDLNRLQQIQNAVEEIELETAAKLVAQWRSAID
MNRAENHFFSSMSHEIRTPINTIIGLNEIILRGDIPEDVAENARNIQGASKLLLTLINDTLDLSKIKSGKMEIVNVSYETGTLFSEIVNMIWIKAKEKGLEFKLHVDPSIPSMLCGDEVRIKQILINLLNNAVKYTSKGSVTLSIRCERQALNRVRVWYSVEDTGQGVKKENIPYIFNAFRRVDEEKNRFIEGTGLGLSIVQQLVELMGGEISVNSVYTKGSTFIVRLDQDIVDEKELGTFTLASRTKVHESESYKQSFEAPDAHILVVDDNDMNLMVVGKLLANTKIQIDTAKSGAECLRLTQNHHYDCILMDHMMPEMNGIECLHALRAQPVGLCQDVPVVALTANAGSDNQLLYRKEGFSGYLAKPVSGTLLEAAVLSILPKELVHLNEEASQSDIEKDILIFEQVKRRSILVTTDSVCDLPSALRKKFDVSVCPYYVCTDEGRFLDDQELKADELLMHLAEGRTGYSQAPEVEDYERFFAEKLTEAQNVIHITMAKHVSKGYQNACEAAKSFENVTVLDSGHLSSSMGLIVLCAAYMAEHHATKEEIVESAKRMKRFISSDFIINDTHMLCQAGRISKQIQVLCDSLLLHPVLVLKKSKMVVAGMKMGSFTRVAKSYVRQLLLESRNIDRRILFITYSGMDEKKLQYIQNLVQQYGPFERVYLQKASSAIASNCGPGSFGLLFMKRDDAALSFFEVPEQDRVR
MLESYKEQFLYPKVQFIVISGEGKILASDDILFPFAEKENIADCHPFFESILPLMEPKEDALSFSCVHIGEKICDINCRTFKDKHPLITIHDLSEHYESLQTVAQKRNETLIESEILTLQNTYLKEKEAFKRTFIANFSHEIRNPLTGVFSFTEMLEKTSLSDEQFDLVEVIKSLCQDLNIMINDILDLSKIEVGKFVVNNEIFDFSELLHSTEFIYTTKARQKNLNFRMHVDKKLPKYIEGDKTRIKQILTNVIENAIKFTEKGDIDLHITLNHKRANKANIQFRITDTGIGIPKEAIHDIFTSFSQVHKDNKYPGTGLGLTIVKNLVTLMQGDISAESEPGRGTTISFNLKLRFPLHYKETARKEKVEKKVLFNTKKKYNILLVEDNKISQIIVLKILSSQGSFFLDIAENGTQAMERTEETRYDLILLDIRLPDVTGYELAPALRNHAEKKHTKTPIIALSGSMLGDGKQKYRKAGINDALQKPFQEQQLLQKLHKHLK
PRRKWQCEQPNLKKIDLVLLRSKEVARNMWSLALATEAHESADRKVRKIELMTAKDGVKHSYTRPVNK
MMNFLFERAWRALNWVCLGVITSLGNACSEAGTRVTDSGAVNKTSGSIPSLIRAKNERKRGVLLESVWNKHQMWKKVASQPPTFIPSAYPKSSSRSDEEGQWFVDIRKESDGKRIFVPNYIKGPISSTILGIEARSAVNWQYSKGEKWYRNVDYRE
MLRIRLFKLDEEEKRREISRYRRALLRLHRRGFIGFKQNLLGLDLRRHKNLQHRFPILTEKGAEAARKAAIETSEKEVVYLAIKRLIMTGNKWATAQAILNEVWKVSKEKKLFTDRKKFERYWTKKKLAFVMKDLGVKRKMRKINGKIFRGYVLS
MGPLPTRRWDPHRPTAINQPDRAVLYAATTLATAVAEAFQSLRYIDTVSGDPWIFTFTPTRPLRLLNIEGSWAVRNGAAAALAHGDKRITRAWTREVFVQQPGLDGVWAPSTLVGETVTLFSDGIAAMPVRAHQSIAADDPAARVVLEEIADQIGYRI
NIFVLTSEIQLEAIRAALPSIPKDQIIAEPVRRDTAPAAALATALVHAKDPNAILALLPADAFIKDASTFSKQLIQGFKLAAGQGWKVHGPTLLTFGIKPSFASTGFGYMEMGAAIICDPKSGDFRHVKRFVEKPDLPTAEKYLAGGNHVWNAGIFIWKTSAFKAEADRSAIALGSFITHFPKENTAEYIAKEFPLLPRISVDYAILEKAQSVVTLISEFDWDDVGTWTALEKHLIHDASNNATKGSVVVSDASGNIAVSNGRLISLCGVKDLVVVETADSILVCHKDSVQDIKKIYAVLPKELT
MKSKFIPVILAGGKGERFWPLSRRTRPKQFLCLDGSGISLLQATANRLLPLVDGWDNLMVITSALVAENVRQQLPLLPEKNILVEPEGKDTAPAVAWASLEVQRLFGDDAIAGFFPADHWINSPEGFIKTIQAGVEFAQTQKAIVTLGINPTYPSTGYGYIQQGEKEGEINGLPVYKVTRFTEKPDQETASKFIATGDYSWNSGMFIFEVNFVLQELEKFAPQILKPLREKGEQGYFDLEKISIDYALMEKTASAYVLPADFPWDDLGDWNALERLLSKTDDDNVTNTNSVNYQTKNSIIYSSQNDEIIMTIGLEDIVIVRDGNATLVVNKNQTQDIKKALKLLQNKENNQDYL
MKNIIFAGGTGKRFWPASRKNSPKQFQNIVGSKPLIKLKYDYLRLGFKPEDIFVSTGIQYKKEVEEILKELPQSHFIYEPEMRDTGPAVALAVAYVKKHFPNEVVSTQWADHYIKHPKIFIESLKEAEKIVKSENKTIIIGVKPTFPSPHRGHIKFGKKIKNLNGSDKNVLCEFIRFVEKPALEVARQYIRAGDYSWNTGYFISTPDLILDKYKKFARTTYGIIKKIYDSDFSAKSLAEFGKANKISFDYAFAENLNPSEAYTINSGMGWYDVGEWISLKEALQHSDSDVVTHGEVIDSNSENCLVYNYEPKKLVATIQLKDMIVVNTRDVIAVFHKDDNPKLKEFLKKLEKKGKSQYL
IPGFRQANFITEPSPRNTAPSLILSNIYLSRIDPDANVVVVPSDHYIPETNIFAAQMQDALNFADNRCIITCGIKPNAPHTGYGYVKFNREISSETGVTEFFDVIEFKEKPEMGVAKQYLAEGNYYWNSGMFIYKLKYFKEFLGEYAPYYFIQYTELLKVFHHKLSFYEIFCDIKPESIDYALMEKLPEVKMFKAEFGWNDLGSWSTVYQLKTKDDHNNVVERNNNILIDSENTMLFSTTDKPVAAIGLKNVVVINTQNGVLVADMKQLQRVKEVIRKLDEERDREVI
MARNTPNRGGLLPDISRLNEEAKDDSLNASLTKSFSLKSIETLLLGKSITNKSRCLYEGNAETLLENLSIDDCSIQGYIGPDAINDEIWNLEIKRSINALPILRFIECKKHLAPYSFLKIVEVMTELSNYHHLKYQNEEATKEDFKDLSLYTYIISNSTQPNYVKDVLLQFHKLAIDPFYKEDNVVLWERKKRTVQDFQHPTYKRYIKYLLRNRILPQTERSYQGILKRFFIWLTTILEEFKSYNMNDVPIYKIKREHLQDYKRYLLIQVKHGNRSLNKASDDLYVIKDFFQHLYQNKQVLQDITQQIGAIKQKKYHYRDLPNKEELMQFFNTVELYAEDPLTDGLAFGFLYNNGLRVSEMANLQWENVNLERNTISLKRKGNKSCVIYIPSILRTKLKQLFEMRKDYTFVFSEKPESFKKKIREHYMVYAKLAGWTFPNNVHIFRHIFITYLTENNCSPQALKELADVENLETLSYYFHRSEERLIDEVNKLDLNF
DKLSPPPPSPQCQRRSAVLVQQNQRGSCGGSRRAGGRVQSYVCASAGMKLRERFVIGASVSVVLFTLALVVDLQLDLGMSGQHLAPSHGRLRYAANPQQYVIGKYPPKKEDTVGGSTLAEQESVAVLEEHDGFEDLEAILRKKDLDDASKEVIGRNRQ
MVNINKELIQKELNKLKQKDNKEYQQIERLIFALSLRGRDLNRPQAASLRDDIYELRPKKHRVLYTFLGDDVVLLSICIKSGSKVSSKDFELALKRKKNILF
MPKIASIISKLDASIIEIDKSNHNQLISLNLKIKLNENCLNELRQEVKLNGFQSPKEEIYFFKKQKPYIKGRLRFYLALNAYLIEKPAGSKSKQRKYVDLQLSYIRLENCKYINFVNYYKLNETKNDKTYFLRGIDQFELYIDNSTIFEDPEFRTTRDHLASEIVANDLLTQYYTNELELLKDKNAKPSIQEVQNTYSTKIPWTGTPTELIELLRSLNACKSIDNGNLSTKQLKELCINHFGIDPGNIYKILDQISARKTNHTKFLDKLKKSLLNDLRKYWKKL
MFLERGGITQHVGINLAAGKGGKRGIYNGGVYTYEGSYLPGYPLDKLVIKVLTEPLKTGDMYSYGEAKALDDVGLYVDSGLLECTKRKKRIHNTGKVETVDEDVLLPAIIMKRVGGVPIEETAIWRAVGKVGRNLMINDAKPLVKTKFMDLWNRFQFVYM
IPAPAGREQQRAAGAAGAAGGAARGQRARQRPGVPAHRVQGARGHAHARRDRARCSHHHHHHSGSQGCVTPQARPPQGPAGKQVWLHHAVQHRRGGPRAAAQARR
MSIEHILIGKHHGGSDCWRTPSLLFRNLHREFGFSMDGAATEHDTLLPLTIINRGGLRGRPTIYPPETLDNFIKLYRQGKPIKCIADALRMPLSTSYRIAQRLS
METTPVLILSVSFTPVLPTSGFFPLTLPKPPKPPTLPTPDSRLPIPYLPITDYQ
MSKRTNIPDNKSILQRMEAELAQSSGTEYLLTDGCVISADVEAVRKWFHEYKLVFESSEE
MDLYARLSPTSVVAFLDFKSAFDIANREVILDQLVDFGVQGNLLQWIRGYLSNRTSRVIFKGACSEYKEFELGTPQGGVLSPFLFNVLMHRLLTLLLETLGTTVTCYADDICVHSTSAADLQCFLQSFYESSSACGLVLSPDKSRVFSPRGPRTSLSSLWDTVLSCTQYMYLGTPVHISPAIPARQRVHPIIQDLIHRLERRFLPFKWLTNNVAGVSIPLAKTIYIAFIRSVIDYLSPALSQLSQTTLQPLEKFQNKVLRYILGCPTSTRIVNMLTELNLPSVVERIRANVSCISVKCLLSPHTAPHYAHVLRTSLDPVAPRPPLRPGVRTLVNIVTSTLQRLDLDVPVAEKQLALEHIATLSSSIPAAHHIYVDGSVQRDGSAGCAIYSPDVDQPEEGWVCHSYPNSSSSTYCELQALLLAVNFICQRRVNGAVMCDSQSALQAISSPQPASHRSIIHQILQQLVTAQEHYLRIIFLWIPSHVGIAANDRCDCASTLKATLSQKI
FYTTIATSALDYESEKIVQKALDQVAQGRTTLIIAHRLSTVRNADKIIVMQQGEVVEEGDHDSLMKAQGIYFDLIKQQNLCQFEEEEEEELQLEQNEITKLMSGDETNNDLIEQVHHKKTIDSATQSVISAVYGMKNSLAGYKLHENDDEKKIAIKERKKNTTVDILQMNKPEWIFIAIGCIAASINGAREPVFQQCDKSVQKREIFLCILLYVVLGVISLLFHSIQGYMFAQSGEALTKRLRSKAFRAILRQEIAYFDQEKHSTGVLCTRLATEASAVQAASGVRFGLILQHIFGMIVGILLGFLYSWQLTLLVLVFLPFILFGGILQIRLTAEFTRKDKQILEDGGKVCESFVWFSSIYY
MKVFIPLLCIVALAIAEPPVGLDFQRSLSQEYGPPTSRSFGSSRNALSTEYGPPNARTSSRSGLSQTYGVPSARNSLSQEYGVPNARSSSQFSSSRSLSTEYGLPSSRSSPSTEYGVPSSRSSLSTEYGVPSARNTPSAEYGVPNSRSAPSAEYGVPSSRSSPSTEYGVPNARNSPSEQYGVPAGRNSLSEEYGVPAARNSLSQEYGVSSSRSNIDGRTPSTQYGPPEFRSTPSEEYGVPSQRSFGARNPSQKYGPPSSRNSPFSPNALSQEYGVPSTRTADSKTFTTPKSIAKSFSTSSRSFKPQTARSFGSRTPSTSYGLPSARNSDSYSQGAKAPSQSYGAPARDVSDTYGVPSARGLSQEYGVPSSRAAVQKTGAVASSYADAAARSSPSDTYGVPAARDSMPSDQYGVPDQYSNQNSQGYSYARDALNELLNQEPANYDFAYKVNDFESGSDFGHTETRQENRAEGSYFVVLPDGTKQTVEYEADERGFKPRISVEPADLGRSGYDDNAADLARSGLFSTAQWHLQATIAAVVLLVVFASAEPPPSNSYLPPPSSSRGAGYHQGPAAQNLGPQIVAARTLDPQGRAGQAHGAHGGHGGHGAHGAQVNGFGRSGAQDHGSAHARLGGDAAAGYDHNAAAFARNALEEINAEPANYNFGYMVNDYQEGTDFGHHEERQEERAQGEYHVVLPDGRKQTVSYEADERGFKPQISYEDSEGYDSNANNARSNQVNGYANHDAGYANHGNHEDGYHGNHGNGNARSNGY
MSIIYGTTNTKGTGGASNLTTENGTEIDLSAIDVSSVGSGEWTIDSGLVTVDSYNDGSRVHTQYTTIANTGEWNDDGVKELYIDSDNIDAIDTDYHNITIENFVDVSIHLDTEVQDEFIDGGPTSNNITVVDAKRGDIDLGDHATYNDLTIISHSNGDSWSNLFTVNGSDSISDKVTFTTEGSAYEDTGSEYTEFVVDLNGGNDIFTYAIDAAVSDDMTRSVDGGDGTDTLVLDADSSDLNFSNFEVITSDSDDEFTVTLSEDILVSNSSSDDPLTITNLNIDFADDYQSISATQNVDDDSSELYTVTVEYDDGSYTFITDNIDQDWITS
MGRLGLVFVPALLFALFQPFLDTNRDVAVYVKLPDGFYISTPVRKYNPDWAIAFYEGTVKHIYFVAETKGTLDSMKLNHITPVEQAKIDCARAHFKALNDENVVYDVVSDYQTLLNAVMK
MKSAMNHVRLDLEFEPCQDHLPDAFLKADIKKHGKRHIILATDQLLEHLSKAKSWYIDGTFKLQQQLFTINAFVHTDDHAKQVPLVFILMSGRKKKDYHKIQELGLQQQYNNDRGTYQYLRQIMALAFLPEDEIEPMFEHLKAQAATDQLRQFVEYVSQTWIHNQTWPPSSWSVYMMAIRSNNDIEGWHHGLHRRASGKWHMPFYMLLDLLHQEARLTALRIRLVSEKKLKRIQRAKYRSLQAKVFALWDDFSHQRKNAQQLLRECARLNGPSRQYTQR
MKKNSALWRRAFFAGHGLWVATREEASFRTELLAVVVGVILLYWTQASLIWWGVVFCLWVQCWRQSCSIAP
MEKTQLDNLFPLTPSAVFSTKKGRSGYEPSDTETEWQDTPRHERGRKNNMTLSPEETKALYLRNKSPMTLHKRHPSRFEFEVPSSPSITGSVLNQPRRRHLSKSPYRPRVAHDNHYDVDGNDDDASLTNITGVNSRRNMSPLPRPDIGRTLSPYNRNREQRAPYNENRKASSGLLEMDRVGTKSNYKRAVTAPRLRDQQQTVQNTARTLKQREKSPFKTGLVKEREINEMIAEVKLSKNPTDDYSSALESTDSIQTGDLFFSRECNALQAKNSSMPKKVQQYEYFSPRQVITTINPITNPCESGKHGMNMNMPRNYSSNVLLSRTSAATSIRKGSGTGKPSANSSVKSDASTKTTESMRKFTSNRKKNQKDAWFACMMRTGNCRISRKSPERRPIDEASLIERAIIVESIPQLWADKHKPASLDGFICNKQEAQLLKELVSQGSCPHILLKGPSGSGKRDLAMAFLREIYGDACCNLSHDLRHFPIQDKRTMKVSVPITSSSHHMEVNVNSEPNAKYALMGLIKEISNIYAITPEVSNVNFKSDYKG
MRVHQKVKSITDQRHFQFENKRATEFEDFKEKLASSIATAVVSKLGVALNTTGMKPPHSSNVSGAIDKLFLICPQNRWNSSDCHTDLFVIQPDCLTVFRHEMSADAFCANVRAEMIIPRHH
MFTLHRRKDVPLEEDEKVVKAMLAMLTANEDESDTWYYEPIEEENEDTGEVWPIAWKFDIYYAL
MKKFSIILLAISLVALNSKSNAQTNDSKLLPSEALVLMYNAVDLNPDKKRIENTKPYQSRVITEGLQGDEIFYLGETYFWNFMPKEAAEAYSQFLEEDSPRGRASWQRYLQIQFRAFDKHDFVEEKLSEYRKKFKPTPDDRYGIYGQVINVANLYLERGEHEKVLELINEELAYINYGGAYNSLLLPAYFHASYRSLGKVDEAVTALKKAKAGLMETLDKRKQKKTSNEEFQYVAHSRPVASMETIMTSKLSHTQMNDKFEALIQSISRALELYGG
MACSSGCPTQDHANWGECVRDKNLQLNGLESLGGNRTAQKAQDRELALYGEARAAGLQPKSTSAS
MKCITYTKKKKKNGVTFTRRMIDDTIRYSVDIWLEKGSAEASRRLNEILKKYCVGESITTFIHQSKTSARISTQSYNYNNHKKKNKKDIKEAENRYRTKQFESFEKNAASQKPIKEIPASDFQSLFATVLLFFFFFFFVF
MKYFSSNLTSPVGFKEADRGGSCLRCSRKKSHRNIEIHLKNTPDSPACLEIAVDKDIMLYGVSFYGNKYEDYSATLEIIADDDDSVIAAKTGAFTSVCIESETHFLYSGGYYDSEPDSPFCYYGFDILLDVPVTLKRDIEYTIEASIDGPDSFVQGVMRHMVKIYNAGVTFNFTQPGGDSEEEAMYPEAWNVGKWIVVELILPISFEIHSTFADKHLESLSDSLLDQYDRLINQPSNDWEIYYWMTDKKTTPKEYDNQVMDLLKNHAKNKKMEERIRQPDLQQK
MRLLKWILLISLILPLLWSTLVLTHWLPRPSADQEAALAMVKEVQARRLGEHNAYPLLWLFKYAVPRDQLNAVMEADLERFEKYIQDPMPQRPFESIALESYAELPEPSKAAAACFGRGGGSCLTDVRANVEAVRAELDAQAERLQRESWLSDYDHIAIAFSRSISAPRVLYFGNGGVVRSAAAFAHLSGDSVRALERLCEHTRSWRRLRQHTDLLQADLLGRSVIAANIRLAAEILAERPDLDGLECLDSFAPLGDEELDQCSTMVGEYLDLARGWLLDEEKQILVQRAVNLRHSRALIAQSKAYYCQAAHQQRILLRAAEPSAPEVRCTFAEQVFNPVGCLLADQQLGDEIYYRGALDLDAKLRTFQAARWLRTHSVDGAPGTALDRLPAMFANASHQLSLSPDGTDLELQQLLQPPGAEPWSIPISRPVADPN
MGTENVILQGDFMIFDTKVSCGNVEECCREKSPRAVRVSFEKNLTDESYCLRMLDPKRRHRPVYYHLSKSNFCGTDSGTVSLKKSILLCVKWQVSYKYLTVFVQNEGGVDQSPCILLRSDWSKEDQTNWQTVIGRHLGFRRKWSVTKASRHAERVLLCLTENSLALNKSSFSGTSGPHCLQHLSFKDFDIFSDYDKKTKKLQITSRKKGHCLNEDVHYEVTTSLGQEIITALRGPNHTLTRPPLVPSLSLLMPDESGPPPCSFVPLFVHTPTPGSTPIMGKSSPFPPGNLQEPLSLLNMPPPPKPPKPHVKPRHRKFCPPENPLCNMKSFRRSYSMGEKDLLSRKKSRKALIRNKVSISGGYDSDSESIENLPAAVSETIRNADRETLYMHKQWYKSFKSQMRTSVYAEPAQSERDSTEPKLSIPAKATDKRESYCRSIFVSSDEDDYWIGEVSFTLQNRLEKKHPDPDTMKLGWRQIVRLNFHLYPPLGGGISGGWQDLADYIGLTGQEIAMVDHFCLVHQQTPVSVLLDHWQFVYNKQTRQQVRNPAFVPEFTCPPCTKQQLAQFLQDIGRLDILEICNLP
MSLKRPATALVLAALLAAPLLTTVPAIAAGAPSSRAECRLMDPDNSTEFCRKLHEIDLWRAQIMDETGPTNPEADPVLLHLYLL
MDAGTTIGGVSLPGVSKGVTAGPGDTVRGVGSIVNETSNVVSGGLGQIGFTPNPVGTTVAGLGSVVGTAGAPVSGLSETVKALGAGPLSPLAPITAPVGSLLDTVANQLGSAGTTIGAALSTGPVQQATQTVSSAITPLVTTAGQLTQQVGTATGLGQPVAGLLGQIGGAITSAGWKASAAGAGPVAGPLVSDVGQLVRAVGDTVTNAGGLVNPNGANGAVPISGLITSVVGGIPAVVHNGPPPAATPATGTGAGISAGRSAALGGASGPLAPITSLLGGLLGGAAGK
MKRTYRGTLVSAAVTGLLILGGCASSGSGDMSGTTGSDGSTASGGSGSNGSNGSGGSATTASTTPTGDVAYKSGGVLTTTGGAISILGTTIGGSNVPSASALGNVVDNLGNTVSALGTGVQSGLGSLGTNPNPVGTTVSSTGNVVTQVGNTVDATGGLVSSLGTGPLQPLSPVTTPVGGAVSQVGQAVAGAGSTLGTALSTGPVEQVTQQLSSAVVPLTSQVTTATQTLGNTTGLGAPATNLLQTVGGAAANVGPSLTATNTPVVSGVGGVVTATGNTVAALGGAVSTPGGSASGSPLAPITSALGGATGGSNPLGAVTGALGGASPAAGATAPVGTLVTTVGTSLTSTGATTPLAPVTGAVGGALTTVGGALPH
MSRASLSNNNVTSSLLSHLSEFSLQWASCSSNDTSLQDGIFPCPGPYYMSGQSATRSGTGSPAGDSVGASQGNSMNVANAASQLLTAFGGPPDISQSSSKVPRNRVQMAFYSNGRFHISREDCATSSSHASHHIIDLMTQHTDIVVSGDYFGIGKWNAAVFNVQNACLYVYLGRVTDAFALRIAKLVRARGSACSAKVEEYVMDDLAEDNDDEEHDDCNEDSRHYRLIVQLYGSDQKPSNAVPVPRCYMPPFGTSTPGTPAATNKFSRHRPRTQFALYNPSTCTFDIFPDILNTTQKMEISFGDSNDIPVPADYLGTGFSQLALYRPSTGEWFILHELNSYAECTIVKASYRASPKHDVPLPFFYRNRSLPAIWKPHEQNLFLCHSLRDWSLGTGGIIKCDTANWDDIPMVIPHTKQTSNICFAKSTGKWSFNQVREVEEYGVGSGSNNSRNSVSYEPEVGGEISPSSSPSQRSNTTVYRPPSPLRRTLETQRLSGGQGSLSPRASLSPKGSSINAQSSFTSEESVDLDQQEIKQQDICFIVHQASGDYLCSPDGLRLTLSKSKDVAKCQFEWIDRMYLKHVSSIKFITAKGSLLLLDDTKSDSFLLLGGSCLRHEDGLFCEVTAQNDFILGSNGSDFEFV
MSRKDSGPVPSRRHARRGAKSSVNLTAREISYLLADNARGRLPAQVRRDFNAEFGRNICSRTVNYHLSWAQDRVKAYAAAIAQRGELTVMQEVMGMLDPVVQARSDAPIFARYASQLPPDYKPRPDDVPPVQETGGNTSDPEV
MNTQKVAVVTGAAGSIGMAVCLQLQEQGYQVAAIDKNKQGLNALQDKAEHPVAVYACDLLNPEEISQTMDSITQQFQRVDLIVNNAAIGPSMNSVLETSAQEFENTFEVNWMASIELVQKALKFMTHEHASIINISSGAGLHSNPKRNAYAGSKAAVISLTKSLACELAEKKIRVNAIAPGYIKTEMVAALEQDNKLDLDAIRKRIPMGRLGRPDEIASVVVFLASERAEYITGSVVAVDGGYATFNQIGDACEAQFIRADEEQQRTAQKTAARVVVITGAARGIGRATAAAFAAQGDVLAMLDYDAASVEQLKQDFGSQHLAMQVDITDEAQISSAFEQIKQRFGHVDVMVNNAAIADIFGPVEQQSLPGLRRIMATNVTGSFSCAREAVKLMPEQGGAIVNFGSINTHLPFAPRHAYGASKAAIEMITRCMAAELGQKGIRVVTVCPGYIRTPGVEELERTNSIDTPKINRRIPLGHLGKPENIANAVLFLASDQASYMNGSALFVDGGWSSFGNAGDASVQAA
MKFEVRLTGDRPAIIRGLTMNPPALLKATTSIHEAMGTLQLHDRARCFNSQGEELSTEQLLELLDKENQPKPKPPTPATNISTDHHNKTAEPLDDRKKEPVVTELNSAENSKDALETKTSRESKEDEGMSVGEPASSSASTPTDSHVKEDVVVSSDSPPKSDEPKSTVEPVSSSTKSSESEEESSQTPTEEESVTALDEQKEIEENEQPVSSLELKEGDKFPPYNAGAKALQEFSELYDVAIVDKLMSEGYKATYTWLKQQFNL
GAPGGTVRYVDSSVERLQVKVSGLNDDRHVLTCNGRTVPLQPTGTVGEFVAGVRYRAWQPPSCLHPTIGVHVPLVFDLVDG
MRNIKDAMGDASTGKQVLVVDDLEMLRASVCKVCEVWGMSPLRRRMASR
MSSEQKQEQPKSVTDQSTEQNIPPSNVEITTMQVSLKPTKRSIQSVREGDHFKSETTVVRYLNFGT
MYIYMSTPQAKTKYYEQRFVNDFYKELERNKVSLPVTIVLKDNLGIKQVIQNVSGVRVLRDKANAKSPSKIKSEELGRHVTSKADIALFTEEKNGTKVDVAWISHKSNKDIHGKKITHAQYLDASSDVMFKTKIGQTKEIKDFKNKMISLSVPLTATKYCWPKYKSGTSLRIWDDVKSTILMNMAIFGVEFGKAYSRNNANILMVGDPLIEVKDDKTIILTTKENGFSLANGFAEYIPSKDKPIFFTKPTSGKKTVVDGKTIEGVSVWIIYRSYAGSKNRKIDDVLKNKIELISSSCSVKKKDNFVSIMQSKKITSPPKSKKITSPPKSKKITSPSKSKKITNFFMKK
MGNLYKIASGFAPFSKCADRFNVEGYKEAMSLEAQFEAASKIEGLSGTGLDYPYQFTESSQISPLLERYRLDFVTLEIGLYPDKKWKNGTYTSPDPGVRRDAVEMSKKALEVAAELGAVDVLMWPGQDGFDYPFQSDYFKYWDLMISGVAEVASYRPDVKIAIEYKKQEPRTNCYIRNVGVLISMLNEINMENVGGCIDLGHSLAAGENPGEAAALLGRAGKLFQVHVNDNYRDWDHDLIVGSVTFWETLEFFYWLRRSGWDGWYILDIFPYRIDGHDALQEGVNRVKFFIDMAEKIDTGSLKTGLSNSDVISASREVWDSVFRK
MLNDETAPFEAEFAVVKLSDIKPNFDNSNTQGRLIKQESAIANIVNDFKPELIFYQEGGVNGVPIITRDGKVVSRNHRSEALRQIFNAQTHNAETAQQKYKQGAKEFLGVALENDEIIVRRLKENMSDKQILQLAFSSNIGRESTMGEKALSTLSLYRQNIATLPKALSSENVNGLKSLVSQHIDKQGNGLNTFEPNLALLTGLARNGKNSNILQSLDSIKGNSEYKNKIINMYVDNAGSFYNLANNPSFKNLEFRDILSDAMYYTAKQNPTREVDYTHLISDIESFLNIAKDKEALKNALVLDSNKIENLTAQAFGVALAKFSRQENPSSALYECLKEAPKALELATQPTFFTQGKALSEVDIYDFLEYLINQGQVTQSQSALSQLMPRLRELRESIANPQSSVSKVESSIEAKVIETDTLESTPQKVDSSDIIFTDTKGKEHTLTKEVQQQWCETFNLKSLDESYIPQLSQELQEAIGKEIKLTKGSLYKIIEKGREQYIPQIKETLEKPQIVLQDESEFIFAKQIKDDLYLTSIGKDFDTHITIISNSPKTDKTIQIS
MPTYIETQFPIARLSAESPLLLVDDSSSTPPGHGRVRRAHAFDDRLRAKVRGVLAGGTFALMLDAGELFQNTRRISGC
MQKSYLDLRVRIPMNESNEYPLDVKMNILISDMSQHLGQYNGTIEDHSILTKEIVYTTSRGEDFTEEEVLSPIISWMSITEPFSLFTGQLYELAQVELYSVVISNLGGIHPDQKLKQFGLDEIKMVIDRMNIRINHSCVCQRLVSSFN
MLSRALQVAALSSVRVAAVRNGAAPVTLAVRHLATTTSALQASTTTRSAIYSALLSKQIATRFHIARRSLTADAVAAPTTPVPPRSQLTAIPADASAAIQYDLGTHLPSVLTVPQADGNATLHVKLYPARPVESLLVDVASELGAEHAAIYSVSSAERVRWCRSTPLADVVADGLRHDMKLELVLDGGKRTIVVELPSLTERAKALRETIATLTPKVDAAVALKQQCDTRALRSANLLVWGGLGALCAQWGLMARLTWWEYSWDVMEPISYFVTFGTGILGYMFYTVTRRDYTYELMTDLHVSRKQAALYRRAQLDVRQLRADMEALNAARTALTRVEAEYGQVDGAVAAAEGAEIESAAAPTFRPPVVVPPTSEGKQG
MNKQANVFLLSYAFNQDYTCFICGTTQGFRVYTINPLAEVHRRETAANNACALVSMLYKTNIFPLVRALDPASNRVQIWDDNKQQMVGELSNRHDVKGVVLRRDVIAMVCEYSVYLYTTDVLRLILHVATSCNLRGLCALSPKEKTWVLCCPGQTAGTIRVQHGQVEEQQLISCANQTSHVFTAHQSSLAALSVNQTGTLVASASEQGTVVRVFQTSNGQVLHELRRGSSPCLISCITFRADDKYLAVASNSPTVHIFRLDEQQESVSTCENGGGEGQPVRQPSEQIGGARSSASPPGAAGESVKDQIGQLFNTGKERIKELTAQAVSTAVDQLNNTSELKETWKNAVPRYFLASRSYAQFRVPDMASNEDPYQDRQRYPDFRAQQSGIRGALVCFGPPEKESFFVLHYNGFIYEVALTGKAETQELDPKLNPMSPGGDIKTPGAATAGAPATRARTTRASSLASAQLPADAGAAMKELMPGVNVGTRLVQAQTWFASRPDFQMHKQIIGADDDSDWQLI
MYLGSRFLELMACQMTLQKQPGVMGTQRQTTTTAKRPADHARDAPQTLRTRRVPS
MRSRTLTSVSVASCLAIRTSTITQRQLVDLAHQPNSLPGRCSGYKTPAEVFIAHLRECDPLPCITGMMHLAEILQKKPRQAASMPSGATMLVFLRLSRTKCSFSA
MLIIRAVILSSLSCHIAFAVIVIPMTLYFPKPYENDVKPICNDSDLLHRLDPRLRARKGSPTVVLESKYGLIGRSRHDMNWTDNIYEQQDSLVRGAIDASAKPQHLVLRPDDIWYTALTQFSFYMRAHENEKLIQEIWDNFDGRLPPRNNEWVLITSIMDQWTQSVFKQRDKSNWLLNWVRPCLAKFRIRSTANTNVLYFNGRLLACKEDSPPFSLDPETLETIGLEDFNGQLPSLTFTAHPKFDDDTKELLCFGYEAKGDGTPDNWVISLQLFHQLTRMLTPLGAVSHHPSNLRPGRMKQGGEHWHWDPNVPFYLGVLPRHGASGADVKWFRAPNAFPGHTINAYEDNSGNLVFDLPLTNKNVFFWWPDAIGNAPNPAEIAAEVVRFTLDPRSDNLDLPEPQVLSSEDCEFPRIDNQFSGKKHMHAFMNVIDPSLGTDFATIMPVMGGGHPPYNSLGHLDYNTTQMHKYFPGRTHLVQEPVFIPRSPILLGSRKANFLDQNLLCSYFPLPFRS
MFNTEYFKEPASFEQRDFVIKELVDTETNYLDALMALKYKFMQPLERALTKEVLRIIFPCIKELVDIHEKFLAKLKEAVAVDSKLKLSVVFLEFREPFLIYGDYCSSMTNATDMLREVCKKSSNVEQIVNSHEDFRGLERAKEAMVDVAQYSNEVKRDSEHLVVIQKVKESILDLNLPNGNNLEQYGRLLLDGDLNIKAHEDQKTKHRYAFVFEKVMILVKNSNTKIGEGQYVFREAHNLQDYRVEICHSRRTLGRDGRLKYSLLLARKTQSTAFTLYMKTEEERDKWKRAFDIAMEVIDPIGCRNTDHRFNVCTFDAPIICRHCSKFLKGKIHQGYRCKSCEIVVHKGCISSTGRCKQNQSPPPVCDRLLSEFNWFVGAMDRDTATNNLNQSLQWPFKEVIATALYDFSPNEPNQLPLRQGCQVIVIGKEGDSKGWWRGKTLEKVGFFPKEYVRENQTISDDQ
MTIWLIVLLVILTACWYEILKIRELVMKRCQQVCAEAQLQFLDQTVAVIAVKIRVSDDIRLMLYRTYQFEYSENGVDRYKGYVDLLNHGIVSIRLTGDKGETIYYH
MTGLFVILALVLAAVYFNDAWRSIERARKVARDVCARAGVQFLDGSVVAAGVRPEWSDGRLGLVRRYRFEFATDGNARYVGELSLRGRRVRVVSMDLPEGGRLLDPDSTGSESGRERP
MAFPRKLKELCTPAFIYFVLSVIGILVTLVSNIGGRSNMYTLGNFSSPVPHTGLIFIVKVIYVLFWTWILNLMCKDGHREIAWFLVLLPFVLFFILVLMMRSPSFEGFENAPKIPTCDSLDAKLKMCSGTDVWLDKQESMFGDKKCMGKCGPKPAEGFTTKKSNM
MSQKQWGEEIPLDWAIFEKFISEKKETKLQKLDKLKKSFDELLPLSRQEFKDLLRFYHDIGVILYFSSEEPIKNDDRQFQFGTEIVILDIQWFVNSFKYVITDSKQASFNKVIDAAPTREALDTFTTTGEISGAFLRAIWTVTKNQTAVEYKDDMMKYMERLGLMAIEEKSDICFIPSMNRMKVPKDVKSKIHSRLKKSPTLYFRFPVLPVFLFYRLIVCCLKSQWEPLMDGRKCIYIDTAMFYYQKHVLVLGVSESYIQLMLYNDDDQTQEELIQRTFGDVKRKVMKMLYSLTKTFNIDLHYEVGYSCTPTEFGKELENTFLIEKDLFDYNGKQCPLHAHTKDHGVGALRRFAKMIPLTLYGESDEEYEWHAVSF
MKAYTEANFHYESIHYPLTSLLACLGVAAIIEAAHYRAGNSFLGFMGGISYEFYLMHATLLLLIPPGNSATIAIGVFIASIAASIALKRMSDGQLVRCGEGRQNAVHSLLAASVFRNSFRSSGVPRPCILLLSMW
MNKAYKTATALLLAAVFLTLYIKAAKASDLLGYQEPEAHSQEEVVLYFRYDNSAYLEQETRVLSLMATQGLEKALVQALLDGPAASGLHSLFPEGTQVVSVLPEGSRLFVTLSGDVMKAMPNENITSEQGKQAAILRRTLAMAALVNTLTERGAYQSVQVLVINQPGLSNSLRLSQRYYLQNDDSIPPPLTRMEEAIITPGRAAAHMLSLWQNQNLRGFISHLTTRAQEGQDGQTLDTAALPLLKQFSTSQGSLAPDHSYALVMADLVLTLRDGQETTVSAFPLVLNRSQGVWTLSLPSILKLLQEAGV
MLTYSQLQIFPILGLNLLLAIGCSNISQSASIAAPPTPQAKLEHTIHAPQESTRNITQEVQQLIQALKTQPDDRTEVSEKLAAIGQPAIPHILPLLTDSNPRLRSSAAYILGQMGTVAQSTVPQLVPLLKDSDRDVRRITAEALGSMGNTAKLALPEILTLLQDSDSDRRITGLYALGQINFILKTSDRELKSVLPHLIPLLQDDDPVVSLGTAQVLGSLGESVKPALPQILPFLKHSNPSVRIYTLYTLEWLGKTAKSTIPQITPLLKDANSEVRDRAAATLKHLKQLSN
MSFYQEIRERRVLPAVGVYIGACWVLVEILDRLTERYYLSPYLTDIVFWGLYSLIPAVLLLAWTHGRPGKDKTSRAEKVGIPVNLVLTVGLLLAMFGGKDLSATAELVTVSNELGQQEERYVPRETYRRRLAVFFLGREGEIPADPFFPYGATALLAQDLGQNPFMVVSTPWDNREHGYYSRMEQSGYRDGLGVPLGLLREIAARANRPYFVEGSVRSDGGGTELTVSLWETDTLREVGTYRGEGSDLLTLVDEASEQVRAWLDVPSGKGAFGGDLPLSETFGSSSEALKHYVDGLNAQLFDNDWDSSLRAFEAALAADPNFVLAWIHRALAQWELGDVAATQQSLAEARRLDYRLSERDQMRLRAFTYRISGETDKLEKLLRMQIELTGDVTYVRGLARLLMLTGRLDESKTQYRRAMEQDSSDLGSLLPLARLE
MQPQLHQEITRRLLADFSFKEQGDWLRQGVCPDCQKKELYTYAISPWVLRCGRLNKCNAEIHIKEVYPDLFESWSDRYPPTPENPQAAADAYLREMRGFDLSLLRNCYAQENYYDARRDLGSATVRFPLADGVWWERIVDRPQRFGDRKANFHGAYSGLWWQLPTLKLEEQQEIWLVEGIFDAIALHHHGIAAVSLMTCNNYPAQALSQLAALFVDKKRPLLVWALDNDKAGMNYTRRWVKRSRDDGWLSTAAQTPYSRTKLDWNDLHQRDRLNPDLIKKYRYYGSLLIAPNPNAKALLMHERTERKEFHFEFDSRLYWFKLDIDRYMRAFDNVMYNGKEELDEEEAKHKALQESAAVVEIANCYPTTLYYQANTITDESWYYFRINFPDDTPPIKNTFTGSQLSSGSEFKKRLLHIAQGGIFTGTSQQLDKLLLKQLPKIKTVQTTDFIGYSKEYRAYVFNDLAVRDGRLYTLNEEDFFDMGKLSLKSLNQSVSLTLNDNLKQMDSQWPQLLWQAFGAKGFVALAYWFGTMFAEQIRDKHKSFPFLEIVGEPGSGKTTLIEFL
MTKELTFTKRIAKSGRGYLIWIPKDVVDFIPLKEDDTVEIKIKRLVKGK
MQRQQLCPICHNTYGNLPRHLTNTEEKMLLLQLSSGRVNGTFMCQEVGCGTLVKRIDRHYMDCHGEMEESDMAYAVAKTKKNFVLSKLADLRATHPEVPMMSVLDVGFKPVPVVTPEAPAEAEAVELCVAEERGSEVVCNNPNCVEAHRRKDEEIKVLKARLGLAGQDCPRSDCKVKERELGRLVRLYQMSPQKRTRKKFSHEDSFSEEHNPKYGNILPRKMSSQFREKVEEVLPKKLGGKPQIGSDLWARPTVPF
MAFYWSKEAQFLFPGWPDNKPGMYALSLVFVFALAIFAEFLSNLNLVKPGSNRVAAIFFQTGFQAVRAGFSYLVMLAVMSYNGGVFIAAVLGHAFGYVIFGSRLKKGSSPN
MWKSARIGEVLRKMRSKSIRYCRSAPEQKINSNPALEETAAAIETAQPSQAQPIQQNKHVETVKNTSKLYWDYFKQYIKKPSQIFANQQQESLNGTISVIIFAALIAIIFFNLANTISKIVSDASYGISDMLVGGTMFFPVFTKAFFLTILSLAIVTISIYTINKLFGSGNSNKEIIAVYGTMLTPLIILEVVALLLILLDSFFVGIFILSIIFTLSLSIIPYYILSTYLSKKTTRLDSFYGIGAYYVMSSLLFFIYSIIFLTQLFKGLIGVIEDITRFF
MADSAGNPRQQKAGLAIIATLAHMPGMPWSSGRGFGRLAGSSPRSSACLFQLVISVSASRPGGSDKTILVARFLTSFLLAAWFIP
MTDNNSVTSVDCSCHEQDTLYCRSQEKTHSNSHEKDTQTSRVRKTKVNINLTELSQPKIEYFGDNKNPKYSFPLDDRKTVYLTKDGIVDSTGKYYWTWEPDSFIIERIFNYTNGEIRLDFSINGDTYYKIPFGTNSKKGRDLADIVYKKAGISGKNYINILLPQLLKDVSIPNVPVVSICGFRKNGWQLPPFVEIESKSGIKQQAIKCIHKAMQQKINRKTARKYAKELYSAISTQHKDILLAWAMIAPFFDALLDDTNLRPCLILQGDNSTRKTQIATVLTKKIWGNFENVIAPNNLQSDSRTDDYICTSTFGVAFDDVENLRVIILGKLKSYTTSRTKNQKKNLEHGLDLDDEMVAWIVLTCNELPQILNDSHFLSRVLLVPINTQPTEEQKTRYNSVMTKIPDGCLGRFIFQMTKDWNAETVLEIYNRKEPKNEFSLRQNTIYRLILTGADIFEHVFEFPLHTDTDELNSLLQSALTIDDKDILTAIILQIQGSERENHPRVQVHTLRNGTKGIVFTKLALNVLNQITGKQLSLGKLSKILQRNWSKVPKTEVFTVKRKQYKGIFIPDEYWKESD
MFARNRDTTGSSQLKEKLGYQLPLMCCKDLLSFSIIENKGFQDFLICNKIVNTKYDIPSRTTLSPLNLNKIYNACVDKTKEQIKLSTNYPTITCDA
MSSICSSSSQQKQQKGKRVLEAEVEEPKDARVKGTNINSTPTTATATEAQAEAQPQKKQKTNKTNPPYPSFVVTLSQTQIDALQSAVNNLAQTFSTVFAAPGLHQTPASPSSTSAEATKKKIKDPNAPHRAPTGYNLFAQEKGKSIRLNDSSVDAKDVMRATGLAWKALSDEERAEWNAQALPAQKEYTSRKASYVALHKDDPAPVASSGNTKVKRNKRDPNLPKLPVNAYTRFTTEHYPVVKESHPEIPSKDRLKEVAKKWATLSEKEKKRYEADAEKDKQRYIAEMIAAGHAVKISSSNTISSTKKQTLITTPTAQQHEKDEGEDEDDNDADDDDENSSKAGDESESEEQEEEEEEEEEEEPSKPVVISKKQKKQESAPATAPFSVQTRAKKTTVSAGVPSSTSLKKTPITQVLPAASLTVAPSTPESKKNKKLLAAAEISSSARQVEFGETIATSGRKTKLVTKVELVKKKKEKKASLSGSTNE
MTTPGKTTPTMTTPVNVSTPLLTTSVNNTTDNATTPHMTTPGNNATTTVNATVPPITTLENNATVNVTTTRMTTPVNATIAPLTTPENNATVNATTTPMTTPVNATIPPLTTPENNATVNATTQPMTTPVNATIAPLTTPENNATVNATTQPMTTTVNATSPPLTTPENNATVNVTTTRMTTPVNATIPHLTTLENNATVNGTTPPITTLENNATVNVTTTRMTTPVNATIPHLTTLENNATVNGTTPPITTLENNATVNVTTTLNNATVNSTTPPMSTTVNATTPPITTPVNATTPPLTTPGNNATVNTSTPPITTPVNATSQPQTTPGNNATVNATTQPITTPGNATTENATLPPQSTPRNATTPPITNSTTGPTVSTTNPTNTATTPGVFE
MPYVARFATQEEIVIGVNIEEPVIVEEETTISIAIADDEGVPVDYIDVKVYIDDVLYKEDIAINGRLDVSWTPSRKGTITIRIVVGEENPCYRQVEEEIDVEIVERKVPTLLQNIIPGMAMAIAMLGILGYVKKKRKKPEIEGLEEEIEEAEATPIEDIEIEDIDELE
MFPDVVIAVSRSKKSGVKSFVLDYEIVAYDLDKHETLPYKILSTRRRKHSTNPKQKKIEKFLKAAMDANCESLVIKGLNDAYEPSTYPWLKLKKEYMEGIGDSIAAYHGRSSKQALTSCFLWLVMTASFEGDSKTEAGMSPTKLNWMYGLNPRSFFRVRKDKDPEQASSLEIMVEIKLGNLSVDFIAEVKDLVYENGNWDCRIPRTTDDKECKWDCGWQIGWWWGSVLQAELSAVYDGLMVAWDLSYGKLSVECDSVANVIKGRDVGHDFRSDLTRT
LYKYKVVKIEPLGVAPTPAKRRVVQREKRAVGLGAVFLGFLGAAGSTMGAASITLTVQARHLLSGIVQQQSNLLKAIEAQQHLLRLTV
GAVVWLYLTEELKHFAAVTENTHLLKGRTPETLRGQLAEGVDLRARNWRMRQLGCLLRQAEDPWARAGALAALLPPVEVADVVEDPHERAWVNRLRPALPGQTLAGTSFAHVAERITTAQDADEIEWLRSDLAHSVEEARALSPAPRPAARRTGPTMRPTAQPIPPLARTVTARVEPMPTPVPMPVNPAGPTDESMAGKAEPTTRAAESLMRVVEPRRIPGGRAPERRHVPRVRPAPGPV
MNETLLTRLNSLIEVSVGRAKKEFPDEDWVVREAQLTIRIRTDGSDDEFLKELDQLLRKYGKLEEASFKCVPEFYNEDDEEWWTIGQLKDFLSDMEMEMWKAHEELGHC
MIIHIAVCYFFIRRNSKQIAICLSGCLHVNRPLLRTLAASLYLPILSKISQAKNVGCLCHQKHLLPFWNKKQSTEFEEEGNSPPFWILWFSTFI
MDVEDKLVLPGNDHAEKKKVASLRAFIKAEYLDAQDVGNVTLKRVGVSESQFIQVLNIELDQIIKACKFLDEQWYLKFTLIIVQKNHHTKFFLPNSPTISHLTINSNEQDYLGEASFAMSKAIVGVGEVLQSEDVEELA
MQEAAEKYIPNIKIPQNICNTFKPKPYWSPDLSRAVAERRLVLANLRSCPTPRNLEILKEKISVAQRLIRGAKRKSFQQFCSSVDETSSASEMWRKMRWLKGRQVSNSSVDKEKAERLLSDLTPDFVCPLQPTFHSSNPALESLILMPELVNCIKNTDTAPGSDQISFSMIKYLPPSGKRMLLLIYNQCFSFGFVPSQWRHIKLIAIPKQSVNSSSAYVLSHLYLASVKFFMLS
FQIKYYDELRKHPTLLHKSPLTPSQGNQKRIATMEMFDCIDIKKNWNRENAPLEKDFKVTVNNVPSLKSGFAFNLFSDAISTMGTERHQELARRSLRGEIIGSLALTEIG
MADEVRSLAAHVQEATVEIRESMEQIADSGKAMQEKNSAVQEQTAGVDSVVQRLLDKSHHLRKMTTRLQFEATQETHQHFVEVALRESEKGTQALSPDHLPLPMDSHACRLGKWYDGTGRMQFASLRGFQDLAVPHQQIHQLAVQLLQAAQEDSPDLP
MPSAPGTCSCAAPPTADTSPPPTCRRHSATMWQVVRSGAGNGVYQLHVGIRYLRANGRYRRWNTGVTVEPIPIGSRNFSTMMLWVVEPIPFREDFPGIHGLAAEPPLHRLAVRFGRELPPWWMIRFVQANIDGTYEEDMWTTFQFRGNSILNLRNELERRVAAVSDIIMCVRAGRFARLTPMLVDLPRGGHGNTLYIVVVISGTPGEAALWFSNINAH
MLSSSSSSSSSEVAAGGISGSSRAAGGGASSSSGGAAARGESSWARAAVRVQMGVAPFQDGQYVRLFNRGRGGYLFADETGRGVSVDHRRGMVNTAWVVQIVETKTSYHALLRGAYGRHLAVTRAQPRPGHVGWSAAQCVFDEPEDTHVMWWTTPGKKGSVVLLHGTSANLGALRANGRYRRWHRCVTVEAINRTRVTSMMEWEVEVIPLRVERPPYQLRPGGANITWCLGSAEFMEVSYILADDNGSTNGRDWVIVPFYGRSLMEMGNELARRLGNGINFQDITLFIQAGNLGQPTLLLTDLPHRDDPVDIVVFKVGTPGHDRLRFPDLHAEQ
MESLFNILSGWTNREIAMAIVMLILLAAFLIPMYLIHCTGRNLMHASCGLVANREWLLSNHIIHYRRYESRHPRKPVWRKWNRSKVICFGSVVIEIHTLSPSQRGIYQKAYSKLKRMEKRKA
MEKLRVRPRRVYVRGVSTWSVSVEAPHSKETGWSFGESMESAYKLALRELCERLGRYGDPEDKKIAEKAAEGCLGGFLP
EAKTKAEQLAKDLDVKNKEAQEAKAGKPPKVSKDNLEKLASLKPETLDKLSKVSKDNLEKLVSLKPETLDKLSKVSKDNLEKLASLKPETLDKLSKVSKDELEKLAKLDSNTLDKLSKVNKDELEKLAKLPSETLNKLSKVNKDELEKLAKLDSETLNKLSKVNKDELEKLAKLDSNTLDKLSKVNKDELEKLAKLPSETLNKLSK
MIEFMDYVQNAFYSASLWDHDNSYSTLTATANALLDFQTPHGLRLRISSLSAPSFATSYTLSNRGFVDGSISFLYSSLPMRIPSQSSIIDLRNLVRGYRHLPELRRPDETYLWEIWQAGRRIDKKDTLLYGRLYLPTSTLEALYLRRISPSRLLRLSCVSDSALPNGGSILAQLQNDHAKYSTEYLFSTDSCLMGLRGLYNFGLDPRTIPRTTTPRGNVIPTDPQFGRLSAGAELYFSPLNKSGGVSTAIRFTTLPSHTGFPYTMALTLNPLMGNISSSYAVKAGSDVALSSRFDFNIYSYESDVQLGLELWRRKPLESGDTLPVEDTEPPALQESVLENKLTKDLLQQDNFQEVDSVFKARVNQHGKIGVLWEGRIKDLLYYEQRKRGEAYPNSYQLKMIINDVQYLPISSSAAISLHARSTIMSTPMEETFFSSDMDSYTINHYTEPSYNNANMNNNNNNNSLDPRLLSSPQNTAIMSNESPHEHYPQPHLLSPSYNFNIPPQQQQFYDPASDLSLQNYEPMRHQMLGHRRSVSVPPEDLMLETVQQAAPLAPAMVFHRGGTPLGDSMGGGKAGGGDGVIGGGGGKKFLKKAAAAQKRQMQRHVPYPSPGIDRMGGVRRVQYALQNQGPTSAPQQQHHHQQQQQQQQMAYLREEDVAGVMQEFHGSTPSPQFGSFDNAISPGHRSSPAQMPMELADIDALAFGSRSDFDTAVLGMLGFTERLSKDCEAMREFLARGFKSSEEDEIERVRQEKRALNHKVSTLEDGLEMLPLPDGKDEEEINVPVTGQEVRELDVEKMDELLEVYGIPFAADMFLHEKKMAYLRFLGVSRALMHAVLD
MDVTTQNERMTIYARLNGISCTVPVLAPTLGALLVIGGWQVIFYTMAGVGGILMFLTLGMKRKVKRCKTELAHSSNYLNFTFISMLIASSISLGTVYSYVSISPVVLMGEFGQSIDEYALWMGFFATLSVVTSFGLPYIRRYIGDLFLLIISLLVLSLSGIIANSFLDKNVSIYLFVFSFIGICNSIVFTIALGKALEPYGKSSGEASGILHTCQLLFVSIYIYVSGLFELNPFEMLEYLLIFGGIFSCFIIFIVNIKIRKF
MKVSRSNLLLILMYFIYINIINAKEFCLYGYDGINKVVDPYYINSNNETIYFETIEEYAKYSGPTWFGVSICGNNMLVNNVGVYYEYVNTNTLNKESKTGKIETEKLNNKIDKNIEIINGNDKLNNFHKKNGIINKREKISNKDSIDVNNLNSKEEIREYYENLYKKLNITNLQCIPLTSINPAYNPYHKSLMVGIKNADKVNIITNIGSPIGGSGKNLNGSDNIKIGENIDINECGLVYNMKGATISCGIRISKSVEDSISISDTNGNTYHKAYGIVTSNTNSYTDDINTILELSLSSTDSNSLSESESNSVSNAAERTISYSISNSESVTDDTSNTHSTSHDESYAHTISEEQTHSRTDGGETVNETNWSKSEEVSHTDEYSRMDLNDYNKAKNSHKKRNLTHLNKRFLGIGTDEVEAGCEVANTAINAAGLEEQIRANEIAKDANKIAEDANKKAEDANNIARDANDIAGTSNDIARNANDISSRSIDSQEKIAAEDSALQHELNKLQLDQELNIALAGTRSTSDTHTTGSTWGGSKSESKNWSDTYTTTSGISDTWTTSNGYSDAFTVGHSETSTEETSQFDSISNSLTNSYTKDRNWSNEKSKTTSSSNSYSFGKSNSISRTNDISMDEAIDRSVEHTFTKSQTNSTTIEFTKNLEWPIAENGHFNLTVVPRFYQKLTFGLVVIIMKKR
MQHMQYLLEDNCVLQHVIRVYKLHHCFQPNKIYIHTNTYLFSVQATSKASYILTFKCFISAFTHRNTFMITHTFKLELSCRSVGFRIRDTTSSSSILFSIKLKDTPSSIGPR
MSETPARQQLKSQTLLQRARAAHASSQFAEAARLYLAAARLLGDHPDRRAEALLEAAHALRLVGYFRRALQLYERVQALAQQLGDEALWMDARVGEGMARRAMGDLEAAIACFREALDYYQEQEDPEGIGYTLWCLGGALRLTGDFDEALDCLLEALDIFEDEEPSTAEGYVRCALGGLSRMRGEYDASLAYYQAAERILTACDDLFGRAYAACGIANAYRMLGNVEAAHHYFARAQQRYHQIGDRVSYAYTLWGEGTLFKVTHRLEQARERFRQALALFRATGDDRGIAYAYTGLAELLLLEERAPKQARSYLEAARERAGRHGYTFERLHAELLLHLSGFQPAEGGLEALREAYRRCGSQWLEGEVFLPLNIP
MNPLFRCHLIRSNVFLLAFRLLMSFDFISSSSLPTGAFRFFFLLPRAVIIKPSSREELCYSLY
WHLNEGGYSKMTMRKYVGRYDWALGKRMRALTGKTPFVKATNVSGVLALHPDVDLTRTRNWTEFNMIVYGLVQVAMLTHRTPLLPDLPCETPWLHDGNNLGQRHDDSRRDPAKV
MPHPTRLTLNVSVPKPETSTSYTTSTKDMSPPNNTPPNTILSNKMKNKGANPPPIINPTSPVIPIINKYHETHPHTLIADQYLLLEKIASGSGGIVIRAEDQLRHSKVAVKMIHIPEDETGDSRHKERILKMIAKTPGIHPHFNEDVYLAMQNAEERARDIIDNLSLNAAKVLNAMMANARGTGGERSERYTAYAICACLEIHEQVNLARTWFMYLLWPSTAGSHQPVDRISEQATPTIDDTLGSLVIANSERRSGFRNDAQTAAATWNIIRHYSGMPEETILSMKRLIDDPSNGVMLHADVHSVFDKLKVYFERNPERENEYTLKEVAGRAWVHPRSLANKTITFRNHATPYQNLPLPNPDFIALHAGLSMILHMSGAAEVFDQIFDRYDRASGGSAGLLKSNGDCVHQLSSMMSALHMVGGAPLIS
MLPDTAGPGQXVYRYLAGLGKEPQEKALAGLQKMVAQDPSDMAPMIALLDILVKGTRFKESALFGAELAKRHPDSWAEYLPILERASYLDGQDFNSALIDICSQLEGSGQSHPAIDFVKAAALAEAGQHQRSAELLLQLARDERTSRPAKKALEEISRKHPQAAHLQLALAESYQDDGKMEDMASALLSAIRYDKTMVAKVTEKLNQLLAKSPDNVELQMLQLELLYQEKLLDRAFQKAEQIVSRWPDQSGAGAYLRLGQISLERGELTKAAGSLMKASELDGALSVEAAGSLKRLLEIDVTSLAGHYALARVLMHQRIFDPAIDELMLAGEKDPRLAENIAADLRSIQKLEPANAKALLAEARMDIVLKNTDASLAALSQLMDIAPDNFAGAEALYRKLLSANPENFRISLALARAYIVNGDIDQAGQLIESAVSADPDLYEQAISLLRISQEKDPRNLSNQVLLARIYRLRANYQQSIELLKSALAGDQSLAEAVGHELQAIIAEKPDLLEARYLLAELHRKNNQPEAEVREYQAIYKADGNERAKILAQLEEMVSLDPGLVLAVILSSRILADQGRLPEAVAGYMRACELDNAFRPTAAAEIEKMLPANPQLPEIYEALGTIYFELGKFIQARDMLSQASGRMTDPERRMRILFFLAETHLALRDEAKADEAMDQVRGMMSDANEVFSALRRFASRRLQVEIDKSYQALQEAPDDQFRKLDLANKLIIIQKFDAAINLLNFKPLDEELANRRVLTLARAFWGRREAVTAMELLRQVPLEGHPYSRYQMEVCYLLGQCYESLGNYGGAVAAYRNIYMDQTDFRDVRNRLEWCAEKAVMKELEHRGAVLEASL
MSSSASGQKKRGPVCMAALPKTTETTSEHGTFAATKPSHSSANDSTSSRKKAPVRESRSPSRRQRASPAPQRKSPRLTKRTKTGAAEHAPHDDAILNGRFTAETKRTGKRESAGREVDIANHETKSDKKESQPTCNDKTNEAAKSVYPCLVYTRDELMKLRKNEISKRKHADLDERYFVGNKWNPDLWHQSFGSGASDKQQKKSESDVSKFEDQKRGHQEDNEESSNHHHHNNNDSSVDLRPHMGKSHAFRSTHRTGYENDTRLEWRKGKQSVESDGWESKRNVRKDVDDADWQLDNKRRPRLNSYSKPLDLHQHKEWSDEEEPEWMTHGPTSQLEFIELRGFDDEDERRQMVDSKEEKKIEDKHKRQENKKNREKEIELRMKDGAETSRTAMTETSRFDFNDFLKSATMFANTEEPPTDTSVNSGRNSSRFQQYFSMSEQSKGYGDPLANRVPSSHTNHKELAPGVAALFQSANCSAREIPLLALTEDEFRQSSKEVDPAVLQMFNEMDVAGNVKQNENMVSEEQMSNMRERHTVLHEEEELQGSPTGDGEVETRAENKMSPQPRVPVAFLPTAVIRKMHNDGQLQVRQSGLVNDKIKSASHQTKTSNSPSPTSLSNLSSSAQFRVLQEHQMRQQELLWQQQRARQQFIRQRQILHEQQIGRQRELQRQALLEAEMRRRQAQLLTLRKFAIDRGLPLDMDPHLVYQLFQQYHVKMRALQLRQMQQQQQQRNQLPANGTGLSRYASQATAAAQQMGRIGSRVLGSGQSAAIPGAVGREHHVKIADQTSGMTSPGRTSPVSFTGTPPPSLRPIPHHPRVTYEQLLAAQRPRLIQQQMTDPDYPPAGGLAKWLAQQPAPNSIDSAKTLDQMLSVEELEQKQTD
MPALHSTALTVDLWLRLKGEDAFLVSNLREGINSMAERTTVIKKSVAHHHHHYNSSVVKVVVSECNNCPSKPEESLSEEEEEGESGDGGEKVRSTQEPEDFGEDGGESADGGSCKDAWAQDS
MPAYMYMVGDLGLAAQQAAATSKRATRAAAAGAESVVAAPALDEPQPVQRRRRTKATMVGRGHEYMDLEPEPAVTASDDGSGPLGFPGSAARAGGGDPSGLATLTSDRFGGDSTVPMLPTTWNAHLD
MFARLPNISSFFKQPGSKASTAQTPHDSARSVNTVATVDIQRTARIGQPSLYKSKSDKRKKRWFDAKLSPRSLKLHEQGFFLEGHEPPVGPNAQVNKIVLPEISVWAKLNMTEDQSMWFTAQVCPSKEMEMAAGLKATRPLSTFLCALSEPKVSTP
MRSTFKVALLSIGVLFGIWSARPAPAQVFQTAYDFQTIQPHPYVHALGNATVAARGYPGAIGVNPATIGTEGAVRIGSNVNLSDAPLYSSPGISTPFTDYWITAPSATVKMGRWAAGVQIKHFSRGSIEVRDPFGGTLRTIDIFEQSIKLAGAYDVTSSVTVGGGVNLIRSRGRYQYEEGVKTQLTVDLGVHYQTKAEPGFVTLRPSLGLSITDFGGNVSVQRQPGDLAAPTTIRSGGTLQITSQSRQF
MEQKRIKEIEEKRDRDLEELSKKSVRLNFFVLRKDLTVKL
MDKQMHENIPCGLDESPEEADSNDLSRFEKSLQELKGLRSQLHYAADYCERSFLKANEKKLVMENTKEYICRSVVAVVDHLGNVSTNLEFRLSKNNVVSEVELRTDSLKQRLLKCEEYAHTVACTKLCFNTNFPRHHSRYIAPSAPNLEKSNDKWRIMSNHGIEDDLPLFLCTQTHELPSATVSKSGLDFSTFLPVLNVPVPMPSKPQSPFRFQGNQKLGPKERTRKAVHGNDLLFLFRRSKRST
MRILRKASHKAEHKPALKDKLAELEDSWGIAPDKLHQHLHQIGPQQAAQFIQQHAQLLDQLATVNALLGSLNYPVISTHTDELMVREQNYGDYQKPADYLESFNDFIKNQLNQSVALGVVVNRPQNLTREQLREVRLLLDGHGYSEVNLQSAWRNQTNQEIAASIVGFIRRAALGEALLPFEQRVAKAMETIYTLQPWTQVQRKWLDRLAKQLVHEVVIDEKQIGEAFKNDGGSTRLDKMLGGSLNVVLDALNDNLWAQTG
MTDALTSPTHSQPSSGGEDAKLEEFGYTQKLDRSVGRLASFALGFATISATTAVFTGFGAGYFIAGGPFVWTLLLAAAVFLIWTFIASDLSAKIPLAGYSYQWTSRLVGSSMALFTGILALAGWICGMTGVGFILSGYLGSLFGWNMTQTAQILVAIGVMAVCMMINLYGVRFATMVNNIGVSLELVITVGATILVAIIAFSSPDNHQPVSVLFTGGESGDHSAYILAWLAASLGPFFGLIGVEACADVAEETINARKVIPRTMFYALTASIVIEFLMYVVYVLAIKDQDAVQAASAAPIEEIITQQAGPLVSKIVVAVALTNVLACILANILVATRLTYSMARDNMLPFSHIWRHVSPSNRTPTYAVLGMFGLSTILLLSALVSEKAFFLILGLSSLAVCAMYFLQTVAVLIGTRRGSIPAPEPGTFDLGRARVPIAVVALIAFAAVCAALIFLPQFAGNGYVFLGLLVLVGLWSVTGLRKRLASGDAGPDYAKTHGFN
LFEFVLKNIGALEGLPSWIQNFEFWWLIALVIAVAIILSGVRIITRQ
MNPVGINPMSNPTSIVLISRGTASLDSESPAPLKVEQNTVTLSDEGKKLLAALKDLEHEGTNNTKDKTVSDKLEAFTYGALGMEHPDKIKQEVDASYSAGQYLAAAASVGSMLLLFV
MHGTLLPLIHRRPHTALAEVSSALCCTSPAVGTAVAAALIQQIDSIIERDGLSGVIGYSPLRQSTGVSRSFRLIRLHHVMVTRGDWRGNVPVLRIAKSCGRVQQLPIELTDDDLQQVGSKAVIDSRPPSIHQYALYSHRLDRMTGLTRDANGREILGIGEVVVYTQQTVPAEYADRFDAADPPCRHCGAEYGSFRGLIIRSLGEWLSRLVSYEFWTPPSDEYRSIGGLMAEQPPLWGGRTIDHSTIGDPYRLRLVILCGDEEGDDFMAYIRMYVHGNGSASIDLWTTEAPREGGSGPAAFPRAVDIAHNKMDGPALALLRNI
MNKGRVINFEWMRDYNHWSAEDFNVLRTKLINKEIDQAAADGCNIIVGIYLLDGFLHPKAGLADFLQHISDIKDHATSKGIEQMYIVSGQGETIEGLPVPFFFFDYNVRMIYNSYKNTQHPYYNPDNNKFLFLTGMPNRENRIGLMSKFYDAGMLDKAEWTFFPPWTELDKEWCRNCLSHYTDEQYNKFLIDCKRSFDGRFETAKDFYGSYNQDTDIVWHDVIDTDWVKAPAHIDTSVFTNTLFSIVSEGPNYWDDDNAFATEKSWRCFYLKHPFIFAGHPDQFQYLKDLGYRTFEKYLPIPDYHKLPNENDRNNAIVENAKYLLEHREHDDDIRLDTEENYLQFLKHVVTQDNLLGKFKDEFNIPKEELDYYFEGLGYTQLIRRLPND
KNLFLLEYCFVFLDYYCLKDFPSFSSLYLYKNKYIAKTIFANFFTKIITRKKGAGLATFSC
MAEIDNLIDCLINLKRQRHFRAFWRNQDQASFDMVRGRVGIESLWSPAMTDLKKRNI
MAWITVFLFCLIIWGLIATGVTYATNSFTGKPRIEPVEKPKVVDIEKIKKLDLNDKQKRFIDSLTEQPQKKSD
MVTSILSYNLPLWHQKGHKYLATSTGNHVKKVENKTQEKSSDIPTIPNDYLMTNGMKTSPKLPRRPLKRSVSDNFIIKSTSTSPHPIIDPLPQCNIDIENEVLIQNNINLYKSDDNLRNLTKLEMLKRSISDTLTNLKSKLMRSNKVTNGNLDQNVKLINVGSQSRTLDGAEEDEMIENIKTPLEMKNGSLESVQDSEVNDKDNAWKVERDISNGIDVLRRDGSPGNGGAMGDPSPDVVAAVNDPKNGIVNPAFTPPSLLSQQSTVWTRKQQETMSIPLDNDARFLLMSCTVYFRYGLLGASGCGKTTLLSCIVGRRRLNTGEIWVLGGKPGTKGSGVPGKRVGYMPQVRETRHMT
MPKMYVLVFLCLTLGVVSRAEKESAKKVPEFGKPDQEVVSTSKPNLTDIEVIMERQRQLETIRMAGYLTLKALEDTKVRSEELTAILDERVQTIRKLLKRLPFIVGYLVNRNQLGVHLELPIMSRVFLMTSMGTTETIDSNLPTKFESYFKKMNASRSAAEVMNLKEFALKEMETLTRKIGNNYLGRTINHVDSSVTFMQSGLNQTRDMVIKLPDLLLDVMTGPYDTVSEDRILEEYHKYIKNSE
MSNPWWTGQVDLQGVETSSSAGSPSLKKPDLGVSMNDNSGGSGSHDEDRDHSDDPKEGAVEVATRRPRGRPAGSKNKPKPPIFVTRDSPNALRSHVMEVANGADVAESIAQFARKRQRGVCVLSATGTVTNVTLRQPSAPGAVMALHGRFEILSLTGAFLPGPAPPGSTGLTIYLAGGQGQVVGGSVVGSLVASGPVMVIASTFSNATYERLPLEEEEEGGGTAAQGQLGGGGSPPGMGGSGGGGGGQQQQGGGGGGGMGDIPSSNMPVYNLPPNLLPNGGQMNHEAFGWAHGRPPF
MPGDANGNARNDFKPNCWVGDNYITGALFVAGIQVINHDFGYNDGGRDGLYGPNTKAGVKAWQSDHSRTADGIVGPNTWYDYGDVLYYSYTGSNFWAYNVAGDANRFFKANYKGTDGHFGPWSIRCGEVGFEFGTINYPNPKAPCI
MSNDDAGIIARILKELIDAKELADHAAQSLYLSDHSYYADAYRNIHFALHDGRTALYKHANVSALGSILKKIDALTAEQGLSKSMEKLADQCRVCNDVLHRLLLGSSVTPVDICDIDPAAQECRRTSRLIAKMIVDGLGTAAGGGKAGQ
MSSLLLWVRLALATGVVLWPGFVITRAVGTRGAAAALSWSLALLFGALALTFVIGSGLTLTLLVFLAAGLVARPFRRRRIPRRPPGWAAVALAGGLLGILLWHVAGEIGGDGLFHLARVRKLDSLGGLSLHRVDEFPDGGLHPGYAFPLWHGFLALIAKIAGVDPARVVLHEGSILAPIAVLAWFEAGWALFRTRSAAWVTSAAAVALGAMAAGHGGSFTSIALPATVSQRVLAPAAIALAIETVRLPTRGRIATTAAAGLALVVVHPTYALYLLIPFAGFLLVRWVWARADFRAGVSAGAALALPAGAFVLWLLPVLRDTKSIRPHAAERARALHQYASQLVISSSDSFHVDPHVLSRTGAVAVAGLVLVPAAAVAARRRWAAFVLGGSLAVLATVLVSRLFVGLTDVVSLSQSRRLAGFVPFVFAFSGGMGVAARVLRVWAVPAALAAGIVVQLAYPGDFGSVLRGDSPSWPAWTAALGGCAALAAGLVVARRAPLERSAALAAALFLLPVFVHGFRHWSPSAERPASPLTPGLVHALRYDVREGATVYADPETSYRVAAYAPVYICVAPPGHVANTKQNRPDERVEQFRHFVAAGGDTAIPAGCGPRWFVIDRSRFHLVPNAPPGEPQPALVYRDLRYSLYGVASPRGSASSSARS
MKLVTVDPALFAFLLVVPAALCFNAPPSSWTHSFRNGLTRAHYYAPPPAKRGSGLAGDILRTSGSQWPPKGEGVDDETPQDMRDIEDMTEMVEEKLLGEWDSGPEIETGKLSPDAEAKGKKATRVKFLIPTDDFNYYEQLAVRGRQQLKTDSAAKVVAEMNLKDVPSTDEDRQWAVMLGPVGKNPSELPDPVQEPSEEKKEPDYLSDYVGDWADERERSEDYEKMVKSGASEEGTSSDKASVEEVTGGVSEGAVLSATMGQLSSYIASLEALTADKAGLDAAIKEVLTETDASIGLKDKIDAAVPQLLTSLKAMVGSADQDSTVDSFINEKKSSAGTIQKMLSLLEGAPEGVPIRPDDIAVVNSMVGKDMDPKVEEEIEASMETDFLATYLNILPAGERDAALSNYEKWGFMPAGVSAATVKGKAEKGDLVLDSGRLKMMGEIYKVLDLVGTLGEASEDELKARGVTPQIASILRALGDSERIEADQLEFGETQIEKSVFSEDFLAMKM
MSSAALQSEKASSMAATVEQLSVSITHIADNAKQAQSTAQKAGQITNDGMAVMQESIQEMGHIANLVAQSSSDIDRPTNLPCRAMSLFDEPKAPEPSARQ
MRALPTPAKVAIVGGLSAALLGTGAAMAWAQNSSTDAKSTTSSQSVHHDDASVALDSTKAREDLGSRLGKAVTDGKITSKDKDAVLKAFDAGVLGGEEIAIEFSDGGDGNVNDSTKTREDLTSRLGKAVTDGKITSKDKDAVLKAFNADVFGGEEMAIELGEGGPGPFGGFRDDSK
MTELIQVPEVVPVSEIAAASPDVSHSDPRYIEKALLGSAGIASVVWLGLLGWLIWWLLI
MESPRLKRRAVWENKVYTLSLCEELIVLLSSRSEFKFMGNRLSLCLRICLLAELELAGCIYTDDKLL
MAGGRGLWEIIDLFGDSDWYKKEDSEVKDVAAHLRWGIRVFLEEVGKEVSLLLQYEHLPNFYYACTIIGIAKMKYGPWLKVPVLKN
MTPASAPETETGPDPVPSDVQRLGRALSTLKSDGYRHATKLVPISVVWFLASLPLVTIGPATVGAYAAISDVLTEYEADYGHVAGVLKRQSVAAFVLSLLPTAAGLGSVLYLTEFARTETPLAGMLALGSAYAAIFLVLVMIPTFVALSEGEWVVDALKQGYVETVSHATLSLTMAFLTLVLFVVTLLTTIGFALLFAGAAFTFHLALFAEDI
MPLTDTAIRKAKPVATVQKLRDGGGLYLLLRPDGAKWWRWATISIHAAIGCCAHLDDDQLLEVNL
MRSLFTRTFGKWVLVAMATAFVAMLAAAVVVRHELLWSLVPQKLLFYVVEAWNPISQEEVADAEFVAIWLCCFAALLVALPVCLAGVRLLFGRHEQNAA
MGLFGKDKKKVPEGLALPGLNLSSSDSPSSNLAANNTDEPTDGFPKPKSKASFYLAAKKKKNEIEDKALAANQAFFGGDSGTGGHIKGTKRKIGLKIAITLATLPIKATVKCVDFSLRAVGLRRRIRPKLKEVIVRNSDKDGERLHLNCIWPCETLILKKKLQAFVGVRSDNQRLVYCGKILKDDEIIPEDCFKADPKDGSDMVFHIWMINVGFSMDKYTAMKGKESRLGATGAKIVPDDTLEMEDETEEERLAREEEERVRWEVKETMKALILGVEQAVIDEQINNEDPALNPRKDRFDMNKELELIGCKEYAEGLKKIGFGERGAFSFVKEEHLIGYPLFVHSKARKKIVGLAAAYRRQLQYEEQQLKTHLTKMNEISFSKKYTVDGKEFFHSKAEMDNFYAAIEEEKKEESRRSSHANITNKFKKIRQGMNFLSLTQHEPPPKEHGEFMEEKIDKLTKFNAKDEWGLPARPSIYKGFMEEKYLQQKLHEDEQMNKTKVAQWRGDLHDRLVAADAFGNGHVAIHDLRRILHYVLGEEHVHNPEGRTEEILLKSDEDGGGIHHDHDILIDTTVAIMLDALKKHVSGEQLRAHKNRLEEKAKKDRKLHYWLHLEEDAEEERQKEIRKVTPYNCPAFKSQPFNARRCVHCKYDRNLHTIIHTKQDYIDIIAKRNQDAMSADMKLNEANAILARQESVKAKLKEQLNALGGAKMDWEDVSDAKKKKH
MIDAGKDQVAISYALKHKGGILTNSQIYASKPLLSGNNAAVNRRSAADHAKRYKVALICRLRII
MPVPRLFMLLLCSLAITACSSRPSSEIQLTDAEQSLVNSAAYIAERSPLVADVWPGFWSANEPFLLYRVDELALLITDKEPIAGYEQVDDDLLPSMLRGRSYFYPGSLPRLESGFVLSYPVQNYQVTAVQLQPDSRNNLSTLVHEAFHAYQQQHFTPGSQPHVDDEVFSAYTVRALLRMQQRLLQHMLNLSGQESHDFAHDYLTLRLVGEQIMPPSAIQLQRNSERMEGSAQLMGLKAVFGRAGDSSALTEHLQQSLEGSPDGIQEQMDLWAAGYHTGASLALILTRFEEDWQTPLAEGRHLQHILADFTDFNAEVALTQANDVLARHDFNHWVAWAERQSMRSAQPSVREFTEQHSTWVELRMQVSMQDEEPESDINFSSGPAGMSRPQENIILLGRAENLTFYSHGVRLVAQQVPALINTQELHRGEILFKVAVTDLPQLCEGLSGCTLENLGLNVEGLIITSENPVDLNYQDSTLSIQIH
MDENTDTVMMETEQVAFKTTVKRKKRERKATLKYAKKADQEAVESESDTESDVVVPESSVPNPALYTSELLVDQVTGRVFLGGLPKVPEHLNVALSRKRRRRRGRRGGLHARLRTHLDSPSPRHKDQLGFGNARRSRECYRWLRLVGFNTGVPFQCPRHVETSTRGCVQENLRPIPRVTSSPVNSSTLRVALCNTRSINNKTFVLNDVIYSHNLDLFFLTKTWLTHCYF
MKKKMHLKVVKCLATLCLAIGIFGVCGLNVHAESAALKKGSNTAAVNISKDGWTMTAAFRKSNSSHIMKTKLYGTRFQGHMWWEDKNGEETVKIYYKSGKINKIKAKFSKKSQKKYNGVYKVYNVIKAPKLSAIKGKYPTPHADVMRYTATWNKVSGAMGYQCQLKTYCWSEWNTMYEKKSKSNRSVSIEGSDIEKIKIRVRACKKVNGISVYGSWSNTRSKKCW
MIRMWMLLKKNLFYSFLKRNRASIGIGAMIVFIAMVLVAGIAASVLVQTSNQLENQAMRTGQDTKNEVSAGVRVYQIIGQHGSRNISGTIYTRFHNMSIMVTARPGTKGINLDETVLTIANDSKKCVLSWGGVFASAPSSNGIFSTPGAFDLNASEFGIIVLEDPDSSCTSSTPVINRGDKVLIDVNLSACFNGLASREDVRGMIIVEQGSPGIFLFRTPASTSKSVVELF
QGRSWRSPLPGVQTSCSLCYQPRTALITGDSTGSCVTAAARCLHGRISFLAEVFLFYQPKQS
MRLNAVSSNSNSTSTLTVTEPANNENDKEWVRSRRLTDPLTSQPAKAPLTDRRSSDSAARLLQTPKSTSNLAVYTGNNSSNSCAISSDSLSDPSLACFSPRKINALKPPTRTTPTSIPTLLIQTPRAQTTRDLHELSPASANNNSAASSTTPPTTSSSATSRSLKSQPSLEPKSPHQKRSPASRSSCGIETSTGPPPALSTQRSLSYDKSRPNTKASTATSLHRPRSFGSTARSKTKPQPPVRHPALMKS
MTSQLQNDLFELTRKQELTLNDLAIQEGERSYLQSQYEIVLNSIEDLQLDYEFASTELEDELICPVCGTIHENSMDSRLDFLKDKSKMEDLAKDLKQEISKYEHDLLETRKSLDDIKNDIKKLQDKYLIEDKDKSIDLENVIESYSSKSLRLKINTSRSTSLSAIHEIDIDIKSFKLDQKNTKNDQKDINRDFINYLIEFFQKVDVESLLSDKTKEPTDFKTLGKQGSEADKIRSRLAYYIALYNLINKHSQEIISPLIVDTPQQQDQSDKNYKSMLDLISNSTPEESQIFLCAVDKPILSDFKKKSHVVHVAEKQVISIGQFTRAKSVFDSFEFAILLS
MAELLFTDVETKFKSFPAKVVTKMLVLIEEEIIFARRHGDYQLANVVKISGQVNQRKMYFSWAV
VQLIDLYGRTVKQMNVQILEGLNEVFLRNLDPLSSGIYALRIQIGERIITKQMLKTNTGY
MDFIGSHHAKPNRPYLHQQNVQEDYRGRENQERSRYSIRSSLAGRQYETETQEALDNGADNITKVQYGLSSGYQQTQQIQDSPQQQVPGLS
MKAIENPFSKMMRDMKPKKMPRIQQELTACLQCGYCIDVCEAHEQSPWESVTPRGKIYYLRAIQGGGQSDKVLGREVSLSPYFIDAMYRCTGCGNCEAVCHANIPLVEFWETVRKWLVDEGVGPMSAHKGMARKIEEVHNPYGERPSKRGDWWPKEVEKTAVPEVLFFAGCTGSYREQKVPQMGVQVLARAGVTINTLGPEEYCCTSPLLRTGINKYSLDCAQHTVERADGIGAKDMVMTCSGCYKTVSTDFGKFYAKAGQNVYHISQYIEKLIAEKRLPLNNEYKAKVTFHDPCHLGRHMGVFDPPRNVLKKVKGIELVEMDRKKENSRCCGAGGGYKSQYGEMAINIAAERIRDAEETGAEILVTCCPFCVVNLSQGAKQIGSKIKVMDLMEILLKVTAPPEAKPEPPKPSAAEIAEKERLAAEKAKAAALEKERLEKEKQMAEEKARIAAALAAKASEDEPIIVYGDDEDEGMGDDIWTDNSPKALIRRAAWNKGLRCRLDYGARAIPVAFVKPKVAVYVFAEDGEVDPKDREKLEEEGWAILTFFEKDVTDAEKEALEIKAAVKENLKAMKKKKK
MEKNERLAINEIIEDLNKVHNNIGKETTKYYSNVLSDEEIEDAMNNIDGSEIKLAKIIEKLAELSNK
LLSSQIDISSFFVNLIMMKKMVMMMMLVCTPLLLLASSALAMMQKQHPSPCPHLRARQQSEEAIHQKNKKLEEDEESRHRRRHLLDSAERQRSTKCTTDSEVARYSQTLMDAWCARMGFTENGEKDGPPACTTVATQDITDEQEKCKDLPFGSQEIVSSCMGPLKMLVGTWKGSAGKVYTPLPSYSPTIAFSQGDDPTKNFADNAGLVPGQPTSTMVAIKNQTYEEVVTFKPIFEAVRNRGYADADPINAECQMNQFFVGVKYSLK
MLIYIFKAKVVKSSTRYLIYPPKEYQEKLKKLHGKEVNVIVIEESD
MVWWHSTPLHFSTHSFRWIIHGRSAQTHPLPVTPIPYRAYSSTPAFKTRTHRTSPINICGDFDVFAFARQPSPTYPIPVAGVMRVNGESSPTRRIMENDALFTNNSRKHQAQETRKTALAQISDTSRQQITNNSNSTNKSSQRLTADQFAMRKRLQRDCFPRLHLGANSLSDRA
MEVDVMLNYHDVVVIFRELGVSDIKLLVVARIDLEKSVPLNFLVEKLEEVTHRHDVVWAISKLGVATSLRHVVHALYQTLRAYRVGKNISNKFNIEFLLRLTCQDQINKALKVAGLEFEVSSFCLYLASPSKKAMESFFTSLKHAIDCNFSVLSDHPSCFSDVLLESLGVPHEELLCNDYKGSHLKPVEKSLLTRMCMINVRRR
MSTIVYQPFHADGLALNLDRSKDFGTVTPATFRRFGERIGYPVPEELETLAGAFTDRMRETWRSVIAGGMPLTPEMTELIRARLSDLPLARLS
MFCSTGTRLLEKMVMCTLGVVGSLQIIGSLHIQSIHLGMNYFPFKLKIFSLSMLFLLHQTRE
MAMSNIKRVSITPPQQRSKNTIDQNMIEGDSLYVKLRLLNAENPAGIQIEEDGYLEIRHFDLVKAGLEIFDHTFALEYENDSSLFGSLGDLVNHNSIGLPLKDVLCRLFVTHQTGIFIAARK
MYRSYSLLIFMIALYTLSTPLWAGWSYGQASMVVGDAPLDEVRTQTIKNAIADAAYKAGAMITTEDIMLNGLLIESNLSLKAHGEIKRIEVLDEQLDNDTLTVWVKADLSTYGECEQSSYKQSLLISQISVQNIQQAAVGSLFELGQHVSKRIEQQIRAELPGISTRIINQPFTAQPTVQGLSQQEIASKADYLARTYGAQYLLYGTIRDLSMQNQIEEGFFSDSEYQRRSFTLRLYLVDNFSRQVVFESSYHTQNEWPFSLTQEMDLNSSLFWQSQFGRSLLNKLNSAVIDISEKIQCRDVLLPIMSVGPEGAWIRAGRHSGVKLGDTFTLRRLSTASNAPSLSVLKDIDDSKMQVVAINEFSALLEPIHINLATQVRIYDLLSPENSY
MQLLAICNKTEGEQKQQRPLTCSVKQQRQQLRRRRRRRRRRTTTTTTTTTTTNTNKPQHTNNNNNNNNNSNNNNSSNNNNKNNNNDNSKNNDHLCKRRPTTLCNALCAVASN
MNNHYKEAKVIMRNYSPLWFSITFLIKKIKFETIDEYLEFEYQMINSPELICKQGSNRRTVFALRDRYQKETAWYIRVLSILMNKII
MDSDNLLLLASLSSYVSAADSISITVDSSMVREAPMEIGEFKDVVDPLMAPSMAVDASAAKREANVPPLALEEVAGGGATGEGIINEPTMPTFDLDPSKSRKTTVVEGESSSAAFHRVNGCLATIVDLLPQLKTLRC
MIENSKRLIKSWNDFGLRLSQCYNLMANEAGGYENLGFDEVNMRGVIRRSKTSMETYGSDAERMMDFFRGKQQENPSFFYLVQLDSAGQLWPLESEKINKEEEAHKANKQEASGVEDVDISDSVDINASINEEHIGHNGSSQTISILDPKLVKTKGSGKRISCVCRICKKPKHDSCNCPNK
MRCAFSALSLPFPAIDASVCIESPPPILHLGTLFNSSNQFKEGAGISFPVNCSDVERVHAFPWQVWCSVLA
MRTEQQHLLQKIVAFQREHVWQRLAALWKQGLGQSQQRTLNILLLVLHTVALVSHTLDAAEWMTGYGQSMAQDMQARIVPNVFIQGVPVGGLTPEEARAAVYETFSPFLEKPVTFELKGATWQPRPEELGFAFEVDTAIDHAYALGHTGYLHDRLGDWWQSWQQGYAIPLKLVVDETRIQAYLLEIAYQVEQSPQDAVMWINAGAVHSTPARNGQQLLIDAMVPEVILSLQTLQPQQIGLAVRELQPALNNTGIAEAYQYVHTLLQAPLTLTAQDGREWQWSPTQLAQFVQLSQEPGIDPGQQRITASLDQQRIRAELDDIADELNVQPIEPRVQRITETVQIVQQGQDGVRLNTDASFQRVMQAFREFQRHVELPIDVQPTYASTHGIGNIRITGLVAKGQSSFAGSEPYRIQNIQAGARRMHGILIPPGAEFSFNEHVGAVDQSNGFTQGYAIIDGRTQLEWGGGLCQVSTTVFRAAFWAGLGITERNEHAFRIFWYETLEPIGMDAAIFTGPYGYDLRFVNDTGQPMVMETEVDTINQIMTVYLYGTPTGREVIQMPPQISNQVAPPAAPRYVDDPNLPVGMFRQTDVAIPGLDVHLQRIVKNPDGSVLHDDTFFSRFEPWPNVYVRGTGY
MIYSCFHCDRMENFHSLLAGEKQVVLVPPGQHDVLNSTRYATQKQWLLAPVSGSQRYLGSTLRFTSKQTECTSDQSAVHPFRSAEANRKVSRGQWPDHVDFPVRRGTLRKGDTLYIPAYHWHWVATSTPPAMGIQDEGPLALSVNFWWWPIHNDKAMEDWSFQNECEPTPHAPVKGVTLSGLGHVDSSGNTIFVEDVWCSWFC
MGERTGSRVFQWVWSYVMDMGGQVAHRLRRRCAWVEIEHDEKPRNLRLRLNSIGIGGLLCIGHLIC
MRLLRRNALGVYAVYAAAIVSGLVVTPIVVRSLGKSGYGVWTFVGAVTIYLSVLDFGVGPAVVRFGAEARGRRSDHDLNEIASTGLALYAGIGALTLPIGIALAWLVPWFAGVHGHHLAWEARITTLLVVLSLALRFPLGLFNNLLVAQQRWDLQNLGNFVSTVLYAVLVAVLMPHHGSLVLIGVLTLGATLLRLTLPLAWLKRELPSLRVRRRFVTRARLRELTSFSSSNFLVHVA
MTVLMQSAVSAFLAPAGMPTLTFPFTMICWIFCLVAGSRGLIAVKLTAVSIPEDHYHRFRLSRLVKAQYKISSHLITLLSSQDEDISWEDLAKIEAEFVPILTCSYAYRNDINSLKMLVKEKANIHSTDRNLRGPLHGSACQGSMIICKWLVDDLKVNVNSVDKFGGTPLFDAFWHGHFDLLPFLYSRGARMPACKSKELALYLNAFVYESNLEAIKCLVACGFNPNTGDYYGRNALHMATITNQFNIVRYLVEETCVCLDVVDSFKQTAIQYAVRLPDLTVANYLLYKRDNPIPMKISEETATLLQTVVSSLDHNTKKEEEAFSMSVDESLLFSLFCMSVAQNDINKMADYLTQFPHFNAFECIDYDFRSAAHVAAVEGHIEVIRFLSQHCDSSHFERLMNREDRWGLSPMDEAYRHGHFGVCNF
MNSAMASTQSSSAKNNNNGKPFAHVRQSPIKRTTVHPTPTVATDEHASKSSALSSEINHTFEYMIMMKSAKNVQNNSIFKKHTWKYNDEELIENVVLNIIKKSVEDEQTKSSSIDIAIVLDNKIVSDHQKSIHSLLMGQSSPVLYILVVRSQQKNIQTNDGSSAASHQMLTSSSIFHSFEDKDFIFVYYTGNEQRPSAQVKQSATRFLVLQDVQSESTLESIRDKAKKTATYNCLLKSFPATEDGMKSLFDDIKHQYLKPLETAIQTKIIVPELNKWKLIPWRQVFITIGIIVGVTLALPIVGVIAIWRHTNNTNSNEKNVKQGLIALKIMGSTLLAVMSPLFYINYFAIAVYQYFLSEMNYWNIVFLEAYWPFMLHWHAILMIGIVLFYYCVSKTEKSKQTVVERCYEDARSDFYEKNAVSIGTTRIESSDDFQCSCTGCVLPGVGIGFYLIVTSFHVLIPELIRQFGSNIELNTSPTLNYTGLPWYGRFVEASYLGAGTVYNLFFFAMILLAWITYINLAGKVDKIMASVTNNDRFIEQKTGAYYDLRKPGNLDYFISQFKQEVANTDPFGYCLATITYAFFIDALLIIIAVYRLFFVSVSVNVLTVLLLIDIIVLSLPIIGFLIIVAYINQRITIDAQQLIKRTITETTMKLIDIKSIKKKTDAVDNEQESLENGIAYLSAMSDFIENEKKRYCICLFFFLVVDMDMVRKTAVSIVTGIAASLFTLIKGKSLLA
MFSNLKSLLPLSLYSLITLSSYYLIISFVLFSTGCSGYYYDDAVKFEKQGALLKAAKIYEMFVKKHPEDPRAGPALLKAADIYSKKFQLCRKAVPLYELILKDYGKLESVNAAKKGLYMCPDYFPLEKNRTWTYGDSQTFGRNIKEIHIVKEEKNLGASVKIEQYAGKKLAGRIRQDYSFTGDGISINTSDFKGLRFKYPVKKGIKWTSKIRGQKVMFEITGVSLKIEVDAGAFENCIRIEQRFKNAPSWVNEYYAPWVGRIMTATAGTDFENRIMELLSYE
MRLFDNLSQRKQRGETLDMSAITYDMLHQLWWIEEIPDVMIADLLGVPKKKVTNLRHKWGIKTPDVIVREFEEKFTGDIAHQTESGEAPQVSAQAAALIRKINNLNDIELEALRVELAHRFPVFLEVQQEVAFLATIEQAVQQFYQGQKDTAL
MTQQSDRPLSMEEYLRGLDADAAAAAANYMGATARTDGLPADFVYEGGWDSFDELPFPEPSRSAVFLGELTPHEKGLVLAAANADDELAAISEYAAGSQLSVIADKVKSGEMRKAVLGSASGRDMADAIPESIIRRGGYLHRLSSHLWASLYFGLASRLDVHDKPLTLTTKGRVVAEPRSIPSFLRVMEQGE
MRKRLEPSVAASKQRRYREKQRADGIPTANMVAIATFRILLSGKSPKAKAKRNELEDLVTAYLVDRHPELTERGIEHRFDEMVREASRMCTFGYFDIDKRNGDTEDPT
MRKVDNMPIHAIVEQPQESDNRREAARWRIRLELSGALDADVTGDGRENVVIHDISTAGMLVETRSKLKIGQSIMLALPDAEQVTARIVWQNESLFGCRFDQPLPQGVVSAVRLRNPKQDEAKPLGDPVEPSASPDIAAPVDEGLPERLRRLRREQGLSRAALSGKTGFSKPTLWGWETGRTKPRRENLLILAALFGLTEQQLLFGAGDHAPAPETVKSGPEAYARPLRDIIDLSRTRIAEAAGVHKSNVRISIEF
MYLLSFTNKKELIKMDLNTLIWSIIGALVVAGLGFGASKYYMKRRINIKGSQIQNGDNNEAVLKSKNVNLNKRGEDVAKKDAENKK
MGWVQRLRGSVSLLQGDVLSRRSIGNRLLWSYLVSSTLPLLLVGTLLIALGFRTQRDNVYDSQATQAVGIAREISAYLSSFDVQILRLSSDLQPSLPEPDLTAVVSDLFRANAPNLQGLAIIDPQGREMLDQAGDGNAVR
MNKSKFNLLAAANLHIKGSESPGNISSKKVNTLPTDSREPIKSTTADMDFPNVSTNELGVTSKNIVVGPTHTDDSEKTFLGENNSHHKANEKMSNLPYHKRQTNYTILDSVMGEMEQLFSGKQTNTQRKLSRIHSFAREKAMQCREEKENNENNCANDSNLEKTPETSGLDNKSTASGLDNYSNVETISSVSQPKNERQSESFQAAFRAVEKHLKLFSGTEDSQSLKPSKISNGISENIIKNGNNSQPVCPEIEERPADPCNPIHGKSATNNDDKFKKHQNAFNQFNSIKITTSTKESVVQNDHVSEDQNNDNNQFVDCENRSDSSVTDSQQLLSHPRTLFPRPQWSPNLSYYMWSSVQNNKTSCTRSYDIMNRSISNTDGINTYRLMPAGQISQTTRHFPRLSTAEIPCERPKSAPVKNCRKKINLDCKTYSVHYPGLLANGNMNIC
DGERDRARDRVSKRERERERERERKKERERNHTSCSSSSSSSSSPSSLSSSSSSSSSSSRANKSYTGTSTSIAMRCVT
MVSTQQGSSAPAYGIRSNSGFSILGDSISTLAGATPPGWRTHYEGEVHVDGVTEPAHTWWGQVIDHFGGHLVANAAFSGSVVEGFGFPAGDSPERAAALAGADGELPDVVLVFMGINDYGWGGGRNQVMGESLSASARPEGLPGPREVAMAVGPEALDRFGRAYASMLSRVRALAPQADIWCLTLSPATSPSPGESCYKYSIRGIELAAYNRAIKHAAASAGAHIADIAAFGVAYDAVDACHPSALGMRQIADMVIAQMEGLPADRALISSLADAPAARRICFERDCAGCPLGDADPRRWTIACAGPRG
MGTTRKFSIVGDSISTLRGHNPEGWRVYYEGAEADAAGVHAPEDTWWDAVIRHFDGVLVANASYSGSMAEGAGFPAGCSPERAAAAAPDDEAPDDVVVLLGINDYGWGSAAAQALGASAAAPADQAADLGFSTYGRPARPCPDDAAQAVRREGLVRGLQAQAAASPEARLSRFAAAYDAMVARLRAVCPAARIWCCTLVPGRVAGQASSTHAYALRGIPFDAYNDAIRAAAARHGARTADIRAFGQDYEATDGTHPTKRGMTQLAAMVVSAMEGRPYAGDPSLTSQNGCPLGRGTCEGCRCADVEPTRWSCVCTALMPAAHA
MERNNRLVFSRSNAGMKRKFDEAITILEYSVMLVELFEMEEFNNVIAGQLKLLLGETKHTRIKREKVTIDQSLIKKINPHPKLYPLQGCIEIGKTGAAELPEELFDYSKQRIDLVSWRNQVIFKTSMEGKPLEMTIVDFIKEAADKIGGAQADSRLPYKSMIAQEHIKILLMGLSKGLFKSIGRDYKQHLSMNLAHIAKKIEQVQASE
MEFQLFLRPVSAKDMFCEGHVQHVFVGKFKKELPSISWKCSFCQASYMSLSLCPSNGDNTADAVTDAPLIQGPAKWLAESYPVWGGNFFNSGGGILVHTFIAVHHLLIFQNDEVPRFVLGVLFVEC
MSKAKMLLFKRSQVCKLLDIRVGQFEYWFPLFTPSDFDRRALTVQQTFAFSVVVHLLNVVKVNPANLPAQAVRDSLVRMVELSPSAGCYNFMWALKYDSKTVHIFPADDPSIHHSVQTSSVTLLVNLDPLWQRFREHFSPIY
MIRVGMGDETIHVPVFRLPYVGGRDGFAAAGGFFVTGDGEYGVVVGGEVPIEQVAEVAAAELRKNLPRLTALVRDQFAKLTNEQRSHMS
WKWDTISMDFIFGLPMLRYHHDAIMVTVDKLKKVAHFSPVKTTYTASAVAR
MQRSDSSVLNWDESKQFNCTPMVDAIRELRDVYKEGTERTVNEIKARLEQESAQKRQEAEKAMSLLELCRQSLQQLDEQHKCIGRNLKAPVEQGRALTVQIRQEVERCTHAELAMRQLIIELRNKNQ
MPKESRFHSPPPSCAFSDIQSTCYCWIVPSLHTQFNDPESGRANVIRPESYTDKITGVTHIYALQIVGGIEFTNAHVNLNIRDVRVLNFGDSSFTGAVPIQHRRSSIPMQTTSHLGSHGYAKVREGIATLDHLHFSDCANVPSVGSFGQVDLDIDRRGPLLAFFPPALQENHPELSSALYNAEEHTSNIVLTPEPTWSVTIRHRTEQCS
MHHGDWAPINIAAAFRDAKERKRVTDMMESVTLETMASDAGAFFDYIHCLPRGNRGAVRRIRLLNGVGEFQW
MKKLIHNERGVTLIEVLGAMVVLSIVMVLIANVQIFGQKQFVNQTEQVSHEADVRLAMNILTKEIRSATSISVTNNTIQTDTGKIELKGSTLQKGSKTIGENIASFSAVQKDGNITLTIKSKANGNQKASSLSTTLYIRK
MMSILKNDRGLSLIELLVSVSLLSMILLIVTSIQLFGQNQFMNQTEHVDSQADVRYAMNDIQRKIRSADGSQITTKDDAIYLNNEEWIYFEAGSSTIWETVDEDKNKLVDNIKMFTAHPDEDHIEKTKITIESFSPTNGQSTTISSSIYIRN
MSVIVASAATVAGVGCWALRDEPRLTPLTAGFRMCAPANADGEVVYGWDVFRNDSAEPIRVAEFVPAEPSGVTVVGGYFLIDDEEGPRGAIDDPRLVPHGWAFEVVPPGSKATLVMGLQLTDPDAGGKINGGDLVGEGPRGVTVGQSSTQYLMVPAGDVCQP
MNNKVPVKNGLNDKSAYIPPPASAVEVEKKSPVIDGEILQHISVDSQNIHPGQLHSSYADMVRIRTRQKSSKQVKEGHNNPITDNIIEAEKPYIDNNGYIEVKGKHIYRKSRRHNQIKTSGSRYFRSAFESSKNDSVNSHDEEENIRTSLPSTSIPTTQGTQPVDMNYRKFTEGILSELPIEEQMRILRCAEKVYSLRKSHTRKKDSAGLTGPPSAGETSNTEITGFSQQYTRSQKGKGKEKSPVQIFRVTGQLEREISDLVIAE
MRMATSLKVKNALAVLAVTLKSSSRSRKRLTWMVRPFSLRRKMRNAARAWRDKSVESVWASRFRLPQRNACHKRTNPRSRKRSKRTLVEPSKRLLAEAAAKTAVMSRLPPTALHLTKSLARSRPPSLRPRPRLRPRPRRRPRPSPT
WWLGLVSLSLLVPETRTMNVRMVPYFCPLTGPRTTEEDLGKVWNVIYEEYGAEEYHEVIWMEKSSDKDDWYSFSQNAELEYSMSLIKLTENPYLLAALIISCVLGLAGGLGGGVGAYFGLTYMAAKYYEAMEHSRRYKMCKGTVESRNKEE
MFGPGGMSRLMGQDSMKPTSVRQTLRRMGGYFKPFWLPLLVVGILLIASTWTQVKVPELIGATVDCYLAPVNLATTGDTPAEALSSLAPSASQTRESRCTLESDPSKLTPSQRLAGLGRMVLLILGLYVFTSVATGFQFYLMTWSGQHVLRNLRVQLFGHMHDLSMSYYTEHEAGDLMSRITNDTEAIGQAINFALLQVVSGILLLLWIAYNMLKLSVP
LRLRERRQVVGQGPRRRGRGRAPGGDGGPAGAGGARGRGRGRGPRPAAGGLGARALCHGAGGPRRRSQEGRRGRHHEDLRAPGGPHRGRAQRRLPCRLCRRQQGQPGGTLSPRRLPRGRPCGREVRRR
LIGSDPSYSETVAFSIDQSDGVTAVSESLRRDTYEALPIKSDIRVIPNFLECDEHKRRGLLDLRMRLCPPSKYDKLIIHLSNFRPVKRVETVVEIFQRVRADVRAKLIFVGEGPELGRAMRMVHERGLACDVEALGEQDQVVPLLSVSDLFLLPSAQESFGLAALEAMACEVPVVASRVGGLPEVVEDGVSGFLRDPDDVEGMAESAIALLVDPALHDAFARAGLDRVRRHFCAGRVVPQYEAYYQEVIQHSK
MVILQTETLRGWGGQQNRLLIESIEFNKRGHRAVIACRTGSILSQKAREAGVKVYELNMVKKAHLSNIPKLINIIKKEQIELVATHSSVDSWAGGLAAKLTGRRLIRIRQNLYPVGRDPLTKFIYSIPDRIVVCSGAVRDVLAGCGVKEGKMELIPDTVNVERFHPGVGDLREELNIPKDVLIIGNTSTFTEVKGQIYLLEAFNTIIKKVPCILMFAGDLKEPFRSRHLSYVHEEFRDKVVFLGHRDDIPKVLKTIDLFVFPSYLEGLGHSLLEAMAMERPVAVSDIPTFREFIQDAENGIFFRVKDPGDLAEKVVSLLNDTEQRARIGKNARATIRQRFTTGIMMDLMESLYREIIHAP
MGHPPLLAPHPLLHALSGLTPSKRDRLADAFAARAFDLGLAYLGVDGSRPIPVAFPPVIEPRAATIGRASRVRAVTAALAAVAERILGGALGSARAESLLSELAPVEREIVMSRHGVTPRLATVRADLFLDGQGRDRLLELNATIPAMQGYSDIAAQAFAESVAELAGASIDSRAFLAANGSNALDLLRSLLACYRAEGGADEKPSIALVHRPSDSQLSELRYMAARFREEGHDARTIEVGEIALGADGRAVVSGPADSAPFRPAILYRHVFATRVDPSSAFGSILRRPDGHFLFNPVDPHLEQKSMLAELSAASRDPDAARVLGLAPDAAALVNEMVPWTRRLQAGASTDADGRPLRELVDHVRSSPASMVIKRSWDFGGKGVFLGAEYGDEGSRFRSRARFGRELDWAALVDACVDEGGWVVQERVTLAARPLWLRTGDGVVSKDAYVDLSAYTNLGVEPAPTGGVCRASLSPIVNIQSGGGVVPFLSAEAADLLAIG
MKAFVQEMVGNFETRLKPYGINVAELTGDRQLTKQQIAETQNIVTTPEKWNVIIRKPTDRS
MAIRSKVSASGFWRFAILAGCAWIIDVGLTVLLVRLGVAVFVASWVGAGTAVLFVYFTSRAFVFGVTKFGTVKQLVIYAVWQLFAITMASVLVTLIAGATKGLFAEWFDLRFLAPNEIAAAIGKVVVPPLVVVANFLFIRWLVSWGRTGPT
MGLYITLRDADGAKVRDIPDPFGGTFDASGDFDDLLGQGASSILDAIGPNGVTTLASSEMSALGPEVDALLATIPESRRGQGRAGTAWRGLTRFRAMIGLCGTDSRFVIELVGD
MKFTGNFLLPLCISDLTARLRRSKFGFVLKKIMLNFSHKINRLSEVSYSAGTIYGEYKGFKQRKGSDLKKKRRR
MFCISMLDLILGILLSVSKETGNCNLPYCRLSSGPVTSAWLSLALTSLHFWKKSATTLRGHSSSPGAGKNPSMAKKYLLILLYGL
CAMNIDGVNTLACLCRIPTDTAKESRIYPLPHMYVVKDLVPDMTLFYKQYRSVKPYLQRTTPSPDGREYRQTKEDRRKLDGLYECILCACCSTSCPSYWWNQEEYLGPAVLLQSYRWIADSRDEKKAERQDALNNSMSLYRCYTILNCSRTC
MKRFDIYRANPNDPADRPKYVSYYIDMNKCGPMFLDALIKIKDEIDPTLSFRRSCREGICGSCAMNIDGRHHLACICPINKENTEKSQISPLMFMFVLKDLVVDMSHFYAQYKSIEPYLKRKTPKTEGQREYYQSEEDRKLLDGLYECVLCACCQSTCPSYWWHPQDYLGPAVLMQAYRWVIDSRDEYTDERLEKLGGDMKLGECYQIGMCSLTCPKGLDPRRALEHLKHLYVEYKHRKEAELTTI
MVHAYAAIVEAASDIAADPATPLAFKRALGQAERAATPAAETLQISVTSYSRASCLGRIRRRVRDCGDPRRLAPGGFA
MAIFADKRDGKVTGRWRVELQLAGKRLRKRFDTLEQARAYEAKTRTDMANGVMPEEAKDRVEKGPKVLTLDELLRATQGHLWAGTSSERLSHQRLEGAVRILGPSRLIESITHGDTDRLVKVLRDRDSAPGTINRYLSALNVALKWALKRGHRISPLPDLEWADEDEGRIRWITPEEEATLVSFLPRAGHLLVFISIRTGMRRGELLGLQPRDVQQGWAHLWGTGTKSGKGRSIPIREEVSAALKELFGLGMPTTESLRYWWATARKCMGLEEDDDFVFHACRHTFATRAVQSNVHPRVLQRLMGHKHLSTTMRYMQVADSMLSDAIDQMNHNGPIDTHSIAEAPVGALQGGVIPINPPLSRPGNFVKTLRKQRLRSDLGSCGETRGGSSPSARTKPKSEDISNT
MLLHPSDEQELNEFAKSIDKIPKIVFSQTLKKTNWETAEIATKPLVEVINELMKEEGKDILIGSRSLIVQLMNLNLIDELQLRIQPVIAGPGISLFEDMENRTVFQLKRAKVFNNGAIILYYSPTK
MASLKDEDYTVAWICALPLEATAARVMLDRTHSSPSRPNDSNAYDFGELNGHYIVIAYLPNGVYGAVSAAAVAARMHVTFPRLQFALMVGIGGGVPRKSHDIRLGDVVVGKP
MLSDEGPRVPLSVISEINISLAQAIGGSPFPNTVAKVTALHIDPVSSSSHLILLAALHVDLQTRKVSTTQFGEESHGHLTVVKPCFSEKAGEASFVIAGDELGGVSIYNWNAPRPSLGDVARDIPAVPALRNFEGHEDGAVTQIAWNSVTLVTGSARGTISPQAGVSQRCEGKFSWFKKTAVSELVPDDLVPRTTMASLKIWESALEMSVETQDVTPADPKDDQHMRAHNILERNREREMQGVGRRKKDAA
MNLKNEPRVIKTNLVKKIYADGMTAEYDVYEIPLDLLFYNEFNDRVITYISNYSKEELQKMRLENKEKYNDLFEEVITNTNIPAMKKTKNNIDIVGQLEVGVVLKDGRIIDGNRRYTALRQLSKEGRAKRGFQAIILDFDYETNFKLIKQLELEIQIGKDEKLPYKAIDVLISIYELVCEREFSISEVAKWTGLSEKQINENIENAKLINELLFFINAKKQYHIARENDWLFPIVEINSLLKKAQSLNISNQELTMLKYSLFASLVVTSNGDKTRIFRNSYKKIIQTPEMICSFIQKSDDIVKKINEKANIHSDETNTLDVKEFFKSIILDNELNDKVTHVRNAMIEKFEILNSSNKMIENIEQVQRKIENLDSEMFRVLSVDEQQKMKSLITNVIEKLFDLKEKI
MDKETVTLIGTIFRWLVGAIGLLIVVLFLVKGISKKEKSHVRKAGVVFASVWILLGLLALVEFLLLLSLFP
MQLILLLNIKRLITRIFILEFINIIISPIMDSPTEDSIPPIGPTRRVWRWIWNRFPNGRWQRIQRRVNTSILTAPIMDSPTEDSIPPIGPTRLVWRWIWNRLPNGRWQRVQRRVNSLVVQNQLIPQPPVSTALMAATSSLLPQEPSRTQPTLEPIPATPLALLEPGPAPRLKPSLKLQSDFFTVISSGSVTQLRKLRDRNGSEIRALLGQSRNELGETPLLFAIRHRRLSLVMFLIDHFHCDPHRTGRFMWNNIEYLEAPPLFAAILCNSGNSLILNYFLNLQSDCDETAGLSEIISSSSRKEEKILMLELLGAAILHHYLLCRNDSLETRHLGLLYWKKALQFRNATDDSGRQTSKVPYQLSEIGRRALGFSLEFTTLEQLEQLETQEDIQLFTQVTIVIERIMSQISPGPHLFIASNFNAYANKYYENNCYGRVVEILMFMLELFKQQLSDNWIANYFVNNTIEMMIDGLLRLERLPPTNQNGVEQFSFENLMKAFNFAFSIQCRPDPRMPIAAITRLEAF
MDTSRPRACPVPARKTTTPHHPERHDHEQHNNGTSERDMTPQRLPHGDGQAVRTPDGTYMHIAPNTDADTLATALATRAESRRPHHPTITTHGHAPQAPSLDGCGVLTLL
MDFKLSCNELRTTICSCALFRKRSLFRMSSFRAACDKLLHNSKPAKKTDLLTEREYQQIASTSHDEFLAENYSCSQLNKLCTAEKEHLILHYNHLEKRVERNQRKIRKIYSTKPAIKKKDPVQTDVSAPIRPIVDEQERDIIATTEEVVTITEPEVNVETPVPEPLELEHDTENTALANIELSQVMPPKEFLKTEPSPPILMAMDPSAVDLDFGPVGDAGSFLGTSEPMPISDPSVKEQVLKEFPSLRRITVPKRFEDFEIDDAVQYRLPVPLAGRLTTSTPIPSVRTSMLRQRVPIETSQLEATDVSSIPTGTPLTVPLPVPSQRSQAISQDRTNEVHHREENEGTAPENLQIPVQQMEVDTEIPPVVTEPERPEPASNLPALSSDSSTNMPPPPNKRVPKSCRKVRMFGDTIEDKIPLRKNYDFGIPDDFEKLDAGRKIILDLLARRRVPDIRDRPVENVREPVLRDVSETVRPELASIQEPSVTQNNVVSSLEQPSLEDRDRLQVIDRTVPSMVEEITVHPKEPVVPADFVPPEVGLVNTAVDPGLGLDSQSIFINSTQQDRLQTSDSILPQAVCSSKESQVPQLPIPTENVQDNLPENSTITKDARQNQLRLCLKNKEKMVPMLKLYFAIQHEISCTRRNSVLFQRILLLVGAAGSKLASCRVFRNLLELNGWGLLEIDRDDRAQIRCVSLRGSPSGATRRGQF
MPDYQALYFSMFHACEQAISLLIAAQQQCEELYLAETNEPLPFSLLIPDDAETKSRPDP
MTRPSSSAMPAAWIITRRPPLCSIASRVTMVEICDARAAAEAPTMSVASGSLKGESPRRCDSSGSPSPGSPVTSAQDSRRSASKSAEMLSSRRSSTSTPRGFRRSFLVMLATVPSTQGLRRTWGALPLPRLRALTLRGGSEAGFEDARMHRPTPRKCTPTHSQTSICSLLRVLSARDADLRTSVTAAAASPDAATIAFSVGDGVALSTALAQHVARERGIRMLVIKGPIAADLGLRTRRYSTDVDIIVEPDRSKDLIEALKAYGWNPRPWPDFPKLLEQHSRTLIHESWPNDIDVHHYWPGFLGSPQEAFERLWLHRIQVTVAGHPIDTPDRASSALVLALHSLREEGQVAADSRQMTEYCELVSTLKKMDDAETEFVSDARSTASLTEQILQDAVGTDSTQTARRFLTDLGHDVPFVPNSNASEALRLWRLNAKAEHAMTGWMLKLQRAPLLEKPGIAARAFFPSRADLEAIDPELRNGTISIVRAWWKRASRGLHGLVQAKRDLKAFDER
MRLFLIIIAACGALGGQAHATQCSGEHIEWARASAKGQILVRASFGTVLSTDHGKTWKPVKGIGAVVDEPYAAGDGFVAQTDSASYGSSDFGLTWTFISNDRRAPAAGQEVVHDAGGGVLYGTQGDRENAYLIRSDDGGSRWRPHRPLYPRGMESSYEKLLLGQKHTLYVLGGGTNDVHNRKISTLYRVTGGKAEKLLAVVDNTSWPLRTPPLNVAADGAMIYVNADNVWISLANGKGWRHIPGSFMTSKPWLECHGPVPGSFG
IDIRVEQGCELERPSLLLLRAKSSSGEIEVNVGGRVVMIARGKFV
MYNQSHVCFYNRKSSQIKRTVEEGKKQYANLKQRAKEKNDAIRRPKTGGGPKPASPTMPEAAILETMGGRATLSGLAGGIDTEDFDVIPCVSEEEPSVSMDFTDTNEHVLQSAINFLVMEDGILQIPTITAGTPKSTTVTTTTTATPIATTSTATATTTTATANATNNSNLPPKKKLKLQQQEILTLQAEESKFKCEIVKLKEEAEFFRAKVALIKLEREKLKLEMEILRKQNRISEE
MKKYRLLVLTDHRGHSTENSIYPLLLEMRKHKRCAGIDVASRGLENNRLFFENRAVKNLFAAPVSQTFAWDEAGSAFKKNLERVSPRTYDAVLLRLPHPVAEEFWDFLETEFPSVYFINNPAGMLKTGNKLFMLNFPELCPPMKACHSIEDIDEMRKQFPVVLKPVMSYGGKGIVRIKGDEVVFSEGEKMSFGQFAEANHQHPEPYLAVKFLRNVTEGDKRIVVCCGEVLGAVLRYPPADSWICNVAQGGSSAESAPDENELAIVARIDPAMRENGVIFYGIDTLCDDEGRRVLSEINALSIGGLHAMRTPAGVPATKRAAELLWERIHEEHKAEK
MISSLIKPIYCVLLFIILTSLIHCANATPITPTPSSNITLSSTPEVNNDATPSTKIPITESATDPEYSKTGARWLFGISFTMMQLNAIGSIYILYRTFRKWRANNYTRNSLSMALRVPFYIAISDLCLYVAHIFNQGYTVLNGRTWPGLSCKIVGGTVFFFVAVNMILVGVIALSTYLRVCRRIIFDFGPFDRNLFAIVLGFPLILTLGSIPSFGPSIYWCYTNKTNHIVSIITLILNFAVIALNIFCYYFTLREINITGKGFVNVRMNKEKIGNSNGNNNKSKINAATIERKVTQKIAGYILIFTIQWTPAMPYVIASVFTSPSVGLYLLCDIAINLGGIGNAIQYVLNEGWSLQIDDVVSKTNDNSSFATSPLPSARSPHRFTFNHGQDTTITSFAAIQDD
MVKSFKLALAMLAIGAAAAQAEERAQFYIPDKPAKLVYLFTCTGETVEAVNTNWAKAQEIFAQNHQKLAAELETETRAQRETGKAPSQEAIDAHNKRFSAHKSAVAADLAPLGCRMDGLVHMP
MQFIKQLGKQLGFLVLVGSGMFSALSASAQTSVTINANTEGNIPPNIASRPTRAFEFKKFPVGFFHCPVRGTPEAILNGDTFSIVFSKFERIAPPTKVVSESCNLRFNLNVPVGFKVQPINLLYNGFADVPNGGSADVAVRLVLQGKVTAISKQSFASGFSDTFSKDVAVVSDTINACTKPVNIVVGINSSMIARANNLPATSAGLKTQISIDTIDTSIGTVLFKIKFAFLPCT
MINYRFALKKGRKTIPVTVFENDAYALAGEFLLAERGLLSRFSELLHGEEDGALSGNVFTLTKQGDACILLNDMTEHTLEMPTADLRELTDSYRKEVKRLRTESRK
MKFIVFIPILIVILGCNKRTPNETKIIDTDKFEIEVPADWKYKKAQGEDSFVGRIIGNGVDLSFDWSEMGYANPLIASEKEFVYERDWEWMPSHLPYGKEGVIYTSGNVQGERERIMKEKGINDTLLVRVEPFQIPEKEIIFQDKQYKVILTYKDTVVQIGIQIPEDVKNHQVQVDTTGIYKRKLIRPREGKIGITGVYFEDLNSTFNFNLAGEVVGLENQEKAINSFKTIKIKRNKD
MQVASFHAHILQTCIHSLRELDQDANPERVESEGHNKRHLTALIYGYITLMVCCGDLPLAKVETVAENPFLQTFLHAMPPSFFDVLLAPGAALRARLLEFLKNHFQILPKYDEMLSGQNGGHIFV
MCVWNLLLHAEAIYRILFLSTCPNLVVMGPTYEGYNFATLQSNDFLQQQFLNPKLVGVDYMNPQNIEG
MITCSIKATPLFDWPLPLAPAAPPDLPGFAVLAAGDVPEDVEAFEDFASLDALVEAVVAAVDAGFGDVAAAASARRCLSRSPLPVPEEEVSKALV
MGGKGQLHYPIVGAALLILAAALRGAGTLAVKDAVDMCGFAAAVGLRFALAALFLAGVGSRHLVRGLSPTLVLHGVALGVISAGVFLLNHAGLAFVSPSQSSFLTSTYCVAVPFVAWAFARVRPRASLMAAAVVAVAGIWLLFEGAEGPGFTWGATLSLLGAGLFAVQVVATGAMVDARNAIALSAVQMATVGIIGLGIALLQDGAGVMKAVGTLDVWGLMGFVAVFSTLVPLLCQNVGLRFVPPSLASILLSTEAVFCVITSAILGTESLQGMSYGGFACIFASVVIASMGSARGMGDASAPSGEEAL
MGRNRALMGQNRALVGQNRALVGQNWALVGQNWALVGQNGVTESLMGQNRALVGQNRALVGQNRALVGQNRALVGQNWALMGQNGVTDSLVGQNRHLWGRMGSLSHLWGGTGTYGAELGTYGAELGTYGGRMGSLSHLWGRTGHLWGRTGHLWGRMGSLIHLWGRTGHLWGRMGSLIHLWGRTGHLWGRMGSLSHLWGRNWALMGQNWALMGQNGVTESLVGQNWVLWALMGQNWALVGQSWVLWALVGQNRALVGRTPVTYGSGFGPLGHLWVRIWPPGSLMGQDLDPGSLMGQNPSHLWVRIWPPGSLMGQDLVPWVTYGSGFGPLGHLWGRIWTPGSLMGQDLAPWVTYGSGFGPLGHLWVRIWPPGSLMGQDLAPWVTYGAGFGPLGHLWGRIWPPGSLMGQDLAPWVTYGSGFGHLGHLWGRTPVTYGSGFGPLGHLWVRIWPPGSLMGQDLAPWVTYGSGFGPLGHLWVRIWPPGSLMGQNPSHLWVRIWPPGSLMGQDLVPWVTYGFRGSRHAVAQPTDPGLFRPEDPESLFGDLREIGHGSFGAVYCVECRPIAPHSAP
MDPYGVSMGFYGVSMSLFGSLLVPIGPYESLWVPMGLYGSLWVSMGPYGSLWVSMCPYVSLWVSMGLYGSLWVPMGLYGSLWVSMGPYGSLWVPMGPYGSLWVPMGSLWVPMGLYGSLWVSMGPYGSLWVSVGPYGSLWVPMGLYGSLWVSMGPYGSLWVPMGPYGSLWVSMGPYGSLWVSMGPYVSLWVSMGPNGSLWVPMGFYGSLWVPMGPYGSLWVPMGPYGSLWVPMGLYGSLWVSMGPYGSLWVPMGLYVFLCVYMESLSGFYGSQWFSIGFLWVPMVLYGSLWVPMVLYGFLWVSMGLYVPPLPPSPLPLPSAPSVPPAVPAPRSVPSRCSMSGHRGGVAVFGLCGDTTGAGSAGRHRDEPGGGDTRCNTGTSLPTLQVLGSLQEQLDTGPDTGPDTGPDTGPDTGPDTGPDTGPDTGPDTGPDTGPDTGPDTGPDTGPDTGPDTGPDTGPVGSARRHLRCCGAGPGRAGLSSSPGRSADSAHRRLSLDAAPPSLFAIGWL
ATEPPQIPPIRRVPSSDDPESLRKPIPAAAEPPPAAIAEERRPSILSKLKHRRPSADGEVPPDLWGLPGYLTDEEVAAYMELYEIIKSRPPAFRKAMFSFGEEEDEPYCLCRWLRARKFVVEDVVKMVTEATQVLEAPSRDDFYPDGGAALGVPVDLYMTQYPQLYAGNARNGCPVFYSKPGLLDVDAIECMTTLDRLGEVHLHVYMHDFRGRPGVPENK
MKKIFKIVSLILILIIFSSFLITDLYLSTNPSNGLIRYAYSDENQDNQQDEVPPPDVPPSAPPGSDDKQSPKPKSSPSPGTSPSPSPSAPPSETSSCFQLSFYLDGVKVSPDEIRAEEVYLIGAWGEEVDMARFKINNSDWVTTPSDNYKSDTAEYYIFHSFDEESDNVVIAQIHAYGQWWDGSNCEAEFYVPSKDQGLLCSNLSRSPSDNLILGDEVVFTCSYEAKDVDFHHYDYRINISHQSWEYPDNWQNIAGSTPAYRIEKTGDYQIECRTCSSENESNCTHWGQAGGWNP
MGKNRRKPENIRPITLLFHVTKTFERALLTKRRLFLTPRQEQYGFCHDIPMPRGYLEDLEDNVMLALYADDSAYFTSSRRADLAAKRIQRAFDLLSYSLYS
MNHPLFAAIVFSVAVALFTSWAQRPTVADTTHNCSRFHLKYDSKTGKRKCVNVRPTTRNSVPGQSRAESPSKGRSIELRTEQTQRITEQQQQSRELSTKQKDITRDQLDRQRSYMRLLESKQRGAL
MQPGSVVFFFLQTAVSPPSXXXXXXXXXXXXXXXXXXXXXXXXXXXQSERTRKREAYFIEFAAKVRNITTIPLIVTGGFRTRQGMNDAIHSNACDFVGIGRPACIQFNLPEILLDKSIADENARALQYNIHGSHIFNLIPITGVGFGFASIWHNWQMHRVAMDNQEPDPTLTVHGKMISVLYKLLKKSIPSIVLVFGIIFISIFIKRFYSNSVENIIVN
MKLKYFFLSLLIAAFAMQASAQSAAQREKLLELSAQFKIKSQTEKAEAVRVATEKGWAIRT
MSALKGFQKSYLRGIAHNRKPIVQIGKEGLVSGVIQSVNDGLLRHELIKVRFISFEDKEHKEGLAAELAAKTDSMRIGMIGHTLILYKQHPDPKKRRIHVPLSACEEA
MTGMQRSYLRSLANSIPAIFQIGKNGLEPNSIRQFDEALEARELVKASVMRNSPLTAREAAERLAVELGADVIQVLGNLLYCTGNQKRTRLYNFSKKPSRYKALAAVRFHWKSGHARTCFQADIPCILVSCRNAPSRA
MSEIEQSKDQIIQDLNETEQKILNGAFDSLINGDIDRTEYFEVVSLARKAKEENSEVEISDNIFQYLYDNKEKFGIDVSVNGTATAGKVLVMS
MDKTIDRPRSSVSTSAMGCDRISPNALVPDHAHERVYMLFQRCYSSWALIISPSTLLSFLLLSYVCFRCMSLTRLHRYPVGLMFSGAIPPHSKGTYYIWVRSSSETDLHLAPIILHWGMRGIHIY
MGAAFNGHLDAVRVLVDAKADVAHKNQAGLTALAAAEHRGHQDVVDFLAKPKGKGKSK
MQPDKRLIICDRCKKTVPISSIRYVPVGKDTMIRVCSNCRQKGGDADKAAPAVSSKPAKPRVSYYCSRCKFKFKYDPESNATLRCPYCAKADKIKKDEGVSAEKILKEADE
MATSRPLPKGFFASEPFRISSARAAGIGPGRLRGRDPTAPFHGVRVGERGDDGFWERCQALQCVLSPNQVFSHVTAARIHGMPLPPRALSDEWFDVLTFGPGRMRRPRVRGRQTLESADVDARFGIHVVSPLHTWSQLAELGARGCCTPTSAIHASGCCSSTRATSTARHAGGGSGI
MNEERKDLNLKKRLGICADAGEAEGRRRRRRRRRRRRERGSYSKFQSPIMPLNITPISQITPVTDLCKIKVKNVCLWGFPQKDKPEEFTGMNFFLVDDKIPNLEVCFNFCPFPEILARRNDDTIFIAEDLSHQSFSSRRISALTGSLLSPLKFYRRRYLKKVSEFYVIFTDALVAESKHHVDDSTISETKEHEVVGGGTKG
MSRTTRWIAFSLVFGLLPLALLEFGLERSWQRQEAGAAAQAGRRAQKALERLLQLTGDQAFFRALLQRTARRLAAAHTPVQAARHLDDQRRRHPGLFDFYVTDAAGNLLPRLSSPVPSRFVIKRLVEELSNWGEVTLPPGQRKALETFLGEAARVERVKNDARAGRLSEVGIGGRRTWFFARRAGPYWFLAHLHRGAVGPDFPVRTALAALNRRQGEGVFGTHRVGEPARTPGCSPAEGRLVERALARFETSTREVFLGEGTVVAVLMAGPADRLWLLLRRAADRLPTRWVARGRVATGVLFLLFAVTAFPFMVPARPRWLSIRLKMVGLVGIALFLPLVALVITGLDHLAAIGESLERQALADLENSVRGFDRKFPLLERSYVRLLRPLLAGALRAGDLDMTRLEADLERLRVRLPLRRPTVIRHDGALLSIRDRDKILYQLGLRVLERYNASRGFADEAGLAGKLKLDALFASDEDLNKTIQGLTQRVGQFTHLHMGNTNRFLYLEMLHDSRGAATHLFFFNWQPKELVREYCRRTILAHQRDLNRTRLFAVREEGGAWDVPPGASRLPWMRGFIERLHLRNSMITDRIFWNGEWFLAVGLPSSVLDEYAFVQVQSREEIVRTVARVRGNLVVLAALSLFLALVAGSALGQQFLAPIRALMRGVAAIEAREFKHRVALTQPDELGQLSAAFDGMLEGLDELSVARTIQENLLPAAPLQAGPWRVTGRSQAATELGGDYFDFFELPDGRLVVLLGDVAGHGAPASLLMAMAKAAVALETGRDPSPARVMEALNGLLHRHARRRLTTFFFSLIDPRDGTIRYLNAGHCPPLLVQASGERRELGHGALPLGARRTLAHTEEQAVIGPGDRLFLFTDGLAEFHDGAGQPFGYQRLHDLLAARPWDDPAPVLAALAAHRASLAQEDDMTLLIVVRETEGPARG
MNPIAEISIDLTAQELTDPSSVGPLAPNEIEVDDICEFDPLLKPLPAANNDGAVLSPADPIPDLALDDDTIEVEIELSVEQMDAMLSGLPVR
MVAKAIRLLRQQRGWTQHDVAQRLEISIPSLSKIEAGITDLNLSRLNQIAKLFNLTTVELLSYSGSEEKSHSPSEMASAIFMY
MSSTVSPSDIDAHATDILSEYFRSNSKKQQKLHRDAYRRATGDLPPKPNVPQGTIGLSTAQLNLMTQMGQGIGGFASSPGTMRGWPPSQQTTQQSRNGRDWCHRGKSPGPLELLRHGGPTEAWQALFGTELRRVPKGLLTPQQQSVLHAMWTAKP
DGLVRVFSADPSRYASPEILAKFEEEVANINTVAEKEIGGVKIRIEKVEFIGSGPAFAWREIGKTFRHNPTPVHPTEIRTSISPFLAFELITTSALPGKEALAKPGRSDGQTKLVKEGGAAVCYSWSAAGQEWTKVGDVLGATSGEKQLYSGKVHAQV
MLLKQLFTTGKLKTTAPTVPGLPDPGDCTEPRKAAVFQAANDAVETIVTSTTESPLSTPRKRKQGEHNHLDEEQRATIGRLAVEDGVAMATRHFMSNSGIKVSEVRINSKKHPRPISKSKKSIWGFTSRCSYKSPRGNTLMLASLDSEVQNWIRQIRLNGGVINLKIVMAGTDAFVTKFDGKILARFGGHIEITKHLARSIMRRMGFVKQKGTKPVKTLPSDFDDIKCEFVKKSIIL
MVTRRPYAGFRPHTPVRPVFRCRECGGAWPCQPVRLVLLAMYRDDRRRLAAFLAARLLAAIEDQPYSEPMELAERFLGWLPKTSKISDAKGGNSQMTADQRNYRE
TVGVEDRPGLTSLRAEGRGIAVEAEVRRPAHDALAVVVPWSTRHFQYTLKDLANPVTGSITIDGTTHDIGRGWAVLDRGRGRWRWANIWNWGAGSGVVDGSTRAIQVGGRWTDGTGSTENGLLVDGRMHKIGEDLTWDYDLSDAGARWHVRGERIDAVLTPFHRRRAITELGVLAVRTHQAFGEWNGTGILDDGREVSLDGLVGWAEESRNRW
MIERELTAAASLTLPNGRLNRDAVGWMRQPLLDTSGIDGRHVWGRNKRWEYWNVITPTHILALTVSSIDYAAVHEVWIFDRATEQVRHRSISAVGGKATLPGSLEGGPSRARAKGLSIDIDEVDGGTRLRAAIDGASFDVVADLPAGHERLGVVVPWNDRLFQYTVKDVARPASGRVAIDGTVFEVPAGESWAVLDHGRGRWPYDISWNWGAGSGVFDGRTIGIQVGARWTTGTGSTENSFYVDGTIHKISEELHWDYDLAEWRRPWRLTGGGLDATFTPFYNKQSATNLLVVASRTDQCFGRWSGTFTDAAGTRIPFADIVGFAEDVHNRW
MIAPLSCCLVRHGDAAGGPVDAERPLTPGGRRSVEAVARRLVERGVVVWEMWHSGLVRARETAELLAAALHPRAGVRAVDGLGPDADPQQARRRLASSTEPVLLVGHLPHLAQLAALLVGGEEGVVTFRPATVACLTRGVAVWTIEWVLDAPAEPSPR
MSMKLYCVRHGHAEQFTDQRERPLSAEGIEEINKEAAYLKRRGVHVVHIKHSKKLRARQSAAILASAVADEHALEECPLLGEDHSIAPLIDLIQEWHDDTMLVGHMPFMSRLVSALVLGDDSHDMVRFPPGTIVCLEQFENRWILNWVLRPDLVPDQGD
MLVTLMRHGIAESFARSDADRRLTDAGERIVDEVILGLKSCGWCPGAIVCSPLTRSRQTAAIALKHFPGTPLDVLPAVVEADEALLAELGARELIDPLVVGHEPGLSRLAGSLLGARGVLHFQPATVACLRVDSLPPRTPAELLFLAPPLFARLFQ
MKLYLVRHGEAYSNEERFERPLNPRGQNEVLQIANFLKNDHCMVDKIYHSEKLRAIETAEIIANTLDLTNKLQMLPSLDPDEDVYKLIGDIHEFTQNILMVGHLPNLALLASFMINGNITTPSLSFLTATTACFELDNSAWKLKWSIDPQSLRKSVK
MAVPGYYELYRRSTIGNSLVDALDTLISDGRIEASLAMRVLETFDKVVAETLKDNTQSKLTVKGNLDTYGFCDDVWTFIVKNCQVTVEDSHRDASQNGSGDSQSVISVDKLRIVACNSKKSE
MVDTLDSMQEQNLLDAPMAQKVLDTFDQVFLAKLETLANQKSVANIKGPLHLYRFCSDVWTFIFKNASIKVDGEYLHVNLVKIVAMDSTKINTPIEQVDGEGRKPDGDENDEEQIQRFLHSDGEYYKDMKGAIKQVSHILK
MLGESAEWLDLSGCASFDEFQGRLRRYDARYTDKVCVVGIGWAQDDLSSIAWYTT
MAIEITTCEFRMQSTPMMMPMVRKAWMVGALCMPLLAGCAQQMDESEALDLSDRQRARMIDDCREATLNDVFGALSEASAVAYMDKEYTFEDAVISRDGDEYLIVVQSNSQRVDGPWTLYCEHNGRSAVVETGHRPFP
MDKRFFYLLNKARHRVYKHADQRSEEALGISVTQVGALLLVAGDEGCLLKDLAHSLHLNSSALTGLAGRMENKGLLERRTCDRDGRASRLYLTDVGREKIESAKPLITELNNRMTEGFSDEEVTVILKFLNHLVNDF
MAQDNLEGQREELRHIQRQNEELERIVERNRQNLARLNEQERGLNENLQLLNQRLQVQQDHLNFVQNLPPWPALPMFPPLPRAPLNEREQVARDLEELLQMIEDLDYEISLEERSRALHRQGLLPAARPARNLDQLRQRVDQLAQPLAQMVPALNAMIHQLGQQIPGLRELNAAQRGQPPQPPAQNN
MNLFEKLDNPTVEGVCESFYYGSEFKYLTNLQGFTNKELRETFSEYHDWLKENPGRVLEVYRGNELTLEVKYQSGFKYRMVKTNGL
MWFRKANAALNKNADHQPRKNSLWKKIALTTCLGVGITFGSVYADNIESQIPTVYHVYVDGEHLGIVDDKKVVQEHVEEKIDTAEQKHKDLPLTVGEEVTYVPEKMFRPAFNNKQLVQSLEDELSIKVDGVKIEIAGKTVGFMASKDQADQALKKIKTQYISSEKLKAFEKMSTEQKEQPVDIGDSTIIDVSLSEKVSLSNEKISPKDILSVNDMVKLLKQGVLTDQIHTVKEGEVLGEIASLYNLSSKDIKGLNPDLKDNELLQIGQELNVTDYEPYLDVHVTEKKRVEKTLEYDTEIRESDELYKGEQEVKQEGQDGSKNMEYEINKTNGKVIRETVINEETTKEPVTKVVVKGTKVIPSRGTGNFKWPAVGGVITSKQGNRWGAYHKGIDIAGVSDRSILAADNGVVTEAGRDGGYGNKVVIDHNNGYKTIYAHLSSIKVSTGQTVKSGQSIGEMGTTGHSTGVHLHFEVYKNGSLENPLSHVSQ
MAEHSLRSRWNDLTLARKALVSCFTGLALVAALWFFLFKPQLDEIQAMQQDIRRLDKNIAKYRAQVKALPQLQEDVAKQRRELAFAQTLLPETNSDAENLLSSIEKLGNDVGIDFLLFTPGQEKKHDFYASRTVNVRFQGTFHNLMRFFDHLSTLDRLVTLESVQLRPVSSSRTDNVSLQADCQLCIYRMLSEEERRDKKSRS
TRENGWKFAVFTRDPLERYAASYGFACMPSHICWMGDYANHRACCGDLVPRGAPDAAVREGFERRALSDAAAGLPAEEAHWSPQVELLSNCGWDSFAPERLDFAGSVSVGDVPQQVRDMLRLVKATEADLGLVDRFFPPGGISGHQNSIADDYRSFYTKKETVAAVKSLYDADYALMPGIGRGFTEEVTATLEAKREPAS
MAAMEKLPDISLVLVTILKNLHHLKILPNVKFMVNIPQEEAMNIINYSRFMVLPLLGSEIPCGHVTLVAAIHLSKAFIITNSRGISDYVINDYNAVTCEAFKPAALTDSIQTLWDDPDRCEYWGKNGWQFAQTYCSEKPGVKYMQHLIWGENT
MKELSISKKKIAIILSILLIIVGGVYFFSPKNEKIQILESEPIKRGTVSKMLEATGIIKPEVGAIVKIGSRTTGVIEKMLVKVGDDVKKSQLIAVIDNRELESNVHDTRAQLRVAEAELNKIKTVFPLQIAEAQANLDAAKAEYDYSKLTSERTQTLVKKKLDAIDNLDSAKQKASTSKSLVTAREATLMRLKSEFEQELAKAEANVNKAKATLETAEIRLSYSTIYSPIDGVVSDVTTQEGETIVTGLSVANLITILDPSRLEMWIYIDETDVGQIQKGMTVEFRVDSVPQKKFSGLISQIYPEPEIKDNIIYYRALVTLTPEESRHLRPEMTTHAKVLIEQKKDVLSVPNNALKWVDGKQVLFIKEKNGKITELKPELGLVGTERSELLLDLPEGTEVATKLILPKSKKD
MQVQGQQKKKTEFEVVLTSAGASKINVIKEVRSITGLGLKEAKDLVEAAPKSVKEGASKDEAEEIKGKLEAAGATVELK
AVAPMAMAAMPMAGGAAAAEPVEEKTEFTVVLKDVGPKKIDVIKAIRQFTSLGLKEAKELAEAPGPKVLEGASKDAALDAKGKLEAAGAKVELL
MPSPQAQLRGRRRDNAFRNGDGIPILPTADYAATANQIRTAPLWALRTRNRLMHDGLTFTTQEAIARHAGQASSITAAYNALPDARKNQLLRFLDSL
MPDQLEIVIVPIDDHPTAQVLAIGALLALEWAAPYADITIGSDGLSVCEPSPQVAGGLLRLSSDRKERLGIAARSATHSGETKIHLVENDDGDWNLSTKLDPWTATGLFFAASTFTPATTAGAALQRILDVPKREDPRTIELLELSQDWALQQIDHMIQDVASRSPRRIANTLQSATAELEALTHTHELLRSRYQADIEIMNPDPDSDPNP
MLSVDTLNEEFNHMLEEEHLCPSEENTCQLHPDHEVHNKTKTKMSKSDNPSHKEKKTPSPMKKQSKNEREEEAEPSITDQLAAGVDKLTIKTSTPPSDRDQIRVKFLINVPVGVTPEMSERKESHCQTFLDLLWRTAFKEEDKSRYNDIAVVFGLNGKESGRGNTGDSEGDTDAECDTDTESDGDTESDGDTESDGDTESDGDTESDGDTEGGIDTEFEKPINSKIVFEKFKFTWEKLKVKKGSKNGSKEKTIPYRKIRETIKDHEHTRNLVKHFRKDNLECIIYFCFFDADTVDFNHVLSSYIDVIHEHKYPTVMSTGYVFPEGSENRVKSERDRQVRIKTAEHFPLGTYYPEPNFCVFLPLGEDTLPEKFDYPRGSYHNMESPNLITQVKKRCKAVFVDKTPVVTKDSKKGQYHTNRRTWATSAYAHKELELTYEYIHEIIEKNREKKLKQTPKDKTPKDKTPKDKTPKDKTPKDKTPKDKTPKDKTPKDKTPKDKTPKDKTPKDKTPKDKAPKDKAPKDKAPKDKTPKDKTPKDKTPKDKTPKDKTPKDKTPKDKTPKDKTPKDKIPKDKKPKDETPKEDKTPKDDEKPKDEKPKEDEIPKDDEKPKEDKTPKDDEKPKEEKPKEDETQKDEKPKEDKTPKDDEKPKEEKPKEETPKEDETQKDEKPKEDETPKDDEKPKEEKPKEDETQKDEKPKEDKTPKDDEKPKEEKPKEETPKEDETQKDEKPKEDETPKGKSGRGRNSAHIPLLMALVQYNKTKPQDIIKHFKSPGADMVFQAAKAVRHLMKEKQINDPTAVK
MKLSLAFIVALLVSLDAFATRNLVLNPSEVSYKYEGGHTLTIKTTQDGTKLALVEISIGREVVSVPQKELESVDRPVLNNVSVSGGAAGSDQIAVPRGVTIGYGAYQCYSGKCPKEIIFFFEDGKYLKSMKLTNKN
MSDDNPKFTVAPQSTAQPMDQISDQMPESCTDQPPTDQPLSQPSQMPTPALESASLLESLRSPFEQLAAEQRAQVAALEQQKQELLGAIAILERKKERLEQEMRTTYAGQSQEVAVRLQGFRDYLVGSLQELVACVEKLDLVPPPAPIAPEPPGPVFIPEPDVPNLAEQGFGDLRQRIEQLLERYRTLPDYYGPPWKLRRTFELSHSERVANWFFNQAGRGAMRTMGTRLQNILVASAAISILRTLYGEKVRVLVLATAPERLGEWRRGFQDCLGLTRDHFGPEKGVVLYEDPEPLVLKGDRLTKEGQMPLVILDEAEEAISVDLLRFPLLIAYGRDPERTKPSYPSPLRERETRDSREEYSERDRDRGRDRDRVWDW
MSSNNDDNAVFDRLVDGELGLAERQQLIASLDDREEGWRRCALAFLEAQSWGQEFKQLVHEAGKPSPATIVQPVRVHVTGRYLSLAAGLSLAAGLMLAFAGGWLLRSPSSPESPSDQLAGEIRQEAGPGDEVPVGELPTDDTVTLVVRDSSGKNQRLRVPLLPAADWDRQFGAADDALAARLRSSLQERGFDLQSRRRYAPMFFEQDRRLVPMVVPVEDTKIVPVSRPVY
MTKILLSGMAIATVLFVLGMSAQSFAMPMPDKTQNIVQSYALRKDATQQLMVTCDSPTTKGGKATCHLGLKPQEGI
MGLVAKAGSLVETLGELLEDLRPLLVEQVPALVGRTTELVDEATTLVRQGQGTLARADRVLERMDRVLALEEAQLARPGDGREGSAPRETP
MYECDKSLSCLIFLGFLAEDDSRCSCPKYNKELIELPDSCTCIQRPSDESNVGSITSNSSNHSAKSKTCVCTQNLCASQGTSSELENKSNEGSGIQSFEQQSQDSELLKTVPDELNPDFASNLSGCSCQSSASSEVSIHSKSPSTISPSKSTTICSCSTTSPFTISSHKSIYSSKSQKPGSKNQSENESRREYESVRGTGSEKLEKEEKTSEKRGEWDEDLVKEPINETSVISQGVDDQIKDPKEKDHRISRDFTDNKCPNNCGCPDCPFLTTYSRPLKFKPLKMDAQECEKQRQNISIYGSDDLTYESNRLKTKKDSPVIRKDLQTSEKNLPINDFSSNERNFSMRNRDFQVQGNLNIQENMTPSHGSHVPVQGRDVLVQGGGFPVQRKDFPIQQKDLPVSGNSRSVNEIEPRNFRNEPAVPLPRMNFSVSEKDLTCSKVLEQIRDVLCENKKETHDISNHPTCPCPRGGFYKPTHTCLPRCKCSCTPGKNSEYLGLTNFIANICEGKRSQNGMRSVTQIGDEYLNKAKDYECDDSLFGINNSMNSFFGFLFTEIKNIKNMLNCGLQKFGANEPADFDAKQVSRPAKCCPSGKGCITKPTMNILPSCYPPPLCHCSPPIITIIGVQPVGSKSLLITWRNDQYYGIVGYEVYIDGCMKSRCFSPMRTSAVAFGVDLSVKHIITVIAIVDQGCSPCNCLPTKGSGFYQPNMLRLNDCCNICA
MNRLNIEEVLLLKISVIIPVYNAEKHISVALDSLACQTMKQSDFEVICIDDASSDNSLNVIESFKNKILNLRIIKRDVGSGGPMTPRNQGIEASEGDYIAFLDNDDFYGEETLERFYENAVKADADVVIGKYVGVNGRNVPQSQFTKGVNLSADILSTNLVYTLAPHKLFSKRLINQLNLRFDPKAVVGEDQLFVMTAYIYAKNIAVLSDYEYYYVVKRGEENLSLKQFPANEFYHSFTLIMEELKKNAIDVLYQKKLKIAFLNRFLKASRLRSILFSKRFSRETKIDYFNASKTFFNNYISQEELSSINPEFQYIVVFCQKYTFDDLTHFHNNMNKITSKDVMAVNKDGIYSQQKYFDKQHSYDESLNVSFLSKDIINITNISLDKGQFVFEGLFTQTLLINHITQYTLIMRHREKKFEKRYNDYIVPNENQFSFKIDFKSLLLSKEIALGIWDVFIKASADDYSFERRIGNQRNFQPTLIKSKIEGLDGNVYSVEPYFTKPYDNLSFNIKVG
MAPTTNCSRKKQLNLPLFQRKLKRMCSSIHQSRRVVVTGLGVVSCLGTGIHFVWDQLLSGTSGITNIKGEAFEQIPSKVVGLVRHGNNPGEFNLTGLPTIDGRAVTQMTQYCLRAAEEALTMAKWKPVQDNDKERTGVCIGTGMIPLEEVVNAGELQRQFHYRKISPWFIPRILINMAAAHVSLAYGFKGPNHSVSTACTTGLHSIGDAFRFIRNGDADVIVAGGCESSVTPLAMAGFARMRALSTNFNATPQVSSRPFDKARDGFVMSEGAALLVLEELDHARQRNAHIYAEILGYGLSSDAKHITAPPEDGRGAQRCMEAALRDSHLKPEQIEHINCHATSTPLGDSVESRAIQSLFKNHSSNILVNSTKGATGHLLGAAGSIEAAFTVMSVYTGTVPATLNLQTPMTGCDLNYVLGSNITWNSKFNPRIALTNSFGFGGTNASLCISEYVV
MSERRVVITGIGCVSPLGNDLQTTWDGLKAGRSGISTITLLDPAPYECKIAGEVKDFSPDQYFNVPKDSRRSDRYVQFAVAASKMALADSGVDLEKVDRRRFGVMVGSGIGGLGTLEREHEVCLTKGPKRVSPFTIPMMISNIASGIISMEHGLYGPNMVIVTACATSNHNIGEAWRMIKFGDADAFLCGGAESTILPMGLAGFANMKALSTRNDDPEKASRPFDADRDGFVMGEGAGVVVIEELEHAKARGAKIYAELVGYGVSADAHHLSAPSPDGSGPAYAIGMALKHAKLNPE
ALADAGLTVAPPPPGRPADGGATPAAAAAAAAAAAADTAATPGDRVGVSVGVGLAPIPPIADAAATLASPRGYRRLSPRFVPSILPNMAAGHIAMAHGLRGPSGCPSTACAAGAHAVMEAAAAVATGAADAMLAGGSEAALTPIAVAGFGRARALAGGRWNDAPGASSRPFDARRCGFVMGEGAAVVVLEAADAAARRGVTRAYAEVRGGGASCDAHHITAPPPGGEGAERAVAAALAAAGLLPSDVDYINAHATGTVVGDAAEAAAMRRLFRGNGRVVMSSTKGATGHLLGAAGAAEAAVTALAVANGVVPPTVNLEDVDVGGDGADGGDVWAPDRFVPRTARRAAVDVAVSNSFGFGGTNASLVLGA
MATSNLRRHLSASRLRLNRFISTSSSYHSHRRVVVTGLGMVTPLGRGVETTWRRLIDGECGIRGLTLDDLKMKSFDEETKLYTFDQLSSKVAAFVPYGSNPGEFDEALWLNSKAVANFIGYAVCAADEALRDAEWLPTEEEEKERTGVSIGGGIGSICDIVEAAQLICEKRLRRLSPFFIPKILVNMASGHVSMKYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSVAGFSRSRALSTKFNSSPQEASRPFDCDRDGFVIGEGSGVIVLEEYEHAKRRGAKIYAELCGYGMSGDAHHITQPPEDGKGAVLAMTRALRQSGLCPNQIDYVNAHATSTPIGDAVEARAIKTVFSEHATSGTLAFSSTKGATGHLLGAAGAVEAIFSILAIHHGVAPMTLNVKNPDPIFDKRFMPLTTSKKMLVRTAMSNSFGFGGTNASLLFASI
MNKRRVVVTGLGIISPVGSGIDKFWNALKEGKSGVGPITQFDAAQFTCKIAAEVKDYNPLDHFSTKEARNLARFVQFASVASKEALINANLKVSDTDAERVGVLVGSGIGSIETAEVEYQKYIDKGPRRISPHFIPKIIINEAAGQVSIDTGALGPATCITTACSTATNAIGDAFRCIQYGDCDVMIAGGTESATSILGVGGFCSLKALTTRNDSPETASRPFDLNRDGFIMG
MVNQKVVITGMGVISCYGHQLADFWQAISHGKNGIKQWSEVEGTEFPVQYASTVDVCDLLQAFPNWPVDTKPVERRVLFGALAAQQALSDADIRCGEGIGVFSCSGVPEINDAELSQVNRNGIHAFSKQTMAAGANQFSALQSGNDNISVQIAHQSGATGPVVNINGACAGAAQSIGAAFQAIRRGELQQALAGGADSVLNARTMSGLFLLGATATANHRKNKLCCPFDAERGGLVAGEGGAYLVLESESSARARGARIYAEVQGYGSSMDAYKVTAPRPDGRGAQAAMVSALADAGIKPDAIDYINAHGTSTPLNDKIETAAIKTIFGYGSVSSKGENGSQLPLVSATKSMIGHWISAAAAPEAIATVLAIHHGLVPPTINLQNSDPLCDLDYVPDIARPYSLTHCLSNSFGFGGINSSLVFGKYNE
MVDTTPLHSVFDIEDGSPLIVSCGMGVDSVAMIIRLWREGVPISAILFADTGGEKPETYAYIAVFDEWLRQHNLPKIERVAYRQSHGRYDTLAGNCIANQMLPSLAYGGRGCSGKFKHEPLDGYVLGKSRGPWKDEGLICALEAKARGLPIYRAIGYDAGPKDARRANIPDDRHFRYVYPLREFGMDRIECMAEIIRAGLPVPIKSACTFCPASKPAELVWLHALHPDLFMQALTIEAVAAPKLTVVQGLWRKATKTRPGSWTEWALKEAMVERLEDGSLRHVPHLGPMPRHPDEIEADRVRAEVVGCRGDAA
MDHSQLPINQVVDRLKAAAQNNEGVTLSASDVQVLVKGLGKGRFIPVYTNEQIIQLVKEGKLGQKMIDKKD
MARRDWALQKIVPLLRRWKQDGIEIEGVSKPLKVRNKDLGVSWENYLVAGSCITSSSRRSIDISTHINVPCLKRKSMGHPSRKWRQTSLDWKRDLTLGCFLQDHQLSVVDSGHILGNPYIQAMVSRQTSNRWSSRGGFTSIVDKHLTL
MSHVAVFVATAVLFAGLSTTLWGCVGSVRWMLGRTHPLPVREARIRPGRVGVLIAAHNEEKVIADTIVAVMRLVPAGQVFVASDASTDRTAEIVRARGANVVELTESHGKAGALVAGLRHFGLTRRFAVIMILDADTVPSEDYLYSGLPLFDDPAVAAVAGRASTRWPAGAGWMARVLLAHRERLYVLFQTLLKYGQAARGLDAVAIVPGFASMYRADVLETVDIAAPGLAIEDYNMTFEIHAKALGRIAFQPWSARAYTQDPATLADYVRQVGRWNLGFWQTLRRHRPRVRVFWASVTVFAAEVALSSVLVLALAVATIVAALGRLVRVDEWSPLPVPGGDMLVAAVIGVIVVDLVATVYVALLTRRFAIIAFAPAYTALRVLDAALCLRALVHALTRRSSGVWRSPERRVEGQAAS
MLKVVISVIIIFGFSFTFWSLIGLLRFIIEFILKKINFSKNGNGAVITQVKPNLVDNYQPGIFEVAVVMAAHNEQSVINDSLEALEKIIPPHNVFVANDGSLDKTGEIARAYGANVLDIYPKRGKAGALAAALEHFNIYDQYKYVVFIDADTRLRADYLANGMPLFKDDKIAAVAGYARSQWKNNIFIAYRCRVWLIIQTFFRFGMSWKYTNVNMIVPGFASMYRTRVLRQINIAKQGLVIEDFNMTFELQKKKLGKIAHYPSITGYTQDPDTFKSYCHQIKRWNLGFWQTVKANGVWSSFFWLNLIIYLAEIILVGFVMLIFPIFILYFILAIIFNSYGLITADKLAAAYVMLDWFLILFIFDYFYTIITAIVNRRPALLFYGPTMLFFRWLDAYYLFTGFFLTYTTTSSGVWTPPKRWQSAERQAV
MARFRSCGWKPNELKLYWNATQLENLCPKYVTNDKQVKEKDKNLPSHDPSDADAVLALRQYPVSKRIHAGTITYVNKGIQDAVFDAPKDAQLIVLNFANERTPGGGYLRHSLAQEEIILYNSDGYRSLLDLKYGRMGGGYAIPEFGLAYVRDIRFFDARTDK
MAKQVEQLEKEKKELQERLKNQEKKIDYFERAKRLEEIPLIKKAYEEQRISNMKVEREKALEHKKRMSRMMEDKENFLSKITAARSFIYKEKLKAFEERLVEERKKRLEDRRKQRKEERRNAYYRQKEEEAQRIREEQLKKDKRTQKELHGETSNELYQEVFELTAAQGNPAVVTLDNLIRILTVVTKTDAADEYVLSAPGLGPVLQSILENGERALQ
MMTYCIIAIDFLMVLFLREYLIFMGLNDYLALPYSLILVIILHILSHIDIRLSKKLYKFSYELPIVIDIKGLALYAEAKVSGKVLTHLAINVVGFITSLIISSTLLIRYLDLALRNGYDIYYIALLTISSTLFLTILHNRLVITGHNYVGIPIVKSIVITALVGAVIGTYLDPLAALSLTYTVSFISLLLGADLVKIKGVLKYNPEVISIGGMGILDALILIPSSSSIISYSVASLLNLLIN
MSNVMINPFGPIVLATVVLASLIGSAKSDTKIIYKRLTIERSEDGQKSLFDIVLVGETRSRNVAVLNKEGESCNLEIWDMVQRMQIVRFSDFRYCKSDEYEDAYSLYNLPEFEPFFATNSSVITLLLKSYDIDPYASPLDEFDVDSDGFCSSNGTVMVCGNSFDIR
GVDDFGCYDARSLQTRALTCARRGGYASHHYDGEANLAGAMEVVRQVDLLGVTELYHESLCLVHFRVQGALPPSCVCNADANRSAGGGTMRHEHRTHGGPRDFGLSA
MKANEQSKMDSIFEIESSDSSSPFWTRPSVSPEPIEYSENNELEDSYYSMPKPTVRLEEGLTLTSDAVNKRHQTKILLVSLIESLCQTYADSPESTRKIFFEISKTLRSLGFIDNEFYDEVAGMRFNYHKAFDHLFHTAVQVVRQQDLRLPNQPKLIALNDEPTSTNLTYSLSIQNSRYRNDFVQGNILGRGGFASAWRARNKLDDIEYAIKKIKLVNNDDGYDKIFREIKNLARLEHHNVVRYYSSWLEYASEQEDNSDSEYDENSTSLTDRQDPSFISFEHDDDNGDNTTNQEQGSFTLFIQMQLCPSTLHEYLKYRNQHHRIYFDQQQNIEMFKQILEGAAYIHQEGLIHRDLKPSNIFLSKRHHDNEPMIPKIGDFGLAANVLDDAPEEEYDIYMSSVTESDHTSVINLFNTIPSSSSSSSSVFVSRHDSVDSIVSNLSSRPKLTRSRTSGVGTRTYAAPEQMAIPSLAYDEKADIYSLGIILFELYQPFDTAMERAECIDRLKKGVFPPHFIETYPEQSQIILSMMNVNPCLRPTALEILNHELFQQLEEEPEQSSLLHDREMAEMRDRFAQMKNEKEDLQRRLDELESKLKDCSVDQVKMNDNKTDVMGRHISKILFTS
MMLLRLLVAVAAAAAAVAVLLPATTRAEPAFEIDVIDPHLDTMPPGGYEMYANGFVYAQSCTGGLIAFQIVNKAAYDECDASLDPNAGEAKYCGTEKLYIPVPQAQAPDRHPWKDIALTGFSVNDTIYFISDKKSECNSGRKFLVHVRQDPACFATCCANTGEHCFFRFNHEGELNCELVRDEYPGGGNMCDDGNPETEGDTCTDRGVCLGQRVLHSYFLKSMLAPHMSAGDFTTDGRAAVIAALKTALQTTADIDITDVSAVNALSVRVTIAVPDENVPLAVLSSRLFAAASINIDGAPVPIAQDVFTTTTTTTTTTTTTVTGGTGAQPGTGPSGGSTTTADPAATTPEAGRSAGFKWGMTETGAAVGVAAGIILIAVVIFVSVKAKNRQGAYNRRVAAADIHTSASAAAGITINPLARSANMYSGVAGSGHSPADNGGGKGRGKGARGGDDVELGGGQIVTQLSADLPTTIETSDPYESVA
MILQKLILSGLLSVIGIAILIGQEKGFAINYKESDASRVTSSSELYDPSKLTAAHNTIPFGTMVKVTRLDNGMSVVVRINDRGPFTPNRILALSKAAASTIGLKKYEKINVQLTILPKKEISEPLVEKLEKKPDIPFYQPGSTKTEIKETEDLPLRIDPVDGTRILQNHVTERSIVSPPVSETLKPGLYQIQLNSLPEKGFAIQVGSFQNASVLWSEVSKLHNAWFKQIMVQTVKTANGLILHKLLLGPFANREKASSYKGNLKEKYGIEGFIVPLQE
MQSTRSFIFHQERVLWICPLPTLS
MVVCSHVNRHTLPLSSKSRECAVLVEQIFNPIILGLGGKAAETYMLHLCKQSHQRGMISDSMIYQAC
MVTGPNSELHEDIVGLTAAVHRFSRALLTGRWLNGSVNAHAIAHSSQTELESAVDVFRQYARSLRQHLHRRFLSLQSRGAETELAQLINALGYE
MKARTFAPWVEPYASPLRESRERVIAFGKALPREAWDQPSPLDGWSYKDVLAHIGKGNDQVFQKLLRGVIADGRIDTKIFAVDTDGDNARGVEERRDTSAEDLIAELEAAGEEIQELLSQLNPEHEKLQQQDPLFHFEGFLRGVRKENHDMEHFAQLQKAAKS
MIITKKPERSSDDINDALRQFIKDTEECPQDQLPSYLKSHMEWDGAKGNLINWVAVLNRFDSILESVTAKHGFSEKHCKPALLSDEDVLLVVSIVDFTGLLLKHCTNKSLYGSTHRLYDLLATPSTEVIISILKVFIILGKKFSVKTTRRAFVATRQVTDRLYPMALLIPSSTLTSNIDSNDDHTLIDFISGQKRFTPKKWKSLEMAYYPELTSNSTKAPSHIKTSKNTAGETEDLKSAPTQSNSTEAPISTDSPSTVLTGNTTPKEAAPTKDIIRAHKLVIS
MRVDHASFHGLLCLVRLTDGEKHGRVVRADPPYRRGTHRRGRAVALCRETAALRAGSKEIKDNAETKAPHGA
MEDLNGRPIIELLREDWNLFQNKNLHGASLDSLLREIFADESIVQAKYLAKESQEGYKLSDWTKFKNEIIRENRWFTENDPNYDLFSQMLGYLSTYGESLPREWYRARKIFDSTEIVSTKEIGAPPHEKAGHGRANPAGIPYLYLGSTLKTALTEVRPQKGEKIAVGIFRLLSDELTFLDLRTPRETVSPFAPLEEHDIISLREHIEVLEHFGKELSTPVSPGESQYDYIPTQYICELIKKCDFDGILYNSSLVDDGVNLVLFSEEDAKVSPNSIRYYTIKKVEIEETIAE
MFSCLVVSKNSSGNIIDLLQKDWSFFSNRAAAEKILSFVLEACPNHINSISQNIDYSEEIYDNINYWDILKGKLKSESRYITDINYLINDLGWDGFFESQTSLRDDERLYRARVHHCSQNVYDKSDMKAPPAKNSVAGRANPMGIPYLYLSDNEDTVLHEIRASLHDEISLATFIKGKTCKEKILLADFTESPYLYVQYNVGIKIKSVLLKQRISKDLSKPIRRYDSELDYIPTQFICEFIKLFTNVKGIKFLSSLHSGGINFVLFEQDLVDCVSVNRIKITEKIIKSQSF
MSPRRQLWCCAACFNDRHLKRTIQTVGTAHGNCSFCETGNVALLEPAQLADLFAPLVTIYEEHEDGRTLVEWFAEDWNLFNATLINEAGAVRLLAEILNNGEVTRALFRPSKRFSSDGLAQWEQLRNELMCVNRYFPDANIDVPRLSSLLSALVADSLPNRWYRARLMDGDTPYQIEDMGPPPPGRSSHGRANPPGIPYLYLGSDPTTAISEVRPHTGETACVAEFRLDEGLRLIDLQDPRTRLSPFSYGDEDKIGALREDLALLARLGNELTHPVLPSRAAIDYVPSQYLCELIKKAPSDRGERAGYDGVLYSSSMGGGINLALFDPKRATGGEVIQHRVKRVAVELD
MDVSKIREKGDLAKSLVQRGIAANLQDAYKMIEAKGMIKTNSTSDSDHQKAMEEIKVDEEIEQIAGSNNGILKRVEQLEHMLAQFKEFFNKYKNNNDNNLKELDSGIKMLMRKIDSGAATNVQKRETRQEPQQQRSQHPEQSQEQKQKPQNQAQTPGSNLDPSQFSVEKIFNNSHGRMNKDKK
MNEQVWLTDWIHRIEEYACTKDDLKFKRIHAIVLELCFERVLSKTERLGLPHDLVYGWSYCMPYGGNSRHWFLIWRDLTDQGDQSSINLPAFRTINRTFATALLKNRPNDKIFTVSFRIDQTKNYENAPRLNWKFIEFELRGHSIERCDDQSTAKRYEKSVTENVELGVNAAHSIHTLTNISSWLRGLDGSSLRHLFATRCFMNFSGLFLSDIDGIGVDQYGHGIVVEFKRKTPARGYVLTPVRPSTENMSLGSYIDLIRELKQLDKETRSKRIGDETYFRKETSARGFYGLDESHFMNVRLCDDIGFAYRYVVWHSGTSDAAALVRPDFHPKENPRLESYIVTPNCFAGFSKTDPKDSGSYSKRTRFQLMINASKFIPCE
MVTILPDPVEGLPPDPDDWPAPRPDELSEEALQNEPEEVAAVLHESPTPMEADEADLLDQRRDVEFDQEEDQG
MKLLSAALLAACALGMAGCTAPAALTADDERALAELAVVAPAGSEVEGAVGHVECWQPSASMLDERSFRVLCRVHYELAGEARYRDMICIGVLAEEPVTDHCYRWAYYTDMPAFDDRPAVPAVPAAPAAPGAVDHGAE
MRRGGCRAARGPGSTRMWLLFGAATFWGLVLAPGFGLQIQCYQCEEFQLNNDCSAPEFIVNCTVNVQDMCQKEVMEKSSGESPWSSCCRFSHPTHSRMQQREEVLPVQAGGTRSLLSVNSCSGGGFSKRNAQDREMKTQQSILNPKAEN
ISTTAAQLQSCRAAQLGIVRALDMGPLLGIAFNAY
MWNPKTVQGPAWKFGLAAVTVGLMAGCGGSSSGDGGNGGGETATLSDEEAAAVAIASIGGAENYTNLSLAFAPDDVDFDGDQLQGASVLATQAGLKAKELEAQQTEQCDSGSVTINETTAGFVVDWNQCQFSTGDGGAFYMDGIVTYAEGSSPLADFTELLEANFDAFDMDVTFSNDDRFAMSLDGSLAIHWNASPQRTLAVFDFGAMSSIECGGISDQFDALMDVSIRADDTGDGTDMEVNGRVENDGARVDVETTRTIYTPDGTIYPEDGVFVATHGGSTTTVEFVQGGLNVDGTFYTWDEFEEEYLAEEENPAFSQCGV
MWNPDKVDARLWKLGLAAVTLTLVAGCGGSSSGDGDDDGDTETETSSLSDDEAAAVAVAAVIDYESYAGGDMGLSLTGDNGETDSSTGTTGALSTSDNSDDGVDGLVDACEEGDGTIEEKEEDGEIVSIKLTFENCTFSPEDLEDGFEAEVFLNGSMELLVGEDSEREGFEHLTEASYDGWETRVSLWKSEDEGELVYEAGFGLDGVTANHFTDPTTDPSLQILNFETESHAFCDGKDYDFTAAYDLEILSTPRDSGIAVESNGSVDNGDEVVEIETLKEVFSEPGEFPREGELNVSHGGVETHIEFVEGGLYINGDYQTWDEFEDELAEQRDTAPAYADCFDED
DSGRLCGTAIIFLLPAGAVSRWHRVDADELWHFYEGAPLELLLAEQPDAVRCARLGPVAIGQLPQRLVPAHAWQAARSRGAFTLVGCTVTPGFDFAGFQLLSEDSQAQRDWPMLAQLHPELV
MHPTAAALIHALDLAPHPEGGHYRRVYASARQVTDNGKTRPALTAIRFLLSAGQCSAWHCVDAEETWHWQQGDALELLIYDASSARLQRVVVDAAERGEPMHVVPAGCWQAARSLGDFTLVGCTVSPGFVWEGFALLDEASPLAAHLATLLPG
MKSAGMNCCVIRFFGWLVCFLIVAGCDSQSEPLRAQHFGWRDGRRLTKLTAEAVDQVNRLSGDTWKLNYVDSSGSRPDTTGMPNTVPVLLVNGRSLKMDEVAFVSSDHSLIVVHEFGLEQMFRADNADSEPDSSSLAFILLHELGHISNGDAGVCEVFSVGSAEPNRTSTMQKERELQADLFAAEQIRIGLSQTSDLDRNMAAMMVDSGVSLLSTQATAAGTMARFGDLSRQGFWDLGYSHPNFEYRMKIVRNYIGSAGTSEELANQARQELEEFEQSRAGEGFRFPLWSKDDDANRSGLIYSKDKGSFGNPRNSEDASRETKIE
MVLPRSYIPIFFTFIFLIKFIAIDTNAVSLLFKGSETTFVNPYCKKKNSPKQTGQTKNLTKSETETYTILILSGFCASPLQVTFNNWETSLDVSTPVPPEESPSALMYRYSDNDSPPPRMG
VADWGLVNFLPPDSGVTTDAIVVLGRGLQLTPSRVEVAAKLWQDKRAPLIFASGEGDAPQIVQLLLSKGIPVQTISSEACSQTTQENALFTAVVLQPLGVKSILLVTDPPHMLRSLLTYRSLGFTVIPHSSPLPALQAKKEALLVWREYLGMVSYGLKGRFLPQQPKSELFIREAQPQNI
MLEQTLCATRPATEQWIKFATWLIDSLFNPVIVILAVLLLFGVLWLLIPHYRRWLTRIAAGLVIGYLLLLSPPAVAISNRLLLGFVPGDSGQPADAIVVLGRGVGLRQSRADVAAQLWHTNRAPLVFISGRYDAPPIAEMVAQQGVPTTAISGESCSATTEENARFTTELLKPRQVNRIVLVTDSPHLLRSMLTCRSLGFEVIPHASPLPQLEPTQKAFLVFREYFGIVAYGLRGRFLARDLPPSGAVSALPLEG
MSFVLTKIVLYLIVPPASLLILMAFGFLIVRFRPFSGRLLIAAGFVSLYLLSINPVSDALIGSLETRIPPLKDEKVRADAIVVLGGGVSDLSWAGLRPEPSSPALGRLVKGIVLHRSLRLPLVLVGGNGDPSRVVTADANAMEAAALDLGVRPKDLLLENKSRNTLEGAKTLGEVIRGRRIILVTAAYHMERSAGMFRKQGFEVIPAPTAYLKEKRNITWYSLIPQARSLYVSSAACSEYISLAFYRLIKAL
MYAVYRLSQLLLQVELWIFLCFLAAGFLALRRRFLPSRRFLWLGIFLLYGLSIAPTAKALIGPLESRYPPFLPGREQSYDAIVVLTGGIRWQPPLDAYTILGTQSLDRLICGIDLLKATTTPLMVFSGGVADPFERSALEASAMKALALRLGLAPNVILTETHSRTTAESAMEVRRLMPNAQRIVLTTAAYHLPRAMALFKKQGFAEVIAAPCDYEVTGKDFMITDLLPGAGRLGLVNVAIHEYVGIAVYLALGRL
MMLLLSKSISQLLLPPGGLIMLAVIGLWFSHKRWGKSLIILSLSLLWLLSIEPTRDMLASPLEMFHKPLSLESLSNAPNMKHAAIVLLGGGIAEHSLEYEGRDELGRYAMMRSIYAAKIAQQTHLPIFATGGVPLTQRSESEASIMKRWLMWFGIAKEKIFVEAEANTTWENAALTKQLLSEKNIDSIILVTSANHMRRSVWCFEQQGLVVIPAPTDYLTEQESYDLRSFLPRWNVFNDSSQLLHEYMGLMWYKLKYNAHI
MVDERFQILVSPSAILAMSATDVVPLGENGRSMTVKRKKRGFLEHPSAPATLLAIISTTHSLDFVPWFAYPRAVSVKLDAINAKSVYFSGVNLCPISFSITPRAKACYLFFLGRSKKSRRDISESQLGVFRMSAWNRFHPVKRLAPLDRGP
MKRRSALMKKYDRELIDPRFFQWMFGKQYLVTREICSRLESSWKEDKWMLIETIRQNKAETRLKYEQRLGDFLLKKLDGVEQINKILLPSSILVGSNNYHVKRHLGSWGGHVKEIQWLGENFALRNFFGEVEPLHAEISLVFSLSHPNILQYHCGFYDEERKEGYLVMELINDTLATYIKEHSGQRKRPPFSTSAAVDIMLQIARGMEYLHSRKIYHGELNPSHVLLKARKESYFHAELKGFGLTSTQSTYMTANQNAADSIIWYALEVLAEQEKPGSKCAYKYTEKADVYSFGMICFQILMGKVPFDEGHLQGEKVVRNIRAGEWPLPQKISGKNWDTSNDGFSSVHRRASMQSTDDEQLAAMDDLFLAPSDGRSVCSEIIDRKDSRLFDQRSAISEIPHRSRLFLFDQNSVDSESPERRFSSVAAAADEKFLFADSPERKAVSSPIVDRSPRIDTLEKKIISSMSKNFLDYQEKAMSPKAGDEKPSSNVQNLVSPRTSEKTKSAEQTLVSPRTSEKIKLAEQNLISLPTSEKTKPAEHNLISPQTSEKMKSAE
MQPCFSVSDGEAGLEPRVETLELPDDLTSDYNFNSRDFDDLVLLRQFLVCVIHLGHHVSFRMMLPRHFFEDLLFFAKDICSVDFLLNLLRANIVSSHWKFEYGKCLIVLLRRYAMEIVAK
ALTHVAVEAEVTRGGVRLVAEAAATGPTGVEMEALVAAAVGALTLYDMVKAVERAATIERVRLLEKSGGKSGTFRRAAPRQRKRRRS
MIYLSTTTPTKVTLLSNKDRTAGSSYADLSTNYYTFQIVSCDTFDEYVFSPDNFSEGPYYDSFTVSVGMTISMTGSVMINADAGQYNFTVYKMPTEYNLNVASASYVTERGILQITDVNGFNWFAPSQPTAFTQSDSDTLKAFTEL
MLKNIRQKFAALVALVAGLVAAPAVFAQAALPAGVDAAITESGEILVLGATAVIIAMVSFWALKKLGTKMGWW
MRMRHHRRNYRYRIVSEAGLLPSPEPISRHSRLAWLLSDLAVPIYLLQGLWTRIRTPRLAPPPGRTGGRLNGRGAEISLLVIGDSTVHGVGTDRQHDTLAPSIARRLQDMTSRPVRWRANGFNGSVIREITECVVPNLPHGGWSHIILSVGHNDAKNFHTRRRFVRDLTRLIFAVKARFPDAKIYWGSLLDFRKMPNLPEPLATIIDLRADTLSRVGWSICREQGVTVLPAIDLVLPDHFSRDGFHAGPVGYNVWARHIAEHIARSEFIPAADHRKHVADAPALAVREERSGP
MNQQKMLGYILGSMATIIMASLGIFVRNIPLNPQIITLGRFGFGFYFILMYLLITGKINQVKILKLSFSLVASGVFITLCIWLYINAINSTTLANAVFLLYIAPLIASGLAIFLNQEILTLTKSLLLGLALIGCLCIIEFNLTFNSLKSLGYLWGILSAIFYALFIVTNKQISQQIPPLIRSFWQLFFGTIAIAVIIYLSNTEIIIDFPIDLYWLIAVGFIYGFIALTLTISAIKLLDTYEYSIISYLEPLAATLLGWMIYSEKISGLQAVGCVIILLTGVMQIFLSSKT
MRSRLVIWRGVPPGTRGPAPRLGSIEHLAVPGTPAQRWEHVRILAAGAVGLLHRCEEARATG
MEPDIVKEEFELLQTTNRPYITAPYGVSFNETPSNASTNLSVGQENLNLYYFYDSVQFTVMWSLFAAIVVGNSSVIAALLCTNARKSRMNFFIMQLAIAVTQYTIEFEFEGQKVCEPTESWSSLLMDSRKSRGVTGELLAS
MKHLQTFACAAATAFLSAGAGFAQDAAEPFPATGMTFVGVSLNSDYVLYSVSQNHEQPAFQAYVEHNWANGLYAGLWTSQMDYSEFGLDDNFEFDAYIGYRGGFQKVSYDLSYYRYYYDHTGFDYDEFIGIVDYHLTDAFLVGGKLRLAQGGTYDDEQVYGVHASYDLTPKTTLSYEWMTNSADANNDWNFGVKQQLTDSLSVKATYYDSNFSKDILGVSLSWDTDFASLFGPKS
MDGNYHAVNHNRVLMGMLDPVWTCDTLPSDDVMPQGADLPSLEELLNRIPSICTATASEDQVHNLDAMDTFEHSSEVCNTLTPLDRIPRPYLSSCDDPTLGTWVDDTVSHTVTLLKRL
MGWQWGWVWFTWVWINMAGGGRCFAFFYTITSDIHSTLQGKASLLLFPNLGWDGRGFFFIKLYSNFFFSTGVFFVLSFRSWEWIGLYTDDYKISLACMMVGMIDGEV
MKTAQNYTEIIFDSMGFDNSYKNPLTNVFQYEYALYFVVSSLFKSVKCKSRAIDSLSMYFKELPHKPEALKDVDIQKNEKKRRTQEGLLREIKILVDRDVIGDIYFPMMSECAAEVKTTLEGDGTHKFIFTDGIYGFSVHIDMKTNGHPEKLTVRNIGGNPEPPKHICEPGVTLP
MPSHTTNEKKGNGLSKSDDENYTDNVRTYPRTRLQPLLSLEYLVSTLTTFEATLPHDTIYALLAIAKDTTAPVMMTHARFVSGTVGTSHEDNETYMPRPSYNVDYKLPSQRVEGLKLIILKKIIADFKTWCVEERKKAWLITKNGIEAEMGQRNENPKTSPSRMGKEMDAHLNRLADQATEVRTSRKRKRVQLQPVTHMPGISFDTSVPEGGVDSGVLKEASAVLKKKSLLDWWEIEYALVASRHWKQKVRKSKEAREEKWRLQRLENCRALKNKNVTKGSGNADSVPMAEDTAVGEGATRNEDGVSTEHLTEGPTGQDETTVTDAENDNGVRSGNEEHDQAMGDEKPDSGDHETRDDHLKDYDILLVDARSSNSAIPDAHAPTKIRPSWHRKIERTRLTVDEAEEYDHKVKKNLRERLGEEGYYSYTLLGECYIHGTMDGEAMRHRNGSAEGVLPSMADWKVHKLICKSFSEHMNNRPSQKHYSIIVFPKDEEAPRFEWTLFEPGTYNAKIPSPKKAYVQELGFEFYGVNCNNRNNPIGYFEEDSTDYTTKRHIAGKHLGLLFTRNLGSFEEPLPEDIPINKSLMDIDPELARLHGKGHVLAWGCHCPEDRRKTSDLNCTPADFRHAVDALRKNHYKDSLRAKEVISRKTGGVLAVRLTCVGDKLFFGHPTYESQIEPRSILSKDSQVPCPVADKVGIPLIIRKEPPALSWRDRDFESRRENHNAAMLNPPTQKTKTGTLIIARKDGKPLLPTHLFCLIIYTGERLQDPQFGEDGPRLPSMMLQSRLNLVSPEDFEEYYNNEWHRLKLSERFVPSPFQMYDDYDGEIHPINMR
MLPPRLLPPRKPLSRKTVPKEESTPKLDDVLNDAAPAVAVVDPSVSPKEDIVPKEVAEQPKESVAVPEVASKETTKLDTNLSPPTTQTFSKSAPVTPANRTRFPDESDGSPTESTKPESLRKKRHSIFGRIKSVFGFGGSEKRSGTDSA
WCAPQRREVSDSSQEEPSPPPIRLVMDRVQEKPTHGHYRTRAF
MAIFRIPPSIILKSYSRSLSTIVDPELLLRLSTVLYQQQHAPDSKLHSHLRSLPLPLPISHELVLQLCSRFPLSWRPLHRFLLFSQLPPSSFSHSPTTFARTLSVFGASKNIDLLWSSFTGAVLARSDLLTASSLLTVVRFLAESREITKCVRVFDSIIGPHFKPLYSIETLNLVVESLSDRKLVREAKDLVSKLRTSIPPDGDTYGLLIIGFCRIGDLVTASKLWNRAVEQGLEPGVDAYEEMIVTLFKINQIEDALRMFAALRARRFLDARADSYRAVIQWMCKQQGRVRHAYMVFDEMLKRGLWLETDNQTLAALVYGLVVRKS
MEHEYIASKRIGGGRTQICTVSPDGVKFHAGGAPVEKAEMADRGLKPLVAYYIRLFEYRQRTLAQSREEFPEMRKVISYLGRFNAEAIAQAKGSTVSVRRRVRDLNPEG
MEYYICLEEDRICYVRGRFKRCAGSGLRRCLEIVEWEFVEPVPDVASALTRLAEKYGMEGKRVNLIAGRDVRMMSFAIPKAGKNAMKRMAVNEMSLLDPDFGNHAAALDLRAKTGRTMADVTAYYMEQRSLDAYKKAVEDGKMICGRVLVLPDCMAIMARELWREERVLLLDVNQASMGFYVLSGGHCLACRMTGLKAGCFLREGAVDMLCEEMAEQVEDLIQDCTAASDVPVPDCMVLMTSCIPDAQAAAEYMSGRFKIPCSVRMPEPVDAVCLAVCVAGSLEGKRKALELEERSYEDGKNSILGRGPFMTRGWALFLLANGLAAAGLSFHAAYMDHAAGKALARTEYAMEGAEYKTRVRKSMEMEERIGEMSVDREKTRTEKALLAGKNLLGMNEFRAFTEAMNPEMRIESISFDGEGPYLQMVVSMDRSEDVPAYVERVEHSGIFRQVGHSLWGKSAEDRETERIYATVYGTLGMGGQDEAQ
LARQQELEEQLASARRDIDALRQEAERQRKEHEEAVMQLRARLEGDSAQTHEKHRQELEALRKECAAKLSAQEREASAERERHQQELRRLREEHEAEVR
MTSIDQYCCICKQDVEPGQEAVILTQKGADGINTASKLRKSDVIASVGNKVHVSCRRRYTDTKTIKQTLNKQKPKCETRQKSLRSTEGQFIAQTDCLFCGNSVDFSGRRNSSSEQVKVRTTEFAETIKQCCETRCDEWSLVVKGRIEYFLSDLHAADCVYHRICSTNFRTGKNIPQEYACIQQPTKKQKLGRPIIEVQQDAFLKTCTYLEANDEEQLLLTDLVIKMEEYLKDSDCEAYSRVYMKRKLEEHYGERVVLSHGNGKTDIVTLKETADHILRSYHAKPKDCDPESQKLNIIEAAARLIKSDVKAHECKTKDTYPSTDDILTTEKTMDYLPDSLRLLCTKLFVGKDISIKVGAIGQSIMQAIRPRALICPLQIGLAIQMHHNFRSRYIIDVLHQLGFSSSYIEVLKFERNAALTSSSFSENVTDNNAILFAADNVDHNIRTLDGNNTFHGMGMIAAVTPRVKIQPIVPRYKVTDNSIKNIAHVTIQDYRSTRNLLKHITFQNLEDTVANNRTIDILWQLSWSFLEKDNSNWSGMMQTMYNNSNLQHPGKASISFLPIINLAPSNMTCILSTLLYLNKIAQDQKVPCIITFDQPLFWKASQIIHEYKDLEDIVLMLGSFHTLMNVVGAIGTLMKGSGLNEVLQEIYGPNAVLHMMTGKAISRAVRGHMIVDAGLSTLIMTDVFSWNEDGSCQNLLQMLQSCVTVSLSCQKRNRWRM
MHLPNFTCPTDCTCFRQQVLQCFSAAHTPSATAMTTPSATTTTDVPVDYHFTDAKSGTSLGCLYFILLRVGY
MFLRSIRLRGFKSFPDPAEVRLEPGVAVVVGPNGSGKSNVADAIVWAAGSLSPSELRAEKPEDVLFSGAEGRPAAQHCEVELLFDNGDGTGPLPYAEISIVRRLQRGGEGQYLVNGAAVRRTDLVELLADLGLGGAMHSIIGQGRVEQILASKPAERRALVEEAAGLGGFKRRRHRAELKLARVRTQVERARDLEDEVRKRLRPLALQASAASRAEKLGSEIDVLRGRVAAAELDRLEQRADEVEERRADAAADRRRRDERLEGLLTERRRVEEELGDAAERHERATATLYRLRTAAERLELRRERAEETAGSLRADAARPRVPPPKEFPTYEGVLAAARAWDPEPVDAVGDVVPRLERARDEERDALVVLVGGRLWHASERAAEPEPWPEVGRLERLAGVAERLADLLAGAGEAAKRLEAPLQARADAHTPSAELGEQLRRLGAQEAELRREASEATQRLTAIEIEGARLEDERSALRAQLPDGEGPEALADADLDQLRATIERLERRREALGQVNPFAAQEHARESERLAELTAQREDLERSLAELETLRADLAETVEQRFAETYASVERHFAEVASTLFPGGRGRLVAAESEEGEEPGIEVELQPAGKRITRLSLLSGGEKALGAISFLFALFLARPCPFYLLDEVEAALDDANIGRFVELLRRFADRAQFVVVTHQKRTIEAADILYGVTMAADG
GVVGAHTDLFCPRRHGFPHRAGPPEDRALRCLPPVHSKGPRRPAAAQQEPGEQHNHHAGQYRACDRLASCLDNCIAAGPLAAPALRLHGGAPEVQHAHPGAVLRAARGELVLRPAARADARNCSEPVKRPAGPRLTSEVKRPGGSPPDDPTPALTP
MLLPRRFLRKFRNVTLTQNSLPYKHHWASIGRALFCLDGSQVLAFAEVVPGRRRFYPFAATTATASPNAYMLAPIGGNLLGIGASTNTWAVVDITSANGGSGHAYLPVITFPRPVFISRIRFVTTGVVNGGGSPIDRGTFSFIDEKLTARQTAVPTFTIPANSTKYLFDFEFGRTQVHAMQPHISFEGFNTSNSLRITQMIVYGAVAE
MNQKNSICLIIVNYNSDNFALELIKSIKPNTFKQNLKIIIVDNSERKDSTEFFNKIKHSNAVCIKAPKNLGYFGGARHGFNEYKKLSNNIPEYLIVCNSDVYFKSTEIFEKLINYNYKSDIGVIAPSIISTQLNADKNPKIINRPTSKTMHFYKVLFRSRIFQNIYIILHFIKYKLLNLLKKYVSKQNNRNSKSMKNIYAPHGSFIIFTKFYFLNGGNLKYPCFLFNEEVFVAETVLKLNLKVIYDNQLIVYDNEHVSTGIFRSKIIANYVAISSKYVAEEYF
MARIYPYKGRTFVVETEDGQFHTREKELYRFVGSGLVTNGIAASFTRDVDCVFDRAFFAFTRSETKSIRFYLREPAWNQEFDIVNKKTGAAKFIVEGDYTQIIPSNMYVVVEISGVTSSGYGYYGVFVERL
MSSNFEKHCMASSRHLELVRFETSMVGELTFFLGFQIQQCAYGIFLSQGKYARTLITKFELNKAKSKRIPAALHLKLLKDDSGEKIDEGLYRSIIRSLLYFTTSRPDIGRSNDRKSTPGGYFFLGNNLTMCLEKSRAVFCCPLSKSKYIAVSSS
MAVIIFIIFKSVFAACTLKNGAELDASRVVCRRLNSALKGIKSYTEEQKQYRCEELETIENGHIYCSSENSVGSVCQAFCSAGYTLSGPARKYCLYEEFASEPIKWSSDRETLCLRKQLDSSCLYNNGGCSHKCIDRGFGDIECACPCGSFLDEDDKTCKAADSCPLDITVAIDAREKVCNDNRFTNVVSRTLKGIFGVLDGNLPVNSFRIIYLRDGLANVNLEISDSDKYLSTLDSLFSTPNFCSSSTSAEIPLSSFNEIHSSVFLWLLRNPLTGITYESPLPDKIFVMTSKSVNDRLDSSVCGGGGCIDENDDLIMNIWRMSFTRIASLFDRKSCFNRQQSTKFTCNSNSMTLEVPFCHLNGLSSDDITVNNEKCPSVVSESQNGTYMRWDIGFTDCGIVPKERGALVEYKAVLRTFISEDTLIKPVMPHLNLEVKCEQLIETEFEVTDEFHAIDELYFNAEAETYTPIMEMELFVLSTPRLLLITILLFRNES
MTFPPEAREQNRFAQPEKMGRKKIQISRILDQRNRQVTFTKRKFGLMKKAYELSVLCDCEVALIIFNSADRLFQYASSDMDRVLLRYTECGEPHESRTNADLLQALKRRGVGLEGPELDPGQGIEGSGEKLLRRLAGDRGDLASPLPRVYPAVPTMDPVYWTPHGPPRCDPSVLREAPISHSRLSPFRPAFPGSGIPGLGHHIFSPSHLAAGRTPPSTDPDLPGERPSTLKSIHRAATPGPTLGSLAPPLSWPGLVQDGALTHVASPQTTTVSIKSERLSPCPGIPSDLPEGFPRPLLLPTPRWPRP
MGPIRRYLRVSKYTVLECRIYLENPSDSRWLLDSHEATLKRVIESIRPLVQPKLREEKERIKAKKKSKPVKDVLVEGQSRNDAIVSNTDGSYSVINNGLLDDFEVSIFLRESGSRHSLLTRQKVFRDDKGRITSNSKKMTGGTDDSPIEISEQNIGETRILVESDDDEEMPFANDEAPENNKNKKDNNAANENMQDTAEVANEAAEDKKKLGLTTTYDGFGIWGWVLCLIIKRKKPMESSKKTNDGETGQALMEEWICTQAPQEYDE
MLAPGGGAEVLPAASLNSSWFTNNGFTPRFPNEEYVSMAPLLYSPLDIPSKSLQPTTTQCPPSTSGLYLAAPSSLGLPVYSSTGFDLLSILARVINRPNPRISLGPVDLNCSFVVVDVRRHDNPIIFCSPTFCALTGYDESEVVGRNCRFLQAPNGAVTKGEQRRFTSPEAVSYMRKSLAADKECQTSIVNYRKNGTAFINLVTVIPIPGGEQGSPHEEHEVYYHVGFQVDLNEQPNAILDKLRDGSYMVNYAAAGGAPQLPYPTRERKGHTPPQPVMSKVLKALLADLAFTESLHPVSTTVAPQPSEKAESRDASTSLSTVLLTATPDFMLVVSLKGSFLYVAPAVRRVLGYEPEELVGKAITDFCYQHDIVPLTRELKESSATTGITNDLPHPTMPRTVDLLFRARTKAGNYVWVECRGRLHVEPGKGRKAIILSGRAMGMASMPWSNIVQGGGLNTSIRNTRAISLPEDEGVEVEVVETEREFWGSLSKEGVLLVVGRGAKDVLGWTEPEMCGSMLASFLANGEEHAMGVLDEELRRMMRRKDGGHVGVHLVLHQPQPQPQSGGDLGIPRPAVLYQIQVIDHLPGNIQASGALCHESSGDVFEELQVTRGTSWQYELQQLRFANQTLNDEIGLLEAQRVQAEQQQQQQQQQQQQQQQQQQQSGRGESTPYDGRASYPTYSGVKRSWDSRQ
MKITEEVKEVINNNIVHLATSSKDGKPNVVPVGLCRVISDHELLIVDVFFKKTRKNMEENPRVAIAVEALEELKAYQLKGKAKIFAQGEWTCPNG
MVALSSEMKEIFASNKVVPMATASKDGVPNVAPMASIQIVGDDTVWIMDNYMVKTLENLKENPIVALYFYDQESKRCFQVKGATEIKTSGPDYEKFRDQVRTKGHKYPAKSLIVIKITDVFECTPGKEAGKKVL
MKPFQFLLIGQFTEKQQITGFLKAAAFVRDKPGYNFGYVDPSVNKAPRSEFRFIIADLRMRLHLTDLRQPGQHPLAFDVAQSSFNIIFGIQGRIHQAAGCRH
MTSRDTLLRNWTTTETKHTIPKGMTAATISRLHMVADCFRDAAYIYLHSILARITQDIIIIIEPPSPSTRPPWNNLISLPKAAAVQRLLQRIHTCSIDDNCEYSALTFPLFIAGCESETQSDRSLVFQVLTTLEVNFGIRNVQRVKELLGILWRGGKSHWLDVLEELQWDLILA
MSAQRSRAPAAHPVPPIVYPESLPVSARRDEIALAIRDHPVVIVCGETGSGKTTQLPKIALELGRGWGAGGTGLIGHTQPRRIAASSVAQRIAKELN
MRELVGYLRYDTAAELEKLNEIWELDRVFTNYLLPQQKLLEKHRHGAKVTKKHDAPATPHQRAIRHPTMRKRPSSA
MRKIILAFILGVIVGSGVFLVPKTLTRTPKTIGQMFVGRQDVYYAGYPGDVIELHVYWIKKRGNMSAVYSIRDLPKCLNLLAIVPSHEAVSGEVEKEKFIILLQLKGEGKCTADAKFVLRSGNVEVSMPIRIVAYGVKKGSKLRVYTSKVAEIGSEGDLNGSILEYEIYNPTNSSVYIRDVKIELPGIRIVNFSPIQIPPKTSRILTFILKDDRPIKTDIVLIRPLLLYSIGNETLEMPLEEYRFEIIPDYTDILGNTQVVVK
MGSCCTGTQSQEKNCQIILQTQIQNTIISEDLKFNKQVRFIQGYLSINYSLCKRLFSQEAVLESNLEIEEITKEGNISE
MQMPKGVALRSLIFGDDSHILVTGNNDDSIRVWDVAKSCCRQTIRDPEQSWGQVTTLVWANQPRRDAAEESPVLCVGSGRGVIGMIPFSKTYVAIVAGITDTTAFPLDHPVESIAYDSVHTRLIAASHFGQIKAYSVLPSNALEPLWQTPPCNHIPIGLAFWGPQNDKVVATLLQSGEITCYSSATGIIDWRKRLAGAIGGSVLSKDSSKLLINNLTTNNFDLFSFPEIGKVRTFTIPETSPSHKIKMAIFSGAGEQFVLCGSLHNKIYLFDSATGACLEQLDHVAIAPGDALTDYIQGHRKQPRVFASGSTDKICIWKDLVSLPPAVDSIERGSPFKLLGLLVDTRFLVILLGLHVTYSTWYPYAAQIYHSFVYPSVAWLNDQYRSLLEYKADM
MLEVMRHLNSFATGENTGQRKVWLLLGGDPEGADASLKAGVHVALQLSKFSDLQ
MFKKLRIKFIAAAMTAVILVLAAIMTAINAVNYYKIRDYADGVLNILVENGGEFSEPSGQENDVGQGDIPPRKPDGKRDGGFYAETPYETRYFAVKYENGEKTANTERIFSVNEEEAVKIADEILKKGKKKGYYGVYRYAVSEKGDLVVLVDCFRQLETSNNFLYASIFISLGGIALVFLLVVVFSKKILRP
MSKSNLNTAAEDDLRAMGLPAAFTMDDLRAAFDPAEIAAMSEGDDPLVTDLPEDLRAAGVKSKSAYDELNETAEEGDGDDGDDDPDGNGDADADEDDQDGDEGDDPDAEGDGEGDEDAGDQADDGADADAPGDQQPPKADTTPDPVLTLKDTAELETKVNTFDSKLEDLQALYDDGELTNAEFKAKLKELTTEQSKATVELERAQEANQRVQQEYAQSWYGKVASYTAARPELMDQTPIPGLPEGASAFKVFDNALRHVTSEAGREAFGHLTMAQKIDAAAKISNAYIEQTAGKPLKATDPKPKPKGDGKKAEKPGPRTDKRPDRVQTLGDVPAASDMDVQNSRFAALDSMDPMEAEDAIARMSKSEREKYLAGQ
MQASKSLEAIKKPEVAASGFKVELITIPYFGVMEILYLMGGILILSKLTPLVKQVRKLILAWKK
MFELNAFVIRGHETVIDHYRWLRHCAISEMERQRLQNRMDEESEALHLSSSGNGAQRLRKVGRHVNIVAASLREIVNARGASHQSI
MTRNYSDTRGRLTPVDVVYYGVAVLLLGFLAEPMYTVMNDNAGELGTGTAYLFQLAFPAMVVTVLTVVYLTGVSGGAK
MKILKTVGNRRNGNFSNNGINSKWVPTRGVKVKKVPLGMERGKLNKSGGKGNNWAWTIEWLLIDENKKVIDRYVKYKSGESSILRTIVPRAWICGGDEYTILFKDLESGVFEVVDKDAKLSEVLLNKLVIEFPTMYMFKGDSSIITREKVLDDDLSSGSSDSDASSDSSSDSSSDSSSDSSSDSSSDSDTPPEESSSKPLET
MKRQPMLSMLTLNSSRHAVAPQPAESAAVAADPAFGIEPKYQPVYRMLLRDASATGAPAAVRAAAGVPLAVKRRIRDAVARATNSTGVGHQRQQDKSCFSSSQSGSCCSRGWCEIPLWQKSLRTLEGMYGSGVLSFFVFLKSLFALNIILSILLGLFVIAPQAAGSGQYSSMLASLMSSEKTNASGLCSEIYAANLSSVISSGASLARFLDVIQGTGILENTILFVGYYFPTALSIGALSYNMPVAYLAVTGAAFFISLLFMLERTGAAFKEVLLQRAQPSVSSKSTRFSPLILSAWDFGLSDSKTANLAKQLLRNNLVAELDLHRLLQRRLEAGRCGGCSLIAKRVLVNILVLGLLCGAGFAIYQAQKVSAEYTTAAGVDTSSFAYLAYQYLPMVIISLLVAILPILFQLLARLEEYTPDTELAVTLGRCIFLRLASLTVLVISIYSIITCDTKDTCGVGVAPRCSAVHCWEAYVGQAFYKLEITFFAVQLIVCFVVELPRSLIARKCQCKAAKLIGPPEFNISDSVLDVLYAQVIVWFGMLFCPFLPVMNSVVLFLLFYLRKFSCHFSYKPPDRPMKNSSATVFFMVTLFLAYFVCLLPIGYAIVSISTSKGCSPFRTGGTAYQPIKDVVAGFSSEGRHLIDYLSSASFLFVVIISLLIAIHYYRTLAIARKEIGDQRLKQLNEQEEIKFARIRSARLAEGGSGGGPGSGPSGVQADEANRPLVRRQHSGFDAAAVSGAEAV
MEQYNLSVDDIQGNRRRGRPASNGAAKAAREPLPAKYSDPKTGKTWSGRGRAPAWLGKNPNRYLIAEE
MTTSYAELKAQIAELEKQASQAREAEIANAKNQIREIMQAYSLTIADLAGPAKVSKPRKAVPAKYRDTSTGEEWTGRGRAPKWLDGKNRADYLIK
MYLLNRNKTARDNFYKALACDPDQASIHYYLSKTTDGRLSEYHLKKSAEMGFYKAINEITRLRR
MSRLPYQSPSRQPLLQGGGGNYRQSPPIPQDFYASNVPQAQGIPMKVGRCPSDTLAKTNYLFVSPKDFDPAVRHVLVNGQFVFSIKPDEGVPPRQIGTTLFHRRWASLSLNEDIIVTPYRPEGANIYLGKIDLEVGFLRKVENKELFDTEEMSRIFIQVLVFDFHGNNLQVVVRSVHVVDFVAMEKG
MSNYLIAGSSSEAYANAAGSSSQLQPVTDLLGELVPVWLASKAELSKIRRLQAETQATLKRVQIDHGRVLADNEGIKAYLESVWSLLLHHPVQPSLAPRYMPPPSFTFERISDFDGPSASFSFEPRCQLLNSSHDWDVPQPTPGLTPRIYSEAPIHQLSPVAESPNPQVQPTPLANSPTTHTEVVAESPTPADVPSADVPSTDVPSMDVLTADFPPADILEGLRGVRGQVPGSSAFLPAPPPHPHLPQLTQYAARQCHHPQANSKHLKPPTCPPYPPHHPLSQIKSGCLHGGQTCFFSTVYHSHNPTNPLPPPASSQPPANSTSVNLTSPLLRTITNAARAFVNGSFVLFLTICILESQPVLCAIGFIPIFNFAAVNLPPPISTRTPPRSHFMKHVQLRKVWIARRVECRGQGPKPHNPTPTPIYHSSTTKCCLTTSKPFPSPPMNQTPHPTHPLPRTTQAATPAA
MLQVGANAGDTFEVDLSDVRTASLGIDQIKVDPREKAVEAIAKIDDAIDKVSSERSKFGAYQNALEHIHNNVSNNAVNLTAAESRIRDADTAKEIMEMTKNNILFHSAQALLAQSNKIPSGVIQLLR
MFFTPFTKTFIVSVFVGFTPLLVIGMRNPPGSNFFPSARMEAGGSQGRVNLTTFSLTELSLLMKILDEIHLPEIYFSSESSFENVFRQKGGQVIARIGLAVDDRMVIDFRLKIRAVSKAIEEVMNYFTQILENYERELIRNYQQTVDRGTKLRFINKRIDNYREIFSPEVYDPLIASLDIEELDAQENFDNIPNTLLNIGETELHGLEILLVKVLPKERNLFLYYKLHVLTRRLILSFIQDSSNAYMTFFEDLYTRLNTNNNPYRLKISILQYIEALDKYEVFANNFHDMLENKAFSWIYETSSLTTNLRNDELLLRNEGNNTYPVRCQCNPRYENIDRIRVFWPYVRLIHTFTIRETDSREITNEEFARFAPFLIQGPNNTYNLYNLFYSNYVRNNDNNVKGRLEQFYINPQLHTRISEQLENNTLGYHRELREMQAFREMAIRKLYIPYTPPVPTVDEQEAAEMLAAISQQASGRRRRRNGNGGNGNGGNGQHGGGRGRHD
MYKFLLKTPLRKVWQKVGLKKRAGVVFPLFSIFSKKSLGIGEIPDLKLAIDWCRKVGMTILQVLPLNDTGFDFSPFNPQSSFALDPVYLSLRNLVGIEKEGIEKELKDLIPKFSLKTKFVNYQIKGEKLKKLWLIFLKRASFPSGFKKFVRRDKYWLEDYCFFRVLKEVHKEKSWEEWEESLKNRKEKSLLKFRKKYHKQIEFQKWLQWQLFEQFKKIKNYARKKKIFLKGDLPFSVSRDSADVWQNKKYFKLDFASGAPPDKFSRKGQRWGYPPYNWEEILKNNFIGAHNFLCARLKYFQNFYDLFRLDHIVGFFRIWVIPAKIPLTKQRVIGFFDPKDKKIWEERGRRILKLIIENTKMLPCAEDLGTVPSFCFKIMEELGIPGLEVQRWKRNWQNFEYLKPGEYRPLAVATLSTHDLNPFPAWWEKEVTEEDSKKFWKMIFLKGKVPKKAEKTLIKRNLELIHSSASIFVILPVFEWLFLGDILKGDPHRYRINKPGTISKFNWTLRMPISLENLLNHPINSQIRGIIQKTKRV
MTQLPRRNGVLLHPTSLPGAHGCGDLGLAAYHFVDWLRSAGQSLWQVLPLGSVGPGNSPYISPSAFAGNELLIDLGQLHDAGWLSEADLRPLPAFPTGRVDYDAARRYRLPRLRQAAKCFLARHDTAQSAAFARFCTTASAWLDDYALFMALDRAHGGDGRMWQDWPSTLAGRQPAALRAAQAEHADEIAFWKFCQWRFAEQWAAVKRYANSHRIEIVGDLPIFVAAHSADVWANPGLFDLDLQGRPRVVAGVPPDYFSATGQRWGNPLYRWSAHAAEGYRWWVARMRQTMSLCDVVRIDHFRGFESFWEIPATAETAIDGQWRPGPGEAVFAAMRRELADSQGRLPIIAEDLGIITPEVNA
MTNTRKAGILLPVFSLPSRFGIGSLGQASYEFVDLLARTGQSVWQILPLTATAQDEGYSPYKSASAFSGNYDLIDIDQLVEKGLLDWNFINSVDQSPYHADPRYIDYGKARALKMIFFREAFKNFNPDQDQAYKDFKKENAFWLDDFAMYMALKEANGEKPYWEWPNPKNEANPNTVDFHCFLQYLFFDQWHRLKNYANDRGIEIFGDMPIYVSRDSSDFYYNRDMFLTDEKGEPNKISGVPPDEFSATGQVWGNPLYDWDYLKDNKFDWWIKRIKKSLELYDIVRIDHFRGLESFYCIDPLTKDAMNGQWVKAPGKELFDQVNLEIKDAKIIAEDLGIITDEVRELLEYTGFPGMKIVQFGFSSDASNLNLPHNYTKNSVAYTGTHDNNSLAEWLKTCSYGEFSYAEAYLRMSAYDRYTESLIRAVMMSNSDLAIIPLQDWYDFEGWSRLNTPGIDDGNWVFRMLPHEMHNRDLEGYISYITGLYGRYKNR
MVHRKSGILLHLTSLPSGWGIGDMGPMGYRFVDLLWAHGQSVWQILPLNPTEEIYDFSPYNSTSAFAGNSLLVSLEMLVEEGYLCNDDLPSKFGSPDADFKRASSVRKKLLQKAYDCFFPSDEFQLFCKTNEWWLEDYALYQALKSYYRLPWYMWPQDLRMKEPGAVDMAKEKFADYINKEKFVQYVFYDQWGKLHHYAKSRGIGIFGDMPLYVAHDSADVWANQEMFCLDDEGMPLEVSGVPPDYFSTTGQYWGNPLYDWEIIEERGFEWWIKRLEHAFSLYDMVRIDHFRGLVAYWAIPFYESTAVNGRWVKGPAERMFAVLKNRLGDLPIIAEDLGDITDDVRQFMDDHDIPGMRVLLFGMENPKGNIHIPFYYKENCVAYTGTHDNNTVAGWYSEEASPQAIRNLALYLGRKTDVSSVSRDMIRLVELSVAKLVIIPFQDILGLDSSSRMNKPASREGNWRWRMHEDMMSAPVLKWFKEITGLADRC
SSKFWSASELQTFLTIIGENHIQEELDGMVRNEKVFKEVSQRMAAEGFQRTSEQCRAKLKKIMKSEGQQRPEGKWAKYIKVLRCTGCYL
MFSPGETLKMVANNPLYRGTMESRVVAVNRTSMIVTMPSRDGKPVFLPVGTIVRVQRGDAALEPPFQAEVLAREFKPVALLTITLPQAISRGGRSEPGGRGTRVIAVTSGKGGVGKTTLAINLAIALSRLQLRICLIDVDLGTANVDFLLRLNASYNLSHLLKGEKELKDIIVEGPEGLRIIPGAVGLADLANLSDWQYTRLIHAFNQLEQECDLLILDTGAGIAANVTNFLVAADTVLVVTTPDPHAILDAYALIKTLCSLKQNPNIKLIINRVESYEEENQVKRNLLNSCRSFLQQPIEYLGWVTESAHVTRSIRDITPFVISYPRSEAALCVAAIARKLAAVKPEAEREKEKGLRRFIDDLFGLLKKSALP
MRLVSWHWHKGNIIWREPRVIWRVVELIWRSYRLSGAHPDNLAFVLVIWRSVLYSGVRFGYLAFNSIFWRSFWLSGVQFSILAFVLIIWRSVLYSGVRFDYLAFNSIFWRSF
MSTISEDHLRTVMFAYTKVLSPSAQVIAIFANFLNVITFCKIGLRDSVNITFLLLSISDLVYVALDTCQGIASVIALSPWQNDLPVSMITVGVIIQFYQYIFLDASTCMETYLAITRCCCVAMPLRFKNIFTFQRTV
MHADQECCIMHIGWIIVLCFTFPRYSVATSKCYDLNGNAPADWVVVYKAPAQNMGKALTAGRPPVAWQNTPDLTQANNHAVFKPLEHVIAVNPTNKFIAYNNIPPDVPKVKTKSNSKGVLMMNPGAQDEASWIVHTVPGFPKALTGYVFPPAEIQKGHLFICLTIKESEIDAIDGQRNHLIPGMFLTRYFQQLLYPCQSRHRSPACRNGCHPLLVHQRFIVYKAPAQNAGKALIAGAGAAAWQNIADLTRGAGHAVVKSLEHVNAANPENKFIAYNNIPPDVPKIKTKSNSKGVLMMNPRVADEASWIVHTVPGFPTALRVYAFPPAEIQKGHLFICLTVKESEIDAIAMTLRIATPLLYHNDIPENEINSRPNLQKLAEGRSRFMPPLTVAQEISTAGPGGLKVAIYSKSEKSRYASPIAVNGHASSLESDVSHWLISEPGNKFCAVDKPYHKSQTKEPAMAVCIDDATIFGHFNVIGQNVENCT
MDDFLFQEMDLDALPLLLLDVDEDDVLLTSAELAMTRPTSLQETSCPAIDPDVPMRSVPSTTTSTSTTVTVNSSSSSTKDAVYSPLLLPWSLCCSPVEMDTTLSPAPSLSLLDASLSTCSPRPSGQTVLVPMMQMMPRCSSTSRSCGSHCNCDSSSDSTSPGSSSVSSSVTSLITTTAVKSRQSSAKLQSMAALAPMASALLPYAMPVAYVPPFPITTSSATATRATAMDSDDATAVKTKRAIRQMKNRESANKSRLRRNARLPTLMTDVAELKKKELGLQTVVAGLRAENKSLLDQNAFLRSLVISCKQESSSRKEDPLDAAASLLLSSPLAMDQSRVALHVLENGRKKTDSDEIGGDTQVSDMTILTPRPGKRRAVASTLSTTASLTVCASVFGVTVFTSYDGDAVDAGTIRGVGRVLHESSKSCGMAKCSLEASKSLVALVETTVGSWWHEITSSELVFGVLLNVLSLVAIMLLYRLCESHARRGVNKCGHA
SVPTGETRSEMSRFLWRGRVAWAQGAGEETRSEREKKWAELFEQLDANKDGRVDVTELGEGLRSMGLFLQANSEQEIVRVGDTDHDGQLDLAEFTEYLRQHEKALRLVFSNLDRNHDGLIDIAEIQQSLANLGIVITEEQAKAILLSMDKDGTITVDWIEFRDHFIFNPLTDLQGIAEYWKHRAFLDIGESIRISDEFSQEDWTAGVWWRQLLAGAMAGAVSRTCTAPLDRLKIYMQVYASSDKLNLKSGWKTLVREGGFLSMWRGNGINVLKITPESSIKFMSYEQVRREREGTGEGGWHAASAFSSVTATQTLPPAEQLKK
MINFTVKSLITLLVTSSALLLTPMRSDAQVDMKVLSQVAEAARKIHFHLIITKK
MKSLHPRKDDAGRQVELKAPSAPTSLESWGDPLERATVIPDGPMPARLNGIDVVSWIGAPTDTAAWATLAIQQTEVFTEPPMMSAPGKAAASGVVILEGNGRVWVVSPSNQHGGYVNTFPKGKLDPGMGLRANALKEGFEESGLQVILTGFLCDSVRSTSVTRYYTAKRVGGHPADMCWESQAVHLVPRDQLKSFVTHPNDQVVLQALAALG
MAGDSKTSSRARVSTSSSNSAQGVGVTTFLIPAGQGANAYVRDAATGKNPNLRTASQAFVDAIAANSAAGHAKRIRAELESFATAYPTHGWDATLARLEAAGALDGNA
MKINKIIKPELIKIAVTGHTNSGKTTLIRTLIKKEIGEIDDRANVTKDVKPTPYEYEGLQAVFIDTPGFQQANLLLFLQQQGLTELNSELEKKLEYEIKAWGTIKSSDVILYIVSLETVPDDSHKEEIKLVVSAQKGVVALLNKGISQFQASPKSDVVAERITRIGQWKDILCNCGVSESFVFDAHWYNPDRVEEIYGAIKECLPINKKLFFEDSLLAFREHQKNKFKTACNLIFECLDKCRQRITVQTSAFDYNLDKSKEEAVFKMSELLYNAVMDFINNASKLYYEVVVPLNIDDAIIQNPKPNIRRKTNIRELLIYTGSTTSGLTALGTTLGVAVGASASTVLTGGVGAGILIGAQVGSVIGSCLGGILGIVDNAQTDISAQVSKEELGKIQCICINVIWALSQHGFGVGKEVDESLMARRYEIVQEVCTATNFDWLSADEEQIIDRCTKNLSELNKIPLAHIANTELNANF
MKKKILLTMAAAMLALASAQSMAMIVVDSDGQYWDCNWQRGVLVCVPLDYMPPLDP
MTQPFKTAFSIAEDWAHAAKDCVDALMPLAEGSALGLVYATDSLAEDLGSILTYLRQKTGLAHWSGTLGRGIHGDGSEVFDRPALAVMVVSLPDSACLPFTSLNSEDLAFSTKAQAWIAEHQPTFGIVHGDPTNTEIPAILDALGEELNLYLVGGLTSSRQAQYSVADTVTGGGLSGVLFDPAVTVMTSLSQGCAPLAGSHVISDCLDNVVVGLDGRPALEVLKEDVGELLARDLARLAGYVHVALPVEGSDTGDYLVRNLLGIDASRGWIGVGDTVNPGDRMMFVRRDPQSARADLKKTLTKLKNRLPSAPRGALYVSCLARGPHMFGEEGAESKLVREVLGDIPMVGFFANGEISHNRLYGYTGVMTLFL
MTTPFAAAFAVGDAATLAERCLAQLPIADGQATLGILYVTEPAAPVLSVLVRDLAAGTGIESWVGGVGLGICAAGQEVYDRPAAAVMLAPLPPDSFRLFAATADPGSDLPRRHQNWIDNTQPTLGLIHADPRCPDVVQAAVDTASASGAFLVGGLVSHRCETPLIAGGTERGALGRNGISGMLLSPEVSVATALTQGCTPIGPVRRIDEARDNVVMAIDGKPALNVFLDDVGPDLARDPRRLGGLIFAGLPVQGSDTGDYLVRNLMAIDPRQGWIVLGAEVSPGDAIVFCRRDPDSAKADLDRMLKQLAGRLQGPPKAGIYVSCIARGAALFGEPGVETGMIREQFGDIPLIGFFANGEISRDRLYGHTGVLTLFT
MARPKRFADAISTEKDWRKAVAEAARAARAKLGPSPCDLALVFVSQAWDDFDPRKLSPLLAKHLAPLHTLGCNASGVLAGRREVEGKPAVAILAMSLPDVRVHGFILAPKELERMEDGRALVACLDLYPTDRPKFLAFCDPASCDPERLAALCNQAYPGAPLIGGLSSGPLLRRSAWMILDTEVVESGTAAVALTGPIEFQTVVAQGCRPIGEALVITRAEDRVLYELGGRPPLEVLRETLSSCTPEDQRLARKALFAGLLIDEKRAGARRGDFLIRNLLGFDQDMGSLKVGASLRRGQTMQFQLRDAQTSDSDLKSMLAALPDAGSAPRGALLVNCCGRGQGLYGDGDHDSALVQSMRGPMPMAGFFASGEYGPVDGRNFVHGSTSSLVVIR
MKNPKKDLFEILKDKSLKISGLFNAVQLFEVEAEEIKKLTIERGLGKNVDIKEMASRVISAKKLLDEALAFAIESQLEQAPNDCADKSAQ
METAGTMTSRTTSALHVMRDLWLRSSEAQLGLISAGVAFFGFLAIFPAVASVIAIWGAAADPELIRGQIMVLKDFLPGDAFGLLDGQVEALITANGDSVGLATILSTIFALWSARAGVDALIQGLDAAYGTSPRHGLLHAVQAMLLTIALTGVALVSILAAVIVPFLLAVLPLGPDSTRWLEVTNELLGLSVVVLGLALAYRFGPNHSAPPRPRIIPGILLSALIWMVASRGFMLYLANFNSYNKIYGSIGAVVVLMMWFWFSSYAVLLGAALNAVLPRRGQ
MVLLPRSRKAVWLAALGGALAQIDRRNLGLIAAGVAFYSLLSLFPAITALVSVWAVFADPLDIQPQLALLSRLIPTAAYELVAGQVAALVSAPPAALSWAGGVSLFVALWSARAGVGALTRGLNTVYGEQNRRGLGEFASALVLTLLLLGLTAAVLALMVLLPVVLSQIPVSFLAETLLRVAKWSIATGLVLLSLGLLYRVGPNRRAARVPWLSPGALTATALWAIASLGFTFYLEHFAALSRLYGSLGAVVALQIWFFISALSVLFGAALNAELERRTAEDSTIGRPRPLGQRGAEAADTYLPTR
MLYDGNVIANGTNVITIADSIKTLKLKEESLSKMLLKQNDPKLAEKKVNTKPINYALLNQLSDDFTKRFIPQSKLSTEQAFWSKNSPSPVDPSSSSTIVKTIVPKELPKTQCHLGEFCDSDLEVAFRKHTCFVRNLEGVDLLSGSRETNLYTLSLGDMMASSLIWLLSKASKTKSWLWHQRLSHLNFGAINHLARHGIVRGLPKLKFEKDHFCSACALGKSKKHSHKPKSEDTNQEKLYLIHMDLCGPMRVASVNGKKYILVIVDDYSRFTWVKFLASNDEAPNFIIKFLKMIQVRLNATVKKVCTEHGTEFVNQTLRDYYESVSITYEIPVARTPQQNSVVERSNRTLVEAALTMLIYAKAPLFLWAEAVVAIVSSVHADEIFVPAVKAQAPGVTNDSPSSTTVDQDAPSPKLNEFKRLEVWKLVPRLDKVMVITLKWIYKVKLDELGRILKNKARLVARGYRQEESIDFEESFATVARLEALKYALESLMKYGMESCNPVDTPMVENSKLDEDTQGKAIDPTYYHSMVGTLMYLTSRTVNQGLWYSKDYAIALTAFADADHAGCQDIRHSTSRSMQMLGDRLVSWSSKRQKSTAISSTEAEYIALSGCCANNNVQHSCSNHIDIRYHFIKDQVVSGVVDLYFVRTEYQLANIFTKALCRETIEFLIDKLGMRSFTPKTLKALADEAEE
MAALFVSAPADAKQSLDNPIDVTDIEARIGRAQEIIEKLDRQIDYGGVTRDKTDSNKMAWSNWRNFSNFRNFQNFRNFQNFRNFQNFHNFANAPHYQAPPVQHQQPSVQAPQYHPAPPQPAITHQAPPLPPSGTHQAPVLPGVQHPQPGLPPTVTHQSPPVPGTQHLPPGLPPTATHQPPALPGVQHPQPGLPLATHQPPNIPGHPIPGLPPSIGLRPRPGLPGSQFARLHHHPYFGRLPYSGAIYPGYYYSSVDFDLELALVPLDPDAPPDDLPPDAGMMQALPPDDDAPPPGPVVGGDNPQVMAARPDQTLPPVNDLDTAPSNPNPAQPPAQATPDTPAETATPPATDPALASTPPTTAPPTPDQARIAAALERAVAAYQARNYDDAIAGFSEVIGADPSSALAFAGRANSNYAKKNYNSAVTDYDTALTLDPSAIMLYQNRGVAKQKAGDLDGAIADFDKVLADSPLAVPTLLARGSAFAAKGNRERALADFSAALSAAPKNPAAHEARAEFLLHGGDTDGAMADFNQAVALSPKYATAYRGRALAALAKHDLDPALADAERSLQLRASDAANLATRGRILEALNRKDAAIADFRSALAIDKGNVDASDGLKRLSDAH
MSRSRRRKLQVFRTAVFLVMGAFFLVPIGAMFEFSTRGSGVTAPRTLDAWTAIAKVPELLPAISVSLQLAAITAVAMLVLLLPTMVWVRLRLPGLSRTVEFICLLPLTVPAIALVVGMVPLYRWIGPNLSDSILTLSFAYLILVLPYTYRTLDAGLAAIDLKTLSEAARSL
MARRNLTWLPWAIFAMFAVSINAESTMPYRPLPKNTTREATSFILDPGQHMEHVQVPCHYAISGNYCLLPRALFYATIIATLVLRHHPWLYVGALAASLTYSGSAAIHAIILWCSNRAWGELDVIALAAILSVSCTVLVPLLNWSSTIRNAGNPAKQARRQREKFDRLGERLAEWDRHQMHRINEKRMGEPNTKIVTKADLDEAHHRRTFERRLKRLDVGRSKKRLDDLDAASRTILIVWGVLVTIGFAFMFVAIVDPSPDARYGRYEYGTYTYATEIACLPDNGTFDLQAGQDPNWPYFYFSSEFLDANGCQDPCPISPAFQGAAMFRESRDLTAFSKNEVNLFATIVSTWDFLRRALNILWVLPYVLLQGIWATFLGRSQPGEARLRVYGFLLCLPLDGRPKGQSAGPLQCKVAKLVAFMTYAWAVFVTVLAVPLLVANVVVAEIYLHELPQSESPMHIGAWSPYASTALALISLFIGAPAVKKYAEDLLERITTSLRRIGRFIVTRKRTPRTKLNQHISVRALWFIKRVFYVTPIDFILERRDHILDALHEEWKDTAAYLRNPDEELAKFVVRREEDIAAEHEMEKEQLQISPEPRRLGLVTYHAITM
NRGPGQVRSSACTPKIQAVPPPDRLQTSGVSSAAAAAASSSSALPTPPKKDDTTRAELLLPTPEQLFLGCGGGGDDPDCQARRVFSAARRRLPRGDFARCNWSAGSSMPLPFSTHRRPHSTPNSSPPLKMQLN
FKWRGEYGADSLTGEDWSAEDATGEMYCSGVDREGRPSWTWRCHLHDHRRRSAEESTRYLIAILERTWLINPAADKMHVICDCTGLRYDNYEHVMCLQCVEILGAQYPDQLEVCFMFPISWVIQALVAVSSAVLHPDTMARLSLMEQNDVVTTLQKHFDLDQIETRLGGTLDVATASSRRVITEGKGPLCEYTKEEWREML
MKIKSKKIKKKIIFKTFAISASVAGVLTTISCNEDNDEKMLNKLIDEVEKAADDDKQKQPVKPYESSNVLVEDKSFSEMKSELISWINSQENKEWIPTEQQINEMNFSQLKNWNSKITLISFIEKQTYEFPNVKNILKMNRKEFEKTKKIVELLALISNNIDKTDFPTVAEVFQMNDERIDKWYNRLFLLKLVSKSEDKSNLPIREDILNFEINVSQEWIDRLKFKLFLASEDEIYLIHNLKLEYYYDEKDKIFDVLITLNGIKDLPTWEEINKMDSDQLNKFAKIFEIINWLTKQKWSHFLPTIEQILSLKSHQLEQWETKKIELLDIIVNKKMGSPKMPSWNDVMDLKITHYFSTQKAILRLISQGSAYESLAFNSLPTWEEVFNMKSEDYYRWSDYEKLINSLLDIDFVSDLPTWSKINKMNYQKVELWENKAKIIKWILDKTNQSKLPSFKEVINFDESEVQNWLDKIEILDWIYLQEEKKIYNINTERIYQIDKNETEITKVKIDFIRFINKQESNNKNDWPTAEEIFNFTYYQSENLKKKIELIKFVNSIKNTTTTVWEKIIDLDSESLKNMTKLLNFVFDNNSNNNYLPNLEQILNLNPYQLSRIEYKIKILDYIKDQSDKSKLPTWEQVMNFQFHQLIDPDIKIQFMKFVNSKSQITFVNWSKVFALDSNYLEDMETILEFVIDQKDKSSLPTIEQILNFDSSYLNNARLKTQVLNFIINQEDKVKLPTWSDIMDLNWVQLNNLDDKILFLKFLNRKEDQSQSITWKEVFNFDFSQLDNLETRKQLLNLILNQDNQNNLPNLEQILNLNSDKLLKIDYLLKFISRQNDKSNLPSLEQVFNLTSNQLENLNSKTTLLEFINKQNDKTKLPTWVNVMNLTSDQLNNLNNKIKFMQFINSKTEIISVEWNKIFNLDSNSLDNMKLLLEFIINQTDKSNLPTFEQVFSLTTEQLSEIQLKIRVLNFVITQEEKVNYQLDLK
MALIVSEEKKFSAVGFFMAFLPTQKRIMALQHVGKSKYSEIMCQISTEWSEDQEGTLTSIGSAFVKHSAPAKWEMKQDLVRILGDR
MNAEEAYEHTVEEENYFVSMTDMMVGMIFIFIILLMYYVLQFSTTISRFEDVNEARAKIAIELKEELERRGVAVTIEENSSVLRLKDNVLFDSAQSDVKVEGWPKVVSLAESLRAVLPCYTDEAPTVIRLTRGVCSPSPHRIESLFIEGHTDTDGFSGLGMVDNLDLSAKRAANVYRRLKGADGGLAQVCTKRETGRCVPVLSVSGYGSDRPADGDFAAKDKNRRIDLRIVMRTPPTEEYRKVEAGMAGS
VGQLSIVAAHLIAAVEPDIVTICLAKAAAMLCGGQLYVISQTMIADLTGSSPERLSAVMGTQSALLSGGFLSGAILGGRLAERGLRVCYGTSAILACAAAANFLWRMPETLSEKDRVPFDTREARRKVLESPVSCTKLLVGHGAAIRMLSVLLVLQSIPMNMGDIFQVFTSSEWGLKPKDFSH
MDLESSSSSSSSSSSTMRPSVHPSGAIRGRGIGGLGTRGRSGMAKRALRDLAPLGLPGLSSQSPDAKADLAQQLEAQLSVADASQSQGGAAGSKLAQLAVARRNKRPAAATLDASGSSDGHTAAGAAANAHAHGPAADTARPSKLAALAAARATKPSAAAASVLPPRAAAAATAAPMTARPPSKLQQRAQASREAKASAQSAQGEIEVVVESEEVRAARRRELEQSRTLPSGVRSSQLFPMQEDVEARQSKEDANGQSLSSAFASLMVGGKHAKPVPSASPFEPLQRSSERASQLRNTAFAKLSPDEVVLQARQGSALDPTAHAGHR
MPSFEQFLPLFSSLYSQWTGHLPTAVVPLAGSGSQRLYVRITSGESSVIGTFSNNTEENDSFVYLSGLLKSKGFSVPEVLIISDDHHAYLQEDFGDESLFDLVQLAWYQGSFNESVIRLYKDAISSLICFQLIAPQGLDFSKCYPAACFDEAAIKDDLNYFRYYFLKMHHIEVNETRLSLAFDSLTKHLMKIPPEHFMYRDFQSRNIMVRQGKLHFIDFQGARKGPLPYDLVSLLYQVKARIPAKIRKQLLEYYLDELRKHGIAHVSLFEETYPYFVYLRLLQVLGAYGYRGIIQRKPHFLESIPYALETLGQHLGMHPLPPDCQYLHEILTEVIKLPYPFPKVINRDGEPLLLRIRSFSFKKKGIPEDETGNGGGFVFDCRSLPNPGRETQFKMLSGRSKEVIAFLEEKPKVGTYLNHVYQLIDQAVSDYKERRFADLMVSFGCTGGQHRSVYCAEKLSQYLRKKHPDIKIQLRHCEMDQQT
MRISLVLWCLILLLLALLTAAQSPGPPELASAPLWSQKRRQTHLPGIIRAPDDMAMHPAAPSPPASPPPSPKDVVGDGVVFPSVQVKDAGDVIPSWPVTVYPLHVEDTQVRCGIVICVNPPLCCLLLICPLVPIVVTLPNSNGSV
MTDFWGIGRRMEKRLHALGIFSIKELATSNPDQLKKALGQAGLRLWFHANGIDESNIHKPYKAKSQGLGNSQILPRDYVKLRDIEIILREMAEQVAIRLRRAGKKTTLVSIYVGFSKQEVRSSIHTQMKVEPTNNTAILTDYVLKLFHNKYTSGAVRSVGVNYSGFVDESFGLISLFDDVDKLEKEERLQTAIDSIRQQFGFTSLLKANALEEASRSLARSKLIGGHSAGGLDGLQ
MYRKQEEIHMNYDNEPRQDILCIDVKSFFASVEAVERNLNPLDARICVVSRPNRQGGLVLASSPLIKAEYGVKTGTRVFDIPQEADIQIVEPRMALYLKKNLEILNIFRQFVSDQDLHPYSIDESFLNVSHSHRLFGTTYQIARTLQDKIWQEMGLVVTVGIGDNPLLAKLALDHQAKKKAISHYIAHWRYQDVPHTVWKIEDLADFWGIGSRTKAKLNKLGIETVYDLAQADLAKLKDRFGIIGQQLFFHAHGIDRTQLSDHYTPQSSSYSRNQILQKDYTDPYEIKVVIREMGEENTMRLRKHQQTAGLVKLTIGYSRDILAAGFSHQVTIEATDSSKKLQDYLVHIFDQYYDYQPVRVVNVTFGQIAPKQGVQLSLFEDNQDQVREEKKMAVIDHIKSRFGNTAILPANSLLDGSMAIYRDSLVGGHRAGDNPKKTESEGH
MSERGVAFIERWIAENVSSETSIEGGAQAGFEQLAKDALRAARSSGVPEMEILEEFPDLPSRMAEALLGSADNELRRQLDNED
MMSERAISFVEFWLIDRIKPDVFHDEEGPAERNKYLAGQLILDAGSAGIQPHEIEEEYPDLNRTIAEAMEEAADEEAKRAILEDE
MGLEENVFIGNSLVRFYEECRDLDRAWQCLMKCLRETLCRGLSLICGYGRRNMPKDPV
MAHLRFSPLSSHRHFHPHHRTPSFPSPRHPRTQRLRLSLSGGDSGAGAGRGSGGGGGGFDSGSDPGGAAFSVVGMVVNGWRSRVAADPQFPFKVLMEELVGVSAAVAGDMATRPNFGLNELDFVFSTLVVGSILNFILMYLLAPTLSSSSTLPSLFATCPSSHMFEPGPYGVLDRFGTLLYKGGLFALVGLGAGLVGTVISNGLVAVRKRVDPTFQSPNKAPPTLLNALTWAAHMGVSSNLRYQTLNGVEFVLEKLVPPFVFKSSVLLLRLLNNVLGGMTFVMLARLTGSQAVAGAHPKKDQ
MITFIVNDAPYGIERTWNALRLATALLNINQEVSIFLQGDAVLAAKKGQEVPRGYYNMGQVLANAIARNADVYA
MRVRAAKKGKKTPGLPGREMPMPPRQMPRMPQRPIAPPRRIPSKGR
IQDPRPVAPNLSQHLGNFIALLDQGQGLGEFATDAIAASLFLDHYAPDMHAFPPRLVFHDLHRDACSEALRIGNKTPAQTKPESGSSTGIVDSDTFLESIVARMDELHSSGEPAVALHAKSYHKYQDQWLSLRTRNTCLLCIQRDPQYELPCRHSICEHCVRVFGHTSEEGPRFFAVLHCFLCGADAGLHVRVRPPTAGVGVLCIDGGGVRGIIPTTILELLEEEIGLPIPVQEHFQLCAGVSAGALALSTLFLNGWTAAKCSRAFEAFARTVFRRGQLTGLAGISQLWTIVNNALYDSLPLEVTLKAIFDATTSMTSPSYASTIAAKLALVVVPIRTSDPLLITNYNGVGDMSERNGYDVYRGEEGLAVWEVNRSCTAAPAYFTPKHIDGVGLLQDAGVIQNNPINLALSELRSLYPAKPLPQYLISLGTGIFMESASRERVPGKRLGYFKRHFLHRLFKAYMSLLSGKKTMDDFDRSTKTSPLFERCIRLDAVVPGPAAPLDDAGSVPRLKCSVHQDRLLQDAICALAKRIIASLFYFELDAIPSRGVLSSEDRAASAAACRAPDEALPP
MTSPDRSPQSEHQSRSYSISSYRSTTTNASSMSHSSLGSEHNVTVRLEGSSVSTSYGTIRTPPRSPLLVLFTRPKDPESRRAIVAITLDKWKKPQMHRCKCLQSPECPITALETSSGGPIDARRFDGDRWDLLQLVTSRGGEAVRPVRVSVWFPAPDLRKKFGGRPCRCSKETEGDLEACHLQGHQGLLGVVRDFHRRLLIQYYQQTNNQVNVVNHPPRG
MGIPTSQSVLALGSAFCFAVATLGRLGWTEQTWKGETFVERLDDWVFYIMYWIGMYLATVSII
MRKINLVNYPVGVRSRNIENINGLSMHILESGYDELNKPLILLLHGFPELAYSWRKIIKPLSNDGFHVVAPDLRGYGKTTGGNVNYDIDISEYEISNLITDLITLVYALNKTSVHCLIGHDFGSILAGHAALIRPDIFKSVILMSAPFEGIAKIERKEETYKTNIHEXLASLXKPRKHYQWYYSERYANDDMINCSQGLKNFLRAYYHVKSADWSKNNPHNLKEWSASELAKLPGYYIMDHGLNMAQQVNIDMPSQEEVKSCLWLTNDELDYYYNTFHKTTFQGGLNYYRCMTNDKIRNKLKLFSNMRISVPSMFIAGKSDWGIYQKPGALENMQINATSNFYGSHFVEGAGHWVQQEKPEEVLKLFFNFLNT
MAYTHQDSPSTSPDPTTLPPLSLPEGITSRFVDTSPRGLVFHILESLPSTLTSSADTKPPPLIVLCHGFPEIAYSWRKILPLLSAKGYHAVAFDQRGFGRTFSRQPLAATSFSPTTLIRDTVTLVSALGYTHAAAIVGHDFGAVTATYCALARPDMFRRLVLMSHPFKGPPSLPFGTSPSYGQSTTTTTSAAAAAEAATPTQGGAGDEAQGNNSNDKDIHTSLSQLPRPRKHYKWYYCTPASNDEMTYPTGPALHEFLRGYFHLKSADWDGNSPHALAGWTAPALSQMPRYYIMDLADTMREAVARDMASEDPGTVANRAGRWLPDPELAVYVGEWGRTTFQGGLNWYRIQTSPEIAGEMTLWSGAKLAVPTVFVGGKSDWGTYQEPGAVEAMETNKSVEPGMYRGTVLVDGAGHWVNQEQPERCVEEILRMID
MTRRIVNRVPTLRPPSRMRHDIRIMGGIDRWFNALVTLYGDYTQAAMAIAYGPGGNGIFHDRTLQIPDWEQLTLTAIGDPNADIFAGQRTDWSLA
MKTEYLKRVQQNGFTLFELIAVLIILGFIGLGASTFMVYGVDGFILAKANTDVFQKTNIALGRLFHETKNLDEIYEIHSNSIRYRRDGQAFGVALVDDKIRIIRANSIPDKNNLGSVLMDNVNSFSLGFKDIDGNNWAVQSNNSLTGLLKIIINLNVAIKNTSRVFSIEVNPFYNNMVNGPTS
MILDSLRQELLSWEGVSEHEHRFGGVEFRFKNKELGHLHGEKLADFPFPKSVRDELIQAKLAEPHHVLPNSGWVSFRIKGEQDIPHLFKLFKMQYDRIANKDART
MDARGQLAEDNSAVYKALYKYISTIAQAGKIIYDGKGKRDEYIISKLVSRMRSDGGKKTDETV
MHHSTPSVQNRAVHDFGAKLVENCKADIIAHFTNRDIDDVADQILAKASNAFLDKALEMRLATIDAKPLLNALARAERLGYDSQDLVEVSQGEHVIPHTVNGQTPTGVSRTAGPRAAHPSEPQCLKCFRTFAKKSYFDYHVSRSVCSKIPPTDDGFRYACSKCGQGFTHTGGLSYHLAKNVCEGGADAASEPQQGGLPATPGSVRSTASPAHPAMTQTPSSQPTRTNLAGVMPANRPSDLGAASKGSPIVDPYSHLSKEEMDKLNAELLQAEELYAGRFQEAEAMPEGEAKRARIDSLRNSFGTKQSMIRKRYGVRLRERRTRAEILAEKERMGVRGRAARAGSGSPAPTQQQQHQHQQQTPTSSAWTAANRMVSVDLTADDSTASRKRRRMDEGGGYISPYPPMQTGPTTRVSEMAGGLTASSATGAIHDPTLPPSSTPARTFQQAGARVEIHLPSKPSPSKPSSAAASMSGAPNGNESRDDSRTPDIMDVDNSAAQLQEENTKADRGQEQEQEESDNESDNGNENEVISLEDDSDDDDDEDIPSSLPPNVRQSLNPTPLGPMY
MAQSQSFDLSTYDYDPSSPIPSNRDARNYVISKGPCQPKDFTFPRNSNGRRFLTAWYENFKWLEYSKKTDRAFCFFCRTFNRQMCSRSEKAFITDGFSNWKKPKTFTTHQNSDGHRLASEGYSIWLKQKPIDQQLDEHAKIRASEKEIEVKRKRTVIGRIIDVVRLLGRLNLPFRGHRENQTSTNKGVFIEIIAHFSKHDAIMDFHLKNAKDNTKYTSHQIQNEMIDVIGTAITDEIVRRLKEAEFFAVIADETPDTSHNEQFSITVRYVYQGDVEEKLLALRIVDQTTSEMLFETLCAVLKSHDIDVTRLRGQCYDGASNVSGIRTGLQARIKEISPSALFVHCYAHVLNLVIVDAMTSNTTARDFFGTLQNLYVFIQTCTKRHAVYTQQQAEIHASSGSTKSFQVRTLKSLCETRWACRANAINTFNATIGAIVGTLKIIHETETKAKIAAEAKGLLSNIDFEFVLSLKVLDKVLNLSKGVSDKLQSEDLDVVSGCDRVEDLLVAIEELRTDD
MKNQPNQKQKTDANHVKQQNAASAMGQQNQAYGTEFGNETDAQHVKQQNAQSEARKAQASNNNNQQQQ
MNMNTRSSLPPSGRSSVLPFQPNRSYSTKPEQPASPNIGPIGPECSSKQDHRMSSYASPFSSEISRMSDNPPKNLAHRRTHSDVLTLLNDVSLDGDLGPVGGLDGFSCFDETEEDLLPEYLDLDGGSNLISENCALPMEKLSTSAAEAASSYRLQHSRSMDLNTYVETEMLKPRLEKPSSAESDKSISAAKLAELALTDPKRAKRIWANRQSAARSKERKMRYIAELQKKVQSLQTDKASLSMQFALMQENTNCAASENVELKLQLQSLELQGQLQDALNEAMRDEIRHLKVLTGQAMPNGHNPTPYGANQNHHHNSHAQPLQHQFRQHQLDQFQPRQLMLH
MDFPADTGCTNACDSLETFLDQCKDGIDNDGDGLSDYPADCGCESESDTSEAPNAVTQCNDGIDNDGDGVIDWPNDCACISPCDSLERFPDQCKDGLDNDGDGFIDYPNDCGCSNSCDSTEAPNSVRQCNDGIDNDGDGLIDLADTNCVDSCDFNEFTLCLARPGNANGDAGQAVNLVDIIFVVGKVFKSGPASNPLCATNANGDGVLANLTDIVYLVNYVFKGGPAPIPSGVCCL
MKLVRMAAVGWVLGVAAACGAMEMGTVMDRLGLKPMADEACPGYFLETFRSELKASVEKDRQAASLIYYLMTSKHREDPWHRIASDEIMLYHAGAPMHITLLYPDGAWKEFTLGSRFDEGHVAQVVIPANAWMGFALAEDAQFDWGLYGVLVVPGWALADIEFVSGEQVAPLREKYPDAFKRMDERGWTVLQGKKQPQMDTDLHR
MIDISVIVPVHNAEKFLGRCLKSVIAALESVNGEIVLVDDHSTDNSARVMEDFQREHLKLIRVLHCNTRGASAARNFGVARAKGRYLWFIDADDYISSSAIARLLRKADKTKADLVMMGAERIYSGGQKDYLSPVSPKESNYKSRFVRYGMGPWQVLIRRKWWIEHAFSFKKGIIHEDMELMSSLILYTDKFVSINEPLYFYCQNPESVLHKEKFSPHIFDIFPALEGLYQKFVEANATEKYHDELEWFFIWNLLIDSAKDFGKFPEGKSGFARSRKMLQKYFPDWRKNKFLRQKPLKLRVLVRLNYLRG
MTKSTPPVLSIIIPCFNAGEFIIPCLQSLFAQLESDIEVIIIDDGSTDNSVSVIENFLQAQQHQAVRFLQQKNCGIADTRNTGMQQAQGKFVTFIDADDIISRHYIAVIRPLLLADNDDLIDFNYQRFEHQPPDETEKVDVKYIAYDFQTLGIDCLEPLFQKSMWHLWNRVYRRALLSGESFASGRRYEDVIFTPFLYFRTDKITHLDNTLYFYRDNKSGITRNVRKSDIDDLTFAMQKMLAWAKDKDNSRQRLASYMLMDCFKEIKSMSKIIYGYYYYERSAITLFRAAAIICKGNCRTKKYLQLRFPQVDTLFSYLKSRFRKRKSETHQRA
MDQHSDPYSWHPGIVGPDQEVSTLITLVQSFNDTGRVQARVRDAIFSELPHHELGEFDIDFLLDHRDTRQPIIFDTDHFEGYERPRMVLHEVTDQLGQAFLVLDGPEPALGWESMVSSLTDLVDSIGIRLTVITDSIPVPTPHTRPATITRWASRPELILGSASSFGRVQVPASFPVVLGQRLGETNHAVIGLASHVPHYLADLDYPESARALVEALRGATGLALPINPLAMAARTVHAEIDAQVEGSEELKAMLHALEEQYDSRVAQRELGTTEVSVPDAEDIGAEVEDFLRSIGDSDQGRDDSSDEDTSSGPRHGGGGNSDDDTSGYRPRRGGDSNE
MDIRHTAVASLRGNLLGTIGATALAMVTLSSATAQTVAAPSTAATPAAIAPAPATAAPIVDATPTTIVAAAAPTVDAVSVAPSVTAAATPAATAATPAVRATPAAGAVTATATAATSTAATPATPATPATRATRATPATPATPASRATRATPATPATPASNLARNRSEKAEHRAEQENRHEQHYAEREDRHEKHRAEREGHHERVERHERSDRHERAERAERAEKAERPEKVEKVERAEKAERPEKVEKVERVEKVERMERGDRS
MIKERIRQVRQFMQKDHIDAYYINASDYHQSEYLADYFQARVFMSGFTGSFGYLFITQREAFLYTDGRYFIQAERELQNSDIQLMRMGQEGVLDIFELIQAKGVKHIGFDGRCVDVVFALKLESLQIKMTSIDYVSRIWKNRPALPREAVFEMELQYVGVSRKDKLQALREKLHHHIHVVAHLDAICYVLNIRGGDIKHTPVVLSYMIIDDEKCSLFIDTAKLSDELIMSLHEDHIDIYPYDTFYNYLKTQRGSILMDFSKMNYEAYKQVQGNVIYNVESPIDGMKACKNEVELKNIRFSHITDGIVMVKFIHWLKETIKKQALDELTITDTLNTLRKEAGAFDLSFEPIVAYNANAAMMHYSATPENYSKVYDKGILLVDSGGQYLQGTTDITRTIALGSVDPFVKQCYTTVLKSMLNLQNVTFLHGCSGMSLDILARQAIWKMDLDYQSGTGHGIGYVLGVHEGPHGIKWKKSINRHEDTILQEGMVVTDEPGIYLEHQFGIRIENELVVKKKTKNFYGQFMEFEVVTYCPFDLELIDTSYLNHEQVDQINRYHQYVYQTLSPYLDETYQDVLKEMTKELYYHN
MKINKKISELRRLMLSEGISVYLVPMKNNFLDSDLRPNEKRIKFLSNFSGSAGILFIGIESNSIFVDGRYTTQAKIEVDEYNIEVNVFNFQAQIDWLKANLRDNSIIGFDPKIHSYDEVVKFRNGLKDKRITLKPLNYNLVDRISKYSPNQRIFDIVHHKKEYCGIEFKYKIDQIRSKYMKTSDIAIFCQDKEFISWLLNLRCYKKKYTPSVPAIAIITHKFCYIYLDKELTSPKLVDFGISPIKICDINLFGEHLSESMNGVKSILLDKKYISYFYFNKFLELSMQISELPNLSIHLAKKNNVEIEGMIKANIQDGSAICKLLYWIKSNIDNPNIDEVTISKKSTEVRKFNNRDYLGPSFPAIVGLNENSAIIHYSASRKTNKFIHNKGILLIDSGGQYKFGTTDITRTIVIGNPSKMMKELYTITLKAHIALANIKFNNNFSGRDLDLIAREVITKFGYNYNHGTGHGVGSVLSVHEGPQSISPMDNNKYFDEGMVFSNEPGIYLENKFGIRIENLMLLKKEKDITIEENLYFEIISFAPLDKDLIDKELLDATEIEWINHYHVRVREKIIPQIEGDTVYWINKITSEI
MSLQRLSALRAIMASQPKPLAAYIVPTCDAHNSEYIAPVDARREWLSGFTGSAGTAVVTPSSALLWTDGRYYTQFEQEADLSLWTLMKQSLPETPTMEKWLVSNLTDGAAVGVDPHTFSRDEWSPLQVRLNEFSFFLAFLILKSHKTIRGKSAGEKISELRAKMEEKKASALVITALDEVAYTLNLRGSDIEYNPVFFSYLIITPSAVLLFTTKELSPAACLQLSEENVQLQRYPYDSVEEQLRNMAKELSQSGGKHSIWLSSDASEAIHRAASGADVLPTPLNIISEVSPVSLMKLIKNDVELQGFRDCHVRDGIAVVRLLKWLHEEIDSGKVISEIQASDKLLEFRKLEENFMGPSFATIPGSGSNGAIIHYSPSRDGPQKIIEKDDMFILDSGGQYMDGTTDITRTRHMSRSPTPEQKSAFTRVLKGQIMVGACLFPQGVKGNVLDSFARHALWEVGLDYAHGTGHGVGHFLNVHEGPSGVSWRPYPHDPGLKPGQVLSNEPGYYKVGSFGIRHEDLVETIRVSKETDHPRVTGLQGDFDGRGVLGFHTLTLVPHQRDCIDVSMLTDFELQYINNYHKRVLETLGPILKERQLVKEYNWLQNECAPLLRH
MESLTSTSLILRGCLLMVVLTWLTGVSLGGSSGSSFSDEVKHRERRATEDVRDCRIPVKLPPTATDTTERLRDLRKRMRHIRYDLDGYIIPYTDRHQSDFVADHDKRLEFITGFKGSGGLAIVTTDVTGEDGRAVLWTDGRYWIQMEREVDCNWDLMRLGDARDLTPVEWVIENIVPINQQSQIARIGYDPELLSIRDFYTFNYTFRDYDLKRIKMIPLPDIRIINNNPQFPDPDLINDEDEADERNDFMIDYIWADSLQVDYGLRPLEPYDTNLYAGEAWEDKIYRKDGKKNLRDMMKDQKIDAVIITQLDEVAWLFNLRGNDIQFNPLFMSFAIITEKQIKLYLHDATRRLTSLIKEHLKIGTAYGCPEIETELPLCIKVYDYRNFMTDLQNTNSQGSIKKIWVGNDTSIGYLYEIDEEKRVVSPSIIQHLKAIKNDKEIEGMIQANLRDSAAVCELAAWIEERLRSNNNPNTGQIDRLSEKLVAEQSIEFRKRQVGYVMPSYYPIVGFGYNGAVVEYNVSDASNQAITYQYPLVIDSGAQYYDGTTRIARTFHFGTPTQFMKDAYTRILMGHIDLATTIIRTDVYGRDLDAIARARLWEIGLDYEHETGSGVGHYLSIHEGPISFRHGYIMEDQPFFPGMFVSNGPGYYHVDDTDLRDQFGLRIQNVMHVKQIRLENRFEDYTFMNFEMVSLVPFEPRLIYYNKLSPRQIDWLNSYNLQVRTLVEPLLTTQSARKWLESKTRKITYDYTVTGGATCVAGTSTILIFSSLISYLLQK
MTSSSAARNQHLDELRALMASHSPPIHALLIPSEDAHQSEYVSERDKRRQFISGFTGSAGLALITTKEALLWTDGRYFLQATNQLSDRWRLMRMGEDPPVEVWIADNLSDEAVIGIDSWCISVDSAQRYEQAFLKKNQTLFQLSSDLVDEVWKDRPPNDATPVIVHPVEFAGRSVAQKMKELREKLQHEKASGIIITALDEVAWLYNVRGNDVHYSPVVHSYAIVTLHGAFFYVDKRKVTTEVKNYMSESGIDIREYDMVQLDVSLLASGQLKGSAVNGSLHMEKDINAAEHSKIWIDSNSCCLALYSKLRPDQALMLQSPIALPKAVKNPMELTGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEANGSQKKEHLEIKLTEVSVSDKLEAFRAEKEHFKGLSFPTISSVGPNAAIIHYSPEANTCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHQKLCYTAVSSIFDYLFLIYTIIYYEI
MTMTSPDKLNQLRQLMLQENIDALIVPKADEHQGEYIGAHSERLFWLTGFDGSAGFSIILPKKASLFVDGRYTLQATKQVDTSIFEIIPVAEISGPKWLAQHLSPGAKVAYDPWLVTEKELNSYKIALKDKNIIFLPLEKNLVDQIWLNRPKKTCEPIYLHPLEFAGQEFQEKLKIISKELREIQAFAAVITMTESVCWLFNIRGDDYSSLPTTSAFAIVYNTEKADLFIDEQKISEDIRQYFKGFINIKPFHSFEENLKALGLHKVHVLIDPATAPVQTIYAVEKTGGKVIRKDDPTIPLKACKNNAELEGMRRAHIRDGAALTTFLHWVKKEGPKGHLDEMQATEKLLACRQRFSEFKKLSFSTISGTGPNGAIIHYKVSPETNQKIAPNDIYLSDSGGHYIDGSTDVTRTIAIGETSPEQRDRFTRVLKGHIALATSIFPQGTTGSQLDILARHALWQVGLDYAHGTGHGVGAVLNIHEGPQRISNVPNFVSLKPGMILSNEPGYYKEGEYGIRIESLVIVKSLEIPKAEKKMLGFETITFAPIDQALIELSLLTDSEIKWLNDYHQEVYEKLSPLLEVEVQKWLNDVTQPLKKKL
MHRAGPAGTDVAGAADEDPGVAVPVAAAEAAGALAPGALLELAGEDSGVLDVWDCAVPAPHPARKSAAAAAAVVNASLVLNR
VSTTADASAGPTNGTILRPDWLLADANTKPEAGHAVRVVGDVVDAVGPCGTLIANHPDDEVIDLPDRIVLPGFVNAHVHMYGLLAHGIPQDAAPAGFWPFLEEYWWPKVEDGLDHEMVAAAADWACTEMLRSGTTTFLDILEAPNALPDGLAAQAEAVEQSGLRGILSFEATERSGSKVAAAGLDENVRFIKACRDSEKRAGRTASLLSGLVSIHTLFTCSDTFVLEAFDRASELGVLVHAHCNEGVHEGEWCESTHGHRSLEHYDNLGVAGPNFLASQVVHLSDAERRILADRNVRCSHMPLANGEVGGGIAPIP
MILSWSRTRLQRANNDANSSFFEEERKKALAVLRSYGVVYSDSEWPSFRDRLWGLMLLNDAKQQERITGIYLEQTVYYWTSCLYGTVEKAI
MKNMGTFIPNREQDAINRNVHIPAMKRRILPVSFFFDLIQVWPLRERGET
MLGTSPGTSRAPRSTPVDLYRSGDHDALHAHLPGVDPGSVDVSVEGGTLTITAERTVRGEEGVQWIGAERPSGTSRRRLQLGEDVAADAITATFADGVLSVTTPVAERAEPRRVAVERADHPRPVTAHHQQEISS
MNMIKRAIYLFLFISLSAQADTTEYMCGVQTKDGKFTELEGFSVIDQARKGAIELPSGVKDSTSAVMCWRSSLIPLKGDHKVILLGYPFYIIERSDDPKDSRMIALEYSDDSYQVREVKGSLNEHELSVITAQKLRVVRN
GFCYVKFASEPDASKAKEELDRTVMFDDGREISVLWAAGSRKAPGEMRRKMDDPSPRGSGPGRKRGGDEEWSDEDGDRRAVRRRFDGPRNDYDYDRRGGGGREWD
MQTINFWQLVSFGEHGWGAMLLSGMAVTIALSLCGFVLSAVIGALVAWAKIAGNAPLRIAGDIYTTVLRGIPDLLVIYLFYFGGSSLLSALGGLFHAEGFIAFPGFLAGMLAVGM
MSNQEKATSAFALEPGAHVDADTISTATEHAIDLPDDNLTVVAIDEDPSENYVALVLAQDTTYAGLAIVPSGTQLSVHDTGASPDTVTATDIETVNSTPDVETFTQPSYPPEDGVLVAPPTGDDILRVIDHDISPSRYFFYALADPTTGNFARLLSFHDSDLPLRTQGE
MDKRYQQGLSAAEIRFQLKGWSQAQKHLKESRDCITAAQFASVIPVISKCCTGAGILARQVVEESNTGGFEVCRWHWSLTGMRCIIQYKYRWFRSIQHWKCGKDLILIIL
MVMIDLDNFKKLNDEHGHAKGDAVLRDVAGQLVGCLRPSDVVCRYGGEELVAILPDCSLEDAMVKAEMLRDRVAALSAVHECAVTASLGVASVPETAASCSDILPNADAALYAAKKAGKNRVLSAERRAGRDAPRLATIKAKQP
MDPLTGLANRAELDRAGAALLAAAARDGHRALVVTLDLDNFKCLNDTHGHDRGDAAIVAVADAFRSATRARDISARTGGDEFVVVMRLSSRADPAAIAARLRAHVAHTIVTEVPEARDVGVDVTLGYACTAEHGYEPRGTPHRSRWGARRRQGGPERPCLRRVPAPSIGHLSAYGDTSPQHGRC
MQVWTWSLLFSRITDLPDLVTSFYFAKVTYTTLGYGDIVWGPDARIVAKFYAITGLLTFGISTAFLIGVLSRVLPDIFEGSEDK
MNLFYQVALGTVLLGASCILHILALFACLPLLKSTAQHSWITKKKFKEILLVGMMIAAILASHGFQIWLWAAVWLALGAFVEMQEALYFSAVTYTTLGYGDIVLGPSIRLFSTFSAVSGLLSFGVSIALLVGLVSRLLPDDITGD
MDIINQLPMEILEDIFFETLPPSPLEDRTNIYNRHPLKGKCHDPRDVIIATCTRWKTIATSSPKLWTRLQIDTEGHKDIQVIEELLNRSGVMPLDVLLDVESPTTYARKAKQRKRFETKVLDLLRNHFWRLRVFSVSIVGHYIEHDTVFVKLFPPDTRADFLKLEQFIISCSIDPEEDLLPVDGLGIIHAPNLAYFKITANLTAIWDAFTPQTMNGLKEVYFNFFEHPCLEAVEMLSTCLQLQTLCWECDWGVFPRGLPSKMVYPDLRSLTMDLQTTEQCDLINEEFYTPQLESLNIRGCHISWIKPFLNKNSQIHNLGLNMIKAEESAEDVFSRFTDLRSLRLRNSQLSHSFFATFLSISEDGRVILPHIEEFELAGSPLKPTKIGMEPVLLEVIRSRVGVTEGPIFRFSLLHVRPSEWDDLMELQRKHPYSIEINNNVQCYDKSVAEELKPANVVTIDVLEEGITDAMEFLFLESQ
MSPAHAPSNLQPLSCPGPATATSTTSTNTSPNAGTAVDWSTSSRSRVGAGPATAAPTTATTTSSRVGAGPATAAPHHHQYAPTRAQRLTSSRSRVGAGPATAAPHRHHHQYAPTRAQRLTSSRSR
MYNMLNYAIKLYIIHFPYNQAYGVYAMDISDAWDTLSKNEPWVAVKNINFIEEHGCAGPFDTIH
MSGEFALRLLKDELRDQEFEVEQFSQRKVDVMVTTVAKMRNQIYDLKFAIELLEEYQD
MARLLDDGSTKSALEHRFRPIKREAADMLAVIAREYGDGVTGKAVSGYFERVKKEPHWNRNKSVADISIEGTPKTPKTATPRKRAPKAKKGASQDDGDDSEPQEFDTPSKKKATLNKVKGGRVTKTGRAKALSYAGQDMDEDEEEEEDGGRMVKMEDAPVSSNGDGFEDGNGYEDHGHQNGYAVIGADEHDEQDNFYNAAEDYGEA
MFKEPVYVIFGYNHMHLTGRSERVEVYRNGQHIANLTDDQEYDFNMPVYHNHNPPIQLLPGDKIVTRCFFNTSAIQRWTFFGEATTDEMCLANLHLYPRSALNFEAFPTITGLAEGDLSFCDLSQGTPFKGCNWASLVNPEKEDFVRLSQDLYDNCNLDGFCRPECKEVIQSIVSSPCFQGHTAKFVKTHLAKTKPGLEVLVRLQSCSVNLF
MRHWKVTAFQKFEVSSSPDVESSWTSSTGLRVQMAFEPQTSKFLRAPDFEVSSSPGLRVELAFEHRTSSPDGLQAXXXXLQASGFEVSSSLGLRCFFEPGTSSPDGLRAPDFEVSSSPRLRSFFKPRASNLMMAPAFASSLGPALNPCTDFRL
MNSSSTQLPLAVLLNKAEEEIGVAVMNTGKKYSLSATFLDTALTSVGAKIKDMKVAELSEQLSAILKDKEDDTQEIKT
MDALLRLLLVLSFCLSLDPSLAQSQGWTLLEGAHHQMESVSAGEYSFEYRFKSLTNNDTSTSHSLVYFEKNTEDSIYGMNFSRVVLDNGIKKYGAINNSEAYIRVNYNDSTATLMSKIRWSETIEGLKHNDDLYPLLRPSNILKKILRDDFLADGVYDVELLNNQYIDGRNCRPVRIVYDKVISDEQAKESFQLLGVTWVFWICETSGLVIQFTENLKARLNGAEMFQYSAYRLTSFDFDSALNANVFLGESLPDFFAFKEYQPPSVPELLRVGDKAPDFRLPTFSGDSVSLSANSGRVVLLDFFYKSCFPCISAIPGLVELRNTFSPDDFLLLGLNPFDKKKALGQFIESQGINYTVCLDAKKVAEAYRVQGYPTVYLIDQRGYIAFVGVGYSSEAELELHEAVKKLLTDD
MREKLKLVETLIAALPEGHEETVDRAMKLWWYNIRASGGLRLTDIGYFVLKNMLDIESYDMEIDVETFDRTMLLQLDRKLQMPYYIVVKKKLPVKIVMFGSREAMLARLYGNLPKFLQNYH
MNERYLVNAGFDLGNQVAMMVTEDNDTSARLPWTEER
APPSGGASAEVVSRMKRSAGAVWPVQCQCPLRGHTLGLMARVLRSAVGLRHRGRVCPSKRLHEATFRMCFIPCRMGPWARAPLKGHRWYVLPGHGKSGTAAGG
MGKMKRMRQKLHIAAAKSKDTNSSAKNSDTIEGHQMTDAKFKLPIDPTALGSRGDNIFKDVKISSSELSPQKLPDFDARSTITSKTFKGKNLTKKEKHKMRHDVWTAKMDAITSAKKKAKERKKKQQTPIVGDLTEMQEALPTLELLLKKSSDSAVRSALSERERSIPKEKKRKRQMYDDISLFHRVSQHPLFKEDASSIIKTHLKNKIQMEKDMET
MNRKLPSRISVKEAQENVDLAMRPRSKDIYKQKVEELAPAVWAQVHGGSKASLSGTELFRSTQIREKGIQGRRRHSPRIETKTNPYVMNIDSRRDFDSTFFAKKKV
MAAIDPIPQVGISRIISLLEVLDDGGGRYDVFRLARDVNFELGEILRVIKAAEMLGLVETPGADVVLTSIGGKLLKARVNQRKQMLKEQIRKLPIFRAVVDALQRSDEHRADEASTCRPRMPRRC
MKRTLTTHISLPYSDRELIVELTKTFVGDEVLTYIRDVLKEKIPENPIILVVGKDTRNHILIDNIKLEENTNSSSIKLTIIPEMMNLSIITPDHRKITVKVPAVHTVGDFVKILCEKLNLSSVFDYGLYFQENDSLLSSLKVNLPLCENRAVITELYMKRRWWRQDCYKNISADELNFIYNQIHKEFVDMPKDPSFWDCIELAGIYSVVKFNKDTTRFKEVLKSGKTQKYYPKCVEGFFNSIKIQKSFENHLKQDITTLKQEFVKKFLSYEFAFCELFPIYKDKKLRYLTAQGEYVLIIKKDKKTVVDRYYQLKVIRWQPIGQKELHLIYSGTKVEDIIFTTKGNIDIIRFLSDYFDFITPILQDTHRKSSREKRQKKIELQISPRMTIVKTEISMPSITKSDSVVYTKPIPSKIIYPCIPTLPKSDNKISKSDNKIPKSDNKISKSGTQNTKPELKEINIDSKPNGFAKQCINLIHQIAKNQIVLPIQKMIDFITSISPQSVKEIKFLADTDTTSPVIAVNLAARAAASIIINECHESSLFVSLTKLGEIVMLYALDKSWSFDYSVKPNIKNPTLSECFVNLSTEELKVEESQPLVSLIFCSLNIFFHATLFLLESERVRSELLSPQKNSYCMPCFDLISLVHLLDIVGDKNTTKTVSILQSFVKTIRDPSTVFKLLSSYNSNLFEEEPQSINEYIYIFEMGRVISYCLYQVRGGFSVSHLYGVSVCTLLCSNSSDTKTKKLCYEYFKNSVNKVNYFCMNNISFTSCVDCNESMFHSFVYELSHYHQSVSDIEKLMKVTNSISESDRYKIFSDLVDVIHESMKHNERDKAVETAKRLAFLSMSFNLLSLKNYSIKMLDAVHKWSHEEISVEEAIKLVDY
MTTEPILSKNNPTDLGSDDGPPETSVTARQYAGLAAVVLISELTAASYLLVSTALPGIASRYQTSQTSWVLTVPSIAAVVALCFLGKLADMRGKRLVLIAVIVLATVGSVLAAVAPTFGLLLVGRALAGLLYGVPALAYSLIRDVFPKRIVAFATAITFAGVGVGFGLAPLLAGWLVDSYGVSSVFWFMTIYQAVCTVALLVTVPESPLRLHSRLDWPAALLIGLGGVALLYGLSQGSQWGWGSSKILGLFVGGVACFAAWLWWDNRFSDPLISSSLLRSKPMRTTVLTSFFVFSVFSSLPAIVPTMLQTPRAIGGEVGFGLDASSVAYFLGPMGAGLVIGGLTVGAGARRWGIRTPMLIAFAMLALSTCGLAYRHSNLWEIVLWLSLYGLAMGVAYGGYPNLVLQASPPESQGISATFVTVIGNLGTGIVTQVSFVVLAAHVIPGSNGTFFESQGYTVAFGATAVIAALGLIATLTLPHGRRHDVLEMRAGEEVAAEIVATS
MVWETAKEAHENGKGAWAGPMMLTGYEFRMCQKLYNFITGARKKRWIERFCVNMLTKEIFYPQEYYKVPAYNRIFIWPWDVSEAVGMLNLVPPE
MPVYERTSYSDLLVEAEFLASQAITQAFNQDAGLMAIEVFVMGTRNGDVVPFLSAVVSRAQWQADPQIDRWADYHAAYALLQRHDGNQAGSASQPASRLSRSTDLTFAEVVEIDTAVDEGRLSGELAQRYLDQLD
MSGRGINSQAQDGQEVKSYFQQLWKSETTDPEKRKANSELLVAVGLFSAAIVFFRQYGEMLAPSL
MGAAGGAVVDVLGAELAGRDLAAVGRGHTACGRAWGGLRCRCGQSVRGVVGVGGLAARTGATSGSKRPAAAGARPGDGICRDDRRRDAPGGHQPVARWPLTGRPVLSLVTARGRLDATRQDVIATLFEQVRAAVAAGVDLIQVREPDLSDQVLLAIVTRCVDLARGSKTVILVNDRLDIALVAGAGGTHLRAHSVPAPVARRHVPTGFLLGRSVHDVAEAVRVVEGGGLDYLVLGTVFSSHSKPGVLPCGVRVLGAVARAVDLPVLAIGGVTVDNSVEVFRAGAAGVAAIGLFAEPGPSGRFEGVPRIVGGLRRSYTESDVQRG
MPHRLPRPLVYYITDRQQFSGEDSARRRQLLAKISEAAAGGIDFIQLREKDLSTRDLEKLSREALEAIAHEAGSRPIPPRLLINSRSDVALAVGADGVHLRSPDISVRDVRALYESFAIDPNRLNPTFSGETQASQSALAASVVARSTPDCIVAVSCHSEDEVQRAGEEGADFVVFGPVFEAKFGRSASGVDELARACRHSVPVLALGGVALSNIQSCLEAGAWGIAGIRLFQENQILAIMRRVRGQPDPIL
MLVTNRSSAPLEGYLEFVKCCAQAGVTAVQLREKRFSVHELIDFGKKLRLLLHPFSVPLIINDRVDICLEVDGQGVHLGQEDGNVLEARRRLGDHRILGLTVNSLTEVQKANDLPLDYVGLGAIFPTSSKPAVRTIWGLEGLRQASTFSRHPIIAIGGIQEKHVKLVMEAGARGIAAIGMFHEALDPKKTVENLRHIMEAREHVATN
MQQLFHATVTAGLTFMLLDNFCVGLGMCLVHKPTFYKFMRTEPGGVEGWNAEVVRQGINYCELAIDTVMHRGEPVTLMVDGRYDSVTGAQHCTVTSMEYETRLVVGVHTLRPKIEGKASNALEVPAVVQLLRGLMEKGLKIRCIVSDDCATLGPHTNITPYYVEFQHTSAVETFHGTIIIYAKKSVHFEKSYCARLSIAVIRWNSHCWRDPVGYVARIAAGTSISARPGFRRHYGHEAIDCWENRLAASVFGSAHVLDWARELLRQEVCPYGAGSLPRDLFVNGGGDPVEVVDDDASGSDHEAVGENRVFIIGHVEDDAVPVCDDWVQTSSSESEGDDRELFNV
KVNGRKVRNFPVEEGYAVIDRKWKKGDRVELELPMDVRLVAGNSRIQDAQGKVMIMRGPVVYCVEETDNAHYFEDPGKFRLDPSSPEAQYREGLLDGVIAVHATTSHADTGEEMNIMAIPYYAWCNREQGAMQVWLPYEENND
ARLADGSKISLTQMTDYPWEGHIKVTLNDVATHRPISLHFRVPGWTEKPVVRVNGVKTDVLPEPGSYLEVHRTWSAGDRITLDFPMPVRLMQANPKITKLQNRVAIMRGPLVYCLELPKSEGGDDIFNNGVILPESIKLTPVHRPEFLGGVTVLKGKALTKEGKDAFLRTRGEPSHSVYNAAWRDGELYRPVTPVQSRLPEAGTVNVELIPYYAWANRGLAFMDVWVPLAR
MQITFNDGHDSGIFTWDYLYELGEGYTDNWISYLGRLHEAGQSRESGVQVVNLT
MGLKGVEPVGQYALRLLYDDGHDSGVYSWDYFEKLDHERDVLWQKYLKELEKVGASRDPHDPRNAPFVTKNRPPHGGCGHA
MADLSAVLRKTIDGLPGANPQLRAKVYEKARAAINRQIAVANPPLPDEVAAARLRALEDAIAQTEADYLALEGHSPDEAEPDIDNDRAPDEAEVAAAAQTPLTPAAPVMPVSLEPAASAEEHQAAEVYEDANIPAADMQGPRYPAPRRSEAKSGSKAPLIVGLVVLGLIGAGAAAYYSGAFAPALPIDGQQAAVEPEEGAGEVTTPPEPATDGTATGEAAEGTETPAVQEPGAREYTQRLLPDGTETDAGPGTSTANVFDEGTDVSAAAPAAPVTSPDPVAPGVTPDAGTEAVLPEGTQSVVFYEERFNSVPGSQHGGNVRWSIVNEPPADGQDPEPAIRGVVDVPDKNMQMTLTIRRNADTTLPASHVIEMLFTVPDNFTGGEIANVQRLALKPNEQDRGRPLIGVAGKISDGFFWVALNNLQQVVDDNLNLMANEQWIDIPLAYESGQRALMSLEKGPEGDAVFKQALDDWRGRS
MEKTKVTYMDVDSLIPYANNPRLNDNAVDAVAASIKEFGFKVPIVVDGENVIINGHTRLKAAHKLGLKQVPVIVADDLTPAQVKAFRLADNKTSEFAEWDMDKLDIELDSIADIDMGDFGFDLNLWGETHAADSDAGDWFDRDAKDGDEHEDGNDEYNEFVDKFKPKKTTDDCYTPDNVYEAVAAWVSQEYGIDRRDMVRPFYPGGDYRSEDYAPGCCVVDNPPFSILSEIQRFYQEHGVRFFLFAPTLTLFSRVDGVCYVPCYGGVTYENGAEVGTSFVTNLETDLIVRTAPALSAAMRQANDANLVKKQLPKYSYPPEVITSAMVARWCFRGVDFRLRREDALRISALDAQRLEGKCIYGRGFLLSENATRDAETAAREAEAAARDAANADSVELEHVWELSERERGIVANLGKNDDDRAERESIPEDSKR
MRGRLVLNLAALAVVFGGGDLLSAQRSVQPSPNPGLGACCGAVGYVCHTNLDGIVIVHPDSRPC
MRVYAKNKVFDCLGTLKDYEKRYPSLVPADRQSLINLDAVQNYDEKKGIAYFDQGVAHSVAVRRRRSVMLKLNATKNDLA
EQSPGVAFIGGNQSRVESRFCMFNRVQVLKQMSFVHFFVFCKTSLFMEAFELTSFGFHLSEFRGKPGGRVSKGDGHSFDRGKRIQDIVECEVIMRNKMVR
MVKRVEVDQADERRVQTESDNVVREPPAVVDGVRRPVTIIRLVGFLRVVALLVARLLVSHERLTSPQTSLTTRSK
MSQHQDREHAPHLRICDTQPRFHKGVAHLLVDCFLAEAETLDAVLQRLATVLDHPARGAMVAVYCDRDGVDKVIGFVDNFMTETPSGIKRWEIDLLAVDSKWQGLGIGSALVEKSFAEAEARRANLTRALVRVDNVACQHAFTRNGFGRIEPTFGLFVGSEAGGGIQAQLPDYAYPVHVETCLYRGCWVEKSKGNLTVEDLERARSYLHRLDQAAAEIIGGLVPREHDDENTVTRPKGYDLVGHYEWWVRQA
MKILLITSSFPRSDSIGGMFIPDTIRALNDLGAGVHVLTQNCCAARTTSEFLWAGCTVTYFGWGGGSIPLVELISRRASGMFFVLQYLFNGFFAGRRICRSWQPDIIFAEWLIPAGFIARMLSLSSGIPYACRALGSDVYIAAENRLMRPVIRHIAAKSHLLFADGFDLCRRTSALAGGKECFFAATSRKLDKIKGTGLPNKNDPFTFCCVGRLHKVKGQDILVAACAILQSRQMDFRCYLVGAGEEKENLEKMIFNLSLQQNVILTGRVADNDVAELLGKADCLVIPSRSESIPLVMSEGLAAGLPLIVSNVGDMGFLAEKYNLGYVVPAEDAAGLADALRKMGDEKLRSAFYNARRYAELSSLLSTESGARIIYDKISRYAKTTAPAGGKTWSNKR
MLWTATDAARERGPTRNMEGHTTSVCEVIGDCSAAWTLRRPPDCTPTHPPPPAPRPARLRVRQDLYPQCPLRPGPQHCACCRVRQTSAKNEMEPVKMFFRMELQGVSYTKAANHTVRSELGFRKAAETLFSDMLPGVYQSITFEVPDDVFKDVQVTLSDFASVPAGTVVNVITHAAAEGKCTERALDVTHNGHPTVRSSTPVSVTPNATAVTDTAPGCIQAKNLMDVLAFEDGEDEVEVEHLRANPYSHVKRKFTPLTCGLPEFSSDLLRAMGKTGVPLTSTMKNRLVVALHGHMTKWVTDCYPTSEEYDHILGALYRRFPHLQGKANERLGTKKIPKRMDSIRCSLQRRWKYARRDKMDKAVSANKAKYGSKAPTRMTKAETLCYELKKLPVDPDLPCMDPHETRASLEDSRKRIERMWIAVAQGGDPGDIRDMRNNQQFTGLWENTKCTRRHYCLSTEDKWAALLLEAELQLNVHDPRAKALRFVRQKGCRANMLLRLHEICNMNGQTAKRCRSYRARIRSMDTDTEADLRVFIACLPFLAKENALTWIQFLDEEPEDTTVISHFGPVVVAVPSAATGKYHGEGSSFIPYFYGEAVCSERNLDMVDAFIILVCVMYLSGYEFGKTCPNSWRALSEVILRFPERQRPMTEGYARLLECISDTATVNEEWLKELNSEVSDNALNIAQALLLQREQQLQQQHNEEVDHQQQDEELDQQPLHDMEDQLEGMDTSDSIDHVSSLSSFDETGEDRPGTPPAIREPELPNLDNDDMDDDRALQELHQFGAPNAEPLLGASAETADVHTETWKPAHITQLSVPKPMHPRAFKRPDKENVRVTPCVRTRNMGRNRTLGTLRSLKK
MHLISPEGDDDADSGDTDSSSEVPGAETCAICLGCMRGEVGSPEACEHTFCLICILEWAKTNPSCPQDRKAFTKVLVRLTLQTEKVDREIPIKKQESELVLISETDNPTYCEVCNECDREERLLLCDGCDLGYHLECLDPPLDQVPVEEWFCPSCTSAELPSTDPPKKRCFWHFASASSGDLRRIMPSLFFHLPITP
MTRTWMKALGFSVLLTAFLIGCSSEETSEQSSGEAGSEQTPQQELQNPVDEEKKESSEAFIDYMYNGGPEEDVQSFVEEYVHSDAAEFLGFMMLGSSEESERTGMEATQQTTIEDEGQAIDVVQVEAANEEGSSKTHYVMYEDNQIAMFPLVREDIMNYDAKEATEDSDSFFSEEDIEGLQMFIEQIENQLQ
MERIELYNSLLGDIGNFVLVVFGFSVTLFTVLYSFILSKREQLKEYSDKIKYGNNDLLIYQRHSNAIKFIDRFKNFNNHLIATIFIDLFVYLACMIIKYFVENLKFKETSTIVIAILTGIIIVYVSIMLSLTVRDYQRVTKI
MVCEWAWLPTSSGDYTAKSGYFEALKVNDDLGSLTHQDPADQFNWRSNIWALKTSPKTKLLLWKAGQNALPVGSKLQHRHITDTAKCPHHEAEESILHLLFHCPFARKIWSLAPFKNSLNLDGLSSVTDGISQANKLLCLPPTGIGDGPLFPWLLWSIWTSRNQLIFNKRKISEEDALQTACTRAKEWQNAQNPNQKSKVPQQTLVQPRPPVFPRVCFTDASWKTAGKAGLGWICKDDLDQTIFEGSSSLKDVGSPLIAGALATLAAVGVAIESEIKIVSFASDSLTLVKAINQKHQVKELHGILHDILSPSSYFDVCSFNFISRTLNRRADALAKEALLSLDFGFYMRWKNDGGGDRSDTSLTIVLLDHLMGYIEYAATLYKTKSNRSLNIGGIITPILIVCGETPDGQYLFNLCHPQAGDSRMILPCTNHTTIRAGENIEFCPPIYLLYDSEQGTAQPDANEEDDDSQDDQIDQEYVPPESFYFEDQSSSTSASRTINRLTPKRPWMHPLMHSSPNHEKSYAGAVENRFSTPSHTFQVEVVDGASLLEIPDDLIQNSVPLWEDFLEGKFLDKAPHVAKIHVIVNKIWPLGNRSIQIDVYEVNATTMKFRIKDEQTRGRVLRRGMWNIADIPTVVSKWSHVVEQEEQEIKTIPLWVTLKNVPHKMYSRDRLHFIARAVGNPIRWVLQNCPKHIGLDQNWELMRLNLFTVGYLLAALFARSGASRVRLSREAEWSVVSPARAGRSGERKSDKPQTVVSPSRFAMLNLQDDEMEDEEIEVQAASGETVEDGKELVNQEKEEGEIEQTNKEEETETIITCSVKLEGEDSDFFCSFVYASNFDEERRKCWNGLRDHYDSPIIRNKPWMLLGDFNVIIEVEEHSRADSPNFTHGMQDFQDLVNYCSLSDMATHGLFPRTHFVGFELEGCSGMEEDRDRRWKMNGQTGERLQRSEGGTNCTSKRGGNLLDYELEYNLDITH
MANPQKETGYTAIANELLEHIVQTKLNGTQFRVLLFVIRRTYGFQQKAAELSLSFIANGVGIHRNSVHTTLKQLEAMQIITITHKAATTPQKIAVEKDYQKWITVNAAVHKSVDSTVNQSIDSTVNESVDSNAAAVPESIDSTVNQSVDRTVNESVDQKRNRKRNIEKNILCACPFFETLWAEYPNKKGKAKVTQKAMREMNRLGYEKLHRALERYQAEKPAWQHWQNGSTFFNGGYLDYLDESAGKEQTNGTTGTDDTSDDWKRLFEKCRI
MRINVKSVQLENGFTRLANEILENTAKAKLTATQYEIIQIIWRYTYGFNRKEHNLSLSFIANAIGRDGRQIQRALKDLERRKIIIQVIGHNKRLISFNKDWTSWTKGVGETTNGNSTNWLNYQEGIGETTKGTIGRTANQERKKENIKEIAIDLSSYSASTKKPYEDYFVKVWEAYHNKKGKNKVTSKQKLMLYNEVPEEQMLKAIEKYKKETKGTEYRYIMHGSTWFNGGYEDYIESVKAPPGPKKEPVYKDYNVKMAELEAAELEKMKNKPKIKIKPYEEKLKELEEAELRRLKHDYDQGT
MSVDASALASGGLLQSIQAAGSPLAVVLGPDVRLDRAFELAGRVDVAAATSVVLVAADADPELWMAAMRAGVRDVLSPDASGQDVAAVLERAAELARARQDAAAAEAGAARSDHRVIVVASPKGGVGKTTVSTNLAVGLARSGQGSTVIVDLDVQFGDVASALAMAPEYTLPDTVHGAASNDPLALKTFLGRHPSGLFVVAGSDSPAAGDAVTAQQVAQLIDTLSREFRHVVIDTAPGLADHTLVALERATDLVLVSSMDVPGVRGMRKELNVLEELSSCLPVVTWCSTWPTPPALCRWPT
MNDQPFSTNMLTLEVLDAICDRLQIRPSALIFLDHDFSSDEVVALEKQLIDNTHQQRRTHVTDLAQHLQVIKPLTDAAAQQMAGELATALIQEDRFTRTLTLA
MPTYLGYGPMPNACNMFKATRLPSVAMIQENELWGVPQTSMDLMALGVYMEELTPDGSVSIISQSGDTEDVGSLHPLPSHGKDSVLAPTFAASIQPAGGLPSPGSLGAFPQTGGVGARQIGITAPLKSVDMVVVWPSLAQQARINVPVNQPDLNDLTYAVSAVCRSVIRMRPGGDRTVTCKDCGRWFTNQICFGNHKRSSFCRGPMSVCDVKRPTVTRHHNMALLGAHRRGFFILHVGNGREEYVLGQRVDDVVKAGDTTNVLQF
MVKVSCFSQGRTQSSSRESLEGGTGGRKSNKMTALVVDDNMVNRTIHHRLLDNLGIENEAVVNGTEAVDIHCSGKTFDLILMDMDMPVMNGIEATRRLREMGIRSMIAGVSTQSMEREVEEFMETGLYVSLIGYLISYLICVLPKICRIRESVTIKEGLAPLNRPFGTVNPGPLLDLKTSTATGNRTRRSLLTYTPFLSWISFAVKLKSNLKMDDACVHDSLRREVEPEIGYPSSGPFVVKLNLRCLESNILRCEVEIGSEIGKLLLTSSGPFVVKLNLHCLESNIIRCEVEIGSAIGKLLLTSSGPFVVKLNLRCLKSNILRCEVEIGSEIEKLLLTSSGPFVVKLNLRCLECHDILRRGVEIADGPFVVKLSLDLNILRREVEIQVLYVAGGHNFLRRQVETVETPLL
MGKFVDESIVIVKPLAYYKMLVHVLRFGSKTRDHRQFKEVIGMLVGHLEGDGKIKDVIIEDVVPISHGGSIEVKFTDEQLGAFGEIDLQIYEEHGSKNWFTVGWYHSHPGLNIFFSSTDVFNQLFWQDKNPSGVGLVFDHTYLENEGDLGFRAFRLDEPSKNLNSDYHEIKAMVEAPKEKSFYSNIIRLISNIQSNQPPLYELNETTDLFSEVFIPDKEDLAIRKPELHLQELISSLKSGISSFLDLSMIPLITSLNEWSQNITSKTYYNNTQIRNDLVELKNNLSISLKEIQKSFNFNLQDNLKKLDDYISDTFDIFEEEQDNIKQIFEEFKNKINQHLLTLFDEKLNFSVEQIGFIFENSKKLIADMEAINQECVKSLKKGTQITENLSKIIMPSEKRIASKLEENKTKIIDNYKKKIANLQNSITSFNKETKKIMSNLKAAILVLEGSKEPILNKLDKLENEKKNLHSSIKELKSEKQELMNQIKELKKGG
MFESEVSLFLRSANNSACGHRDDRKRFVVPADEKLTASWNWNRQNAQRTVEGVNKIRQLSRIE
MLHALKEKFKESLSSVLPVALIVAILAATVAPVESGIFLSFCAGVLLLVLGMGLFTLGADSSMLEIGKKAGAKLTESKKIWLIAFVSFVIGVIVTVAEPSDLTTDSSAPLPS
WSLTVPLLRTKTAHLDYVFVKQQIDHQTAKGWLEDDKVLLSMCSDVSSQYSIPSLHTRMVELETTSDKYQEAMDQQATKSEKDQPKTLLPTLLMFGATGLAVIGTVAYTTLGNPMATMCNISTEMDMSDPCGLELDTDTSDCFCFEGDSCCGFSTS
MVSLPSPGIGLRGFLTLSYALVLLACAVRENSMVFGERSPYDCSLHESHRVHELLKTRDKQGRKCHKTVI
MPRIPSSLIFIHTALLQRKFDVMGGAAFFGPVEQKRPTVWYCRHGAVVCDLDGRRAFEVHGAICRKWRALGGAGWGRPTCDEMPTPDGSGRVSHFTGGRSIYRSPRTPAVVVGGDIRGRWDELGGVDSYLGYPIGDEEDFSEDGRRSRFQHGSIYWWNDSGAVDLSGISLSFTGLKCLEQMPGNRNSTDGEPCAVIGIAAPDAPIPARAFRSRVFHRIDRGDAGRDSMEVFRGEPLDITIMTLFTGHRCCAPDRCRAAVQTLVEEAVGETGNQMELERHLLSPPIAGSRGSRIGHSQLVVSGRQLVLFARQATWHDGSVDYKLDTSVAEASGGRYKAYFNVLPI
MSFTDFFTNSNLFCSFLPKGQASFLLEVIGRDEGQFFKDKVRELNDIVDSMPGIYGQDGLGDQAIIYLHYFGGGCDWYITEKDVENPGEEQLTIAKDQCQAFGFSNLGDPQNSEFGYIPISELREHPIIELDFHWEPKTVAEVKKSIGARLISA
MTGVAARFGIVAVALAIVAALSPTPDRVTDREVYEKTEARTIVQDCSDLHCFRVLVPWVLGVLPGPSPVKWKAYSVVCNAAAAAGVFVWCLTVGFSRRAASFACVLTAAGFGGLYTLHDPYTSDPLMYALGPILTTALTTGRFVTAGVVASIGVLAKEFAAAPLYIFAGASTLGGRWRDALHAFIAGNFAFIVWVAFTLTLMLKFNYSYGYASVTFSKGAVIGLWLDRLSLRGVASAMFNEFGPLYLLAPAGIWFAPADLRRLAIAALPVAAVIAYVQQPDRALWNFHFLVVPFGALVLERAGDRLAAATLATFAIGNLKVGAQLPWIPAARVWLVASCVCASVAIALAMSRRAGEPRWSLVTP
MVELRSGRRVETEETPSASRARVDTSRGWTPERLEAPAPPALPPPWIREFRNPAKVRPRMNHKLKRTGTTRSDVKSTLVS
MKKSRLLIAVLVCAVMMMGVGYAWWNDVLTVNGTVQTGTFDVDFVNTSIEKIGSENYLEISVNSEQDDVINFTVGNLYPGAEFKVTSGFKNNGSIPVKLGEAKITGINSDEYANAILVDTNNDGTYETTISQWVANIGSYLDTVKIASNQTRTGTFKFQVDPELSNNLQGKSVTFNLTFDWVQFNE
MRAVHYAAQSGSMEMLRALKQRKVDLKPVTRKGFTALHCAVLSGQLPAARWLVAEAGLDAACQSKGGETALDLAKILKRTEIAAYLDGLTQKPRGAEGTTFRPNVQQRRVREGGATQELNLEKRAKESARAGDVLSLKAVVRRGFSVDAEFQEVPNKGWRLLHFAADRGHTALVDFLIQENANVNAATKDGMTPLHLASWGGHTEVIRALLSKRANGNAKTTEGMTAIHLASMGGHVSSMEALTPTCGVVSVNRDGKSALHLAAEYGNLGAVQWLHLQGLDASSRDDKDWTPLQYAKDEGHKKVVDFLEKLEKQHSPQGLTQHEKELKDLQAKLKAKETEIQLLNKQQDLKDRMQERAQAQIKDELQEMREILKAQNDLIARLQDTMLSRGEPEKEEKK
MSLMDPTNSSYWLASLKVATILVYIILGILVNVGVNTDHKYIGGSNWRIEGAPFRGGFGGFARVFVTASFAYGGTESLGVTAGETKNPSRNMPRVVKAVFWRRCFHELTGDFLVPWDYPNLSNKSTTTSPFTIIFEKAGFTVVASFMNTVILTSVLSAGNHALFAGTQGRRCDFHSHKASKRQVTTRSKLKELGSSKPRSHATQLLKSWLDNGPNAGGGLALEGVRGMGSPAFRLPAVEGTDVFKTTDSLRRHEWALVYKFGSREVRTPKTQYTASSLVLATGLVSVATDGNGDNQESSGVCTLSMFAFEYNQT
MSETSKRHPSLVLILIPVVMLGQLSMDMYLPALDSLRASMRATGSELQLTLSAFVVSLGVSQFLVGEFARRAGRGRAIRIALVGFVGATAGCAITSSIAFFIVCRAVQGISAAVCVALSYAVIADNAKDSHESMRGMTMLTVASTVAPLIAPVAGSQVLQLAGSWRAVFVLLLCVASAATLAFFTQPAARFDARASDASKPARQIYLGIARSSRFWRYALLCSIGTTINFCFFSFLPGILLVQNGLTQNAFSAAFFLCGLTEIAGSLLAPRISKRIGHPALTGLACACCALGGFGVAYLSTMQGAVVPIVVAFSIVELGTGMLIAPCFSAALYLFRSASNEASAICGFQQFMIAAGVATFAAAMQWQSSYALGILIGVAALLGAALACTPAGGAAADERADERADERADERVARAAANPD
MTAIRVTAIERVVRIVARGGTGVATINVELPLTSTGGSTPTLAILPATETTPGTLSAEDKAKLNALADPTLSSAVPQPLGNAGPGTSTQAARGDHVHAHGAQAGGGLHSTATTTAAGFMSAADKTKLNGIATGAQVNVPTNLGVGGTGDARTITSSTGTSAALPLATPSTAGLLSTFYATLLANFAGNVRAQVLAMLQQGSNVTLTPGGSGATQTLTISASGTGGGGSGTVTSVQASGGSTGLSFSGGPVTTSGTLILGGTLAIASGGTGATTAEGARAAIGAGTGNGTVTSVGLTLPSIFSVSSSPVTGAGTITATLASQTASRVWASPAGSDGAPTFRELLQGDIPALSASKIVLSDDRLLGQVSGGSAATQIALGTGLSFVATTLTLSANLQGWNAIAPSSKQDTLVSGTNIKTINSESLLGSSNISIPVISSLGSISGVVISSPSATQVLTFDGTNWVNSAPSGGGGLTHFTESVNTSAPNATVPVVRLLATNAATNVDAAFTPKGTGAILAQIPDGTTVGGNKRGSGAVDFQSSRSAATQVASGTRAAILTGANNTNSSANGGILGGTSNTLSNAGGAIAGGQNNTVSNSNAFCGAGLSNTVSGNQAGVVCGVSNQATEQRAFVGGGLENQATGESSWIPGGVQSSTRGLFAAYSWSGARRSSNFDNQCIGMTVQGGTTNATPLILTAQRGGASTSNVMVLPNNSCWTGTVHITGRSSGGDVYYRRLDVVGARGASAATTTVKATSPAYTFTDTGLTGVSVTVVANTTRGSLEVEVTGLAATTIDWFAHFDAGNQIVR
MSELRDAGILVKMAHQGFINAGIDASTIYERCGITRRLIEDPQVRTPHDAQTIFWQACEEITGDKYIGLHLGLHIPVFRGQVIEYLFLSSPTFEEGLNRALNYQRLLSDAVTAKVAREGDRCLFSIGSTNENTHEIRHLIDAILLGAIRFFCHLTQDNFKPLSVAVTHGGLADDEGRNSEYAKVFGCPVIFNSDQNIIEFGIDILHFPSQHAEPELMKAHEQVAIKQIARLEKQDIITDVSRIIGELLDSGEVSLENVSNRLDITARSLRSRLADAGTSFNHILANYRCNLSKQLLSRTDESIDEIVYLTGFSEPSTFYRAFKRWTSMTPIEYRKSKKHQSHALSTV
MIINISSVEEFNFFKEVTSEFCYAVTLNKKDDFQVIKKLSKNALLYHFFDPTMMLMYPDYKEKIVGYFKKIRDELGNNIILYEGIDFWLIHVYGFKHFKEKTEELITMLKKEGFKLILLREKPKKESNISKLRRDVREGLRVCYKYDLEKKFYAPNKVLKNSLREEFVLFKTGIIAMDKTIDLIKKLKNKKSILVVGRVDKADLRVNELFIKKIREVVSK
MKTLAEFETLENAKAYLQIRGKMIHRNTMNAWLSEAGKYRLLKAIAADEANPLGEGAAAFLDSTEYNLIQTSDTGQGVIQLMQALITAEGGDPALQQVLDKAVASANETYYPYADVTAHEFSKAKRQCPVKQVTPINGYLKITLTEDVEAHRPQVYAMVQDVKTHVTTFSQVGAAGDYIAQVPRGYATLLVDDYYGVIA
MVARRSHHHPSQQRQAKNHGSKSPRKNNIKDRKVS
MEEQKRVWFEKHESGGGGKSSGVLQPPEEVLKAHQRFKNKLRKALRVEKREVHIASTKEDLDKQIKETQDGFFPHLVAQQNLDDATKSQDIYHADRTHGAKRVGRWAQEFANGFSQFVSAYSGIIDVVQNAAGPYGDVAYQTLSIFLIVVVNKSSNDIKIKDLLDDMRKSFPRLENWADIYPTTTMRKLVADAYEQVIEFSRAATEYFTHFLTRFTLAIIAPPSTGVDKIAALIHKTLAEINSEAMYKLHGRSQDIQTQVNKSGQHIEELKAQVTISGEKIQKLQVYAERMEDTNDWLRRALQEQSDKFDAYKKEVEQRAQQEDEQRLKTLEEELGVTFPSPETNVEETKRYLKQVFPNSPRYVAHMPETAYVQMNRALLQKTEIYRSWISSTDSCLLFLSGKTAYDGRHYRGWSHCWLSPAAIYITEDLAREDIHVAFFSCHPGLESKVVPTKQIISSIILQILMRKPHILREKAVQFHSAALSDPFRNSANTKTQARAMMKLLGDVLAAVKDLGTTFIVLDRLDQCEGKFKFVMDELVRLVGNPACNVKIAVIAETSIGGGEWDPDMLSEVEYKVDRVFRRQDWNQARLTNLELNRGERPLTWTSEGSTLSLGDVTA
MAPTLSILLCSVFLIVSPLVIASYDRFTSLPYCAQYCFTKAVNDSLATCEGASLDCFCQSANAATDFNICLQANVPCNSNPINNDVEVFKSTIFCNGSMDSGFYYTSAPGAVTASVGPALGGNLSATIFSNLPSSVATEMSIASTNTSTQCADSGSVTAPAILTSTSTVVVELSNTASPSASSNSTWTASSFPTVIAAQASGGAAPARVGATELVELKGGFFMTWILVGEILALI
MLDTASVYTFSNSYIRIK
MDNMNDDVIYPLDISSLNRYFIQLISCGDDYQFQEIIKTFLPILIRSIEVQTDDFRKKAVEVFIHINKRVKARSEIQVPVRSLLEIFTTSTQCSPFASNFSIMYIKMGFMRLKPDYQIELIPLLFQSLTNRSTSHQELLMGLIVYALQYVKIIPNTNENIIKYGLTDQPIIRNLFLNFLLNIILLPYKFEESKPRNTTKTTQRTYVEPSQAPVDEVLFSTMDDAPSKEAEVFKQPYPCMNEQLYNRITEAIQTDDLNQVEKLKVAILKFLNGNIYSENDIIFHFVLATADSRYSVVLAAEHDIKRLTVAVDWNSMQHIQSLFEFFLGTSKTIKQQNEDLIRNPSNTRIRLKLYPYLLKSRTASTIFPHAIQVLYESLFGNSTNVRIKYFSLQFAQNMIQNAELNSLVGVSKLILHALEKILNTETNKTHDASRLRSLTYVLIGKLSYRVPKLFSDDIRLTQQFFEALKIEDNECCLNIQEALTMLAYSQKDASVSSKHILQQLLTQQVIPSSSSESQTIDYPQCRQAAVSYVMNVFPSNDCTSRFILLTACSDKNEDIRSLARRNLFNEQDNNYPDFQLLLKLILTNVQKNSSLDRQILIYHPQTYQEMIYYLHRCLIRQSFNGEKITPLWKYEEQLLYVFNIAKQNTIIWYNYIQFLLDFVLIIHDCLSTYFLFEAIIIGYNLNDNKLIELFNDNISSFRQLCLFSTRDDTRRYSSLLYAYVLSKNQTNLLAIDELIKIIQNINQRFEQREASIIAFGYICSHLKQSNEYLNNGKNLFLKIFFDNQNEYILSILISIGQLARMNCFNNDDELNIKNFIEKIQIKLKTINETNRIKEKAIQTLGFLAVCYRKQSQNIIDILTQSL
MDRALELEARRWAEDVPPQRLDGHCHSELAIDIIQITSQAQAKAESITLDLGSQIKRVLLVELPAFLRSYQRAFNEFLERGKQLTNYRANVIANINNCLSFRMSMEQNWQVPQDTLSLLLGPLGELKSHGFDTLLQNLHEDLKPLFKRFTHTRWAAPVETLENIIATVDTRLPEFSELQVCFREELMEALHLHLVKEYIIQLSKGRLVLKTAEQQQQLAGYILANADTIQHFSTQHGSPATWLQPALPTLAEIIRPQDPSAIKIEVATYATCYPDFSKGHLSAILAIKGNLSNSEVKRIRSILDVSMGAQEPSRPLFSLIKVG
QIQSLFKAPTWSVQELLHAPQSDVSIDKGSVRKILKLSGLKTDITEQEEERLAEALSTQMTFIKHLYEGSDAKERESSNDSIFRLLPSDHAHGKEVTLTSLLEEIKNLRADPEKGEDGFDVSKL
MNKLLILLVKVPQSRDRCPRLSAHLPRLVNKQTSVYRTDEGICPYWFVSFPSKEGVPLYQREAFFMARKRPRYNEGSTTK
MASSPSSISSSRKRKTSSSVSSPPPSNDQRKKSSFQRRQLTVMKKAEELSTLCGTQVAVICYGQDGELQTWPRDLSKVRELAVNYMKQNDTKKRKKSLNLSELRGKAEKGCLPKTKTEKGFELNTQKLDALSAEKLSELGDNLGREMKTLQERLRFLGTQKQKKNAEENQSRNLFRDQENQEPAIPSGLQGNHQAFMLSDAPFDYLSETWLSNSEFLGTEISGQIGNNGYMGMNVGDHSTFLVSQTRQNIGFQPVNDFSSIMISNNSYMGMNMGDHSTFIVPQACQNIGVQPVNDFCGIMSSNNGYMGMNMDDHSTFVGPQACQNIGVQHANGFSSVMASNNGCVGDHLNFSVPLGYRGSTCE
MSEVRSTRQPRPTRPIRSARARIKTYHEDSSSTEEPESAPELNASRPSTQNVSLRPRNISGKRQFYHEESSSENSGLLSSSDENPSRHIDEPVHATSNGRGTLSTSAVSAASATSSTGRVVVNDPHSEHSRPSRPTQAKRNTTTKNRSKMKVTPKSSKKRARVIADDIVDTASGVVPPWSTLPYHILLDIFIRASHPLVDEQLMQRTKSAKWLLEIALLCRSFLEPALAALLHSPPLVPTSKSFELLDLLSRPQASTAINYPTKVKQLHVDAESVLLYKAGPQLGWFELSQLLARVPQVNTVRLFHKDDFTIGLPHYSIRLSKWNYPESLFSTMDENGISLHQWDWNGRFMEDTELFPFMLEKHQRAAFQQLRHLRLIHIGISSFTDAEQTNATLAEAVGQLQHIEELELIECPLVDGRCLSQLPKTLQSLTIINCDSIFSSSIEDFLKSCGQQLRQLNLRHNRHLNLSFVTNLAKYCPSLQRFKMDISMHDWSSYHDSEPHFKELLAEDETPTWPETLRELELIQMKKLSDSTAESFFMSLVDAAPKMPDLRRLTITAILKIGWRDRANFREKWIRQVEDTFKRCSDPPNPNYRSLRKRKINPAPPESAAEPARPTSAGSGHSATSKRQSTRLARQKDAAPCSPQPLTHHHVRQGMCDVVNIRIDNLRPMETQFNEADFLDDELSGDEDWAGDDWQPAERHAW
MLHVVLPKGSLEKSTFELFEAADLTIRRSSSVAYKAEIDDPRIDEVRILRPQEIPSYVAEGLFDIGITGRDWVEETGSEVVSLG
MASSARPTPSRARARALLRAGLTLTAAGAALVAGGAQAASAANSSTQPDKVRVRTPLKALDTTMVKGKGIDGPPALHYAIGPLRNLRTNPMANTPVNPLSNTVGTQVSDFKPVSSGAVTGPVARGNSVGQLPVVGDAVGLLP
MIQDMLRLVYDLFTDYYECLRIANDFIRVLLRWIYGLLRITTSTLRVVTISLRKDTSSLRIDTYSLVLSLVASVLSLTLIAYDRFFGIVFALRAHMTTRRARTSLIFIWICSAGIASPTLITRQLKVRVWSNHTEQWCDDVWPTETETIGNMTMGSMPSRTAYYVSVSVILYFFPMLIMTVAYSIIIIKLWSSTIPGEKVDRRMEALSRTRKKVSTKLMKPKK
MTAIIFVLLLVLSPLIAVVIFAVFVAIFGAIFLSGGLATIGIGGVVSRVRQGFSGTADAALSRASGEDFLASLTEVTPPR
MITDNALDALTARMLEAVKNTRESADSAAASAQKAQKMAEGEMQGTSLTGAEKKLLVQIQNLRQQI
MNAFLCLAALTAVFATFLPSADSQGAAVPFGAGAPVAAAAVVPAGVPVTNLAPVTPSPAALDPFDVTKNFNDQILTKTSPLPLKERLPAWFKLLRDRPADDQ
MSLDNARLFALQTPVTFKLDTGYERNLPEGSVWKEAGSVAQGSVYRPVGRILTVEGSQVHEAWIVVKDQKLVGFFLPGEATYSPLSTVVSLNLKEKSQ
MGHAKVKDMSRRQQKAVFANMSDDGYLRRLESAEQYHKRVAYLKNKHDLAETTEDKLFDVWNNKSLTHRQKMTKVAAIKADAAKKLKAYQKVETGATVTGQIRATQSKRFVEKYGIAGVKKTDRASLEELESGAKYEYKYANHKTIGMASARALAAKNLKSDHDYYKKKYKKLGEDKDKDGTANFLDCEPNNPKKQHDDLARYAAGKEHIPTTSKKSVYLIRFVDKKTKLKKFKEVSATSRKDARKVFLNQTKNAGVISISKKTPRGVLLEKKLARRDAERFKSKQKIVLGTDL
PPPPPPPPPSPSPPPPLPPPPPPSPPPPPSPLPPSPLPPPLPPRPPPSPPPPLPPSPPPPPPLLPPLPPPLSLSPPLPPPPPLPSPPPPPPRPSPPPPPPPPPSPPSPSPPLPLPPPRPPLPPLPSPLSPFPPPLPPSPPPPPPPPSPPPSPPPSPPPSPPPVSPPLPSISPPSPLPPPSPPSPPPPLPSPPPSPLPPPPVPSPPLSPPPLSPPPSPPLPPSPPPSSPPP
VDKWDPPTARSPPASLLPSPFFARDGRRRIPDSSRSQIPLAPSLPPPRTYRIYTPRPSIDSTLKPPPSSPPDAEIARRRTPSPAAPLPQQRPLPTPSTPPETTPALPIFIHISWFTHLSPKPTSATADDDHRSHHRVELAIVLIHPPPAPPRPPLDSTLNAMSPCALLRHQRPSEMPHASPSMPSPPFAAAVSSPSVRSRSNGPVYFLFFLCTNGYSVDFTRPLVAPAQSPR
MSDAKHSTVTYTSISSDDGSSDVGSSGVIVLGHDVLPMIPEDPYAYVEAAMQEPPPPNFVPEPVCPEFMPPEDDVLPAEEQPLPTAFSTTADSPEESSGDDANNEEEDEDEDKEEEHSARADSIPPPPVHRTTTRISIPSQALVLFLSEAEVERLLALPTPPPSLLTPSPLPQLSSSPLPASPTYPLGYRAAMIRLRAESP
MTSGPAPAASSDPAPVPKHAQPQPRPLPVVPINRRVAPGSYRPAAAQYPLSPARGLTPHTTTSTPHTPATGAAPKAAPTPVPLQKSTSPAPHAATHPHPHPPNSGVAPVVVPLAKPAAPTPPRPTPTPAPPLVRTAPIPAPSVQRRAAPTQPEPQPQRPQPQQQVSVPLQPAPAPRPAPAETKPATPAQAEHTNPGPDSEAAAPADLDDLARRLLAPLSRLLRAELRSDRERVGRLRDHGR
MGAPSGDTMHHRSNRFSDGGGGGRGGRGPGAGAGYGRPLEGRLVGRLRERLGERVEGGLGERPGDRRPPSPTPLPRAVTKRRRFEDATGNLDAGGGGRGVAPATLLSAATAASTAACGAKTSLGGPSVSGPPPLPPRSPPSEHALWGAQRSRFGAGSRSPRRRPPPPPPPPPPTDVPPPPPPPGVPPPPPPPGVPPPPPPPPPPSMAPPPPPPSMEAMPPPPPPLTAPPPPPPLTDSAPSQQSTVALPPPPPPPPPSSSPPCPPHHHRLRRLPLPPMRHPAMFLL
MYLTFSGTHLSIPRESLPPTLEFTSPSPGTHLPLLWVFSSGIPPVISWKSLPLELTFPSPGSYLPYAGKLPSLSLEPPFHWNSPPLPWDPPPSPLELTCPTLEHPTPSLGSHLPLPGTLLPLSRNSPFPNLELPSPSPWNSPFTTLVLPSPSIGTYFPTTGTPLWNSILLSWESPSHPGNPTPLSEMTSATPSPRGHLPLPFPGNPPSPIPLPHPTGTPSK
MECAIGEKMDAEAPGSSSADYMLPTLYTSCIEDYLHGMEADLPIFSMFPSPIEATSASPWHGTGSEQTDQSFSSHPAQDIAFQEVCAPLNAAHLPTGTGWPDRSPVPSVSWTGYAATRDEPYPNWLQDERSLGANGYVWLGGSVLNPIVASQDVLSARSDGEGSERTSVRSVAGHIVNTISTDLLFRIEGKEGPGNKAKVANKKVVSRQKKGRVSKLRAEGKMTRKMTPFPKGIGPPLRVAATKC
MMITSFDLDSFGKQAAENYVSSDVSLNDTITKIAEDNGLSVQQITRVVEAANVETYLSLLKTAKDKYVEFDVATANDVHTSVTKVAEVSNCHDYDEPPCINEDVALFYIEKTAEIPIDRAKIEKQASELSGKFDFLVNALHDNELEADTLLRDLKVMTKQALLQNVAFNDLGLIYKEAMPILGEAVLSEIKNTITENSPHLDLEKEASMKFVNEESDFFLAAQNFEKVAEKRLMIADAISHFEDKYEELKEFDVILPKLNKTAGSLRGLLAKIKGVPASLGEKMRKAKKLKASKEYVKEHEKKLTWKPEIPVKKTLKRVGIAGMVGGGYAVGKGKGKEDQGDILRTQLFNQQRLRKY
MDIGIPKEVRDLDQRVALGTNSVAQLVASGHHVYVQTGAGTGAGFADDQYVQAGATIVYSAEEAYKRAQLVCKVSTPSLEEIDELMPEQILCTFGHLAAASKTRFQKLLDKQVTVLAYEMLRDEDGTHPLLRAMSEIAGRLVPQVAARLLESPHGRGLLLSGIPGLPPAEVCILGAGEVGFNAARAFAGLGAQVTVLDEARRLAELDRVFDVPGRIRLMYAYPDQIAKAAAFADVLVGAILRPGERTPHLVTETMVKGMRPGAIIIDVSVDQGGCVATTRPTTMRDPTYVKHGVIHYCVPNFTGLVARTASRALSNMLRPYLQRLDANTPDELRGDAELRSAMVVYRGHVVDPRLATAQGVELMSLRGDA
MTALFHNLDATHPPPPEVTKSAFAEELGLSKARVSQLVEQGLPLTADGKRVKRLDALAWYRENIAPHRRKALSDKPNADPRRELDRVKLEAARIELERARGNLVDRAEAEKAVFERARLERDAHLAWVSRVTPRLAVELGVDAAALFSALDREMRAHLVDLAETPLDALTDDD
MIEPIRKLSHDIAELISVLGIILDRRKIELVESLVTFNTEVEVVRLPSFGGHPISADFVSVGEDVHCES
NPTNTVFDAKRLIGRKMDDLELKRDIKHFPFQHSITRATHSTEEISAMILTKMKETTEEISVMILTKMKETAEAYLSEKVTHAV
MINAFENNFQGFQYIVNEKKQGACVSRNKAIQVAKGEFITGLDDDDYFHKRRLEYFVNFSNEDKFSLICSNVKLLNDDILNVQSETLSEGGKVITFNDIKQYNCVGNQVFVKKSVIDAIGGFDPNMPAWQDYDTWFRIIKKFGDAYKLNACTMYLDDGANRERITTSSKAYLGYQKFIEKHHNSLTEKDSISLKYMDMLNRKQNIPLLSFELIKHSEIFKRVMKYQMTYRLPKLYGIYQKIIK
MYVPTRNRRALLERAVRSVLDQDYPSFEVVVVDDASVDDTPELLATWSMREPRLRWFRQPQPQGAPAARNLALRAARGEFVTGLDDDDVFLPRRLMAFAEAWPHASRGRSRTFLYAQSRLLLPAGVSVSRRPAQVSMDDLCKGNCVGNQIFVPKELILAVGGFREGLPAWQDLDVWMSLLRAGAQGVRVNEITMEVDGRARADRITSQHRQLIEAARDAVLAHHTGLTRCQQRDLFLQALGRHYGFPLRWQDVQAVWKLDPSRHTVRRLLSVAWRMLRGR
MLYVNILCPDFRKFICIHLILTTFLMFFGVSLIVLVIIILLALISGQEPIPVGQKADARQRRR
MNIFKGKPIRLLTTLFSMLVSIAMLSACGGSGGGSDTTAGIGGTGIAAGKVTDFGSIYVNGNIFNTNQSQFIVDGNSSASENDLSIGMVVIVKAETNNGLFTGKALEVFYDDEIEGPVAATPVDVLGSNGTQKSFTIFGQTITIDESSTIFEGTTFAGLDANDVVEISGFRTSDTTIDATYVEFNGTLVPGTTEVELRGTISGYMPPTQEFMLDGVLITFDNMTDIKVSGVLDNGLFVEVEGIYFNNPSVLVHAEEIEEEEEGFGNDVDDVSLQGIISNFMAGPQTFDIDGQSIDFSGAQIEPSGAALENGLEVEVEGDIVGGVLIAEEVEVREGEAKLKAFVSSVDLANNSFEVSYFGGLGTVTIKVDAQTTFEDEKGPNPLEDLELRDLTPVADFVKIEGIEMLNDEVVAGTIKRIDDDDYKLEGVVDGFIPLTSITILGIIFPVDDSPVIGTEFEGFANAADFFTALDAEFTAGNNPEVEIEDEISSPQTPLGIADKVELD
MNFCGNQKSVKYSCNLKNKLKNKVDIEKENGDIAGDEQENRNAFLKHFEEQLNIYEKIAVITLVELTGKEQVIGSAYLDRILDFNSKDLIYITFDFHEYCRGMKFENVSILTDCIKDIIRDMRYCWVDSKGSICDQKGVFRINCVDCLDRTNVVQTAIARIVMETQCRKLGLLPPDETLPQSCRVAFQLIWANNGDAISHQYAGTAALKGDFTRTGERKLSGLMKDGVNSANRYYLRFKDDYRQAAIDVLLGQPIAEETLLSAGLQEEDIEGELLEKEENVRTLIDDLKKMLIVEPEQCLGGWSLVNADPVVGDHDRQEMDIILLLSQRAFYVGWYDDEKEAVTQFQQVLLEDIVKIEIGLEPLLFKSKHVCMRIHYNGLTEEGFFHTFRVPSTRLFNNVVVSVKNEDDAKESLKAVCHCFLASQNIVRHNFEVVEKQKLERMKVKPHPGVIDIGKQLQETSLAAINLPRNVSESSLNETEEETEKQLPNQHQGHGFFRSPKFSLNTESNIHKGDLSNKLGLPLKGTSLQLPKLNLHNLNPIKNVNLKSLRLPTVFYSNKETKSSMERLTINTERSGEEVSDSSNSLKHLPPEGAGNLPRSSDTDVVSNKNLLDMTQDENTDVMFRSCGILATNPKQLFVTSLSLSSDVDPEELPQEISAAIDEARKPDATDQLNNLLSLDLVSSESEIENSRMALNNKTEQESFMSNIPDTYANSYPASIRDDYDDNNYDHFNCGDASTEFAEHSRDTSNQRRRPLPLKPSFSDGAISSHPDMNTYPDLQKPLLLLENNPFTKIGQKIQSSMSRSSSKATVDLLKEQVLEKLKDRECETQIIFI
MHLALSSLELCIRTTPIISCNRDTNRYTTTLPDPQAQPMMSTLASTPTATSDGSGRNPIGEISLSKLLSTLTLTLHPTTYVFSTIPLSSPLLDTIPLSSASEEILLTFREKEGMTLILSLAKAEEFGLNYQFRSKMITCNVHSSLEAVGFIAVLARELAGQGRGIGCNVISGYYHDHLFVQEGQEQEAMEVLEQVARDAKERGA
GEFIGDQFHGRGLMKHISGIEYNGEWINGFPRKMSTKVVIVIEVPEKKKTKKKEAEIPEVKGGEETEKKPDKMIIRQGVGFKIKVECRNEKDELIEDQGRELRLSAGFRYYPPVKSDDSALFDMIEDMEEKPIETPL
DPYRAGPGRSSISHASLRIRASSLPDTRIALPLSRTSLRIPAPTPHALPSRVTAVAHAISSSPAVTNLDPAVSDPITVVTPPISNISKEWLTRLPRRYLSSLILKDLNLKVHSFSY
MFGQRHGDSTPGTHYRSDRISSVNGQYFFSTREGTLEGPFFTRFDAEREVMQYISRMQQAAGLFARVAR
MPYSSFTNLGLDELAPTKLIIELVDRTIKHSNGIAKNVLIGIDKSFFPIDFIVLDMPEDIKVPLIFERPFLSTSYAKIDMFKKKITLRVGDDRIVFKSDNPISNIIKRVYVLGLRELIELDIEARLIGEALIINTSLDPIYRDYIKLNDLKEPLEIRRNQVEDLDMPEDIKVPLIFERPFLSTSYAKIDMFKKKITLRVGDDRIVFKSDNPISNIIKRVYVLGLRELIELDIEARLIGEALIINTSLDPIYRDYIKLNDXNQVEDLGTTIRDGEVINQPIIDMFKTKNGDEKIKGINEYPSFCDFDRKIHIDCAYNL
LMQCFERRCLTYTPDNPEGWQVEAGNVGLHYYAWRYQLFPGTGPRAGMPNYWSNLAGWTQWPAGNFAAGDSRWLGGPAGTAAISNEEYQLAISGEVGAWVGVTAPAGAFDDIVAHVGVRSLLGTAHDGDRSCLLSRVQQDGPDLRFYALCIRWSEDFVAFYYQRAGGVESVDWFVPPGVASAPFGLNYDLTIVAVGADLWFVANGQMLAHVTHSGPLVGNVALAVQRGAPGYTDTESLFHDFSIAAAHLP
MKRVKIILFSFVCLFGIAAPVVALETLRFEWISDRDGLSQNTVRCIMQDNKGFIWLGTINGLNRYNGKEFIVMFPQTGNFASISDNRIRSLLEDRQGYIWIRTTANIFYCYDPRLERFVDYDPSNKQKNFSHVQTFSNGDVWLWGASGGCCRVQHRAGEALQSRQFGASELGSQTVAFVYEDVLHFIWIGTTQGLFRLEGEQLIKISPQSFSYVHESGNRLYFINDQQIVAFDTKQQKPDRTILYPGNKAIALNTTTLLNSDLILIATKAHILAFDCRQKKFISTEMLFNHQQIINASFFTDNKGKKWIYNISGSVWRQVTDSQFEKIDLIPSNILSTIDAERYDIYHDSRNIIWITTYGNGLFALDLNSRKTYHYTVDNSDLPTNYLLCVTEDKSGEIWVGTEFAGISKISLSNYPIQIFYPVPEGNHNRSNAVRLIYEDSQRRFWFGTRSGYLNVYDKSFKKIKSHKIDGGLPFSMAEDASGNMWLATRGKGIMVFPPSGDAPVRNYLLHDVALQNTSSNNVFDILLDTKNRIWGASFGGGLHYADLNSKEVAFQHINARTVNQDMVRVILQDRTGMIWAGTNEGVNVFNPDELIRDSTNYINFHFDVNDDLSINNNEVKVIFEDSKGRIWLGTTGGGLNLLVREKPLSRSWFKHYTAKDGLSNEVIQTIIEDNQGYIWVSTEGGSGISKFDPQIERFENFSFFNNKQAGLFNEHSCWKMQSGELMFGSYSGVFIFDPSQIKYDVYTPPIVITGLKINGMDARPKEHNSPLTESISLAKEIKLNYNQNSFNIEFAMLNFHLPNFNQYIYYLEGYEKNWNSITRHNIAAYRNVPS
MSKKHVIWVIIYLVLVLGSLLTVGGLTYKVDPFIHFHEPDTAHYFYKLENQRSLNYGIIEHFDYSGLITGTSMVENFKASEAEEAFGCKFIKVCSSGATFKESNEYLETALADNDDLRIIIRGLDMDMFFDDSERMREDLGEYPTYLYDDNDFNDVKYLFNGDVFFSYVYPMIKERSKDSFEPGITSFDDYSNWMGGWVFGKNVLYPDGVTVREATEGAGITEEEKNIILENITQNVTGIAEEYPDTTFYYFITPYSIQWWQNKRDYGYLNKQIEAEKLIIEEILKHKNIKLYSFNCLSDITTDLNNYKDSIHYGEWVNSMMIKYMSEDKCLLTYDNYESYLTEEKDLYYNYDYAQLNDQEDYENDRFMEVLFNEKINGVEPLHIDFNDTELVTIQNAEVVEDQYNGADGLLCTGCIGRPSESDISVSDYLRDTGYIGFKFSVDDIGEYKNLIFYGKKAADHGQLTVYIYDSNGNVMAERTETYPNLDNEWHQYLIDVSQLEGGATIIFNGGYIDNSGNADSQYVFSDITLY
MGANNSTRRVSFESDDNENITVVKGIRLSENVINRMRDGGSSPLPQMKPPAAVTPPPHSTTYGPPPLLRPIPPPFDPVTSMPAPPRVAAVAPPASEEKLKPLPPTPPPIEQVEAPTILDVVLPCPPTPPLAKAVAAPAPAFEPMAPPAPAAPAALESLTGQPTPPPAGLESIDSLQSSVHDYPPPPPPPPVIEPPVTSHCIIEPLAPPAPPATIEPIAPAPAPVIIEPVAPAPAPVIIEPLAPPAPPVMMEPIAPAPAPVIIEPIAPAPVPVIIEPIAPAPAPVIIEPIAPAPAPVIIEPIAPAAPPAVMEPLLAPPSPQSEPLSSSPAIEAVTMLPLPPPAELITAPPLHRFLSPSETPPPPPPNPPQPQVLPLPPAPAPEPAAPPQLRETTAAPPSVGTPPVDEEALRKRIREELHNGLEQERAKAEQELQAWLEAEKDAAAALAQADAQARVQQEVSRVLTEERALSRDGLHAAVLRERASADDEKLRAQRYAKHLEVREAELRKQDLFYRQQVARLEERSAQFYKVTTENYHKAADHVNGKFRRYETHPVCAELQGEILKCYQENAGKTLQCSGIAARYLECVDGSKQVGLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSLPLSLSPPLSFSPPPVCLSVCLSVCLSVCLSVCLSLPLSLSPPLSFSPPPLCLSVCLSVCLSVCLSVCPPLSFSPPPLCPSVCLSPSLFLPPPLCLSVCLSVCLSVCLSVCLSVCLSVCLSVKPIEPNQTSNLAILFPRPKGQGSLTCTLTGSGPVSVIVCSQ
MSVSVDDHLVAGRYRLDEADRGREIRRAWDTLLRRPVALRFVDSSPGVENGIRTLAALQHPALPQVYDAGAGYVVTHYAGPRTLAGTPPLPLPEVRRIGVRLADALAHLHSYGLVHRDLQPATVRIDASDEPCLADFSPWSGVQGVGYLSPEQVRGQRIGPASDVYALGLVLLECLTGHQEYGTPEERLRRPPVVPRTLPPGVVRELSLMTALSPRRRPAAGECARRLREVDLTRVGGRVGRRLAAASVTAVLGLGGALWASHSASPTISAPAPDSSVAHGY
MAVGRLTDSFSSTMSKLIVAFTTIALFLLFLGGSQFYFLAKISALDWEVEHADQIVMETHALQLSVADLLMPPHDYLITGSLKERENFKVLRTETENILARLQSLDPDSTADISLIEQDLQAICETAEALLGLRDPVGNKDAGQLMEEMDACHF
MGNSEVIQMHKTIKFNILSFVIFLCIIFSVWINPSLFGGVIPMPIPYLLVCILILFYKINVRTIWYFTFFLCAVLVSSLLSPGFYNEPTSVLLGGFQLVGSISLFLILVDITARNNNSEHIERWIFRLFYFLVFLVFFEIFFGGREVLELLRNLIYEKSLYTSFERDVREYGLVRPMALNREPAHLAFLLSTLSFFIINKKTYSFNKKLLLSFALFFVFILLIRSPVLIVLPLAQGFIWCFVYKKNAFSSVVGLIFLTICVAVFYAFIFDIISSRFDNIMAGSDFSAVARLVAPIMVCVDVLRDYPIFGVGVSSDGTLFKYVYDLFVSFGFKSRLLDMDNSSIANYVTNYFFQIFIYFGAIGGGGLLLIKYKFLSLYNSKVYILLGLFFIFSLAIGGLVTSKVWVSFFIIWLAVKDYLGFEYEENICR
MSRRDGSCWRHPSQRAAGVCADCLKERLSALSPSAEALVPHRASYSDRSSAFGFVALDRRNAAASRPGIADYGGQRQQQLVSPLLSDAYLRRSKSWSHWEGSGGGGGGVVMGGASNAARGNNLPFGNGNGFAGRKSDAVLDDWTRQRFFSIFAKDQSQQKKSSSACASNNRSTPQRFYGGAPPLASGMRSGGGGGHRCLASSKVDYQGEGLSSSAAAGLENGNSSSAKKTSSRKSSAWWSSLVTTFRKSKPRPSPETEPAVSKKKSTSTGSSSLAGYFNRARKEEAKYVNLAKGRASQHSPNWKQQHPQQQQQQQQHSNPSWISSPLRIFKHGASSNHGKAKKDAANPISSSSSQQACDAHLHQQNQQHQQENTVDRHSSSEKPSSWSIPSSVELKKLQAATPAYTAYYRDYGAWSLSSSPVPHNRWGNANNCATRGKQPWENRDVVAHSASSPYHRLGSSTWNFYLTPLRPAKAKAAGIATTTTTTTASSASKQQNNRERCESEGGLVEREEIWSKAQRGGPCRQRGFGAKEAASSGSSLRVRKGWKRKKRGMKVSQVSQASQAWRWNGWMDGLMDEQHLICAWQQGGGGGGGGGGIWHLMAVAVKTNVSKVDTERKRNVYCHEMLGEFFDETACVINAKQGYLQPPIAIEEGIVLTAVVLRFLNCY
MCLVQKILRLVKLNQVPTKMPYAEVIGLYPMASLVSHSCMPNTKTLWKVSVKDAVSLSLSLGGTVEQALANPTIDSLEALQKEWLEKVHPNHYHLHAVKHSLLQLYGRTQEKAHTIDKDDIHWQVSKSVSQ
MSTISSLAIDRPAAPARRRSPVRRARVVLDVALFAFAVGFVALTTLAGTGPRPADGATAAVVGSLP
MPPRSARLGSVLIWSTNLMKCFGCENSGTNATSVIDPIGEHKATIVWLHSLNEDGSIKHKASNTYILFCSWKSQLEQLNIPNVST
MPEPIDPYVLSPDKTKEPPTTLKNRFKFLGPSFILSASIVGSGELIATTTLGAKAGFITFWVIIVSCVVKVAVQLEFGKHTILTGETAMQAFNQLPGPRFGKAKWSVWTVLMVMVIKLLQVGGIVGGVAIILNIIAPAIGIPWYAFSIAIVVALMVYRGYYQYIERLSLAMIGIFTLFTFASLYFLSFTPFQIDWADIWSGLQFNLPKEYLAVAFGAFGITGVGGDEIIHYNYWCLEKGYASYSGKNDGSEAWKKRAAGWLKVMKLDAIVAMLIYTTVTAAFYLLGAAVLHAQGNIPEGYAMVETLSAMYTESLGPLAKTAFLIGAFITLFSTLFAALAAWTRQASDIFGQLGWIDFSNFKSRKKTVAIFAWVLPMLWALLF
MPKRIMKGVVVSAKQDKTAIVRVERTFTHPMLKKIVRKSNKYHAHDENNAAVEGQTVSIRECAPKSKLKRWELVTDEGSDA
MEDLRKRIWQGIALRRAGVMTVVVEVQREWQRRPYDKKIKRTKRFMVHDPNDAAKVGGRVEFRECRPISKRKRWIIVEPKIENRK
PTTQLPSQLPTRWARETPGAIESGVIYTVLAGIQDFINHWQQEFPDTKIALTGGDSEVLLKYLQTQFPETAVQFIIDPHLIFRGIGNWELGLS
MLRTIYAAAAFAIIGAPASFAGPYINAETNANWADKKYTNATTDVHVGYAGTNDTGKLSYYVQGGPAFVAVKDADTETRISGKAGASVALSEATDVYGEVSFLTGEEKEDFATGGKLGIKYNF
MVGLRVPSRTPPFVAYRFYVNRAYHAAAARPRAESKN
MQKNIISQFVMDVIELESFVNSPPHGFTAERRAGCTLVKWDQEKSCVFIDEIQSSKGKVIFCNSPGRKITVRTLGECTDLRRQLTSKTIYLLVSACSNTKVEEKTKREVPALGYYVVAINGSHPMIKWEIERGLDLTISSVAGESYTVDVDVSAALQGWVGENFHIIVDAEKVWLQQTTLQDLLSWEMRSTPKMLL
MESMDIFKQIQPDDSLNDIDYVKTFSSFNKPVAVKGVKGEILYMNELAKNLSKLSDQEIFALYDEKLPEDIAQVNGLGLVSIEKIIRLKTTDGEMEEKFFHIESKTLLNKFGLFYGTMFIFYDFTSLVKYVLNIKNNSENKVYDDITGLFLKNQFKEMLSMEVERVTRYGFPLTLAVFFFENLVFFGQSFGKDKLNQLLKFFGIFFKQKFRKTDTIFRIDFNSFICILPHTNYESANNKFKKLQKELDEVIKFQSSIKPILIFGISEFDLKKHYKNYELLIEEARINQQNNKFYK
MFNRLSSRLRNSGAHGHSNKKKIDSRPASSPPAARNGNRPSSPANWDDTESLDSYSAFHAESLFPLDSSGEQAVDPLASTNDQFLGSLSFNSSSDDNDQASKRSWPTSSSVPEEEINVGPAVLPEYVEAEEQFLDDYEDYFENLSLDNVRKEQYSNLDLQRVVHLDYANNPLFSSYQVEEHTQFLLEEAPCSASILPSGSRLRNRIVGLQNRILGMLNASKDDYPTLVLTAGVSASFRLFAEIYPLDRSSQILVCQDTHESIRHLVSAAARSGTRVSVAGLRSTDLAAPRGEIQRLLNKMASRLVIGQGGGVVVIPAQSGLTGTRYGVDWIKQTHAKGWHALLDVSIALPAAGVVDVAIERPEFVVGSLHHALGYPPGVGFLAIRRDVEALVMKKLKSRTSPAFVEAAGVHIACEDGGMVINGLTLAAVATGLDHLESIGMDRIGKRVECLAAWLHANLKRINHVGENSRPMIKVYGSKERERGSMVVFNLVDSTGNLFPPHIVRSLAEKQNIKLGTCGFANHPLVAPISQRSSSAHPLATFRAVTISLGAVSNFQDAYRFVQFLLRFRDEEYMSVEAMGFIDESAFKQR
MPLVSIDSLIIPIIDKSFSADADTNNDEDSDTNNDENANTNNGEDSGNDKDTNTTNYEDANSVSDNKNTNSNNNDNKYKDPLIKNWIPKSLEEF
MALRIGKSFNGADRIPDHQRDPKLRRMPVRYQVARDDVTPEQLGAAEKLKSKKHRTIGKGNMCAGGNGNIPKRFWTP
MKKTVLLLALLPTYSFAQENIEGAYAGIELGVLNSVELELQGTSADADIENFPVNINAGYDIALAPNAG
MKKKGIFTGIDSLPHMYQIVAVVFISGAFVSFAYGLSTLGLTEVAASFLSFYVVFVGQRVFVKAAGSRKVRHFRESSALLGALYRDAEEWLTDRKLLALCIIGLPVTVVFVLFKTLVVWCLGVFTSAWVALAVGLLVAGAVTSPLLVRRVRDVVFADGGEGEAV
MAKPPKVNIVFIGDSITYGQNMPDLQPSVYVLTFFKEKFKAIQFTQANHGVSGYTTKNFLPGEPDFEKVVKDADAFYSDRSAQLIFSVMLGTNDSAINGPYGSPISQQQYRVNLKTIADSLLKRYPNSKIVFNYPIYYTPNTYNGAMYLQEGLDRLQSYFPQIDALVQDYSTTNPGQVYSGYKNGFADFKKDYLHLFMPEQGHQGIFYLHPNKDGARLLGQNWGKVLAKVINH
MSKERHWLPGSKRRNAALITDAPVTAAQDRHHREKVYAILQGLRLPFLALSAIAYVWMENWLLSMIFFIISIPLPWISVVIANGHGKPRDRRTPAVYKPAAYREWQESMTQQLESGVAGVISASPEPEIIDEEDD
MKTTIFPDIKIELDRSDYVKQRLCLMKSLQIPTLSEAKSNLGSCLAQILFDQCDAALKEDFGLTPLKGTGDELWLSPSDLLIGKLALTPPFTGKHIQALTHQGILKIGEEIEKKFWNETKMREENYFELRKRHIVDQFEEIFRIETKNIETRERLSYQNQIQKMLEEFNEILLEELNNLEKKLKREREASLRNQRISINTEWELKFKEATNTTVQKMTVKFLDELDRQKSVMINNFKLDLQKAYLQKGYDINMEKTKCKESIKQLRHNLECKNIANMMYVLCMERRKCCTEKEKVEQYYVKEIKKLNKLISDKDHRIKTLTEETNKQIMEVNLRETCLLEIIRQFQKFINFALRAAPTQAEFLLSIEKMMAFELTKTVLKSNYSRLRPCSEILPWKDQEKPINTPNVVGLEIEDYHNCLKELTLLHSEEGLGEEDYLPAFNYKNKLYVREDFRNMISQGIEITKSNELWSKDVEILIQTLRKSVSNLKEESERSMSKKSSVKSEQTAPPRSIEASSVQFMIRESTPKTTTWSHDSSRKLSEERRASLKPVFNSLHNINFAQIGTVEKKPALIAATNSLELILKRGSVRYLKPKDEVELEELEVDEHDEKLHTLVRETQGSFSILKRKASVDDAPSIREMGESCQSSKKTSPKQSVKDGLVMDAKDSVIMKKASLLKKSAEFNITPNDSIELRIESKSNMRRKASKLVTAKNSLELLRESRTKIVDTPILYSPKDSSKQKSSVSCAKTKCNDKCSQSKDAYLDDLMVEDFRYIRKDSAERSIKMSHIEVIKLENSSREASVSINAIPMIYDTGSADYSTDLTDMYKEKPKPKKTKTKKVAPSKGKSQKLPKALKVVGDMNAKEHLQTTNEFTEERIHSLIELIKDNPALMRTFTACTR
MKALRVPTISEIKASLGLPLAIVIFEESHVTQKEELGLTSYLRGPSGELWVSPSDLLIGKVCLTPPLTQKHIQALTHEGILDIGAQIEQKYWNEMRIEKEEALKEREEYLNRLFELEKDRLLTEALEGEQLKHVQQLDEMRIYFENKINELIWECYAQVTQLEEHLQIEIDSLNILWQEKLDEEINNTVARITEEFLEKLRLQEQVLVKIFTKQIHTLKWKHKCALDLERIKSKELMLQLRYKLECQNVANLMYLLCKERRKCKEEKNELENYYTKKIRNYILHIREQAHTIKRITRIKNRRLKQLNVRERCLIEILRQFQKFIYFALKASPTQGEFLLNIDKLIKFELDDYCLDKNKKIKKVSTKIFKKPSDKMVLGELHFNYEIDDYLDANLSLSSEEEFEESDVLPGFFFNERMYVREDFRDMFSVGIEMDMKHPLWNRDVEELLKIFKEKLAAKRSSNQINKIKSHHSSVHFNPAKCDSDSLLTDDRTVCTISMKSPSPPPKTPSPLPLIKSHSPPRLTPKKSPSVEDEEEEIRKVSLAGSIDYKELKKDKKARKSLGTVREKNASVPSSVQQSVKSQDFIIRPRMRSATQSRLTLARDSIILHISCLEKKSLQNISKAAEETVSKMSDEKEKLTESKPSEERRKSSSVSQAGVEKNKTTISTSNDEQGKYTDDIKSAESLQMATDSEVKATSKLLLAQDSFELIRSTIAIIDKRDKEPDSIPQEKRRSQLTFDPKIVTGKYGTSNASPKGNKSTKSTKTQHKKAAIPKMTTPKIQSPAKIVGARKTKEYKQTIHEFTEERVKSLVTLMKDNPSLIGLFTKCSK
MAGFQALDFEESGDYAGIVPDPESYHNVPGWASLDDFLRNNLGINNNRLIEVFRKYHNHYLKNFPVHYFTRSSIEGVDYALFFPVRGGKNNGSSNSEPHYLVAISQNENRTVVFHRKLTTSMKTRSNFHRLTARWAEKGFPAQNYIGADIGEILAICERPEDLIAMRARWFSRFLDKLERKLHKFKMDRPLAGKWGQILEFLTERDRQFLTHIAAKMKSEHVNFEAAHAAASVSGRNLDIYNWIMSGDGPQAIHHRTRLTNHYPWLAMAMSLNDGKTHQPQWEGARSQSAFITFPTNFFYQDIEKAVDSGAPLIEALQDLFSEDDPDHPIRQSTIRKTIGLRIGRDYLRPDNIRPALRMIDTHIPHLVLEEGVLERISKTLRDGGRTFDYLSTSYGEVLANVPHDIRMEMLGDDSGSRIKDYNDTTDYMDRIFNTITLPYFAHRAHKAERGGLTEAIKSYLSGSLREETFFETEASGSRLPPILRPYGNMMFHNLVKLSVYWHNHRGRSYDSRYYSINIDKNARWPKLTENVVAPNGVTITPHGSKSDLLEDFKKFGTCIDGYSTYCLGLQEREGMEKTYSHASRIATKDKDQEATLVVLEPYDSRGFKRQVTFFEIDGKRRVYETRDPPDKNSPLSLAAHWYVAAINRGEIAVDWDRIDKQRKANNGESIRLQTGFDYKDYEKCASAYYAMRPFLPERHRHLEYEEWIAKMGFDRDADILFGVAEKDLSLKELNKLDFG
MVGIKFTLNYLKDYISLHVLVREQESLILSASKGDLAEVQKLLSAGVSINAKQSYYNSILKYTPLQMAAMYGRKDVVKYFLEWKHPTNPFEKVTVNAKTDEKQTALYFAACFGRIDIAQMLFDNGADLNELDSLGIAALDLTIINEDKPMRNFLIKNNANIDAYSNGTDTISITRMQDLRYDMIETLKSHKVETKDKSTGRPKAWNYCKPTQKPAQPASTQPAQQQPVVQPTNP
MLRLILRLRPSSGLRCPLALPAGPAALSSRSVPGTGALRRLHCGSRTVCWSSGLSNRAALLRCPGLSCQSVRFYCLPPHQKVELPALSPTMQTGTIARWEKKEGEKIGEGELIAEVETDKATVGFEMMEECYLAKILVPEGTRDVNIGAVICITVDSPELVAAFKDVTLESLQAAGASPSPVASSSSSSCCSCRPGQLLPHTPEDHTSCPLSHHDGGNSAALGEESGREAE
MKAKRSNKPMGRMRRMRAAARRRSGAPMPRGASADDKVGVSVPETLPER
MRSHFLLTYDFPPMGGGIARMMGELARRYPPERSRCPPARTREASRATPGT
MATITGRVVSYNLGTRKLKYLPIDWFNVDKLLIRAVVYVESIVSVTRGNKKLKGIERNRSNISKIFQKVAKV
MSRILNSFHERYGVQSSYDYRLISKHLVSDSATYSSPEIAVQSMLKGSQLDYRLIDSVFVLFAKPLETTIESEEIKQDTVVRKAYYFSGQVTDGISGEPLPYSSIRVGEKGYSTDVNGHYSFKTETESERLEISYLGYYMLDTLLLAGTKRKIELTPSLIGLEEIVVLPDLKLAEAHIGAKPALIKLNHQVASFLPGNNSNTLFNLLRLQPGILAASEQSADYSVWGGYRGHTLLSFDGIPLFSAASHSNEIGIVNPLMIQDVEVLKAGYEPHKGDRVGGVIRMSGKMGNAKRFRAQANVNSQTVSASVNIPFAEKYALQLALRQSYYNIVDWNELFAEEADDNRDFFTPDFNFRDMNLKFSGRMDNGDNFFVSLLANQDKVESMVIRPIRRGNESRENLTDKKGFGIGGNYVRNWHRAGVSEVGFAYSGLNTNAVDKIRENPRNAALKFFEAVRENGIEEFSIYAEHRLPVLGRHGLTAGIKAIRNTASFVADASDATPESRENNGSRFSYYIKDDIKIGEKIGISPGLKWDYLAYTKKAYLSPRIAGYWEPELSWRVNASWGIYSQYISEVAFADDFRNFFYAWQLNGILDNPVLRSEHYTLGLSYRQEGFSVSAEGFYKMTDGLKRTYFIPEDKRHFDAEAISRAYGIDFYIQKKISKHDFWVAYTLSKVEERYPEFRNEYHYAPHNQLHELKTAAVFDFRPFYFSANYVYGSGLRVSQKFGGGETVPYNRLDVALLYRFGMEKLDIEAGASVLNLTNADNVANSNVSNLPSGRAVYLRGVPFTPGLFVKVGI
MHINKPKLRWPINVNTHLIDGQHVIVLSCPIGVCSQPLALNAAIGPILAKFEGKETVEEIANLYSQQGATPELVLELAKLLDQNLFLESPSFYAAEKSVINNFKVSSVRESALAGLTYPANPEILTKEIDSFLKHGSILKFRDDLKLACLVAPHIDYKRGGSAYGKAYSAIKNLSHDLYILIGTSHKYSKLTFHLTKKDFNSPLGTLKCDKHFITSIAEKHGIERSFENEFLHKNEHSLELQLPFLKRQITTPKIAPILVGSFYKFLQSKVNPQDDEEYESFINALCESVENKRAEGNSLCFIAGVDMAHIGQEFGDSKRLSPEFLVEVKHQDQIYLDTILSQDKQSLFEHIKHDNDARRICGFPTMYTILDIMDRLNIKCESQVFDYNQAVNYERDCAVSFASIGMYER
MLKPTCVVLLGLFASARAAMEGFVAGGQYAKIANQAHSVFLDVLQHVSKEESWMCGSSILNQQLLLTAGHCCHGCSYASRIKIHVGSANRELGDIHDFHDFVIHENFNRQSLVNDICLVKVRTPLTLRSNAVTRVALNRYPPYGETATVAGWGVIDVSN
MGREMVLRALQSKMERENGFLSSSVQNGKGNSSLCFWFDTGIRNDSPSVWALGIRKWFALGFEN
MEACHIQDPSLPNRAQRVNYTPTKVALWLFTSGLDLARCRWLYCKIPFLLGARSSRCHFTHPSFRLQAPSQEAKDGTHDLDRADSILQELSSEVDKELQDLLTIKQEEAKDVFIDDLSATLEAHRTANRAAIVRKQHQKANEEQLPFKRPIIDSKINAVDVPAKEGLTLPGEQGTEVTPKPPGTSTTLHNTNDDGTDIHSDDFRVTTEEETLSDKQQVEEPGPNGMRSTNWPADTIQNERGHGKRYSTGRHKVLEYDAMYIPPRGDYGSRSKRDCPWLAGLDSFHTKGKAVTASRRLYDEITAYENYMKMDPTEIEAAKLFTAEVKSIVHQNYPNNPLNPIGSHSTGLADRLSDFDFTLSFPDLEKRPLARGPSSTRPLSRKIGAQALRSIFLSLHKSRRYHDIEIIRARVPIVKAIHRKTKLRVELQTLSSNGAAREYTLYFLAEFPTLRPLYILFRGALHLRQLNIVFEGGLGSYSVLIMIVNALKHASGKYARDDLVGHFLHVLEFYSTADLYKYGFSPDPPRTFHKNRKMSSAEKGAQSSDPTLRGLETMRTYDIRKPYLLCLQDPANAVNDLGWRAYGIKHIQKVFAVIREGLITNMKAWNGDGQFEEPWHARGLLAPLLAANYSKLEQKRLRIKKWVSEKHSQHQYDSGIINDQESRVRFVVPTEQLANNGLSLDTSSRAEEFNHIISESAGGELRIVSSDVSEETDWDTQSHRSPVETADQSNETSIQATKAIDTVKGKPGVLQGNLFAVQEPSQSKKHQNVQSRGWKKWVPQKELASQPKEQPSKSEGNIDQNMKASGSGTPTLVKKQKRRR
MRFDVTLVFIVAAVWAVLALAYALAPWSGMIGYAWVWGFGAVLFLGLGLALRRAVKAFDDVERVR
MNGSDNSSTFNKTGTNNQPPTLIDDTNDNSNIITEYYDSNVQLVTTTAINTISTSSIAIPDPTTTTTTVKNNSENIIYTSCITTATATGSYSYISTITGCGNFNIDNNKIKNNNSDIISINIVDNNNSSSNFGGGNSDVVVVNTSSSSSSSSNKIKENLININVTEGASTIISGTTAATITGGTITLCHRFQTQSSTTSDLQQSTSATVDTVATSSGGLILNSRQGSVDGAMQRCGLCSILSRLLRRAMCVGSRRGSGESYYQELPETVVSLKFIITYI
MRTQTWRDRGSFVTAEQRVLAANAPDGSASGTQEERGAWRACKVLNCQGCFLTAALARVVVVVGLELDVEVRDKHFGAPDDAILAVEEGTHDDQRPVRRVLACLQILGDDEAGGRGGEKVLSNLYRSRINGRQARRHRGDPDGYALLKNVSCPRRGEEQDRARSRVRIGDYLYGTMIRPRTAATQRSVSRTASQFGPNWTVK
MNCPICQAEKVAQLEKENTLLHSLVDEKSNLIEIMANEIGQLKQEIERLRVVEQAYQALLKV
MPFDGIVLNAVINELKKKLVGGRIDKIYQPDKNEIVLFVRQYREEYKLLISAHPQNCRLHITEKHKENPISPPMFCMLLRKYLIGGRIREIKQKDLERLVEIEVENTDEFGRITYKTLLVEIMGKHSNIILIDSGDGTVIDSIKRIPSEINRVRQVLPGVKYAFPPIGNRISLLSVDDNKIMSTILDLLSRSDEPAQVYRWITENFMGVSGVASKEILFRSGVDANKLVSELTEEEKRKIAETLAKLSLDIREFRYSPKIYFDRSSQQPCEFWVFPLHHLTAFKEVSASGVNVTVDRFYSQKEDFEALINIKKTLALHVSKQLKKLNQSLAFQREKLAETQDAEKFRIFGEVLSAYLYKVTPGLKEISLPNFYDEGKSIVIPLDEKLSPSQNAQRYFSKYKKLQVTREKVEAQMKETLREIEYLESVLYNIEAAETLEDIAEIKSELYSEEKKTKGGDSPSHPIKYKSSAGFVILVGKNNRHNDMLTRRARPDDIWVHVKDAPGSHVIIQTGGRKVDDDTILEACVLAAYYSKARNGSNVPVDYTLKKHVRKPPGAKPGFVIYDHHRTVYVTPDHNLIDKLTKM
MALDGFFLSSIVSELRDTVEGSKIDKIYQPEKDEIILNLRSNRGNTKLLLSASSSYPRLNTTSKSFKNPQTPPSFCMFLRKHLTSGIIRKIVQINMDRIVMMEIETRDELKSFAIKRIYVEIMGKHSNIVLTDSDNKVLDSIKRIGYNVSSKRQIFPGVKYLAPVFEKKINLMRINEEMYNKTLIESNQGLKIGKFMLKSFYGMSPLLSRELCHRSLLGEDDFLGEIDEEKKDLLYSNLESLKRRIEKSDYYPVIYADEETGEYLDFHCFEMTHLENRSPIKFDKSNEILDIFYYEKANFNSFKQKTSSLRKKISNLLDKNRKKLGKLLQELRKSEKREIYKTYGDLVIANIYRIDKNDEVLEAVDYTNRSRVEIRLDKRLTPSQNAQKYYKRYNKLKSAEENLKEQIAITEENIEYLENVLYNLESVSEIEVFEEIKKELYETRYINTMDKKKNRTGKSKPIRLVSKDGFEILIGKNNIQNDLITFKLSSKDDLWLHAKNIPGSHVIVRAEGKEIPDSTIEEAASYAAFYSKNSKQKKVEIDYTKRQNIKKPKGSKPGFVIFHENYSLIIEPKKPLSEQ
MPLDGITLYALLSEINNKIIETKIDKIYQPSIHDVLFFLRKGREEIKLLLSCHPLDFRISLLGTDEKENPITPPPFCMLLRKLLQGGRIIKISQLSLERIITIKIENLNEYGKIKNYFLIAELMGKHSNIILIDEEGTVLDAIKKIGSDVNRVREILPGQKYAFPPAIERINILTESENKLYQMLKCKEKEEDFYFEKWILDNFCGFSKVAAKEILYKSSIENKEIPQIFLELRHNLMERIFIPTIFYEKESRKPFDFWIFPVLHYKNRYIEKKVNTVNDALEVFYKEKHKYEEYTQLKNSLLKLLHDYLKKQIKILSQKQENLSETKNKETYKIYGELILANSFKIKQGDKQVILYNFYNNAEEFITLDEKLTPSQNAQKYFNLYKKLSAKESIIKEQINKITQEIYYIENTIFSVENAENLEELYEIEKDLEKEGVIKTSHIRNEKVKPSEPLKFISSEGFTILVGKNNRQNDYITFKKASPEDIWLHVKDFPGSHVVILTEGKNVSESTLLEAGILAAFYSKARHGSKIAVDFTLKKYVKKPQRAKPGYVIYDNYKTLFVTPEEEIINKLFLKD
MTRLRLLAAAALVALLAACSTSHTLVGQPRAPIDPGQVRVYFQAPSEYEEIALLETSSSAFTAQGRNDAVIDKLRREAASLGANGVLFQGSGSESGGSRVGVSGFSFGGHGGIGLGIGGSPSKRYGYGVAVWVPPGAAAAADQSPPPMPPLD
MKKFLLLMLTILMMVSCSKEEVETRSDVMVNVTFDGGLASPTLVRLYNYDEAKDFDRNAISEMGDSQKLVDKQGNTILPEYTSDTFTGVNTFKGIEHGKYLVVAMYKPEGYSFPMFYYYGYKVIDVNDLLMVKIDFEYSDMGEFVEF
MEISVSCRLGLPLPPPHHRRICSLPWPPLFSPIKPATHFLSLANTPVCYSPPPTAQDVFSTADWFYRRSDDDFIPFPLIFSTTKSAAGKHSSCHFSGNPLHLLTCRNGEILILFPSGESSDRLACVVGRRERDNGGGFSLLKDSVFLLSPSFKNRIIRVSVFSTVDCASSSEV
MCQKFGSKEKCRPCAPGRFADGNGMCRECPRGGFYQDEIGSQECKTCSNGNFVKDGGGYLPWTVQRVQTAQIILEMLVSELAFVKGIMPASIDLDYVRCAYRKLSANEITPLLSGHQATCRTIPTYLKFLTENYKEQFWFWEILELGRKVGQTMLITLLGWEDALTKLFTIGTSVLFLSLHVKYSPMKSPFEQHLQVKWELITVYDYLPQFEYLHYVRFVYHQ
MRALLLVAVLLGIAPLWLSPYDLSLLGRFLAVGLAVMGVVWAWGYGGILSLGQGIFFGLGGYALAMHLKLAPLAPGELPDFMVWNGVEALPWWWAPFRSPWFALAMVLLLPSLLAFLLGYLLFRRRVSGVYFTLITQALALAFATLLISQQGLTGGFNGLTNFTTLFGWSFGDPGFQVGLYAATALLVLLALAASHWLRKTSFGLVLRAVREGENRTRFLGYDPALYKGAAFALAGLLAGVG
MVLFIYALRWLYKKKRAIESHFGFMSVSVILRVRPKPRILSISNKSSS
QLIGAGINVGSDIMGTMANTLILAYTGGALPLFLLFMAYQMPGIRIFNSELIATEIVRSLGGSIGLVFTIPITAIISGYLLKPTSIVQGYQKESEI
MSEKEKIEKLRDMALRSTQLSVRMEIIGKLETYGEKALSAITDIVDVSRSTRVRRHGRNSIMRIKEKSKPPCAEI
MLMNDMGDNSRAQVWARIWNRMAMLAGGIGGMLAFAGIGQLVLPGNSAAARLLGLLPLAVGGLAIWAAVRGYRQRSRKLMGLAFGCVLGGGALVAIMQSMTA
MESGQLIGNALQSQLILRGTNVQPDCMGRTSFAEHMGSPFGLKCFFGSLSEGIIGLRTGGYGMAYKLRR
MGRGEEYQPTSLRASIGSSAFTWGLQLSRGQMGDWLLEDWGTFIKELNSSGFEVIMVTSGAVGVGRQRLRYRKLVNSSFADLQKPQVELDGKACASVGQITSWIGSSTYWCVDMQYVICCHGNDEDMGDREEEEKKKRRGGEEKDKKDKTKEEEHLG
MNFKTINPVAAADAAVGPSTAPPDPCAALPARRDGGALAATANVPPILFAAYTFALKADGDPLLCDEPGFDIDGIDTGPPGVCDNPACRALPSTGVHNSAKCDAPGGVDNAGLTLFNKVAQVPGAAPQLKAVTINDYVRRGLLNLLVELTDYNGQEEDDQVTANFYLSSGMDGITAKPNGLKEVVALPLVWDGTQPDTAWVVDERSVRSEGRFVFPRQTATGYVRQGLLAIPSTGSGVTPSLFGEPTTFDDGVFLARIERDGGRYRLTHGRMGMRLPSRVFLNSIGRFVSGAAQLCDKPNAGPYAILRDVVCGYLDLPTGPVNPNVDCDTLSFSLAFLATEARLALTESSELKLGQTFVGAKPACPDDAGTEWCDDCAWPETRRCAATDGGIR
DLSGAVTLANAVIEHCGRRVNWVHLPVLDTSEDAFFAPLAALKPEGARIYLGMIHNMDGFPERLGAARKYLPDFGLGAFCGFGREPVETLPRILDDHLNAAEIAGF
MPRSNQTRMSVKPPTKSRWPVTMQKRKADVTLMERVRMTPYQVDAHVLTALTKIRSHVIQVSMTPGRFFGALV
MKKKYLVDDVDIVKKVLDENFGVPDFYPHKRKDTYVYKTEDAIYALEIYAKNLQGLFLLFLISDKEVTPIEELAFLKEVSDDVRYEKKYLKDFGNPLNYEFDVAEVFQKCDSVGLKRLDLHFTKGMSSISVFRVLLYRLNQLLKLKSEYDESLARDIANLYEISIKIFNKKAVKTLLKNLKNMQKKEEYFLDFDAFIRDETGFYRGKKADEVIYYFVRKSIYQNIQKANNATKKPTQEHYIQKIENLLHYFHMMFKEEEIEVIRLQIETFEIKE
MRVDGEVLDDWANDIWRRKYLSIAQHFIAPIIPERAWPGLDEPTCKSGSGYECVCSRSAWVLMMVEEREDHGRQPGYFDMTARLSWASTKDIWTSTKKEKRREERAILRLSIQE
MTDWFRRYRRVSEPRVRLVCFPHAGGSASVYRSWPHRLPDDVEVLAVQYPGRQDRLREPCVDRMDRLATLVASALLPFLDRPLALFGHSMGASLAHEVAVRLERSHGADIVLLLVSARLPPRHHRPRDVHHDDETLLADVRMLDPVNSAVLDDPDMRELMLPVIRADYQVADSYRPSQPLVQVPVVAYAGDADPTVGVGQLRAWSEITTAGFALAVFPGDHFYLVSGEAGLVADIGTRLDAATGAPNGGRSRFTAELVAGE
MQRDGNSEAYDGAGFVPLGVNPGGTCRLVCFHYAGGSAQTFFPWTKLLPEDYALLAAELPGRGRRRDAPFVPSIRSAAQQLAEAWGPYGDLPAIFYGHSLGALLAYETARALKSRGQTLPELLVVSSRAAPGSPTSTHTLPALSDGDLRRYLESLEGTPRAVLDSKPLMKRAIPMLRADLQLIYDYRHEPGPALDIPIHVIGAIDDPFAPLECLLEWRSVAPAGFRLQMQGKGHFSPMRTPNAVMQAVEDHGLAPVREPIRAAS
MDIDPYKEFGASVQLLSFLPEDFFPSVRDLLXTAAALYRE
MTTQKLKGVLDTPTLMAAALYDGVCRSILSDALSHHIVYISDDLIADFLTVSRQESFAPKRSYLQSFLKSYVACARVVQVKEVASSLLPSHYQAVLDAVQPDFFVSMQYKASSMSHAGKTAILSPHDFSLLLNKK
MLTLISLVNLNIYSQNENKLKAGVNNLLRYGNGKETSSGLSYNKEYFEDLTDVKISTKDLSAGFRFEMNKPSELGRDFKGISKRYVEFTNHDNFDIRAGSFWEIIGRGLTLNSFEQRQLAFDTGLDGIRIIFKSALNKNKSIRFKSEIIGGYLEYSDYLKPERIEKYDLKDANLELTFFSLLDFGFNYVYAKGKIPSGIYETDINAHLPEIYFSFNTSKFQLFSSYAHKRTEISANDLFPVSFTSMGDGLYSSVNYSIKNIGISLEYKNYRFDLTTPDNQSTERPTKALPFQNPPAAVKQQTTVLTSRVPHPTDFNDEVGFQLDVFYMPGEDLSISLNGSIASRHYSFYDADTSSIVRFSTNKRNLNFLPSLKNDFSPYSEISIESEYYYSDKLSGKLGFYYQHSITYNDFFKDSPDKKHYITMPIEARYNLNNKISLKLHVESQWAYNSLREAKYQNFSNYFTSFFITRSPDLAFSISGEYTTDKLELSGKNAWLEAEASWNINSSNIITIAFGSERGGLRCTSGICRYINPFNGFRLTIQNIF
HSLYLLRLFNDAPAMLCLYAFVAAYSHRCFALGAMFFSAALSLKMNALLFAPALALVTLKECGWVGAIVRAIPALALQALLAAPFLRANASGYFARAFDFTRAFEHRWSVNWAMLSPV
MAYERTQTCVKCGNRWEIYKLRLIMRDKDSLECDCGETLIKWNGAVMYTSKLVDKSQDSH
HKKHTEVQQIIKYGHYINQLRLDNNEFKENFANTSTWATYEYSARDMTLTKISACFLLQFFSNIYSRIFHDNVFLEFPLQDISSSSKRKGKDEINNIVSLASMKMPESSSFRF
MNPCKTAYFLDMKYRFCYQCGHPMEQVNIEGRIRTFCPACKAVLYENPIPSVAILAENDRNEILLVKRNVEPGKGGWSLAGGFIEMGETPENGAIRELKEETGLNGIQPTLFDVKIHLNGYYGDILIVIYRMILQSFEILPGDDVQDARFFKIDERPQLVFSIHEQLLKRWLSERESKKYERIVGKK
MGTENKLGAGDSEAIASFVSTAVNLLQTDGETKLKEKLGALINSLSDSEKIILWEKKLENPVINELKNELIKEYFIVNNQRKKEYKFCPSCATPLTRKTIGRGSFLSCPKCGFVFWNNPKPVVSVILEKEGQILLIKRAKKPLKDYWCMPGGFVEYAEKPKDAAVREALEETGLETELRGLVGVYQIDNDPKGINLDIIYFGEIRGGSLKLSEEHVEYKYFSLNELPELIAYKHRQAIEDWTNARKLV
MKAPFLLWGIAHVTLNAIKTVAFVSLTSTSNYSNRKCSATHVSFVATCVSLSGTAAAAPAGPAFCERCGAPMISRIPSGDERARYCCTDPECGFVSYQNPKVVVGAVCTWEGRVLLCRRAIEPRRGRWGFPQGFLELGETTRAGAARETAEEAGADFDPAGATLLAVYNLSGAQVQMVYAAELTSGEIKAGVESLEVGFFAWEKIPWEDLAFPTVGWALEYARDFGAGSAVQQRTKYVDGNGEWRVEDG
MEELMWQTQERWFVFLEKLETRMGEMCTAAIPELKEIFKADQDPYKRAHSRMLAGLLGQIRRMQDQANEVKEDRILGLIYSLGVLTSNDFREACFNRHYAFEKRCHDYTSLLQKAAGQEDLEAFYQEQLASFALIKDRFTCKQCGGKITVSKMFFIATYVTCSYCQTQNTFLPSSGAQMVLHKARSLAEQRTAHLLKAYEERESKDPDLYQQYLRAMFDEWNKITPDMEEENEKFYLRLLKDKSINHL
MVRIVITDYGSGIPSSDVRIRQAGYLKTAASLDGYKLRVADQYAKLTDGGYSGVVAANTMSARAPGGGFTQDLTAYFNSTAPTFPVAVVLVGWPEYPDGGDEGDSFNGTCSISVGQNPTCPIGSVEADRWNACTSVGYVSRTASNGVRFAFGSEQKYVKNEVPCNQTCAGPPGYHSCQSSVPATSYNEVYAVEGLRVSEVVCSVEYPRNDSPSPPYSCGIQTGVWRPIWSAYYTGTIPKAILCCSGG
MNRKFSWTASVTALYVILILAVHPIIYQDYYFNILNVKYLFYCACTIGMLILLGGYFIFTGAFKRIKLKLIPTDYAFLAFIVIATLSAAFSDFKYEAFWGNEGRFSGTFLLLLYAASYFCVSRLLKFKSWFMDIALLSCMAVCIFGFTDYLGMDLLHFKVRLKAEHIGMFTSFIGNINMYTGLLSVYLGAACLLWVSCPNKLRSIWYYVNVWVIFIALITGRSDNAYLSLAAAFTFIPIYAFRTRQGIRRYVVLLATFLTSLKVIEWIATTYADRVYQIDSLYNSLVSFSKLVLLIKVLWIIAIILYLIDFISKKADATVSPWFSRIWIGLIVIGFAAFLYVLYDVNIAGNADKYGSAKSFLLFNDNWGTHRGVIWRLAIEDYQDFTPIHKLFGYGPDTFGLVTYYHNMDIMTNVYHQIFDSAHNEYLQFFVTVGPIGLLSYLAIFVTAFIRIIKKGCQNPYVIAAMFGVLCYSAQATVNIGTPITIPIMFLFLSMALAGCREA
MQTVSALEPKSISPNRTINGKYADSAKATVDYFGKHIVFLNVMRRMSVDCDCAGTSAAEPTIPDIGILASTDILAIDQASIDLVYASPHNKDLVERIESRRGLHQLTAMRDLKMGNDKYELISID
MTIKRFFYVLLVSLSLTACSNMPGFTGTGIDNTQVRSGYGTVESVEVVDRNNPGILGAIGGAVVGGLIGNQIGSGSGNTAATIAGAAGGAIAGREVERRVRSDDLFKIYVRMDDGTYQAIAQESSPILRPGDRVRVENGVVTPIS
MQRLLALLLMSVTPVSQAAYSCPPATNTGGGWIPDECLQVSCSNCGMVQSIMMSEDGKASGLGAVLGAVAGGLLGNQIGGGSGKKIATVAGAVAGGVGGHYGEKALRKQAAWLVNIQMQDGSQRKITLEADPGLIEGDRVRVDGNVISKQ
MYTTNPARTRSSMGLQVCSLNNSISNNNERLFHSSMNIGNVLFYNESNIKSHIDTERSNIKSEVKVEGGYYTSSEEDSCGEDEVENHSEKRPLDSIPGRDIENLNVLEEDNYLDVVKEKDNSFVQTIDMHSVINNISEMNFHQQEARGDKMLDNISDIRLYESKYAKERAYSRSKSLTRVNSALSNVQFKNSDNYNYINELNHDKNKDISAQNPTERTSSNISSSKTKGMSKHMSGFSSTSATTRFNTKINSPSLRLSGGSVFSNTGTHNNSPSSIGINIVNGHEIFMSVPDRSNPNNFSSPHTLIKFEKSMTKTDEKSRISNRKSVKSSSLSIRRATPMDSNPLIKESTKNLFEQKTSNRLEHYTNGLITNYKAGSSSKDLLPTRSYKDLTNITSNWAPLRTWQSKSNVDLTAGPLHGFKDSSEFMEKRPAVLNFLKSKISSPNGKSLKANNSAGSVLKRKPTISSNNRPENANTPKKSSIGLVISSQKHKYAMISNNEESPARNGNHTTLGINKSRGSQLFSKSYKYEYDSISDLIISPTFLNFESLTKKDVPIYYGSTEGIPISDNLEKVKNVFYGNLDNETPIKYGINSLTGVRQTTYYNDPSSNAYISTHPVNERAAKSHSSLSFEKGSPKLSRRDFKKTTKSLSFKPTDFKDSIPFECGKFGFINSKDVPNISDFTNRSTNTNFFESEKRRLNEETNSNSLKNNIESSIILDKRGVYNLEAKIPISGKTKGISTLANGSQKNVPIAESNGSIKLSRASSGKRLSSAVISRIFSISGKLEIYLLRRVKASEYVANECKPAEFHENFFNLAKLVHLETKKSLRDMLMYPAEKDIDKKYINEFFLDILNYFDKHKAKCIFPLLYEYIESRKNLNSNTSIVFPKNGYIPNSSPKRSYENPIIEMNIGIPAKITDDSALRHISNRNNLVTKTTCFTYDLSKKAPKDYVLNQISIIYYLISIQEDPCYLESIFSLFEKIVNTGSIYSDDILKIKGILALILSKWS
MSLDGGLHIAYALGTAAGYDEQIQREGLRQRAPLNKARQLLKMAAALADKMARLEPVRLRVQHPSVVVQLLAESASAQADPADRSLAYSILSAVLRQKAKSTWAGRNPQLSQLVLF
MANEQDANGGKPVVAIPEATDADGNTINADDLKDGVQVNVTLPKGTQVGDTVTLTVTPKEIKLFEVKWFMKSNQVMI
MTYHKFYVGCKYIYTEQAIRERVFGILRELLPNRIVGEGKANPNNGRPGMMQWKILVFSLFPTQACQQNPP
MVDFVQVDFEGISFSAMAAFTALDSDRVNFVVMAFSSDPVAYEIIISPTSDFGMMASDVIISAMIDSETTDFIASEFINPLAIERL
MQIAPALLLIDLQQGFDIPNYWGDERNNPDAEQKARELLILWRERGYPIFHIQHCSQIATSCLAPNHPGNQFKAITAPIKGETIIQKQVNSAFIGTDLKQQLDQRKIKQLVIVGLTTDHCVSTTTRMAGNYGYEVFLISDATATFNRRGINGENFPAQLMHDTALASLNGEFATVLTAEQAIKKLLS
MSRVVGIDLGTGKTTAAVVKDGKPVLVPNAEGSYTTPAVIAVMPGGQFLVGAKAHRQSILHPHATISSIK
MSKIIGIDLGTTNSCVAIMDGAQPKVLENAEGVRTTPSIVAFTEAGEKLVGLPAKRQAVTNPENTFFSVKRLIGKSFDDSNLKKDIQGLPYKVIKSDNGNDAWVQSRDKKYSPSQYLHLF
MKIDFKKTDKLNSRISILIEKKDYENKVEKIIKDYKSKINLRGFRKGHVPLSLIKKKYEKAIIVEETNKILSDSLNKYIIDKNLKILGNPIPILEEKFDWDADVFNFKFEIGLSPDLKINFNFKKPAIYHKINVENKIIEERITYLQQQYGELKDEKKISETSELMFEFSSKEENINKKMTLKLSQLKTKKIIDLVRNLHLDETFTFELREFFKDETLLINLSNKPIEEIKKSKINVDAKLLSIQKRINASLEESFFKKIYPNKSIKTSTQFKNEIKKSIESQYDQQSDQKFLDDVTKKLIEEVKFDLPRKFLIKWLQKRDEKEISLENATEQLEKSEKGLRYQLIEEEIINHNNLKVEEKEIKEFAKKIFLRQFGQISKKDNNQEIEQMVERILRNEEETKRIIEQVKTGKLLKFFKENVKFKSKKLSYDSFIKIAYPTTQ
MGVLSLFGAKNNSVATHDNHVIIGRLEKVHVMAVDMSKKARIDTGAKTTSIDAQEIETFLRDGKEWVSFTFNNQRIQAPLVRMVRIKRHGGKPIRRPVVELELQLAKITKKVEVTLANRARYHYPILIGRNFLRNHFIVDVNRIFISSSHKLIKML
MNNTWKVLIPFMLSGGLMACSTTGQEPVEPEQKPQIEEPNIEKPQIEEPKQEIEKPVEPEVKPQEPVVELKPKKTSDGKLLLGAEEWVYVPGLEANFKARVDTGATTSSISAIDIVPFERDGKDWVKFKIEHEGIKSKEIALPVQRWVKIRQSSADGTHKRAVVEAWIQLGDLKEKTEFTLADRTHLTFPLLLGRSFFKDVAVVDVSKKYIQDKHK
MKKDFRHIIGRTDKVDFPELDLYNIEAKIDSGAYTSSIHCENVMAFYSQNDHYVSFTIYDKEMPITKEGKVFASKQVKNSFGQIEYRYSINTVINLFGENYIIELALTNRSSMKFPVLLGRKLLRDHFIVDVTRQNLSYREKIKKQVNAEKPYKPGTKKDRLQ
MKTIGRVDKADFPELLLSEIDIKIDTGAYTSSIHTHHIREIVIDGEDFIEFQLMDPTHPEYHDKVFKTKRYKRKSVKNSFGKAEERFIVETTIVIFGEEYPIELSLSERSDMKYPVLIGRKLLNRRNQNLYSTRRLVEAGKEKGHEVVVIDHSKCIIELEKRKPKIFYNGIYLNDFDAVIPRIGASVTFYGTAVVRQFEMMKVFTAVESQAL
MNKREKIGPSSTSKQKQIPPPPRKQSSVQTLKALGRMHMDTDDAASVSARSISSRRSRQPPPPPPVGRNTFSNPNPSSPSKKGSNTSIRSLSTKELSRLRKERHSSEGNNERIAELRAQQNSERDESAHIDLGRSLERIRAERKAAKDSLKERRKSGRSHNQKERFGESSQTFTLPMNDVRRGRATGARDDDILGAAAEIRARARRSLSRSKGREKAAEDVFGTRSVSGDDHTVDGDAVSVSSRGGDQ
MSAAQSDNVRVHRAGREKLTIGKRPQARLRCNPWLSVPQLYARDIVADASQECYKSIKSTNSVNVAATGHQSTPSSVHSLATTRGLVAEVR
MRLPRGAALLAACCGFAAPALAGTKTDGWDVRLIPYVWTASVDGSVSHPALSAPIRAQASFGDVLKRLDIGGMVALEARKGRIGILAEALHISMSDTASMPVVGLPVALSTRTSGGLLAGEVRIVDTDALSLDALAGLRYLFSPSF
MNVRVIIIVGIIDDISNVIRLLETYRSKCSGSCVEVNKAVDELRSIANEVGIMLDFISRTFTESQRLLARYLTTSVNVESGLLKIIMDDGNDEYLDDLVKKLNASPDQVIKILEILKASGLVDYTLKYDNNIRVLIRRRSTIS
AGKLGDFLRDGLDPATQRLVELNDTLGQLRGTVDALAEFSQARENVEQLTQALSQASKIAEAVAALPEQLREIIEHQTEAGNSHGDPAARGSLLPWRRGR
MPSSRDAPRLDPSSSWTELAVEDQQILRVLDPSESQPWLLAKIQHYERYTRALCAAYNNASLIHRRLPPELLMEIFGHVRPKTCQGIHLLHVCRRWRDLALRTPQFWANMLRVSAVVRRAQAGEPLGLERFQTYLARSAPCLIPLTFRSLSMRIADILALNGQRISSLTVTVGPKQITDIYLLLSHGMPNLREVAIQHEQNSGIMQEEDRAMMLSVQCTEDKLPRLRSLRISNVFLSAAMVVPTLQSLFIGGCVCMDCTATSLDTILSVLRRCPSLLSLQFLEESEPRLPVDTPAISQVPVPLPLLDKLMFGKYPVEPLSAIISHLVLPPTVLLKFECDPRSGSLLPDALGSLPLISTLESLEVNTNESKCTARAHAGGAERLSFVTGIPARVSVVPELLSVFAPTGTAPSVTRLYLGFKSAAYSAHVDGPRMDALLAAFPRVRYVKMTRKCHHFFHSLTAASAQDVNAELPCPALEHLVLKWTVGWADGEFGLACEIAEKALARRAQKGLRLKRLEATFQRLHGLVGEEVDLEEVKRNVTARLAPYADEVVVELQPSPQRSRRASTGSGLGS
MNQGDRIKSERERLGFNQTDFAALAGASKHSQINWEKGVTFPNSLVLKAWCDAGLDLLYVVTGQRSLVSQKEVEKISPEKKELLDAFDGMTPEQRRAILEVGKGLSQPKPSKFAS
MGNFLLIGDRLREERERLGMSQLEFGAIAEVTRKTLFGYETGVRAPDAGALASWSKRGLDVLYVVTGERSNVSPSRHLTGGAAQAAEDEPLQANERLLLDYFRSLSEKKKTEVLVSMLAGGARRKPAKSGAISVEGDNNRTAGRDYHEKE
MNDVVTLLLGGGLAATIIEAIKFLANFRQVRQKDEAAARVDSTAADVTAIGAWKDLWQTDSQRAKEFEKERNHERERGEKLIDLVQDAADLLPAADADAILDRLSVLRRF
MTEKSSRRRSWAFGTKLSLTSSPLKSKGWPLESTLEEKPEPIEISRPISSPQPFQEPFPPKLPSRPTPARQSSSRPHSPDSSTPRLFRTLSNTGVSRFFGSSKLTRTTSINPQASDLILNAITRTTSHPVQPSNRAPDSLAQVPTPPPASVSTSSLGPLGKFTNLMSFPSLSTSRDKVDGEDRGRGKKKEKHGEKEKKEPRSSSLSFPKDKDEDDRRPRSLSRTRRGQSGRSPSPAVSALRANVGEESDNESIRSNRHRVRNSAFAGTDDEDDSSESDDDSWGDDEPLHNLTEANTEHNALLSSPQESMFEEFPDPLGEGVNVVRPAEPMFAAPTPLRKPNRKKSLRSEGLILDTSRPQFQRDRCTVIMTHGDPAGYLASKGKEQGRRYVVASDLSEESKYAVEWAIGTVLRDGDEMIVVNVQETDSKLDPVDPTSADRVAKLRNQQERQGLAFLLVRQVTSLLQRTKLHVSITCQAIHAKNSRKMLVDVVDYTEPTMLIVGSRGMGKLKGILLGSTSHYLIQKSSVPVMVARRRLKRPARRTAHLDPNNGPRRVSLAEAAIDKAGPGRVDKDVENMRSEMEKEEIGRAIAHGER
MTSFLRRISSRGSSDALPSLNVTSHDSDDDRESSRSRGRSLSPFRRRRSTSKTRAGTSAGSSKRSPSAEGLRTDPDHESDAEGDRTPVVRPSNAFDSDSEDEDEDEGEDWPEEVLNNTEANSSTQTPEDFITREGLSMTFPGEGPNIDSSQSSAGSGPGLLRRKSNKSAGKTLQPLKLETGRPVFEKNRCSITLTQGDPIKAGEGRRTRSFLVASDLSDESLYAIEWAIGTVLREGDECTIVSIIETDSKFDPESTNLSSSEKKAKIINQRERQASCLAISRQATALLEKTSLNVSIYCQAIHAKVPRHLLVDLIDYVEPTMVIIGTRGLSKIKGMLLGSVSNYLLQKSSCPVMVVRRPLRLSRTTHRKLSSLNREARRPLSEAIIESESRGGTVGDDADEPEDVKEKVKCMSISDK
MLQAPEIFKRSLDDIKIDGLPQRDAPGFEDDVLQAITLQYAAKGYTAVIVVQDGYVLGVAVPQEGVEPKQYILGLLEHGFLEDALPALEVMAEMIDDPEILYNYEPPRLFRRPVCLSQAASPDSSS
MPGQGRTFRRQIADFWQIWPMPPKIETSRDVVFVDGIYLAKKACILICYDGEYVLGWYLCRSEQSRSWQALMQRIATPIVVVSDGGPGLRKALKKFGVPPSFNVVLFMPYGKYIVTLLGGLRH
MNNDAVHAKWFRLFIFWLTSSNSLEETAKTCGVSTRTLQRRFKPFWLIQPPRSVDKQRIYDQVFIDGTYFNTKCLVVAADSSHVINWFWCTKESSWSWTWPPLGRHLISSPVGLGRKVIYHHA
ALGKIFIAVYYSKAFMALAKNTKLFWSPKKCNQETRTELNSMRRLIVQLQKLLLVAFSVSIMLFSIEPLFKQNLAYGIWTLKGHEKLHHFVAIQQLVGMPFCGICVWSIDCMYLGFCAEIIVQFSILSHCIEELTVDGSNPHEMEIHYLNQMKALAVSDAVYFSKWYCHNFPSLKVPLLLMIQSSQNGITIKAGGLITINAGTVMKVLKIVWSACSVMRGIRQN
MFSIILIYFTIFLIFVSTTYTQQLQWILLSDGSSTDTPEARRDAALGFDQAFLILYGGRTQTGTPQQDTYSFNLLTGQWDPLSFPAPPPGRYGMASASSVTSGLYIFGGFGFQGSSPYYNPYLSYAAAQDVPNYNYETPPNFIQNPILMNNPNFVNNPNYNPLSGTGYNHGKNYNDDDDRVDENYYPFRDAWFLSYATKTWQETQTSQYARGFGSAAVSTVTNDIPKIVYTMGKSRDWMHSFVEIIGKGSAGLSQTGTTQAAMIMNDLPSYSPAYPHARYGHSTALLTDNHLLLFGGCLSGYGKGGPCPSKDSWLLYIDRGHWERLGECPPTKTGAAMVALPSYSTCAGMGQGSIDASASVSLGIEQPVAVLWGGREFNPSSIRTYPSPRDEVAVFSLSQKEWHLKRAAPSPTDGSYPMQREGAAFVAGCFQGAPGMFVFGGRATVDRRLLSDLWFLQASPQDVLAAPNSRGCIYPFSYYHLHGIFQFFTYGVIFPIGYFVGRXHPSKY
MRATRSRSVASGARSAAMHGHDAHVRCVQGRAQAGKARI
DVLVIETEHGHSKGVIDQVKHIKKTYPEITLGAGKVATAEATKDLFEAGADNVKGGIGPGSIWTTRVVAGVGEPQITAIYDCA
MFRSFGFGNRGDDDELKPSTEESIKNENVPEKTKDEPIPEETSNILSDDLIEEVPHIPKKSHSSGEIDPEDDNEQLLNLKEVRDLRRIHSIKRFEEDQNINDVQTENQEKKSDIPLVGNEETLDIVKKAQSDEEEVDIKHVLHSKLQDMRNRGNIVDEESELQLLNSTYENKAKDSSKIKEDLDKPEENKNSFENENQVHEVSHDDEEKTKESSKIKEDLHKPEENKNSMVNENQVDQVSHDDEEKTKEIPSDEKCKCDCTESKENSSSSNKCGSKSGVVEQTSICNTLHSSMALKCTIGVVLLSIFLGVLFGKRILG
MLSGSTSNTPKGVLSGDTRRGSGSDPRAQAANSRSVGGVSGLRRRRWSARLHPHRRCSDRVTVLRPMPSHQAPGPDAAERSGPAVTTPPPPSDDSPDADAVPADKDPATPPGGRAAGQRHSAGGRRWAVAPWIIVTVVALVVVAGLTTAWVVFTGEDQTARPACTGSVVLPVVASPGAAPALQEAARRFDATGPSARSTCVTTSVGEEAGGQVATALLGGWVNGTGDAPAVWAVDDTADLASVEAAQSGLTAGRSTALAATSPVVLAVRPADAAALAGRSWADLLADPSLAGRIALPDPRVNRATAYALQSMIAPAGAAPAAVDTAAVTAAGPALQALAAGTASSVATTSDALDQLATGGGPFTAVPVTEADLAADNAARGAQAALVAVYPTGPTAGDEILPVALSASWVTPTLKEAAGALLAYLRSADGRAALSDEGLRVSAETGSAAASTAASTAASTTAGTGDPATGVDRSVAVVRLPDAGSDVTAALAQALGLPA
PMPLDALPAEPAADEPALDLLDLSDLNTVPVAAIDAPSAADLSLTLDLGQELVSAPAEAPVAADDAVLDLSDAAAEAADAAVEATAPEGLSPRTLSDLDLPDLDLPDLGAAPAHAAPALSLVGGTAVTPPTAEVEAEVQPEEAVEDSDEGVKVIGPLRISIALFNIFLNEADERSRRLQVELSEWALLPQSPLPQDAEALAHGLAGSAATVGFEDLSTLARCLEHALARAQGHQVADPTLFQRAADEIRRLLHQFAAGFLKEADAGLLAELQAFEPGAVLPDSHADSDEGLLAMSLEEVSTAALPAHLLVDHTAEPALPTSHYAFHQAAEATADDFDFSQPDQIEPELMPIFEEEAEDLLGELHGALREWATQPADTGRAA
MKVSVIIPVYNAAPFLDKCINSALSQRQTERYC
MTVNNTLRVLPQLQIPHHTQLSFPPTNPPCSLTYLPHNETFAIQPYQSNHYLPSSASASASTSTSPTMSATTSHHLPTLRTPTTSPTANILEGGQTGPPKSRVKKRKRNEEKPNAENGKKERSKRRRGECQYQRKMEKAKGPPSWLKFPARAVHSSSSAPPAPPAPRRPPSLSQALPAQPGYYDPTASSLHVSYSHPCYTTYVAERLTPMPSSQPRWIPLQHPNFIHNALPPPSYFESDSPVNAGSASWSNDAIVCVPSRRSSETVDLNNRYGSMIDLSPQVVIPASISHQGPPSFSEAEYKPPLISTGLSTWPSSSSGLSGMLGIAPARGFLEAHQPSLNMSMYRTRVEDA
MPSSPGKYANDKDNDMPPPPHKRQRVSPEATGDGSPDTASFSLSPRNKKNFTAGNDSTHSSAIPFPLSVDAGPIFTEVEDQVDGYASVESVLGVPAYILFRRVGGNDDDIKSNDTGVKNGKDHRPRRRRPLLTRQEMEQFLRLTLLAQEIDVLVNLSETVLSRRRFARHFRRSILMQEHPDSKPHSGDAPWKNPWLVTLGPILDVLEQKQYRPDSSLRSAEGGRRRDLLRDGILPDPYPKQQGRDVLCHVHEQITRLCHELQACGVYELERSAEQNRSLVASLTDIVGYDHDHGGENGEHETGKTSAVRILAKEKHALSRLQDHLSRRVRSLLAGFCFSSGATTKGNDDGGSHEDDNNSKQILFDDRASSSSTVIRTGKGNANGNEHEHHNEDNKKLAEKSTNDKSSDTNIVDGDSDDDESSLMSLEEAMTPLELICEKLFAGAGSSKPMASSPLEIEITEDSTKRSMAETRSGISQRGSDEQRRQTQQQQEQTTKISRNTNELNSSNPDRVSAMATASVEASQRTAGAAAVMMALATDPKPATASPWREPPKSMRNEALGSSGNNSSVPRNRFDGTNPKKPGNDDDGSFVEDPPPSDAEDYKEVKHGKKKENSESPKKKGATKGTMGIFDVVDVFTPSSPSEQQPEEEPGRGDDEVRQGHDGGPSDRTEDHLSSLSSQSQTAAEALTRMVQGNSFRYH
MGEVIPFPSRNVAEAAAATAATRRPPATVFHDRGWRILELPSSGQFLAVSSYCRRGVVVGRFAPQAAAENHHEGTEIAILTRCGADEKLARCTIAWLNSAGPRTAILGSFVVAAGGRYRYWRDAIVEVAAIGGTRLARLLDGSIAIAREPEPVPLLDLFAPSKEDRRRAAFDRLPRDMRAAILRWADPRAQFGV
MRSEKIVHEKKKAFYGYYFFCFRWLDAQANLATLNNKKTI
MLPGADKSTDFVEFTFADFKKLLKQHEKTSVVSSELLEEQKASSKYELSSALEKLGKRNRALKKHKLLAEQQVERVEALELALGVSENRIAELEAQVAKEIENFSILQRNYDTVLRNIDEEDDGSRSMTPSATSEWDTITSRRALPGSYGTGKRR
MVLSSLRLKLMVRFHQKDTLKRFFERFEQNGLTINRFRADC
MTIGFAVTLGIARTACSLRERFATFHDIGGTLGARSPQRCRSCRRACAPHGFVARRLRPPFLLVRTSGACLRSAGCPAEFQY
MTATSPPAAPRSSWRVRWAERENDRRRRAHAGDAEAWRRRADELTRLRIEATCFLGSSLPPDVPVVRLRRGEVVYRVLPRVELVEVAARHLADLPAPGLAVDPAGTDAPARPLPPGIRAVDAGTAVVTDRRVAFLGRHHQRIWWYADLAGPAHHPRAPLTLLHTTDGTPLAGLLAPPPAALNLRFYLTLAFADGLGNRDAVAACLDDLVAAHQRTRPVAPPLAAPTQAPVIARLHDRRLAGAAAAVAASLVIGATFAVSRTLTPTVPSGTPSPVVVSDVTGPVDGSPTASGVPTTPSPQPDGGSPAHPTGATPPTAPAAPEPRGRRSVGGVPPGGGTQEGGPGPGGPSAVPAMPDSPPVVVPQPPPSDPTPSVPPTPPSSAPTGPDRCGAPANPYGYNYCGGSRIHDPAADVCGWFRCVADFGRGKGHLVRCEDGLIGWVGGPGGTCATHRGARSPVYG
MHYGHVIASALDATVWVKGPTTIIVEPSGFAASQAEAPPWLATAGAGDVLAGIAAALMTAGLSSLDVGEVAAHVHGRAAMVAHRARNGGPLTASAVAETTPEVVGALLSAYSKTE
EFSNLNHTYRPVLVEDIETDVETRSHLGHQVRVCGKERLFVECLDKPGYAGGWEEALKSLQSLPGLDFNHIVDLTLRRNKQVLIRKVGWALEKLRDESIYYRHLTDEPLSRLTVHLTPPNMYLQRGTPGELNKKWRLYVPIRVENATKSI
MLFKRKLIFFTIFLLFSTIKAQSVITQESYDNRFTPQEIGLPDNMPYVKSIIWGKEGVFRKLDIGPDTRIEELKLRRKMLKHHQWIGILTLAGLAYQYDVGKKLYDGNDSDYWDKHYDRHKAVGYFTYATYMTGASLSIFAPPARKYDNNFSSIKFHRTMAILHFSAMMAQPFLAKKAVEDGKRYNDLMDAHLKAGTVAFFALSLDALGITFFK
MRQSITLALATIAGVFAAPTTYSHVGGNSTIEGQGDFFWGGAVQEGPQGTGWNFVQGSVVVPNFKGGDPRQSANMWVGIDGRYCSSAILQTGLVAYGDGSFWLWTEWWKNEMQNYESGLTFSPGDTLRFTVHAQSTTSGTTTVENLSTGQWVSENYTSENDFPLCETDAEWILEDWTQGGVPVPLYNWGTISIFDTIAKNPNGQVTAAGADIVNININGQTLTQSNVQSDGTRYFEQGSLTRLPPSAEKAITPFEVHIPQKRTKTPLRIDSPQDIHFVSLFSEKKDAIPLILLHGWPGNFLEFLPILELLRNNYTPETLPYHVIVPSLPGYAFSSPPPLDRDFRTGDVARIFNRLMQDLGFGNGYVVQGGDIGSKVARAMAVEYESCKVNYCIMPEPQGIVDTLSQPEKQGLKRTADFVRLGSSYALQQATKPSTLSFALASNPLSLLAWIGEKFLDWTDKDPPMDTILESRFTSGNVGTHEDPKSHINKPFGFSWFPKELAPTPRSWAATTGNLVFYRQHDAGGHFAAMEQPEVLLQDIQEFISQVWE
MSSTTSTTVPKQILSESSSNMIPAKNEPLISVETSHSQHLSPNAKVSHRTVDNAQPTLENDSQRLLKQILEEVMNIKAMLSQETKNRQKSEARIQNNFDLVNKSILRNSKSSMQTLRSQFKLPIEEHDQVDAFDTFLSDNREYRRSLKKQLSQLGGRYTTKFRKAMRFIIRDVVLRDFTGRMGLCTQITLLAVAVAAGLIYMKFQSISSPLPPPKFDDNAYWGPGKEAEYKEDKSVQPFKIDYPADQINELSELIKKAVLPSPLEDVGFDYGINSKRFSKFLNYWRDDYLPRWKSEREPFLNSLPQYTTQIQGLKIHFIHVKPSKTANKKVVPLLLLHGWPGSVREFYDFVELLKTQRSDTNVEFDVVVPSLPGYGFSQGSSKTGFGVLEMSVVLRNLMIRLGYQRFYIQGGDWGSALGSTIATLFPQNIIGYHSNMCVALNTGTGILKTIIASNFPSYFVEKEYEDFHFPFGEKFSNLILESGYMHIQATKPDTIGTALLNNPVGLAAYILEKFATWTFPEYRSSPDGGLDNRKDLFDAYFDNIMIYYLSKSITTSVRLYSEAFSPKQLGHKLERVPTSVPTGCARFKNDLAHDIDWVLKEKYTNIVQSNYYKTGGHFAALEVPKVLHQDFMEFVKKVEK
MKSMLAHKRFQGHENLIKVKKLQNVSKLGREQNLLKQHKKAWQREWLRLNALRKKLQADLDLHMRHSLNVDDTSENFEELESAAAQLDNDFINFKKDTVDPVWSLREDLQYWVLQHEKARHAAGAEDPEAILKVIDSVKDQQERILGSLREQQQILESELTDTLPEFVPSSEHKVEMGIPPEAYDLDCDDDTLRAAVLQEFIIIDCKYDERLNDLEDEHEPALRSATGGWSADDDFVYQTVVDQYGYDVPHRRTLYLDRLRRHLPHKTRAQLVAHEDWTLMHQHYHKRRKALLNDWSRHRLELLNRAKVVFAESKVNQELATTKAEYLKTQKELCDILYAKVRAWQEQKMEAMTLEHRLAEQQNAVKREQMKLEEEKEREKRNQQKEKIKQYHNVLEKKREEAEEEDKRRLLQLQEQLAEQATYDRERVKYREQELQLKEDEHRKKEKEKEEWERQKERRLEALREQVRPHVEGDLLRVWKDTESWQARLKAEDEGSINRPLFDVNSFTSHQVTADPRFRLEERLREAGLQDSRFAREAMAKMKPLRTPRRDLESTVFQWT
MAHNSAGRILPGRTRQEDCSCSVMPKPDKEAEAKPVPEPDGVMPEHATKTRVAMRHRDLLTVLLGLRNSIVHYSKCLLPPATCATTPRRRTKQPN
MQSALGSLTRPLHLQHHQHVQQPALVVLHRHTSISLRKASLTLHLQHWLKHQLVQQPALSSLFVFHPLVIRKSNKRHRLNYLLYDRAYVDLLEEDLPAEYEPELQMALEASLATAERDFSMQEVDTPEIVKQHVSELNIKCGVEDPHQQLTVRRKHLWEDIKRCFSKPYTNVLLPLRVTFVGESGADEGGPKREFFRLALESCIGNSSLFTGSTYSTYEKVLLHNTTALLQKHYKYVGHICAMSIVQGGPGPMCFSSWVYDYLAEGLDGVVINVSDVLVDSVKDFLGKVRYTPNMIQTIIMLIYRLH
MSVIKRYLVAFLFSICGCACLIAYKAIGSYIDENGFLVEPFGFIPLFWLFQLLAVSAFAVSFIKSRRAG
MLLPQKRVLKGKEKRGYPTYKWSTKPPPPHRTPGKNIVPYLPGPVGVAKEVTTELDVFKLYFNKKIIDTILVHSNQEIAKQKANYGPQTSYTKDVDISELKAFFGLLYLTAVKKDNRVSTNFLWGISGSEIYSAIMSTERYKFLT
MSDAAQIPRPDGVLIIRHAIAQSKKSGKSEAGVYSTVTDFARFRG
MPGAAKSRNPRRQHTLDAASSPATGRAPGYVRSPAPTSRRFAAGCVPTRAAVRRASSPG
MRRCGGGGGGDGEGEGEGDGEEEGGGEDEDKEEDYEGEEIGKEEDEVEGYKRHVKVG
MKQERPGPVTRAFFVVGGLCGLPPLSPDLEQHARRSEDRMPLSPRGHLDRHACMALDLPSRIARRALEGEGRLDQPRHVQGLAERSAHAPGLEQTRPGLMRELTAFVSPNGLHDPLIALGVVGEDRHLAARLLDAQLGLIGLLEQRRPKRQRRGVGRTDRLGETGRRSTSRGHGVDHGLACGFIGPDQRARLDKARPDRGVDARAAGRSLELRQRHRRDLGAQRRAAVLKLVIADIRPQQAREHAPPSRRNALGEDVVVEDPGHIAGAQDRAIEQNRARAGEQRRQMRRKGADRFGRRLGELGRDEAAQAAHPTRNRKAGPSWIVRSPPS
MTARWIFFGVALVALSARHGGAHCTPIEMLVVDEQVTLSGGLVAPGLAGRVYGESDPECQPFPGDAVWLSDIPGVDLTGLAPGAGLFYEPLAMPDYSQPGAPQRMLWHWDPLDQQVDDNPEGASLRVLSTRLFGDVTFDQHGLLSESGQVQALEPLASDLGRHVHPFYYVLAGAGEFPSGVYGWFGRFTSPGVAPSEPLLMLMNFDQDAGGLLAAAAAINTAALAPLPGDYNRDGAVDAEDLAKWRADFGLTGVQGADGNGDGVVDAADYTVWRDHWAPAAGQAAAVATPEPTSLLLLVAGMLVAGLVGRGGASTTTR
MLLNLGALALYIQTFYPFKLLNHLESHSLLKRLTRGEESETSASHLGIKWTLQSCLEDLDFADDICQLSHRHEDSQNQVTNLGNNCKTSRTVHKYKKTKSNRVNTKVRDAKVEDVNEFTYLGSVISTSGGTDEDIKSRKLKKSSTSQKLKFINQSGGAKHSEHQNKHQNKDLQLKYKIDTFLWIRNLETNS
MKFGQPERRSLTSTVELRAADGESQKIVGQALEYNSQSQLIWGLFRERFMPGAFADTIKNDDIRCLWQHDTALVLGRNTRGTLILVETDTALTYECDPPQTTWANDALESIRRGDVSGSSFWFYEPEDEWTENPDGTYLRTIHKARLYEVSPVTFPAYESSNVSLRSMPQEFESLKQTVPPKIFKRMIEAQIENLRRLLTEQEPEQRGDLLILRRRLELEALSI
MTPSPIRFFVVKGSPRGVKVRPLHGLNIAGFRVFIKTPELNKILAKAICREIPKLLKPPQALSPT
MNLYRTIMNDAFDYELQDMWQVLTENGAKFGNGGTILHKLLDDEDDIEANEEFIIYLLDNWNVNSKYFYLDLENTILLGEVGFDADRQGITALQIACYEGDFEMAESLMKVGAKAKVVDNDGNTALHYASVSDEDTEEIIPLLIIDGVDNQYGITPLHIACECSEPYVAALLTHFGANASVLDSNKNNVLHYLMRSEGDEEELHMVLVVFLDLNIFDINTQNIYGTTALHLACQYCHYKLVKVLLLEEAKVDILDNDNNSALHYASYSNKSSEKLIRRLLRRGLNINEPNKNGVSPLHLACNCGNLETAQILLAKGANINDVDYNELDALHYASSSTDTNTNLITLLLDKGLNVNCVNKYGASPLHFARKSDNIDVLLEAGAQLQSLDNNNNNILHYVSRTTDEVIELLNYLLSAADFNVNAQNNCGTTPLQLACKSGNFLFVKELYEHGAELNILDNDKKSALHYALESSEQNNSFINYLIYNGIDVNVQDDHGKTPLELACSTNNYQVVETLIEFGAGAEMS
MTFNEKYPQLERTLDTLDFKALGPNSFRLVKQSRKSTKVSYQELKESRGSRKELTENDFEDFIQQSISKPKKDAVIRPLVVTERECDTTVQSSILTPEQMDALIAELQ
MKVPSVIYLLSYFVNVLYCAVAMLVVGTLIAIMIRNTKIILPFGMICLFMIYMFCGVFINYDQLPAGIKSIGNFIPVKYLMNDFFSIWSRKRYFIPRFLELNTLIVFLTGLLLFAFHKISLRWKRI
MVEAPLTLRSKLRRALAQLRYLPRAWGLVWGAARYWTLAWAALLVVQGLLPVATVYLTRQVVDGLDAALGAPGQGWGELLPTLALLAALTLLARILGSLSVWVRAAQSELVRDRISELIQGQSLALDLAFYESPDYYDRLHRARNDARYRPLALLDNAGSLVQNGITLVAMAAVLLRFGLLLPAAMLISAIPALYAALHHSQREHQWTVRTTPDQRRAIYYDWLLTSAAPAAELRLFGLGAHFQGAYQALRARLRGERIALARDQVLLALGAGVAALLLPGLALGWMVWQATQGRYSLGDLAMLYQAFNQGQGLIRAFLDSLGQVYSNSLFLGDLFDFLALRPAITSPTRPPTPPEATGGKGGEAWPGQRPPGPPEASGGKDRGASGLDLREGVRFRQVSFQYPHGRSLALRELDLTLPAGRTVAIV
MSNVGIDLEWCYSIYDLNHKTSRPLLPNISTLAQIHRRKYPNRTLDQPLSPPISNVLLGYFLLPLTTTLYRNVKPTQ
MAAFYVEKPSNACFSTLISVENWLSNGGQPTEAWYKKVRNTLTIFAHITEDKTLLNSITTANKKLAPVEFIACLILISTHKDTMSISQLVWLMAKMQKELRNQFQDIQMNTKVSKVVVTFIKGLKSKTIGGMAGRVSSNQVLNPPQQSLQTAS
MLLHLIRHGQTDSNVNHLLDTAHPGAPLNWTGLGQAETLVQRLAGAEIEAVFSSDLVRAVQTATPLAAARGLEVVQDRRFREIPAGVEEMNPDWDRYTRMLLRWIEDMEHTIEGGESGNQVLARFDAGVAEIASVHRSAVIVSHGAMLGVWLTMRGGVDPSVVGRLDNTAVIVLDGHPGSGWEIISLAEL
MGTSGGEISQEIEITMIYQNDPSTGVLIVGFGMQNIILEGTYDPNNGQSDVEYLTSENLNEGLFTDALDMQTNDTSADGDIAMVMNEMFAQWSTVDVPESCMENNEYVLHANEADRSKRYPSDVSYFALVSLKPSYLIRTS
MSDRRDFNFDDDFLDDDQGSNGLFDDIEDPSIGGDFDQDMPDISDEEPERGTNRTFVVLAVAMILLFVVGIGIVLVIALRPTGPTDAELTATAAVNFNNTQIAFIAATNTQNVENDNATRTADTLNIQMTQTALAFTPTPTDTSTPAPPTDTPPPPTPDLTATAAFEALSAIAQTQTALAQPTNTPTSTDTPAGAAINLREQFATEVAYATRAAEFQQGVLATQQLFATQLASDAADLSVLEALLSEQQETLQALLVDATAAANAVGQVDAGLATAAATNPPLNDALVQATVAVQPTREFVQNAEALATTVASEQQAALTELPPEDPAIAAATSTALAVIPALATNVGLATQAALIQREQFIQQAEAGPATATPTIVVTSSLDVVNQTATAIAGAFLTATAQAAPQETPVAPDATVIAVTPGFTPVVVPTALPDTGLFDDIAGGGGGAGALILAVFGLVGVIVVARHLRARSRK
MKNKTISINKTTGFASRRIFCTVLDLIVWYLVYIIILLPAYFMQNGMPQKALNPYIYKNRFDVIIKSPTFLILYLGFIILWEMLIPVITNGQSLFKKKFNLKIECDGNGSYINFVVRGLVKIIILNPYGVIAYLISSSFKTGNGNLISDFFTILTIICGVLILMNKKTIHDKISKTHVVLIK
MAATTLMMASCSAVAGTATRAPVPTNGAGLARVFVQPCEQIPHLVLRQQLLDPSPAVVSKHTTASGTETRACTYRSTDRSQHITIIASNNMLDQGQTSLLGTDRHALTIGGRRAVSFVLPNSVQTHACEVDLAATTGIYGVQLSTTTTDYAPYRGCVAAAEHYAQAFTPYFPW
MNFDFQSAHEEEFEAERRHEVEMRFKPDVLSALSDIEMRLAQIESDGSLMSSAVDEIRMHMANAVQAIQGSAQPPANIPRWSVHVITILLAIIAFK
MKSSITIAMAALILLPLTIWGQMWRENPQTEVLDSVITWKFSGISDSILSFRTTYRYDEQKRTIQKEEFVREQALNLWVPYRIEKWQYDGQDRQTMWAVLFWENETMAYKGLFRERYVFDAQGNVSESYFDGWSLSLFDWINYRRILNYYDNQQRLTNEVNFEWNNLGQHWDSTSYILYEYNPEGLPERVTEWEEEDGTGVFYPKLRYDYEYNTTGDMILKTRLFYNHNTQLWYYMVKEEPTWDEHHSKIQSWYWKYEESIEEWIPLEKDHWAWDEAGNMALYEYYRIGEDTVTWMPSIKAEMTYNAFNRMLRNTGYSGNDFGEWEPGYMRAYEYIQDTLLVEDALSQWDAGSASWKGVNMHLYTFDSLNRRHSDSYHKWIVHVQQFVLSTRDYYSWSQQEAQGFDEPGRISAEIMPNPTQGEFQILLSNILYPDCQVEIVDPTGKIREKLKSERGAASILMDITHFPPGIYFVRIESENQIIVKKIIRL
MVFGGNFELFKMLGTQERSTCATYARVLEQITQDVKDEELHPNERLSVKNTIKGLFLQLEGMDSDSDVDLQVPILYFPTEDNKMVDSTQMIYSNNKSFYDAIGKDAGLPYFIGFRSLELSYDCSVMNKLPVKYRPRILSKMVERRMDVTNLEVTQGHYGKLLQELIQSCEFVEGLLRISRHDRQNTVTLRSKEEHESDVLSGIQKIKVLQVKDLKVAYVFNGKIVGYSKDLRFIHEKENNQQGFEWIFYVKVLEGIDFTHWLSKIEKEFVNLIYKSTDIGCSNHGSLMLRILMTLEHPEMISSILDEEDFEDYQMNEQVFLPVCGTYVNEKWHHMLDNTTFTFDDGEYVALHLHDEVSIDSEESDIFIFAMIMKVIDCDIEGELTHELTKYEVNVGEDRFLIKYACEIYKILREKTSSKELVNAVEIASDKPAKTIPLQVIFKEVRRKLLAIWALPEMERKLIFKRYLRQWHPDKNIGNEEQATETFKYIKFVWDRLTNGDPVKVDDDVDPANYSHPGPSANQHFYDNIYRQCSREREWYQASSGPSAGHHRSHYRDNDPVSNPMQQRKWFKQAELDLCAAEHFMESAEEVQGYNWICYMCHQAVEKSLKSMVYGKDANNVTRGHDICQIALSLKDASLIRAVGEIQALLGYHTFMRYPDEHAAGRIPSTSFTRQQAESALRIAKRILEEVNTKN
RNLVEMALKCKADVNVVGLHSSTALHAAVKAGDEYLVKLLLEHGAEIDAKDHDSQTPLLIAVSRRVETIVDHLLDRGAQVNVEDERQYTPLHYAAMWGWVPVIERLLELGAEIDPRTFKNLTPLLLAAELGRGKAVIALLRGGADPTAKRNDDSTALHLAAKWPDDSVVENLIYYGADPRARTSNNMTPLGCSLQECHPKVVRILLENGGYTPEMCCSMCQAVRWFNKRNFDGSAEMSEILHLHFLNLEAQKLW
MAHLQETEAPGPKKMKLSQDHPEYEVVDSEYRQEDNWTPLHEAADRVDIPKLKYLLNLGKFDVNAVTKETNMTALHFAVQAWHTPDNRGRQPEAVKLLLENGAAVDPHDYVGKTPLHMSVQTDSLDVTRMLIERGANVNVGSQESERPSEPCGEGCEDGCACGNRSALQGILAAVTRQHFSSANFRKDATPLHDAATAGNYKQAKLLLETLCC
MEREYQDDLTTEVLRGDVAKVRRMLRTNVDIEAISEPSGYTPLTAAVIINHVELVKLLLENGADIEKKNGWDLNALMLSMTERSDEMFDLLLNSGADVNSMDEDGVTPLFVALDPTFEPNRRPLRLMELGARVNVSNKEGVTPLHCAVVRYHWEAVVKMIERGANVNAKTIEGCTPLHAAASFNNHFSLIDLLVNNGAALENRAERNHTPLLAAVYCGKTECVQALIRNGARTDVRDREGTPALVLAAKKDWPMCEALLSSPEIDIDAVDVFGNTAMHEAVVAENFQLFDELIRRDAAVDVQNGAGETPLMLAAQRDLADVVEELLAKNADPQLRNGYDESVFDIAEREDASETLEVLRLWIEQNILHPGLVFELEDVSSDEE
DDRRQRDFLLFEAARKGCVEIVARLLDRGASIEARNAIGNTPLAVAAAAGRRRVVALLLDRGADIDRPDLRGATPLLKAVKAGRRGVVRLLVRRGADVGRSDEKGVTPLAAAAFNGSDALVGLLLEAGAEPDPVDAFGQSPIVFAAARGFTAIVGRLLDAGVDPARRYGHGLTALMWAAGYTDDTPAAEGVATTRLLLERGAPLDARDDRGMTALMIAAERGHPRIVDLLLEAGADPTLRDRRGRTAADLVTDAGLRRRLEEAAAGDFQKAAK
MSDNQKALIVAMYAGFLAWMTTPNSVFSENLILQDKNPLVCRSVRGKLTGFGPTPLGREINLLLFEASQKSCGALVKELVTMNAAVDARDRSASTPLMKAAEAGHVDIVKYLESVGGNINHRSLRGNTALLLAVRSNRTKVVKYLLQQGADPNILSLDNITPLSAACFDGNTRLIKYLLDAGADPKTQDGTGKSPIIYAAAKGFSGVVKTLLSEGVDPNEKYKSGLTAVMWAAGHTADTPAVEGVKTIMILGENKANLNTQDDRGMTALMYAYQLDNMDAAAALLSLGANPDVRDASGKVAADYAN
SAERNWGHVVSQLLARPETDVDAVDCHGQTALALSAKAGHHHIVQLLLDRSANPLLADRDGRTPLWWASLYGWERVVAKLLHNGNVDIRATRKPNGCSSLYVACEGGYYEIVRQLLEHGADPDQPNNYNCSSFCAAARTNNVRIAQLLLHFKADIDRNRQDKEGMTPLYKAAWKGHAKMVGYLLGIGADPRVATHRGESPLHIALKKGHSRIVSLLRPAVAGAENYPSELHIEASLGNAEAVDSLVSGRELRQPLAFDGNWDPLAAACVAGQLAVVQLLLDRGAHLRGSGKQTPLHLACAQGNERLTCFLLERGAEVDALDDMGATPLMIAAEHGSPRIVSCLAQYRANLEARNGNGETPLFIAAAFGNVGVVMELLRRGANTKKKNSNDATALHAAIRFGHEEVVRLLLDRCNCQCVNTLMNGEVTPIASSSGRGESARPKGNTLEEVREPKLQSARCCPIHLAARYGRV
MGCSSSSSAQSGPSQSPQAKSHWVADFAGVHKGRRSYRDVVNFQNDSPVSVNLQFSETNLLGSYLASVTIDAGAQGTYDPGESGSFDFHLMILHGKEVRQTVFRGGSYRLKEGGEVNGGTSVVVETLSLPKRNVSDKLSDVAGLSFSFSASGWLVIYQLGVAECLQNHGCARNPHVRVSGASGGALTATCLMYGSDPKVLRDALIESAGTVHSNVGHALSLRTFLMHAMQKVICDGSYKHPAFVSQRIEIAVSATESRLPGFFTKIMLTGKERRLKEFTDSADIAIALLASSTCGLSGLPFAYQDEDGKKMTLADGAFKNFLPVIDTLSVKVKPFCDGIDLLSLTGSRADVGPTEYVPGSLGAYPPPATMLHHLYEMGYQDMEAWIETHLDEHIAKLKASMEKDGVTAPTLPRTEFECANDGMLWYDEVMKRVPVQWGDMQKGSEFLTAQKPAEILQEGKLELQTLHFYGRGTDGKAVLKHSIDGAGVSRCFVLTSTDLKWKEEGDRTVVAGPAADKEPDVEWMIRGLKIAGALGASDQKLFQRSKTGRVQLSWIQEILLDPAVENCLHVKTANYCATPSGGRDWDA
MAPGLNLTPDLNPVPGLNPTPDVNTVPGRNPTLALNPVPGLNPTPDVNTVPGRNPTLDLNPVPGRNPTSDLNPVPGRNPIPDLNPVPGLNPTPDVNTVPGRNPTLDLNPVPGQNPTSDLNPVPGRNPTPDLNPVPGLNPTPDVNTVPGWNPTPDLNPVPSRNPTPDLNPLPGLNPASDLNPVPGLNRTPGLNPVPDLNPTPDLNPVTGLNSTPDLNPIPGRNPTPDPNPVPSLNPTPDVNPVPSRNPTPDLNPEPSTQTESDLGSESSSRSEPDTGSEPSTQSESNTGSQPSTRSESHT
MNQDPVDPRRTSAWRPALAGVLMGAFLLSGCGAVARLEPLPVRTLNAPLSYTVDPRIRPGDQVRVNELIALFTQRLASPHATGAVLALSGGGANGAFGAGVLVGWSETGTRPQFDIVTGISTGALAAPFAFLGSGWDDELRAAYTDGGADGLLSPRALAGLTGPSLFSAAPLRRLVDQNVTPELLSQIAAEHAKGRRLLVVTTNLDSQESIVWDMGQLATQGDEQALVLFREVLIASASIPGVFPPVMIAGLQGDQIVEEMHADGGINMPFLGVPETLLVGAQRVPGADRASIYVLINGQTGRTNQVVRGRLSAILARAYESMSKASTRTHLAANAAFAA
MQRLNPGTSVRLRSYAILAVTTVMMLVTGCTSVREPYSQADADKAQIVGFENVRVPLDANISAFIENKIQRRAGKARYKFLAISGGGAGGAFSVGVLKAWTERGDRPTFDIVTGVSTGALIAPFAFLGSKYDDVLEHLYTSGVAAELIDKKFIVRGLLGESLYYQKPLKNMVERYVDRALLNEISTEYRKGRDLFVLTTNLDTQRAVLWDMGAIASSPRSDALDLFRTVMIASASIPGAFPAVQINAVVDGRQIIEMHSDGGPSAQIITVPEALLSDVSLPIPKGVAGSDMYLLINNALMPEFSATTNSTLSVSTRAYSILVKSQTRQSLYAAYEYCRRVGIGFHMASIDVSVPYDINDPFNNTYMRAVYKVGREKILSGQAWQDRPIFPELTSK
MSTASNPTRPAAGSTDVQRRLRNLKGAKRRRLQDWGYPVDRLAEMAGRGDAQADAVDALLAIILDRGGYTHNDADVAAAERVAKQVSALMTPYAYLVARHKAPEKPVFNIHGYLKPGQKDLSGLGVLGIFGGVFLILVVVAVIVVLVR
MFRSRSGRDPDKHFSPRLGTLKIQGEEQKIGHSAGSESLLSSGMKTRGQGDDHALQGKKEVALQGASLRAKGSTGHRAKANLQ
MTRKSQPLEEASLPQVINLTHAQTQQVDAELVRVHQSIVGRVDAGEVDLQQSAALQISAQNISAQTTSIASARAESFAMQESAVAVVRANQVDLDGRAGLILAQSARMGAGSHSGLLVANQVKTEQVRTTILLARQVEGPVETLMDTRQVLLASLVAGIAVLLGQFLFRRKS
RNMAKTVLERALETGESPLEIVERDGLKQVSDSSTLEPLVEEVLRANPDKLEAYRGGRTGLLGFFVGEVMKRSKGAGNPQVVGGLVKRKLEGEG
MHRTTTPSASTSMPNIPPNFQSVQALIARANAHFNEHRLAEAIAGYEQALSHQPDHLHALHCAGLASFLNKQTEKAFDFLQRALLIAPERADIAEQCGLLAAQTGDAAAAEGFYRRALDLDEHSATIHCNLADCLHARGCLREATVHYVKALELEPDLHHAHSAMAKISAELGLFPDAAHYGLRAWMLDPTRLSTGLDLIKALSKAGRDDEIDDVIHAVRSRFAADAMALKDLANALNGIRRYRDAIEVARQGLQIDPTNERLHMNAMYAFDALNDLAGLRKHCEVAVRYLPQNAFVQYVLATLELKFGDFEQGWQRWKWHEKTNLFRKPVQVNFPEWCGEPVRHARFLLVKELGSGDQIQFLQLVHWLHEKGAIVDVWVDGTLGELARSVDGVNAVWTEEPPGPYDYCCHMLRMPAVMKLDLSMLPLACGYVKAAPEKRRHWRSYLGDPTPRTSHVKPANHSKPATRKRVGLVWAGDPTHPFDHHRSINLDTLRPLLMTPAITWYSVQKGARERECEAIKDEIDIHILGPDIVDFTDTLAILESLDLLITVDTSVAHLAGAAGLPVWVLLPACADWRWLIDRADSPWYPSMRLFRQQELGDWQPVIQAVREALQITPDA
MADSQSLFAEAEAAWRNGDRVRARSIAERVAAADPTHAGAFMLLTNLCFAAGDAAGAAPYLERLSRLIPGDVMVLGNLGRAYLAAGDLAKAAGAFEGVLGLEPANARALDGLGIVRHREGAYAPAAELHRRATEADPGFAAAWCNLGIALTDLGRFADGAAALDRALSIDPEDARTRFNQAILNLMAGDFAAGWRHYEARLAFQPLQAPPGATMWGGGPLNGEPVLLMPEQGFGDLIQFARFAPLVAARGGRPVLAVPGPMRALFEAQEWGAEIVDAGAPPDLPLWCPVMSLGAILGLDGDDISGAPYLTAPEAAAPVSGGPLRVGLAWAGNPTHHRDRARSLRLADLLPVLKMPDIRFVNLQVGLRDADAALLAERSDLFAERPALPDFLATASVLAGLDLVISADTAVLHLAGAMGRPAWGLLPFVPDWRWGDNGETTPWYDSLRLYRQDKAGDWAAVAARVAADLDKTGTART
MRLKHRHQPPPPXRXKXPVIAAKRNILGXHLRKRFDVAAAQFNRGEYQAAGRIYQQALVEFPEQWACYLNLGQIYRHIPDRLTQQLQLLQWAVIAGGDHPRALNAQGDGFCRIDDLPQAVAKYRQSLAREPLQKPAFFKAFGNVLFQTGAHHAALTAFARGXELAPDDIDFEINRSHALNGLGKHREAFDVCRSALTKFADPASAPIALRVGHATALICLGECAKADALIEAWSSEEQAMINTITLQARSSMLQGQFKKGWPLLDPVWRETLGPRAKFPGKIWXDEDLNGKTIVLFCEQGMGDTLQFVRYAPMVAALGAKVYLYCQVPLKRIXMKIPGVHTVYGQGEILPHVDFNFALPSLANKFQTELXSIPAKXPYXPMPKPQRRXDKTLRIALTWAGNDAHQNDHNRSLNFQQLLPLFDLSHCRFYNFQVGPKSTQIEASGLQHLVIQPTPFSDFSDTAEALQNIDLLITVDTSVAHLAGAIGXPVWTLLPHAPDWRWMLNRDDSPWYPSMRLFRQPEGGAWEPVIXXVKAALXQEIEQRXA
MTQTPLNPHTHTETQSNKWVHLLDAGGVELAIFDMVSELVEGFALLLQVLRVFHSLNPFVPCLHFALIVQLQKGPKILRMGVKSGVPVHRTKRANGPQVGFYWALRTFFFSSSTGKSVLSLVTMPIFCVKRGASMTDLSETPWTTFRVDVKKVKAKNRMTKDKHSCNRPQLACAEDVDDDEPVIQSDLAKGVIHAKTAYQVWEDFKDQFSQKNAPAIYQIQKSLASFSQGTMTVSTYFTKLKGLWDELDTYRALPICNQMKTHDEQREEDRLMQFLMGLHDTYNIYCDRGGQGNRHNTSQQRQRGSQGNAFNSFHVANTTDSSQSTHGVHSQDTNSSPGLSTDQLQQLAQALSMMTQNQKSPGNSDAYANAARLGYGEDDWLGRDVKFSETIFPFMSAAHPTSTLPYISPYFKDNWQSSPSLPTNIPSSQPQPTDPTTIQESASSIQSPLAEPTAPEDISPNPTQPLTSSPPAQSAEPISTSPDSIIPSSPPPRQSLRPKQPPAWHRDYILYEAEAQP
MTTGPVDTPARAYGPVALVFGIVAAVSAAFLGIAGIGIPVLAGALAVTFGVLGLNHNLRRAQCVVGIVTGAAGALYVIALLSAF
MGKSYSTNSIRRSFLDYFVKAGHKEISSSPLIPIEDSTLLFANSGMVQFKSIFTGAEKSLFPTATTSQKCLRAGGKHNDLENVGYTARHHTFFEMLGNFSFGDYFKEKAIEYAWDLVTNIFELKKENLLVTVFEEDSEAFSLWKKISGLEDSKILKINSSDNFWSMGDTGPCGPCSEIFYDHGQHIKGGMPGSSKQEGDRFIEIWNLVFMQYEMHKSGKRTNLPKPSIDTGMGLERMAAVLQGVNDNYEIDLFKRLIEDSINITGFDVKGDDLNSHRVIVDHLRASSFLIADGILPTNEGRGYVLRRIMRRAMRHIHKLGIQDTHFWKLVDCLEKNMGEAFPELRRAKALIISTLKNEETKFKETLERGMGLLEKSILDLSSAESLSGEIAFKLYDTYGFPVDLTEDVLRSKGHVLDMEGFQKCMKVQQEVARTSWAGSGAKETDAIWLSIKDHQKNVEFLGYEKNETKAKVVSIVCEDKEVDKIIKGDRAYVVTDISTFYGEAGGQQGDKGVFLWEQGKAEVRDTKKYSDIIIHEIDVIEGSLAKGMPLYLSIDSNRRNGLAIHHSATHLIHEALRRCLGDHITQKGSMVAPDRLRFDISHNEPINKEDIINIEKDINSLIRTKRKVTTKVMNIKEAEKIGALALFGEKYNEEVRVVSMGHDTGEKNNFSLELCGGTHVSNLREIGMLKIISDTALGSGIRRIEAVAGEAAIKYIQSSNEILNKLSSELKVPKEKLIDRIAGLIEERKNLEKKIIELNKKINTSSVSQNDNSYFEISDLKVIANVLDSVSPRDLKGLVDEFKKKIVEGIVIIIGIDNQKASIVVGVTEKLKKEFNAEVLVKIGVKCLGGEGGGGREDLAQGGGPHYTNAQEALEKIIEEIKNIKS
MRGEICSLARKEYGKDSSVDHAIRVIGEHSRGIAFLIADGVLPSNEGRGYVLRRILRRASFFGRRLGIEDIFLGKVCGVVVRKMKHVYPELATNANHIAEIVKIEEEKFLGTLDAGINLAEKIAEESIKQGRDFISGEEVFRLWDTYGFPAELTADIAGTKGLKVDVHGFENAIGKQREKARASHKFAINHVVSVSLEPKFEVDFVGYNQLTVRAKISKISRGNAGSKDKKIAVVLDRTPFYGEMGGQIGDTGKIIGPGGEVRIIGVTRDPAGRAIHHGEIVKGSVSEGDEVEAIVDIDRRLDIARNHTATHLLQAALRQVLGDHVQQRGSLVGPERLRFDFSHLAAISRQQLDEIQYFVNDLILQNIPVTCCDNVPYKQAVEGGAIALFDEKYGDKVRVIEVGQPPVSAELCGGTHVKATGEIGLFLIVSESSIGSGLRRIEALTGRGAYSLLEKRLLALEEIASQVRSSTEEAPRK
MKNYGLNEIRKKFLDYFGERGHLVIKSFPLIPQDDKSLLLINAGMAPLKKYFTGEKKLKKDRATSSQRCIRTADIDEVGKTQRHGTFFEMLGNFSFGDYFKREAITWAWDFLTNELEVPKDILWVSVYEEDEEAYNIWVNEVGISPERVVKLGKADNFWELDQGPCGPCSEIHVDRGFEFDPREDAKPGDEGERFLEVWNLVFTQFNKTADGKYERIAHPNIDTGMGLERITMVLENADNIFEIGLVKDIIKTIEEISGVKYKENHKNDVSIRIIADHVRAMTFLIYDGVIPSNEQRGYVLRRLIRRACRHGKLLGINDSFIEKVIDSCIKVYESGYPELKEDRDRIVKIANAEEKKFQETLDLGLNILDSMLKETDSDVFSGENAFKLYDTYGFPIDLTKELVGEVNKTVDEVQFKKLMEEQKERARGSRNESNMGWSSDKKYGEELPETKFDGYNSLKDESKVIEIYGEDENNLKAGQMGIIVLDCTTFYGEGGGQVGDKGIIYNDNFKAEVYDTKKTGKKVFLHYVKVTEGSLHVGDKVTIEVDELNRRDIMKNHSATHLLHQALKDVVGDHVNQAGSYVDGERLRFDITHFEAVSKEQLEKVEQIVNDKIALGIPVKIDEMSLEESQSIGARGLFEDKYQDVVRVVQMGDYSIELCGGTHVKTTSDIQMLKIISESSVAAGVRRIEAITGRAVYKYLKERDRLIDNVKHSLKASNEDEITRRIEMNTEEIKGLKKKLKDITNKDLSSNVDSVINDAVEVNGTKVCTFELSGLDNNSFRDFGENIKSKLEDGVVILANSDESKVSFIALVTDSSVKKGVFAGDIVREVAKVCGGNGGGRKDFAQAGAKDSSKVDEALQKGIETVKSKLNA
MNQYKPIFLGTADPNTELSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYGLPTDRIYATYFGGDEKAGLQPDNEARDIWLKFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAASLVNNDDPTCLEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFMPIFDDIQKYYHRCCLYVKWVMSPGNSMNSNLRLCVRWEATGARPYSGKVGVEDVDRVDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGKEILKAEEGFFNGLVSSVIRVMGDTFTELKEHEKKITEIIKEEEASFCKTLAKGIEKFQKAGQAVQGNTLSGEDAFVLWDTFGFPLDLTQLMAEERGLLVDVDGFNKAMEEARERSRSAQNKQAGGSIVMDADATSKLHKAGVLATDDSFKYTWFKDHESEVKAIYTGSAFLESSAAGDNVGLVLTSSSFYAEQGGQVIHFI
EGTERLKAMLVESKKSGILPGEHAFKLYDTYGFPCELTQEIAEASNIKVDIKGFEALMEKQRSISKQGSKIAGNIFNVNGNINIKLPDVSLFIEDKEEVKTKVVQIISNGNLKNEIGKKDKAGIFLKETVFYGEKGGQVGDKGVIVKDGKIIAVVINTIDVAGRTQHEIEMKDGMLKTGDSVTARVDMERRLDIRKNHTATHLLHNALRKVLGMHVKQAGSMVAPDRLRFDFSHFKAVTKEELSRIEEIVNENIRKDSGVRIDELGIEEAKKKDAIALFGEKYGDVVRMVSVGDYSKELCGGTHVKRTGEIGIFKIISESSIASGMRRIEAITGRTAYEKIKQDELIIKEAARELNVKPEDIAKEIEKLTNRLKSMEKTLGNFINKNAQGNADSLLNAAKKIKGVDVIIEEIKNADASLLRKNADLVKDKLTGGIFILAAEKDGKIAIIVGMGKSLQPGKIDAVKILNDIGADFGIKGGGRPDFAQAGSRSGPKIQDMLKRAEDIIKGYI
MTVSRRHAEFRLENNEFNVVDVGSLNGTYVNREPVDSAVLANGDEVQIGKFRLVFLTGPKQGEDDGSTGGP
MVSSFQFSSILVFFCIFSCLGLAVSMEKKCK
MKPHHRGLLEAVDSSASPTIATTSIIISRIRWHGSYGEADNLTNMHVARNYGVLLARFSSPRMIQAQTPVSQSFIISRGH
MSPRVPRGKPADEPSGRRPLLRAQTVYDGSSCAGQRRVVRHSLISVPLRPSVAERRSSGDLVPGGHRIERVVYCEEEDNTILVDDDILQAMRMLICRSKSQLTRAFVAAEQQSAHRQATAHRGAAAPAVSLRRHVLPYAQWEAALAAQFPEYGALWPAYAPRLLATAAEAGTSGEGVPGGQVQYMLWLDRFQVRLPCGRFEEFEHSVLSRLHRALLARSREMSLAELLSYFDPATRSGARQCEVLQVLGSFNLGLSSRQLRQLVYDLGYADPRHPAEPVEVLRALLVVASPEMQAGQGEGEGDRRSSGGRSDGSDFISPRNSCGREARRHLRELRSTLRASRAHVYGALCGGSLLAILRRSEADGALSYPDVCELLMALQSACGVSVVARGAMERLVQHIDLSRAGRVSYLEFVAAFGLPESDEGAAARRRSRRSRRSSVYARRSSAQSDAELEDASARFGLKVMQAILSALYDRTPVMQKAFRHLDESGTGFLAEHDFEQALEVVLAHERAEHDVLQREQVHALVLSLRGSRLTDAEGRIDYPAFIQSFVVVDTLNAM
MKKVKVAFFSRALHANGATKSLIELLKRVNLNDCEIDLYILDFTNQTDWVNELPKKINIILVNKYYFSKIMLYNIIRHPIHFINSLYSSIQLKRDISYVKSLKYTAARYPIIDKCYDIAISYRHFDIDVFFVINNLKAKNKYFWIHGVQPLTKEEIFELKKIYKKYNNVFPVSWVARKNILRYIPEIKDRCIVAYNVVDENEIKCLSKKGENFLVEYETEKKKWNILTVARLSKEKGIYFALDTCMLLKNMGCNFKWYIIGDGVEKYNLDKKIKEHSLEDYFILMGYVPNPYGYFSSCDIYVQPSFLESYGLAINEAKIFHKPIVATDIDASKEQLVQNKTGVLVSHDEKEMANAIYKLMNDTMFKEKIVSNLYMENNNHFECIEIFNNLVKNIDK
MKEKRKILFLTDNLGIGGVEKSLLELIKHLYNNFDITVAVIHKDGDLKSKFQKYANIVEISNDKSTFSEKIIDKIKRKKIFDAFKMFQSFFKSKKKISYIRQCTNRIYELDNLEEMFDYAVCYHKPTDILLPYTAFRIKAKNKFLWIHTELLGTVEEDIDYYKYLYGKYDKFICVSNSVNSQLQNILNNRKKDIITIYNLLNIDEITKKATEIPIEFSNSRLKILTVGRLSYEKGQDLALKTAKLLKQKKLDFIWFFIGDGPSKEYLKHLTAQLNLEKNIIFLGAKDNPYPYMKYCDIYVQPSREEGYGMTIAEAKLFKCSIVLTNFLTASEHIIDSVNGFISDFNEDKLCEKILNLASDEKLRKLFSDSLSITCFYLDSKNKINNLFDLEKEESN
MSTSTIKEGLTPIGVRENGEIFCLPLEKRPGIMHLFGRAGQGKSATLEDIIISDIHNSRGGMFIDPYGDLVKDIQTYIPADKVDKVVVFEARMGTFKENVEKFQQEIDFEEMKNDAQKFLLCTLDYRTLGTDGARDLGIYLVKQFLQITSGENRTLGLDEAHNFINEEVLEKIVLSKEKKLSCVLSDQTSMYYRTDILKRLLEAANHILCYFTAPEAADLVNKYHPEMSTSELLAIEKFSFIAKMNAKTTSPTVMNLKGVFPIPYPKNIPK
MSCVLPSEIYLSKKAPAAMWGKVLPKELTNYWVPNHLTYYLGLNRCGHIMAKPLHQPQAFAWTNHFLTEKKPCRCFVKSDDRYIIFYYVLKGRMEYRNTTEQYVAVETNMNMISAINHELIIKENSEIFFLFIPSEILECYKQTFPIITSLLIREQNDLTKLIDCDIKDDGRVRQLVGKQIRNGDIEINSDPNIMEQLLLAALIQLFSLSEQNGFMHYEPAIHAVRSTILNHIYERKPPSLEKLSRMAGLNTRKLESVFKQYNNTTMLEFYQVARMEAIYRSLFDYRKSLQDIAATFNYEDYPTFSAAVKRRWGKSPRELRNSLPVMSKLRL
MSRWLEQVHAAAAAPAELGDQVVAAHATVFTAELTAGERALDAGRTDEAAAHWRVAAALDDSVFDEASGEKPVALRLIELYLRVRKPLLALAWCAIAADAGAPVDQVQPLTKSSPRVGETPDSATAPDARNNTALRPCARTGCVRTPPGRASSPGLDGPGGWDPGDSAAGTTRGQEPKPPDPAPGPRAPIVPRNPPRPYPAVLTWQSTRTRCRP
MDFVRANRQCVTTHPVHYSSRCTCGSFRDIPRRVSVLHLPKYRMVARGIRRRPIPRPRMKRRIRRIAPSARRRRRGTRRKSLAMRRGANAAIAVLQSIRRRRRVG
MSSVLTHRYIALSLWTNEGYRLMKLVQHLQIGTLNVGTMTGRDLALADSMKTRKVDVLCVQQTRWKGNKAKELGEGVYAPQVGGTGEEKEQFWAALQEKLEKVDESERYIIVGDMNGHVGSGNDAISRIHGGNAYGNRDEDGEKVNDLAFSFDMVTGNTLFRNRNEHLITYKSGDRASQIDFLLYRRGNIREIKNWKVIPGDHVTAQHPLNVIDLIIAVSQKQKRKTTNQRRIK
MAGRDVVLAREEGRTARKHLKHHATLQPSSSPAFTKRQHPARSGFIDQIDSASSPAHEIFQLIIPCAIPNLDARNGKIVMLQYKPIAGFTWQAFYGIVERRPRGWQPGLNQPNIHQERLF
MSKEIVIMIIGMAIVTYIPRMLPFVMFRGKELPPFLQGVLKNVPYATLGALIFPAILFIQEDIWYGLIGAAAAFIVAFMGANVIVVVVGSISVLTLYSYFF
MKILAPVSNVESARLFIKSGAEEVYLGADDELFSQMSMTGRGKSAYDGRQILCSFKELKEIVKVCKESNTTVNFLCNFPFINDEIFKGKKFIDHAVNYIERGIDAGVDAIVVGDIGLLNYLKEREYNIEVHASVFLKTLNVYQVEFFKKLNVKRIVTSYHITIDDIRAIKEKTNIDIETIGYLGCSFYNGMCSFKHDIGERYDADFIPGVTCKNTYRVKKNGIEMNDNILDVESGCSICSLKKLEEAGVDSLKIVGRDRNPEYIAKVISLYKESLEKLRNEVEPKTLQENLPYWWKRQWCRANKCKFIDRNSDLKYMIGR
RRCRRGLQMWCMACLAKHWIGCGPNGRSCCTCVKALLEKTAFGTGPSSNTIRQQSLWLSDTGCPLFASGKFIRLLLITGRTRPPETFPHPWKGAFPVPLLLATLAAAGLFSDAIDD
MEACDSGTFSPARKRMRHGNFIAVEDALYDWVRKMEGTNVSFRHAVSGSVLRQKAKEIARKLGVTGFVSSPGFIERFKKRKGIRKIDGRSSRQRSSGLSTNEGESTMTVDSQFSEDDRFEIEFVFHDEDSTGYVQEASKNLIPMPYKQGQFQQRNKTRTPERRMPPSAARGSASEVPSAGQNVSQPKPTSNPIPNQSCNKIPRDRSQFPTSGALSGRSDMESTDMTLADQIPEKIVVKEEPKFEDLGPEEALNRDLGNLEKFREQQKEIEEANKHKKALLAKTLSERQKKARMEAEKLTHIQKELMILDNLLSADVTVVRNRIESASRDYMEATRRYDKAEKEFIEAKLDLQKKSELKEQLTEHLYTIIHQNEIRKAKKLSDLMSELDMETKGEQLCIGNLPPLSAFSSMGMVKIASPTSPKSDDIFQSEQASQGTSSAVSVSSNSDTSISAINVSNSTDTGHTRTEANLSSVVVDLSNESSILPKESSLLPNNASNDNHDTTSPSESQAISQNGSGESLDCLTETEDFKVNDNTEQPSNSSTCADDSSSYNSAMSSNPGGEDSSQSPKHTEIQDTEKALPSSWTLDSVSIKEEPVT
MGIYVVVANQFEDFSKHANLMSYGALLKAFKGHGRSTLKGIRFVAGQGLGHKEIQHVYRQATALGYTEELEHWRMWTDTVPAGRDISHKHRQENVLISVPEKTDEDTYTSNLLVWPPVSEDRASRLN
MREWPLHAAVVFCVRFITRLRRGYYFAPRGCHGNTPKCDWAICQYEEDDEKLRDDESGAYWMSVLRYCDKPQGSYEVGSFKKRIPRSLSKCSQFVGFSQWLGRC
MIALRAMRHVERAHAWARDAAAQERVRPTRLRSHTAAMFAIGLAAAVGAASTATTQPDASATGRAEMRSIELICGGGFSGASGGRRIDSAGRLWQVRQPLGAPRVEQPLGDDIEASRRWHALLDAAHFERLSHHQPGNLSCTLSRGGPEGTHRITWSGSAAPATLPAEVIRVVDALRAWAPPPDGDTALDAVPRSSEAAPTSNRPER
APAPARSTDTGATASALTGALLHSAAGGVGPLKNIQVDPLANTPVDPLANAVSTQVADFKPLSTSLLTGNLSRGAAIRDVPLVKHVMKILPG
MDPPVPRRPTTATRATRIPIHNTNGEDRQKESVAGFLPATGTTFPATSTNAPSAIFSSSSTSTSTGAISSSSAISSSIQTTATYGTLRHRFHQPGRQRGSNFEPGGRNAGAGNIATTAAHHIFKSLGSNDEEVLGACYGEGEWRHATDLYYYISQTVIWTFVIDFYVFMVLVRYYLYSFVSSISLPKLSSLFGFWPFVSTSTTVVSSSTTMSSSTTTTPSPNPSCDGDDYLATWLKIGLIGSLPTTILVDNIVRKTTFRTAFVWECFFTMCGIVWYCTGMALVFSYAPPDDDESYAASFRFGANGAGHLVDSGSTSAGQMAQDLSPDLPQDLCQSMLWTTAMGVTALHATSFTLFTTFSLATTLLPSLLKLLLRVLFLGPGEKKSKKGKEEQELADEVDVDELVDQDEELVHQRTLQHDTAGGGGENGEGAAERHFQEKHRRNYSRPGAARGPSAALVDRKTLLRRPPPGKTTHEVGITGSRSRIGGTIATPTPDQHAHHPKIGSRPPTGPIKQPQLRPRTADDGLFGDQTVLFGDQTGATSSGKIMSPGEQGSTMTDETMASTTQQSTRVGSSVGTTTGSGRTPSDPPSSGHQRVSLNGQQPFGFVTVSSSSSRITPDLPRRLFVTAQEDRV
MDIDFDLEVRAGYLCLVCAGIVTAESFIGLIAKGLSAAQEHGVTAILIDCRKLDATALTTFDRFILGERGAELQRKSPTTCYVAAVAPPPIADSRKLGETVAVNRGFLGRVFFDMDEALAEIGERLDRKRC
MKYIYILVFSYAGYDFFDLGFSCFFDLGFVYLIDLGFVYLIDLGFAPVFGATSVARALLFPYRDMLKRKKKI
MGIEKAQLLAALETRFDYYSARAVRDQALAAAGLGDKDNYSPDDIIQICDFLTQKSQTKELIEELQAFAGVESAVAASQARKADKEAKKQARAEDEAKKKAEAEAKKEAEAEAKKKAAAEAKKKAEAEAKAKAEEEAKKKAEAEAKKKAEAEAKKKAEAEAKAKAEAEAKKKAEEEAKAKAEAEAKAKAEAKKKAEAEAKKKAEDEAKAKAEAEKKAEAEAKKKDAKDDKKDAKDDKKDAKDDKKDAKGDKKDAKDDEPVEVKFMILTETEDKQKVFLCGNAKEMGDWKLDKALECKLNDDDVWVGSMKAPRGTRLEFKFVKTMDGADPVWQDGDNHTFEVPAKGDAVYEVAW
MAKLNFSCLFLILILSCLSDGSNGKVNLKEVDQKLKKLNKPAVKTIQSEDGDIIDCIDIYKQPAFDHPALKNHVIQMKPSFDIKEEKPSTTDESSKLVVSQTWRRSGSCPEGTVPVRRIRREDLLRANSIDRFGRKPQEIIVSKSNTTNQKDGHFPFINNTRVNFPTMDNRSTAILVTVGFNYIGAKADINVWNPYVEADDNSPRLKSGLNLALLIISNAWNLDGCGFVQTSTEIALEQSLSPSPLGLDPKTKNWWLKYGNDKPVGYWPAATLFTYLTQRATTVEWGGQVYSKTVHTSSHTKTAMGSGDFASVHMGMHVTYEILPSWMTHCLSNIQTGWANGPMNMPSTLFITSKVKELYQFFTLGDLDLNTQGQIVFQWHFMSPKMFLGDVWQWCRMSLCSSSGTQRCIRAWISWRSLDESFIKPSMSKETPNALDRSCHHEVTLFSIQHEIDLLTSLEYLCQIAEAFLKVISRNGEVIHKYFKKILNHVRKDSLHAALKSCRGITESKRHPPESISAKGTHECSILLVLWCDGNLIIPRVFVQ
MKYIKEEHKSYYYQHYDTEICALIYLKDTIQWLKDERIYDNTQIFILSSHSGAYPY
MFKLNSKHVAFIILGTVIVSLKTYPEIFIINGKTSSWVATIIASLIIFLYYLYIINVSKKIRKHSLWEVYEYALGKRLGKLFQITFCLGLFFSLLESCSVDANAMNTNLLQNTPPWFFVITLSIIYI
MYEDIYSVVPLFDSLYQNYVRANDRNEIARVYGLLLVLSWMELEGLYKKHIVQIAADGFSEKNELHKRIRDMLVDDRSSAQKLILTQNEKLPDMAKIIEGYKTVTGTPPSFELVKAFRDWVAHGQYYCPEKNLNTKSFDAKDLFQKLDDFKKQFRSKLNMN
MIEAPIYGLILAGGPSTRMGENKAELIYHDRPQWAYSAQLIAPYCREVYFSVRNDDQIADMPAELMLRDKPNITGPVAGILAAMESNERAAWMVLGIDMPGVDRALINRIFRQRDANKGVTCLMRDGKAEPLLSIWEPTVRDRLQKYASSGKSSLRQFLQQEDIHIIVIDDAMSYKLDSIDTPEERQNFLRKGRK
MMNTAPLKALILAGGKSLRMGQDKSTLIYHQAPQVEFLHRELSQAGIDACVSCRPDQTEAFGNFKVIEDQFDNLGPVGAILSAFASDHSSAWLVIACDMPLINQNSINYLVSRRNPSKTATAFYNKETSRPDPLFTIWEPASYPILESNRKLDRISPVKILMENDIELIKDYEEDWLKNINTPEERDSFLKGGF
MKKHQKHAKLTKPEIGNFGRNEVALVGAPCDLIQVISQKIISAFPEKNCTYIDADHAFGDSEVPSLSNNELIDKIKFFRQDKASMNDFDKKIALNDQDLILVNGNHFEAQEQLVLIHPKKEASLKKRLSQLSNVIGYVLCEDITEPFDWLIEDIGLRPVFNSDDVDGIKNMVENSFTTSYIKGLVLAGGKSLRMGSDKGQINYHGISQVDFLLNEFKSLGLDAYVSCRPDQYEDHKRIIDKFEGLGPYGAILSAFQTDPNAAWLVSACDLPLVNAAVFETLIKERDSSKLATCFYNPETDFPDPLITLWEPKGYMRMLEFLALGYSCPRKVLINSDVKVVQAANPAILKNVNTLEEKEQFLSEKN
MSKSVAASVLAAALLASCATKPVADDEAIAVPSDRAFLYQANAADAGTLIVTRDGGFQGSACDIGVLIDGKDAARLKPGERATFKIPAGEIILGVQPVGAGICSAGQARVRRETGLVIKPGVVRKYRFGIGPSGEPTIAPTTY
MQTSKYLPLSLRAMLAAASAALALTAASAHAAVLEWSFSGTILAGSVSGPDAGTASGVFRYDTDTGQIHDVRIATPAGSPSGGLIFDAHLGESFYSAGGGLTFGQSGADPSAEQTAFMLAGVWLADLGAPGSKPISERAFAEFRCSSRSECAQGVGSSITNWRGGEATGRVVAVSAVPVPAGLPLLATGLVLLGWTSRRKASIAP
MASKIRVQVDDDIKIWKFLIEPEWTKIKHLGKYLKGIFNLEKIFILDDDGFLHPNSNISYLHCMAKNNEILTIKSSLNLKNESFVRENSPKRKKPKPFKCQQNENSDLISDTTPILKPEPEKIKQNGLNKLTDRMANFSQILNSFSTEGVLNGDHPKKTNIFNHANEIGEAMFEIPRKRRVRKKKERKLLSPEKSETLRFQKTPTQILQTKNITKSENEKKLNNVNQSKEHDKTHIRFDDSGEAVTKKSVASIIFVDYLDNPDLKKPRDIRPIKVNVNNDNCAGKVLDTDTNISQETEYNSNNSDNKESTTETIESKISAEFLNHKLEKWDDFPNYDANCNSDALQQEIDSNNQEKPKVVVISNEIITNGVN
MISTMYWFLLLEAFLGFHHAIAFGNYYFLVYLYLINSIYFGRSHALRRFVSVLEGWYDGSSYTSTCPMDDSSPGFDSPRDTRAFCYEV
DWKVVKSPLSNPTPTATTLWKGGMHMSFLHLVNFNTTSWREASGGKPTRGRTIPVRVRIPSSVKESIRSVAKEKGTNASQLIRLALFSRFHINIHHPNPDEAAQDRVTFRLSYGNWLALKAYSLAWGFPVWKAFLILLTHPYTVHDRPQKSNVQQLSYECPTDKEKRRERNYVCSKAGLSKTCDQKPANNLAFRLWNDYGMSLWVANDVVKNFPSNEIEAAIELRERRNGSIFNPAGFIIYLLKNGYAQRYLQHKTRKIDPDLRAEEVKKALRERGVEIVDYGGVEYRIVDRKGRLWRPIDPADLESTLRLARKLGLIDCASKAESDPETEELTDASEVAPETDNEWWKDFENDGDSEQAPSSAVKETKESGGEDDEEGSEPFEPVEFACQRCGGREGETHPSLPLHAKNNLFPVSKQNLKVRQILGLGESGLVCRGCLMEAYRAILASEVKGDDAQRGEIHGRGDEGNCAH
MTPTDSSLELQKFLHEKIPLSRAMGVQVVAVNDTELTLCAPLEPNHNHLGTAFGGSLSALATLAGYTFLWIALGDRDAHIVIRDSTISYQKPVRGELRATCLAPDPKKLAKFLKIFHQKGKSRIKLTVLIEENGETAVTLTGTYVALR
MNTIQITTLQSYLHRQIPLSQAMGVQVHAATEERVELRAPIGPNINHEATVFGGSASAVAILSAWTLVYLRLQASGLPGRVVIQANNMLYQKPMSAAFSAIASSGDERSWERLFNAVRRGRMARITVQSVLECEGKQAGQLEGTFVVLLPDA
MQFKKTVLASSIMALLPTFGAPLALGQEDMMQLEEVVVTGTRKVGQTPTETISPIDVIGGSALDNQAGFDLTETLTKLSPALNTQRFPIADGTAVVRPVTLRNLSPDHTLVLVNGSRRHRSAMVNLQVAPLGTVNQGSQAVDFSAIPSAAIKRVEILRDGASAQYGSDAIAGVVNTILKDDSEGFTVSAQYGEYDEDDGERTIVSANGGLALGDNGFLNLTAEYADTDATSRGRARAEAAAAAEIVGKGEVPYGGLGQYWGDPEIEEWKFVANAGFDITETTQLYGYGSYMDKETDGGFV
MVEPHSFTGQLTPDELIDIGKHFLKLAESIKETVNEQDHQHTISHTSEIIDQLLPRQKLILYDLRAHAYAMQGQPSTAINDAQQMITLSPTLGAGYLRKASVFSMYGNQAQAIAAFEEGLQHASTDVNDYTEQLITEKTAASNAKERRIDLMTLFPAEVTDDIIARLPQDAKAVCLLVSRLWRKKLLGCAAAWENLSISDNNNEIQILGVVPGYIGHLVRHLMIDTATANITPVCFRLMNEGCFSRIDSLKISDTTARGLAPYVGRLLIALSQMSKTLATLDLDLAQNDETFSPSILLTDILSACRNLKNLTYSTSSPLAKLAGDFNTAEQHKTLINMQLKASSITRPDIEFMLQKCQKLRRFVINGCNATVLDAVNSHSTNLEIFAYNPSFTIPQLQGDISNDETGLRQIYTGNYDDVPATHLLPLIYKNRTTLETVHALMSPITNEEELQSLYAAYPFFKLKRVTKLAFWGLRGIQKFMLQAIRNTTTLFDLTVTFAYDVNELVEAILKLPSLKIVKLSNIRQSIGSSSWITLFESYGDISHSRPSLQCASLQYCDGITDAELVALSGIKSLHEITLDRLLHVSANGISTLIQNLSSQLTSVQLIDMDVVDDSALVALGDLKRMTYIKLDLLDSITDQGIRRMVDKACCPTTTLTKLVVTRCDLITSACIEYASQQIKVQWTPAFFKAAIPEHS
MSEYDYRRLARSGSSGRARLGGALIALAEPNVGAERAYNRWYENDHFHSGAMAAPWMFSGRRWVSTMHLRKCQRPIGDPTIDAAGGSFLAIYFFTSGHVADVAAWIQETSQLPETRSFTDRKPLYVGWHDAEFATVYDPPPMRAEVALDHPYRGLVLEIIDAHDSAGRDRLLSWLRDDYLPGLKDRGQCLAFTRSPLPPELRLGPADADPRRVVLLWFTNEDVSDGWDEQAATREKAVAASGRGTVKFVAPFLPSVPGTDEYVDQMR
MPTPNLLYKMGDAIIRQLQVEALLERPDDDLPNAPTADPLTNPQARREVVVHS
MRRIAYIINFIVKGGPSAVVLNMIHNLDRTRFEPVLITLFEGDSPEIIAKEKKSGLKIIECGHKSRFQFLLHGFSGYIKILEDNHIDIVHGHGFIPDVMNARLGKPFKTISTAHNIMFEDYLLNYGKIKSSVYCRIHLWAMRQLDQVVCCSKSVYDVMKKYIPGCQYIENGIENFSYSCQISRSDLHIPEDAIVFLYAGYLIRRKRVPFLVKNFVKCHGPKEYLLILGTGEDENLCRTLADNHVIFAGFQPNPYQYMALSNIYTSASSSEGFSISVLEALHCGLGLFLSDIPSHREVIEQASGVYLGEYFSKDNFAEQYNGLIRNMSKINSDSIRAYQKNKLSAISMVRQYENIYNDVKQVAQ
MLRGNEAIEIQLSEAYKLKTKIRALLGMGYTVKLVIPIQAVLETPSGTIYKKQTAKIFRELAGLAGLFPADGLEVLLMYLKEKRTWSRVKRGRRYVMPRGKFKRDTIPSEIIKIVSLKTREDLLRLLVLPSGDFTSFQLSRTNSMTPATGSRACYILRHAGIITVVGKRGRAYIYRSKSPQTNSDKSRIPVFRRSSLGMFNPAILC
MDISMLSNKIPTFSYDMSDNEQYKFQMESLEQKIHYLEIKLKRTEEERNSVVNENEDLQNLLFTARESKGQCESKLLETKRDLFKLNDEIQRLDSLCISNSEAIHSIHDKLHDLYPLKSLLGQIREESSMVKEELHLLKCRYDATTKLELHTQEQLYESRLIHLESVLEEMKQGESQFLRLAEETNVLKDQVDYLRELSVNTMKTETEKDSFKNLPVKIYENRVLENELRNQFASLCHSFSNLRGFSSMERDMLLAATSSPEVEDILPFQSPLPTSAPITHEIVEPLKEIPHKDSSFNDMDNESGSGYLLVHGKIKINNIHRKNNGTTTTTTTGSNSMFRPITLQSLKQDKVNLLADIITKIWIVF
MDDKKPGSGTWRNYAGGKLAWQVGYDWIQSQEQFLNRKEIYKGKEVALHIDHGFFNGRKEDVELNAIMIKAAAEFARHNVHNGIVLAQYAGVLVTERLDPMTKEILDRPGRFFLF
WDEKRRQWMSLNPAFSGRDDRVIMVTGSQPGPCSNPTGDHLLLRLFKNKVDYCRIHGYHIWYNNVLLHPKMPTFWAKYAAVRAAMIAHPEAEWVWWVDSDAIITDMDFDLPLQKYSNYNLVINGWADVLYEKKSWTGVNAGVFLIRNCQWSMDLLTRWVEFGPQSPDYEKWGRVEKSIFKDKRYPESDDQTALEYLLVEEKEKWGDKVHLESEYYFQGYWVEIVGKFENVSRRYAEVERAERRLRRRHAEEAGEAYREAWA
MGSAWTQPLAMVCWQYITNPQRFYTGPLKPEMSNGTFHHFFVPDGDYEDNDDPEQCQMLFKFADRRACGVHHDEDSDSVVREDFIIVKHQIEDAARLLESIGMSISHDLDGEDSYEKFLTGEITQISEAFTSVEKSLLELEVKFKQSQDNDLRGENQLNDYVLKPIYEVKDALRGTTDISLGLRDKHELLSLIVRSQGSRLSRLKADYLNV
MGLFTVQFSQGGLKQLVQEIRQKRFDSWEWFLLALHGDELHVAQRTPSGLLALGHLAERWKKAGVIPYPHQIKAAERVINEMRGQAILADEVGLGKTIEAGLILKEYMLRGLVRKALILTPANLAWQWYSELYEKFNIVPGIQRTKWDWEYSDILIASIDTAKREEHARIIHGIQYDMLIVDEAHKLKNSSTAAFRLVDGIQKKFCLMLTATPVQNDLKELYNLITLLKPGQLGSYRSFRAKFMKDKRTPKNPGELRSLLSQVLIRHKRGAGTVQFTKRIVHPIVVRLSPIEQELYDQVTRFIKDAGRKAGLSGPLILPLITLQREVCSSFFAAGLTLQKMLDKGQPHPNPVLGEILVRAAQIRQNSKCDILEQLMSTLEDKVIIFTEYRATQDYIRYRLERAGYSTVGFDGRLSRGKKEWIKQQFYRNAQVMVSTESGGEGLNLQFCNTIINFDLPWNPMRLEQRIGRVHRLGQMRDVHIYNLVTENTIEEHIMYLLHKKINLFEEIIGELDAILLHLNLAKSFESELMRIFLEHDEKEAIRRELDEFGDRILQGKHAVKSAVIDLL
MKDVLYRSTLAVAIMAAIGSNQALAQSSSDNDAVQLDQVSVTAQRREAQMQKTPLSMSVISGPALDRMQVKRVDDIKFAAPNIVIEQNTGTSSGAKIFMRGIGADDSMFTNDPAVALYIDDVYIPRQNGAMFDLYDVERIEVLRGPQGTLYGRNATGGAIRYISKKPTGEDKLSIDGSLGNLGRRDARVSFATRIGETLDISAAALSRQRDGFVKDITHDRMVNDQDVLAGRLSLASTWGESSYATLSIDRLRERSTPAWGTPVALDANGNQIPRLGSFYKTETDTLGMSNLDQLGVALTSETDFGGFSLRNILHYRKLDHHFYMDMDGTTQTRYHVEQDQHQNQRGYEAQFTSQLEGPFNWVAGVFSFWEHNDQPTRSDVFVRGGSNYIKQDTTAYAVYAQGDYRFTDQLTLTLGGRYSYENKDFSVVALKADGSPNFSRALQRSWNRPDWKALLSYDFTDNVMGYASVTTGFKSGGFNGRGTTPATVVPVDAETLRAYEAGIKSTMLDNRVRLNANYYRLDYDGIQLSAVNPEGVIVLTNATGALIQGVEIEGEAQLSRKLRVNATVGTIHARYQGYSEVNTPYFRGRSLKNAPELQWTLGTSYVQPVGDAELVFSAQARYTDDYFQNQDNSPLIMRKAGHEYNARIAYEPNNADWSVALWGKNLSNDFASTGGFDIAGLGVAVLYPNVPRTYGVEFRYRFW
METTLKIKSISQPDPRGAYPSERRYSASMVMGLSIVHLVLSLISLVLMALAFVTESRYIFAPAICALGCTTAGVAGILASRRWYIDHHISGFFYMSLISTLTSVVAITCSVNMLFPWTLDHFHANIFFCCLACIVWSVISVVVAYRGMKNTYPDDIIVSKCKGKVEVCTKQKGNKNAKIVMDQSHPDILNHYKKTGGHLPKTESYSEYLERVHKYLDDKQ
MAKFWEHWGKSTIVSGIIALLLVVTACYAVVTETPVPDYFSYTLGLVTGFFFSEKVTKRIQAVQEVK
MRQVRERWYSSGYGRWLSWAGNKAVHWNEARARLPDKDAATPRHEAASIGCSGASTTAGTGALGVPVAPWQERRAICLSWCLYAMRLVEMCTVDDRVTRVAWLNRSTILYAGNDKWSIDNRVVILSNTKTQYSIKIHNVDIYDEGPYTCSVQTDNHPKTSRVHLIVQVPPQIVNISSDITVNEGSSVTLMCLAFGRPEP
MTDDAPQTRSAVRILAIALAVLVAVGIAPIAVSAQETPPHPHDRVYGDVTQDAETLTSGVEGESSTRESSSAGSERVGFQIPAESTPIPTENTASASTTTRAATATPSSSSGSAPSDGGGGDGTDGDNTSRGENSADATDDDAPTPTQTATGPVGALGGGSFPTIIGIVVIVGVFTGLYVYRSG
MQNLHLFSIKNKIDLESNHNLFKSNLFYECSFVVNNKIILFNNKNCFLKFRELKKLNIKKENLYFLGKKDKTVFIGASVSLRKIKTILKDKIFSLVNLRDCINLVNNEHVSYLSALFFFRKMEE
MAGKKKGCFVVFMVLIGAGLFGANYWAVSGIGNGIREAILEETDFPPVLKGFTGVIPMGRFSADYLEAKTRIEENLLEIKTTDISLHLDPAKYLRELYEIRNLQVESVVASYAMPEENLSFQGRFAIDANSTQSGPDDNPPGSLWTEELKVRLKSLDANYRNRELNLINKANLELLPVNFVPEAGLQGQTDFHFEGFLTPDETTDRVKIDGTYQSENQHLRVEASLNSLQFPPRVKWIDQWLQEGEGVQLADVISSGSVSYRIHGEMEGNIFKGEMWLRALRPRFGKALRDLAEDYPVAPLLDLLERQSETIELGPIQIDEDLSTPILESGALIIGGLTKEFFELSSQNNSGESLIDLFRKRRGGSSGDE
MDVLNLLDPTSALIVVSGTFLATILRAGLDDFLVTVRTVWRRGRKDFDPEPLRSELARLVQDVQSDGLLRMKTHQFDDPAFDDAAMRMIKSRSFEAFERRLDYHKAHRQAATRKAVATLHNAADNAPTFGLAGTLIALSQLPTDSSGAGMNAALAGAVLTTLYGLLLSHLIFHPVAEAVKRASDYEEQARSDLQRWAHDQFEDAKAANYASSTVVPLNPHKDEPLSDLMVARTPVHLPEDEDASA
MQIFIFEDITFENFFPLVYFRSVSELRSGCSSLGERIVSFFPKAQWSVVNRKQMRSFFEEHQPLNFSETEDELVFINARVQMTKEFASLLLKEKNAQVNFICNGVVAATKINLSFLSSAKGKGAKKKNTLQQWNKMEEKEVNVNFHSYPWDIIHFNHQAIEEDFEMMKKVKPKKITGVHFVNPSHISIGKNCNIKPGVVFDAENGPIVIGNNVTIMPNAVLVGPLFIGDNCLIKAGAKIYGGTSIGEWCKIGGEVEASIIQSHSNKQHDGYVGHSYLASWVNLGADTNTSDLKNNYRTIRVRIRNKEIDTQQLFLGAVIGDHSKTGINVMLNTGTIVGAFANIFGADFPPKFVENFSWGGGTSFERYRLQQAVETARIVMKRRNVEMTQEYEHRIREMYSSSHA
MEQVSAILLFDDGRGDLGPLSDLRASFEQRTGGLTLLECAVALRTHPVRVATGRQYAALVAERLGAEATAPVAAAICVNGRMHARMDAALREKFDALHAGAAIVDRDGSIVAARLAGSALQSFIASVAAGSPQLSAEIAVTTTDIPLWSRPWHLLDATRFGSAIAADIEAVSATWEASERGVLPAHAAHVGVERLHIHATARVGVGVVFDTTGGAILIDRGAEVRHGSIITGPAFVGAKTIVSDRSVIKARTALGPQCRAAGEIGSVIFQGCTNKAHDGHLGDALLGEWVNLGAGTINSNLLNTYGDVAMRLRPSGPLERTGRQFMGCIIGDHAKLAIGTRIMTGASIGTGAMWAAGAAVIGAIEPFAWVTDDGERRFRLDKFMEIATTVMARRGRSPLAAESATIATIHSASPG
MEESLRLAYLAAMDIPVWLLRAADPVLLEDEPEQHASAHTEALETAAPPGAHRARDLLGSSASPRIAPPRAVTERARSVPQGPAEESGCALLLVPAGYTLFIDQAPPQGSERRVLELIAALAFAIGGGRVAPQPYPFAWPPRGVAYDRAQARDAVLGLMAKLRESRRFEQVIVMGEQAAALLLGWNGEQYRARESRSQRVPGIDAPLLVTHSAAGLLENPLLKRASWADIQAGRSGGV
MTREEVMTLSTTNAGSPGFPLPTLRRLLLLVGAVVGFGLLALTTAGEAHADDGSSRGAPSDRGLLSGVGAIIGNVLEPLAEPVGDVTRPLAEVTGPVVGDVVETVAAVAAPVVTAPPATAPPMIAPPVAVPVAPVPVKEPVETPPAVQEPVTADSAVTPLVARPVAPSVRPVEPLPAQPVAVEPPVEAPVVTPGSPVRHDPVAPSGPDMPAVAGTSGTASASGGGASGAAVADLPAQSRFDPVRHGWVVGSAHHEPVAWCYVFGRSHPS
MERILCPDKLDVDPNSPAAEKEYKHWRKTFENFIDECGDRALDKLRCLTKYVSATVYEYFADATEFETAIQVLEKVYVKKKNEIFARHQLATRPQRPSETKAGITVRYALSETLDEFLRDLHRLSKGCTLKAVTAEEYREELVRDAFINGLSSANVRQRLLENQALTLSQAFDQAKALDLAQRTSEAFQPLGPVIAAASGCVEETVKSPYTNQ
MEQLLKPERFDIDPTCSNSETKWRHWKRNFLGGIKTITEENKLPLLCNYVTSNVYQFINDCTTYAQAIAILDSLFIKKRNVIFARHCLSTRNQQTEETVSEYLQILNQLSKDCDFTDVKAEEYRKEYIRDAFIRGLKCPRIRLRLLENTSITLDQAFEQARTLESAEVYAASYMGSSFPVQSAAMKTEDFSEETVATSAASSSSSSRSQKCFFCGNDLHSRTLCPARDVTCRNCEKRDITSECSNLDLGETLQMWWLLQTHW
MKSKCLILLILSVTLTVNVSSGQVMRRPTKKQLTQQVDSLLKLTDSLQQALMEYELPVADSLLLENEINEGDFNNPETDLDFNYDSLSTEQRLSLYYHQNQLNQMPDSLTLETLDTALLTSAIPDSVYINRLTRMNSFIKLPYNNIVRNHIIHYTQKMPDRISTILGLSQYYMPLFEEILDSYEMPLELKAMAVIESALNPRAVSRARATGMWQFMYRTALNYNLTINSYVDERLDPIVSGDAAARYLLDSYRIYGDWILAIASYNCGVGNVNKAIRRAGGSREFWDIYPFLPRETRGYVPAFVAALYTMRYYPEHQIRPLPIEMPAHVDTFEVNKMLHFDQITNFLNISKDQLRDLNPQYVKDIIPGVERPYILRLPFEYSGDFAALQDSIYSFKDSVYFNPLIMNTRATASDTQVTHRVRSGETLSHIAYRYGVRIADVKYWNGLRSDRIVPNQRLIIYTRGSGAPRPQTAAAAASSTAPAQPRGNLITHKVQKGETLGGIAEKYKVSAANLRSWNKIRNNTIYAGSTLKIYTSATATTSQDGSFEYYTVKSGDNLWDIANKLGITVQNIRSLNGLGSNSRIYPGQKLKIRKI
MKVFKFISALLVVLFISLVFPAKADNEDQLYAQRLSSLKSPIELTYNDAVRKHIDHYLSDPQKTADLLGKCQVIFPSMEKILRDNKLPIELKYLVVAASGANNEMVAPTGATGYWRMMYNVARTYGLKINSFLDERKDPVRSTQAAASYLKDLNGIYNNWQLSLAAYANTPAILNKSIRQSGYKMNYWDVYPTLPAETKEFIPEFIASAYIFNFFREHGITPHKHDLLMAYDTVTVNKWISFDQISSTLSIPVSDLRELNPIFKKDVVPLGVPPYVIKLPKGKVKNWGRLKDSSYNYVPRPVDMAPRITQKEEPKPEVKPEETTQKNTENTAEPEKEEEKKTDKKETPAFNKKRINYAVKSGDVLGDIADWYDVTPAQIKSWNKLRSTKIRSGQKLIVWVPTPKFAYYSRINGMSRAQKKSLKKKD
MGSSEESSCRPARFAIGWVAAWWVLLPLLAFAAPEDFPKPAGLVPQVEFWKKVFAEYSENQVAIHDNLYLDKIYAVIDLRPLAESGAGEETLRRERRRRQQAELNAVDRALARLARGGFAADDLDERERAIRSLFQDVPGARKFSAARGRVRAQQGLRERFRRGLEISRRYLPRMEEIFRREALPVELTRLPFVESSFNVNAYSKVGAAGIWQFIPSSARIYLQLNSVEDSRRDPIYATMGAARHLRDDYEVLGNWPLAVTAYNHGRAGMARAVRKLGTKDLMTIIRGYDGRSFGFASRNFYAEFLAAVEVERDHEKYFGEVQFEPRIEYQEVQIQDYLRFATLAKMARCSVEELRVLNPGFSNEVVEGKLFVPRNYTLRIPAGRLDEFRAAYASLPAADRFGRQQRTYLVHRVTRGQTVGAIAKRYRTTVAAIQAANGLRDLRRVRVGQVLKIPTG
MSVVWGREAVGGWEWEARPSHTPLAYRHALLRRRALLLRHAVFLSLAAHAQLRPPRAQTADGSNGNKEQTTVTGMLASQFLMNMYIQLQDLYNPSIMSLLLIDQPNNMFLAIVTTLVITLIDMKHELLV
MPPLSLTSSSTRFRIGFFVLLAISFSFIYHFAPSFSSHRSTSQNEQTGSSEYLIDVNSGKLQGQNRKRIAIVGAGASGSSAAFFLRRAANVIERRAGLAESTLIGDILVYEKEGYVGGRTTTIYPHDDDRARPQELGASIFVDSNVNLMKAVREFNLTLVDPNFGGSGVGIWDGQKFLFTSSSSSWITSARALLRYGPLSPFRTRHAISSLLKKFMRLYDPSWLHDRGVTDSIEEFVEAVGLGREYTTRSGESWAREVVRAGERWVGEVWEGNTRTNYAMNIDKIHALAAGFSMATGGASQVEGGNYQIFQSMLADAGARLYLGTLVEDIIPQEKDGSRRFVIKTNRTELANEEVDHVFWAAPWRLQGMKSLEKEFIEPMPPIPYVRLHVTYLTTTQKHPAPSFFGLPSESTIPTTILTSAHPPRSPNAPSLPPPKFQSITWHGESFPGSNEYAVKIISLTRLSDRFLKGILGDEPTWVKRKEWDSYPKMEPFAGYAPVKLTEGVEYLAGMERWVSTMETQTVSAREAVARVAQKWWGLGLGECENGDSWDWTCS
MFAKFSCQSSKLPVNKNRDEIGRSARLGVLKVNASVIRRLPAAGLPHAPVVRRQAMIALSVAQEIAQIVILPSTFEMERKSSEELKRKSTEKKEES
QAESFMESDHLKTHCNLGECAVFSSRAEYCFYHFKPVNFFKNKLNHSWNQTFSKHIAIYDSVQCFQVEPSFHMSYK
MNLYLFKWIKISNKLLLVFLTITQWISQAFLSVFDFLVFC
MSGHNFYNLGSQLSTSHRLTILALLLLGS
MITLRARRPVMVVPEAGRHAHRGSQRDHTYGTEKNHSTREGGDRLATQAAVPHPACTHHHVDLQQESTQVRALHNFGFSCVRTERGSRSPRQCPHCDRCDVEDNSCGEDCGCGADEHCPGPDQLKSRESHEERAGRHPFEPVGRDDGEVNDSGAQRGQRREASCQPTVGPRLGSWCHSSDDDSSGLRGDCATSHLNRTEMLPSPDEHDHVHLHSAHTGHREMNLRQHL
MDYFIMQTDERIAFHKEINYAHPGFRNKEPFVTFGRFDEDDRCPDFFHGRFLFDTYFCVTDQLKEILEAYSTEISMVPFFLTDEDYRSQIVCWNMNCPVEECLLEEGRHNESPVFHCEGVEKNQYIFCIRKEKAQYLIVSLELAENILRRQLYGIRFIPVSIVWREGKDLENNHS
PGALSSLQRQLELRESQLRSTTAEKEMLQKQLRERGKQLQAMSSKFCSLREERKHEGMLVAMEKENCSLRQLVTEQESQLAEQNKLLSELQSKVSQLQAEVLASQHHLQKQQQAQEVLQGQAERLQHRELQTRVALERVTSRFDRFRSKILQATFSTAGSKAPQAELTDQEVLEAMQ
MFKTMAATRICRLCCNIVTSTRCSALFSKESVQRCLPDRLGKLLELPVSECDGLSSYICRACTNKFQSLESKLKAFRSMAKCGFEQGRNKASICSPMVSGRKRTKDISGLEASPHTVHARPLAKRLTVGAPGRRLAFSTREEPHTDCPRQPPLLELTNLEQFSSVDGSEGVARDISKPGSTETVMITVSSPSTVTAEVDESLQKLRQALNSGNIQSIAKAAFSCPSLKDQLVEGVVKILDDECSTLCRNSGEFVSLFHRVPIDNVEALSWKQAVSELQRKSPILFRLIQTIVGRSDHRNLHKRGESHYPGMCMATAILLKERNTRMCGIQSFLSLVLFNCRVNKKVCILQAESS
MRRISYTAIKKMVRKAVSKMSRKQQQKMSVSVSYITTVCEDVSDNSLPHCRVQQGSKRKGGNPVLSKSRWEDPPSRSIEESTPGKLHGESTSGTIQEKK
MDETGEQRWHALGEVRLEREGAAILPVVHAYREDAYGEEVIRILSARRAEKRDPKISETGNGLKRSDGQ
MKVCSPVFPFHGCPQVLGPFKPLSSKAHTCFEESSKIGKATSAGEERLEPSIWFMAKPSTQKNDLEALWRGKTGVWLQPLVGREDRELEDLF
ADTEIDMGISSANSTSMASILPRSAQEEQRLIPVSNCGTISQPTHQTNHQSPHLQNQSQIKQDRQLVVVSSELPRQPTSCPKFPKIIAKADTTDASGLLNLDSDQSLDKSLSLGQEKMTLSSYQDTSDLESQMHEIYNVSEIIDEECQFECHICLQRGFSAAGLKEHLKTHCGSKEFQCPECSMKFSTNGGLSRHLKVHSNEQTDSYNCPTCFESFPTENLMKTHSKTHELVSWNVTNTRIEPDSSQKDPISVDPELLTINERQLDTDSSISEKILLDSSVNEHEMINHLTNNEAKEKKVYTNQCKFCSKTFRKPSDLIRHIRTHTGERPYKCELCDKSFAVKCTLDCHMKVHNGKKPFCCNICNNFFVTKGSLKVHERLHTGEKPFKCPICEATFRTTGHRKVHVLSHMKDGKKNGNKRKGKQKKPDNPEIPKINVENNSGNNINQVALISQAGQNTEQPSDYTNIDTITIDTTGIGDQLSFNPDGAILNNNSVLSVNESNQFVANLHFLLSNGLVTIQTDDNSLLLNGNMNQGDGQLITENMAEAENNLVITTQLDSLPEQQGLNNLTLVQMPEVQQQQPVQTAVVTPQQTKVNGKSKNPTKKECDICGKTFMKPCQVERHKRIHTGERPFKCELCDKSFAQKVTLQMHQKHHTGDRPYSCPHCDYSFTQKGNLQTHLKRVHQLDTMEGKKLRRGQQLSAKAPDTDNSTSQINVNRLLNLDDISLVEYLK
MVDPGPIGHAGERPSGAGDAGSAVDGDAIRPARMVGVRCHRGAAAATWRLRVALAVRAAMGDCAHGESRCRHRSLGMNVTSAPAVGRPRVRFAMMSYRAYGRDCGRWHRDGYGGRSTTACEGP
MLRTISGTFISKFLLALLNFCTIILSTRLLGAEGRGEISFFGTNMVLVLLFTSLIGGPSLVYLTPRHNFFRFLIPTYAWTVVVTGVFCCFLYQTNQLAIQDFAFLFSAILFNSWKSTNMMVLLGKEKMKAWNWLALMHSVLLILFFLLFYFSVKIMGPSSFYWGLVLSNVLIWAWSFWLLFDLGEKVKLNIDRQLIKQLMAYGGLAQLANILQFANYRISYYYFMNMHAVDSAAELGKYSIAVSIAESVWIIGQSLATVQFSRLSNIEEPELRRKISVRMFKFNFLLNLLAVLVLLCVPSFIYKLVFGGEEFAVVSTYIYWLALGIFMLGTSTSISAYFGGRGKYLPAVISSLVGNVITFFVCVFFMKDWGAIAAAIAASLSYTAIAVYFLVCFLKEEKLSLYNVIPGLKEVKLYYRWLKGGMYRWSNR
MNNHHPAAMNTSSAFLASRSALCGALVLALATLGACGNKAAEKKPGQALASVNGEEVTVLQLNEEMQRAGVAPAQQDAASKQLLQVLIDRQLLQEAAARESLDRDPKVMQAIDRARADHRPGLPAEAHRQRGASDAGRGRGILQQASGIVCQPQAVQHE
MGTPDMNPLAAKMLGGADFRKQLKRQLEEAAAKTDDPAYRARLLEVAEGKRPLRTLMADPGFLDQMGLRGREAERQFDETMQQRIREALQDPNSIWHGTPDEVRERVRSRLEDMGVTIPDTEELKALYCDAERLGAQAGSIIRQERLTGWGGTEERLAERDAQQAGKSDTD
NDNYLEKISAKQEKIKGIETAIQKAQDVEGAQLKNLLRNLRQQLINIENERKYLISQEKIDWYQMDIAPYLFLRGDTPYESKQWDENRSSLIYQLMGGALTEENFITEMENRLRLIRMENQ
MYGKKGRELVQEFAESEPGQLAAFNTDLVTQVLEECNSHFLHLNSLVRKMNAKAPTESSSANRPTQNDAVSNNESPDDNNPPETDRSSNPELPKDDNYHGLLIHHQSLTRNKRCLMAYVYNRAEVVRRLGWTLERVLPEEIEEKLSTSEKEYFKNHAATVQSYMSALDLDLGVDMVPPKDPYIKVRVLDDIGNVVLSDQLANLARHAILFIRRTDAEQYISQGLMEELMS
MSVLDEVMPADEPHQQTTTVYVDAVQTFVPRTVLKPVLGNLVVGLVTTPDATKTHYTVGDGTFAYHMFFAQAGMPLVDVIAMAYRNVFMWIRPQGANGVYYDPYHDRIAAMANIMHTLSELVGSGAVSDRLYAEYRDERKLEINKGEEAFVIDIRRTYNRTTDQITTTIMSLPERYGNLSVDEIFEEGITTTVQRSPAFVDPGDFMPGVWTPDPNADIGGETL
MGRLFARSGSPAWVLWISLMVAGLVIVLPLVLLTILAILVGIVLFIVLSLVAGLIRMIDNALNYLRRLVTGRDQQGRRNVTVRPRNPSKFQ
MIEMDILREDFNNHDVEIKKMVLVQQKMTEDVKACQDSLAKINENIAGVEKEKADVEGNFATSMTTWNKGITDLQEKLKQPSQLCAHLKTGTDAK
MVAGEDHLQDAGAVQEALPGEVDDAHPAAPQLAQDLVARDGGDGVPGDFLGGAAAGDGVGVGLRIDRQVGHPPGDGGGVVAGAVRAFKAREVSHAADGGPVRGFGPFNGRTEHGLGAFLI
MAFSNNLSGLGLLPGNSNLNVMKHNHLTMPSSSKTKKKLSTKEGSQNNDGIMEFPKPLDPGLLMREKGESLEQKRLRKAAIKEHRQLRRKIRKINQLNFRQEKERQIKNSMQTMIVH
MEAKFKKGDNAVINNHPNSEMIGKTVLIEVVGHAKFKEGAGFEDQAVYRVSLNGKNLGWAPEEDLSIHSGNF
MRWQEQSQDLPDGSDLFSYRPAAHRHLSVRGHVPANNLPAAFLNLDYASTCTEMESWALTQRSHLTPHAVLTAISRYLCAHASHSEGSGALWTRGMQPNGRTFYGGRASARSLQPVTDGARSLWTALRSEWKSGDRVHNEVRELDKNTLLAVLGMLVPSRSRMPKADTRAPPAQINKAEPPRRAPLHHATSRKRHAVGVLLRAC
MNDSESLYDAESIGNYGSVKMGVSFDENLNLLTVSLRQAIDLNAKRQDGHPNPYFRVSLDIPSTNTDGQQRQKSPQQSKTYRDTSSPIMNDEFYFQVTGNVVNECRLEVSVYDYDQFSVDECIGYCWLTLGRLNVSSNNEAPTVFWAEVLPFDDNNGSGWGEVLFSLTYLSKAQRLTVNMFKARNLRVDSIEGQPAVAIRVTLLSNDEKRLKRKKTSTKKNTRNPQFNESLTFGIAKSSLCEIILEIEVILFII
MNDPLYTIRESVDILSGLTGFDPKKCLEFLSNNRRPERRDESLIPFERDGRNIYYRRNALDVAAARLRQRMLRRKARSIIGEHLPAYVPISTGRALVEDLIGGTPDTWASLLQTADEPTPECSIRIKRRRRAQPLVNVADLHRFAGRLQTIKDSQPGAAADLLQLNQGAASA
MATRSPSCESCEYTNAAVVEEQLRQMQKQLNEISIIPMQIQATLSYLTKALTKFAPPELQRELPASLRSSLVRETIPEECESFDEEDEEEDWRNSEENEENLAAILEMLFDESEVLDVVPPRELDEEYDRREDGSDEDQDPDISEEERLKQEKLERVSKLEQSWPWSQTAKPIHKRSNCHLVPSVALEKSKIKQLTEEKLPFYNRGYRQRVGN
MDGKSNGSVDRSVSDLNVDLLLRLGTFLVTVLKLGYDVYKDKKSNRQL
MQIIKNLFILALAFSTLSCSVVKTAYNNAPALIAWRLDDYFNFSDAQKAKLKPALQELHAWHRKNELPRYVALLDEINNDLGHEVTAETACQRIESIKSNAQALQTKFIPIIVDIGATLSDKQLQHFQQKLEKRNAEWKEEWWQETAEEQREVRLEKSKEYAEKIYGDLNEKQINILKQSIARNNVDPATIYSEILRRDEDALNILKALRNPSTSNEEKSQLVRAGFERMQNSPNLAYQAHIDKITQQTCETIASLHASTNAKQRLHAQQWVEDYKTQFTQLQSK
HHLRYCAFPAAYDNRCSYVSFVEVRGRLPRKRASQHPGFPYQRCDIPGHPTEEVAAGGPQSGVAIPIIITFATVHFLLPTITAAPTSASSRCVVDCQGNEPANIQVFRTSDGTYPDIQQKKWRPVSQPYALFAKKSSNYFLMQLPSPHCCAAIAVECAHIIHALLILAGDVEINPGPNIPENLITELRKLTAGQNQLITEIHGLKSQLTSTDKAITDLSKRMNDLESHYQTLLPIRSEVDAMRTTTEQAIFRISELEARIDDAENRSRRDNLIFYGIPDPSSSETTADSERLIVELCRDRLQLTIDPKEIERAHRIGRHSPNHSRPLIAKFTFHKTKVNILSSGRKLKGTDYSISEDFSRSVRNSRKHLVAFAKGKGVPFSLRFKTLFIGSRRYTFDAASSSVKELS
MIELVERHTVLAVVVGSRAYGLHGPDSDYDRRGVYAAPTRAFWRLDKPPTHLDGPAAEQFSWEFERFCLLALQGNPTVLEVLWSPLVETATEDGQRLLAARRAFLSTRVAETYGHYARDQLDRVAARRERTGETNHKQAMHMIRLLLAGAHVLRTGEVLVDVRHLRDRLLAVRHGELAWDEVTAWAADLLVELAAAAATTTLPEQPDREAVDRLLTGVRERNLQ
MDVNFSKSLLSFGEAIHGGAQEKEILKKIEESISLPIKRYPVSTKFWNIKREDVYVNGNKIKASLLPYTSGCLKGKVNKQFKKEYMPSHPFDVKGSTDFEGYILVDKRRRRIVMPYRRPVSFVITEDVKDDDEIELCGEGDLVESSSYNLEVTLSEGRYNDYFVIGAHVDHWLTGFHDNLFSISLALSLRPKVKNHGVKLVFFSSEEGPKCCTGSMQMKKDDTFVAISLDALFPNRVVFSSTPELWSYSSYFKVKRVEMPSPFSDHFSYILEGYPGIVLYNDDLIPYYHTDEDVPVEGDEKFFEDVKKGLEKLIEELDKKTSEELAKEFYKLTGVKYRKGAIIPDYKNLTSRIKTL
KLLLLGFILSGLVGGLECDQLCLACSKEDSAYCKACKPNAVMQSNHTCSCIPNASFNPEKDKCECDLGYEFSNGFCTEKSPSQNCSDLCEVCSSQNSEYCFLCKEGAILTSQNTCECPQNASFVSEKCTCNKGYSLVGNLCVPSPQEECDLLCLVCNPNKKSECSVCKTHAELQSDFSCKCKENSEFNNDQDKCECIEGYEFIEGNCVVESRETTPETAKCSQICSACSKSDPELCSECKPNAELVEGSCQCIENSEFSSQNGQCVCKQGYSLQNGVCTTQQTVECPSLCSDCDPENPERCSACVSFAELQADGSCKCTENSEFNAGECQCKPQYTPSKEGTCIEKRTSEIDQLCSEHSVDSEVCIACKEGAYMEYGECKCKENSKYNSQSDKCECLRGYSLKEGNCQEEEPPKAVQCDDLCLACDMYNPSVCADCKPNALLQSDLTCACVEGTEFIPEKDQCDCQEGFELLAGKCSETTKAKKDTDYQPVEEPTPECGINLAPIYVMGILLLVGILGFPILYLADKSKLQYFQEPASPTVKYTERNFFTDPQSPTEENPTPIEEEKESRDYAVGVASPASPVSPTSPVPPPVPSLLSGHLTFGLFRSRNDFPRIIRLYTLMSVLLFETLVCGVVFYSLEDVEEGTEKSTSSILEDYEGKYLGYSLVALLVGVVLEVVLVLCFTSNKVFFKVLGGAVS
MAAEIIVQLVAAYLAVGLLVALPFVARGVVRVDPAATGASWRFRLLILPAATALWPVVASWWLRSWRGGDHS
MVRYKFHKLEIWQLKRAGLLAIYIACSYGLHQGTPPTEYISPCIFFKLIYQYHSSVISTPVETPATLRKIVLVSYELDGDRSRQTSTTGSRVFPAVYSEVYWCRHGVLSLKLFENRDFTKIFVSVLLPRCSGNIAGWGGLGALSPGFSSMLYFVRA
MQQYPMLARTPAALHDMSMRLFLATMDATTRGPYPAANTPATENRPPADGGSAPVAETPPEPKN
MAKERVYCAFFSTGFAFIWLWRVFSHRKFVQCGKLHGNSVFVRCSCVITNLSIGIQSYCSSGGQSYSTLHSNSSQFKLTPLGAFCPASPPLRSLGRAYTDGPWVLLPSRLSRYWPAGKLRISFSIFHTFVSRLCGFTPYSRFSSSSMSRSSR
MVRKASENREQQILDAAIKIFSEKGYNAATTSEISKEAGIAEGTLFRYFKNKKTLLAKIVILSSKTIGKNIIARRLGKLIEKNKDKDLKEILKLIMLDRIDLLEKNKELFQNCVY
MSANLTARAVFDKHQKTLELEWLSGQDQADRTPDGHDLGHQTGTLVGHLNLIRPNLVQVLGRTELAYLEQLGKNSRQDALRALFAGSPLLLVIAEALRPDPFLLQQAQRHGVPLATTPRPAAEIIDHLRHHIASQVSEKLIQHGVFMEVMGLGVLLSGPAGVGKSELALE
MKLAKLLALCVACHFAAPVLAQTADANSPTTASSAPASIAPPAGALPDGPRGFPPPPGAGFADRGPHHGGPGFEGRPEGPPEVHAAIRTIDEIASLYVETDRASELPAFYRSVLAKTHDPIIRDLVFHRLADTQLKPAHADDAIATLRGALDESLARLDSLPHGGPKH
MCFNLNWRWFECPFRSSSPQSSPAVQPQTTATEEQSTGNLQVPATMASTGGRVQDPWDAEFIALRNWAQGDDGVTLTRSPQLGAERYRVKLTVNANHNNDIEERLIPIIHGSDAVREMDLELLSGWWHWPEFTILGNGRGHKLKTCYLRFFGDYKCSTGLVAHQYIFQFWTLESLTIENHPEMVEDFEPLDFELSKVGRPITQGASKLKTLRVSGVDIMTLMPALAFSWRCLETLQLEFTAPGANALIRSYMQRGTAELLDEAFKVLFDKLRHLTFYHHYLNEYRWGKFKALETLRVHQDHIFPTYRPVSIAPQNTLLPSSLRHLTIHGCQFAEKKQGDASSLEELLLFLAEELLYDNSVSLEKITLVLAGDSLPVPGAVVEALKGSRIQVVIVPAGTEPGAF
MALRGSLPRLALLSLLASGAVVAATDCSELTQVVIVVDTDLAPSDVDRVDVTVTGPNGNAGDRSAEAASLPLSFSVQAGSNPSADLTIVAVAKRGGADVLRRTAVVRMTDGKSTLVHLSLCRACGATCADVTTTTDFTGTVPSAPSCSGAEERDGGGDAGDGGPPVATRCPGAPREMTLIATPGGKRFCIDTTEVTTSAYDEFLKSTAADASTQPPECAFNTDFSKPQDPGGSPECELSRPVFQLDPATRPDVPMTCADWCDARAYCAWKGKHLCGRIGERTQLDYGAYVNPEVSEWYAACSNLGATTYPYGNAEDQLSASCNVDTDVAPTVCNRPTCRTDAGVCDMVGNVWEWEDACELREGLRRCLVRGGSARAGNPGIYDRCNIGPTGKYADVPINTSQNDIGFRCCADPLN
MEDTNLSDSAKMPEVDLNVSPSSKRKAKKGSSKVWKNYLSNKNHCCFYYTNFDSLKDIKVRGKKKLQRKLTREITFTNLLTMDAIEENECEVDKDISKDEPETLQRNFDSSSQDSKDSSGPEEEPQEKSSCDKQKDCKILTSSNRSFPNLHKHDEGCIHSLKI
MISTDALIEKPVYTEKGVLVGNVYDVILDFESGNVYGLLIKDTNSNVVSEGIPISIPFRWIKSIGDAILLLTFPEKVSYNRREVN
VASCSTVSFYGQALRGQVEILVNREAVSQVISSPNTTAVRRQALIEVGSILDFAGNTLALPAQGRYMRVAEINRRYVVWNVVAADLLSIEPLARCYPLIGCAAYRGYFTIEGAAREANRLQHRGYDVHVSGVAAYSTLGWFDDPLLSTFLDWPVERLAELLFHELAHVLVYVVGDSAF
MMLRLSVLLMTAWFLAACAGPAYYLQAASGQWKMMQAREDIHTLLDDPATGPQLAGDLETAISIREFAEDTLGLPANGSYSSYVDVAGEALLWNVVATEEFSLQPRQWCFAIAGCVPYRGYFKQQKAKNFARRLEDKGMDVLVAPTTAYSSLGWFKDPLLSTMITGSDIRLAGYLFHELAHQRLYIKGDGAFNEGYASFVEEQGIRTWLESSQRQADLASWQRLQRAGDDFTGLVKQVRKELSGLYRSDEVDQEKRQKKAEIFQSLLDSYEQLSSERWEGRRYYAGWFEKPLNNARLALFDTYAGSHCAFRLLWDESGANWQQFHLLAEQKSRLGNEQRRKWLTQTCPTIAHEAKL
MLFSAASLFQLGTQHKTNLYTPTKQHSRPKQMTRSLCEKPTCMLSTNGNNYQR
MEDPSIAKFADYVTEQWVEGEDIHMRNHFHTVGPRTTNHVEGWHARMNKMTTNHPSIFRFTTLIKAKQAMTEVTISQLQPGETNEDYQLVRILLRRVVTDGQECVETCINSTNCNSVGISVINGVIECYLYPVKVYSGMDTASNGIVYDIMIYYIPMKPTEEIPPDYQCVYNESFCYKFYFSITKSWLESESICEEDGGSLYLANSQFKLNLIRQNLVNHFGVVAHVFAHLGATDINNEGIWNWFNGDPVNYTFFLPQRPDNHNQNQHCMSYRIHNASTCGLDDKTCNVKEIDTLFSNSPKLISYTW
KIIVSLKNNQIYKLQITQSSGYKILDAAALRAVEKSKICLQNVFDTYFNKIIFEYAFIMPIEFRFADSKKQDIEVQKINI
MHGIRIVLGNLVILLRDLLPAPPLPTLNLRERFLTHIREAAQNLHRYQEHKFLTAKVRCKHTQHRPTSRIKRNRGCSWWAKGKGGMTEGYVNIRQISKMVINPKTPQLVWNTLPSDDVFASGSHFRCQCEEKTLEAFLRQEFINCPHEIGKFWNRVVYQQRLKQAMDEQSWFQNMGADSGDEAYMVRSSRSSLEWHRSRYSCLCSQPEVLYSECFPPIDTSTPYTGTQTTSAGVSGVNAGRDFDPSHPQRNKGPVGNESMHLPSLRTSNAYPRDFGASYDGRQFSAQTCDMTGRHQTASYLDYPDGLPNTDWPSNIPVFGEPLEGIQVSPSSFSSSAPSSATSEAMAAMTLDPMAVHSSTAGHLQASSSVVGPENPVNAWSSSSYPSTISPKMLRINPSPTPASSSESVHNSMLAGGDLDLSTPAYEHQHPRSPFHSQRQTQKPRKELPSKPARSRLGPLVSSESPSSSKGKRPALPQLSADPERSPHKIRTTQPKQEHQDTAVQGSEGSPARGRGTRVVERVRSAKDDFLVRSKLAGKTYREIRLEGNFTEAESTLRGRFRTLTKDKEARVRKPEWQDNDIRLLKKAVRKLAKSNDMVPSKAPWGQVAEYIMDHGGSYQFGGATCHRKWKELVDEGKAGWK
MKDCKDYFIKVPKKEVVFYAPFFEAFQGMLSLRTPEPPKDDFATLHIHVSPDFTGAFEKLIKKMKLKNV
MFSGKVKEGLEFRRQNRRAENGSEGFRMKGFGKLQDVVNRKGKAPDWKLSQNRSTLSKSAQAVTRFYNEVENQPALSLAAGYRSQVRGLQRAFLLRPGCPRFSSKATTTAQFDPSISSLSDLPTSGNIRFESWDMVDGQPTTIEPVTEGTVSFSQSAGEIRGWRSFSEVERVQAAVQGSSTQSLAIQPPGHKIPWYVAVIHEKERCMLMLGEEINRLSKVEMECQRKDDVIQVLREEVEKLDRQLQTQRKLSSKQEEESIPVPADLGARLSPVPGPGVPGEEPRQGSHIEGLKEEVVEDLKARLSLSEQPPDATLETEIATLSESLMKGQEELQQLEREYSEIQLKDPRQEETSREGPLGENKAVGEMGPEAEEGVLLYKEVEEELLAKLQESEQVNQELQEVLDSLRNEYSVATGVISSLQRQVDFQKSQLCKAEVEQEKLRKELRERQNQLQAMSTKFSCLREERKLEEMMGAIEKDNFALRQHVCDLESELGKRIEQIGEFGGRLSWLEGEVTAGRNHLERQQRCQAELQSQNEVMRRSEQQSRVIQEVTHSRLERLRNRIIQATFSTAGIKSPNSEISDSDILDALQRLINERVEFHQMLKQKGVKVPPLHQTEITSANHRPARRSPAK
PHLDIRFNILTIFHSLFLGMALPSYSSLTQSIQDAVLKLCAQKITFNKSLEIDGIICVSPGDDYQEIVVKMHRTIIKPKDDQTKLQSSEMLNWSAIGCNENETFGYYSSNQKKPNLSQTCVRHPCPAPPTRSIRLSSPKLTNASQFTGEWTDSPLPVATQETPGGLKIKAFVPCSADDPTSPERLNKVPEIEASRSPVTIKRKLLEFDNITKESPEHSLSKQIKFDSTPDEETDIVKVKQEHIALELDEDEEIGGYQGDSTAETTWMGDNISQNSTDNQTDQSSEYGEYTPHPSFYQEQINSLLPSSMNIKNQTSSESTINTKDQITSQSTMSTKIDQKQFKLIPANDTVIPIPIGASNHVLPSVALPMGASEIINTKDPLSRKSKASEVKYKCQLCHRVLCGSTAFKRHYQIHTGEKPFNCSFCDKSFRLKHHMKHHMEQVHRHLEGTPNEDTTVAIVSPHVKLEQLNDS
MLNKDADLESDILGILNQTNSPTETLHQEKNQPNSTTENLHKEKLDTEHSPKHFQISSNITDPLEGIKDERQQLFEENLGLQGDLKEIEGERDKLIGLIGVMKLKWEKQYQKLQMEFQGSNTNQKSLESQLLELRQEYDKLKKSSSKVEEITDRLHEVENQKSALSKIFEDQQNGVQMLMKGMAAEREEWQQREAVFTDNQNSINNSLKKCESEVKSLRQELNVALVDKQTMKQKLIEVGKEKMLVDVRVKELESEKKKLGEKLTKLIDEKGKLSSQLTDSKKLLDKNKSQIAALAKKLAETDVEQQKIVQTFEKSLAQSEKTCENLRIKLNKVEKEKGQLIQGNIGSFKKDETGIPLKRSSDSVVTETLNGKDNSNVINSLTLEQRIIELENALKLSTTECDRLNEKLAQVEVGYLNAVNDKDQLALAKRESDKKVKALEAQLESVSTGKEHESNNTNEYKMKDDFVKQ
MKETKQHFEHYKEMCKIDYCAYFIKNYLAFNFHCKTRFKDKKKDRDIIDALKDDKKVLNRFLEHLGEKPQFFVMLKYLDKTLTHRE
MLLKSRWGNINRVNRKQAVRRRANVDQLSKTERILTVRDIFKRTFSKKDLSRAFVRWKEMAET
MIFISVLLILIFQSCASSKQNTKLNKLNWKAFHLLHFNNDEELEKLGKQIPRLSEMGINKIILEVYYHFNFQSHPELRQTD
MNETPEIGVVVVDTRTDRTAVVTDVADRAPTLRPPWLGMREEWEAIPQQVRPADPAETLRAHVAEADAMIRREMYRP
MQPIVVGVDDSEPSLRAMDWAADEAALRGAPLRLVHASTWRRYEEDGAGGWSHDLRLLESAARRAQRRAPEVTITTEVAAEDPEPALLRAARDAGALVLGSRSRPGLTELLRGSVSLTVAARAECPVIVVRGHHDNQARPGAHGRIVVGVGGPAAVRFAVREAEVRDAE
MSDPIIVGADGSVPSERAVAWAADEAARYGRALTIVHAVEKWPFDIPLDTAPGEARSLTREGKQVLADAERVARARRPQLTVSGELTSARTVRALAERSEKAFELVVGNRGLGGFTGLVLGSVGLGAVRHAACPVVVVRGEPNGERGEIAVGVGLGDDSAALEYAFRAAAAREARLRVVHAWPFPKALDEPGAGADIRRIEERARWRVIEAHAPMRKRFPGVQVVEDIVQDNPAAALVAASRDTDLVIAGSHPREGLTVPRARAVTHALLHQAHCPVAVVPTPAAP
MTEPIVVATDGSPAATAAVEWAADDAARKRLPLRIVHVLDRLPYDIPRYPIPDMYDRLSRPGRQILQEAEQTARERRPELDVTVEMAEGDPVHALRRQAEHAVELVVGSRGLGGFTGMLLGSVSMHVAGHVDAPVVVVRPGPTDVRGEVVVGFDGSPESEAALAYAFEEAGLRGCRLRVVYAWQLPVHIYAPEIAYDMDEMRQAQADFVTGRVTAWREKYPGVETVPDTVSAHPVTALVDASADADLLVVGSRGRGAVRSFVLGSVSRAVLHHARCPVAVVRSKTPVAEEPSHPEATL
MSGPVVVGVDGSVTGERALDWATDEARRRKRTLRVLHAYGWPLPDLPVSPDRYRAPRPGMSDGELARDVAERHAARARRAAPELTVETVVSGDLPGPALVTASLDASVVVVGSRGLGSVTELLLGSVSSHVVAHARVPVVVVRRPADPHAPVLVGVDGKPASDRAVGWAFEQAASRRVPLIAVHAWQAPVSRRHGDMLPLVHDPAMLAKEEARVLTEALTGGREEYPDVVVRACLRHERPAKALLAESTRAGLLVVGAHGRSEWRGLLLGSVSRHVLHHAGVPVAVVHERR
MADPSGVPNRTALSEAEDEEGVGAIGSVVVGIDGSEADGPVTDWAADEAHRLGAPLRLVHAIDPGGQMTSYDMLASGAPSLAERLSQGAHQLLDASSARAHARHPSLDIAGIAPTGRAAAALVGLSDGALRMVVGAPARRHLERILLGSVALPVVAHAHCPVVVVPTGTTVATPQRLVVGVDGSHGSGRAIDFALRTAESCGAAVACVLVWNIEVEEGVVVTEPESEHWSAVERRYLEVGHEVVDPVVASHPDVEVSITVRHGSTAEELIHAVADLDADLLVVGSRGRGGFRGLLLGSVSRRVIEQARGVVAVVR
MVGVDGSDAAWQALRWAAAETGLRGAPLLLVHAGDVGGGGRGVLSRARTLVRALAPGTETRVALRPGPPERVLVDAAAGEALLVTGGRGLGSTPRSALGSVSAAVVECARCPVVVVRGPAPDTGPVVVGVDGTPASDSAVAMAFAEAALRGAPLVAVHTFSDVAFTDLWTVLPLDVDRLAVEGVERQLLADRLSGARQAHPDVEVRLLVRRDRPVRALLAEAAGARLVVVGSRGAHTGAGMGLGSTSRTLTRLCDCPVAVVHPSDPLASGPRSRSAGAARTCPADPVQHRLIP
MLLQVKLAPEDRDVHRYLWRDLQPNEAPKVYRMQRLTLTAYGAAMYIRIRDKQDNVSSQLVISKSRVTPIKKVSLPRLEHLAALVKCQVAKICCKGFANERG
MNPEEIVKVTELVQVLRDEVAQLKKFLNPIEHCNGITTKGAPCRNRCVSGTNFCKKHTIALKKMVPISDPEPEIENTDSDFEKKLTTLIQSENKTFDWADSDIDDDCLPELGKEFVV
MTATSPNPHLSDRSQVLFAYPLTLATRGAIQVYNRTFRRVDAALYLAQIIVRVQGEASRQFTHEIDQVNTALDDELRRLEDTLATQRGWMTKRRAAAPAADAEGVIYTDPATATLALRTPKARRYARLLTALDQALQHLDRAWYDDAIGDDERLDRSATLIRGFHRACGAIERLARGLAQRVRAEDGATAPNATYQAMLIKRTGRGAGEAPPAPPDTDAEWPMMTATEAAGLEDMEQMAAALTRPASAAEEPSLATAEAAPAQEAPLATAEAASAPVVEEPPLAIVDVASAPAAEEPPLATAEAVLESPSGKTEAMPDSAAAAAALNAPRAETEAISEAPAATTEATLEPPRAKTDALPPEAEVIVATEETASETASTQKTPPAPLSPAHAPKASRFDDLMNRRMTA
MADQCAVGPDGQLLDASKITFYHDVNDKEPLPPAVPAQSMGRGQHVKLIARFQASVRSDQFDDDGQLDAAQPKRRRKKKTKAANPDTNAKDGTFAVDSSSDAEMADSGSDVEGRLVMNTELADMLPAKTIPGGVSRKHSKRRQSSSAALPTMKKLCQRATVEDAENNEVQVVNTLTSIIITEAPPLPSHPPSLKKAGSASSVASTATRGKRNNIYLFYEEVTTAADGTTVEGNRYYCCYHGSRKVFTITPAMKHSVKNMIHHLEAHFPQMHKLWAHLERRRELPTEIEIKIVSASKELSQKDMANYLKSTWDQGHFEELLAKWIIECDEPFDVVECPSFKELLSYVHLFSCQPLKTPGRTAIHTKIMKLGEDTIEGVKSSFEALESQVSLSLDTWTLENQIVFLAIVAHYITNDGELEELLINFKEIIREHSGENMVSMVWETLELYGLKGKIVSLVMDNAFNNDTLVEGIASRCKQEGIPFSAQDARGRCMPHTIHLATVLLLENIGAISIAAGSFAPGDDDKDPNIVDSEPLNLDTIDPIDTSAIGKLCKIVHAVRSSPQRRAAWLDEIRSHNVATRDNLKLLMLILDVQRTAELEKPLNNFVAKTRQLRLYELTDQDWTSVKMVMDWLHIFKDATQQMSDKLKTILCSLPANVPTNVKNGLVRAHQKLSDYYFKFDQLPHVCWAALLDLRISYTALTEDFADDLDLSDSLETLKTELRTYYLTNYAGCHTSFPSSTQASSHSTLGTSVSSAPITNFTACYQCCPWATTDELTEYFRLPQEDFNTCDPIK
MVRNPLDILGFRPRLAVGTTGAVRLELYPLEGQNLADLLVLLDRNGAATAPVAVPPDAVSMLGSDGVRRTFSLSRDGGCLRISYRGSQTILLATRAFTELPRRLFELWTAWPLCDLPVITVEIGGRVMGKISLSNLRSQAESDRVGRSARLMLQRAVVDQELVWSVHDGARPLPSKGNWAQVAWMATIKVPGR
MTSKPSRERGINCMPFYWGCNQRYVLSAYILFIFHIFSKNKTNSKRIERLGYNKIQKRFYSSSARKTLKSPESRPYADLYKGRGKPIHESEWVRDNGMERSPFGASWAKEKKDRLPLPDKYTLNYINISDPYNNRNLIKEACKGNRVVYVWTYLVTGICLVGSSSNSVERVLSYFEKKYLFLDNRRGVQFLADYGFKDIQLTIIYLDYHKFTMRDIKILEAYYINVLNSSLNTQKSVYLPPEPLESVLPFINITNRDTAVPIFVYGPDLIRVLYIFNSKTTFYNEFNMHRSTLEDYLDKKNKLFDYFTFSSNLFAESDLDNLLSLNELIELKNSVDNKIPSRGKEVELKDLVLNNELKFNSITQASLYIKKIEGTCDRGVLRNHMNNNTIYKKRWIIKET
GGTVRGGLWLRVAAAVAVVAALVVYALACRAWWVGELDAAFQQAQAAEEEEDDDSTGETEEASPEELAGKEVERYGTGPKREVTTVLKVSRDGGTTRIRATHTFRLPAGDPLLKAVRTKKIDVLAVAMPPLGLADKRCLDTSIDSIDRSVRVVQRAPDAAATVSGAIDPREFDCGPQMNWQLRTESPRGWIGAKGLYDRWTVELDVPGFTIDQVVGVTPRAQSAHRVEFMLPGTGKARVAFASTGDTASPAADDNYSEFTRYLKMDFFREIAAVLGVLVLLLAAERCLQPALRERTDAARWPKAAMVVAAVTAAAVLPGLGPLEPTLFTVDTEVIGRSAEATWGNVHTVWLLVLLPLLALAAGIRFATGRSPKPRQLAPLLVPAVLLFTGPAALAVASDRPGVLWLILLSLPPAVLVGVLLRSGLIGDIGRRWAVTAAAAAWLGYAGTAVATGLPIADHTQWYTSAWELANTGLAAFVIWAWIPALWLLVGRQLTGPRLTLLLIPLLVWYALGGASAFGLVAYLVRSRSGELVSDAGEVPALHPLTSAGLIATEVQLAALAGILLYLRRHGRRPGEWPAEAQPLAVGLGFLAALGHVMVAWPVRGFSSPGYLAVLCAVFGFGLLLPADREAAARRLHRLPETAHNRVMHALLRDLTLETSRHEFHTTSRGELADGSLTPAAWTGRWRQLGALGRRGRAPSRSRRLYRVALGTSAGRPAWENGVVAAALLTALSLPWQAYTLPRWSGEQYFWDGAAIGMWALRWPLYGLLYGYFYPWLRGHSPLGKAMRLLAVVLPVELLPTLDYPVHTDADLAAQLLSTTGNALAIFLVLGLYWEARLVRVAGLRWGQIRNFRTMSALAVPTTTIIVAAITAFVTAFAGTWATDFVQPDNENRPPATSPSESPTPGRP
MFDEEKWAKNAVEETGEANEHGSREGETAVFGIPSQGAFHEATPQAPSVGSFVASCVGLETRYNDHTMMRTVYVETSIPSFYHEMRSEPEMVARKEWTREWWALAQRRYELVTSPAVVDELERGEYASRKKCLELIEHLPLLAIEPAIMDIVGTYIARHVMPADPAGDALHFFIWLWLPSTGATSWSPGIASILPMPTNSATSGA
MAERLETDVKYVTNWVMQADLWRLPDMSTDLAYILTLAGVRSALDLMYVDLQKLYPVLLPLYAAHPDLSMVGLDKLGEIIEASQSMFAPISFWEVIEAARQFSETGPIGPNADPEVLRKAREIARNRVEVGTTPMQYRYRVVFGPSDPPEPTHLYEEGLRGRPLPDIKTDTQIISEGLTALQDIAVALPLPRTLSGQIRIRYSGEADSKARPKSDVLIQIDGITNPSEQKLEDDKKLSCFSDGDGRFLIVLPDKYNVQEKVTFTITQGMKKQTFVRMSSELLERIRIPGRKSSSGKVLYTNELISKFYDLDTINKEMIRLEQILETYQLLKDRRVINDEGKVIKGVTPSVYEE
MCCPSFKSTAVRRVTTPFTAFSSISCVMADTFWRMASFSAASDAGWSRYTFDFRNPHSQKSQGVRSGDLGGHA
MEAWRGGIKRKIYCITGPYSTTKLRKCKVHHNQSQNGAKQNILPEKQIFYFDDATRHGKFHEMSKDIQILNANHISIYKSSCRQSHRKEIRRASSESSKYTQES
MRIGSRSAAIVPCAALAAIPAALVSACAAAAQTPSIEELVAAVVRINTHIAPEGRTVQGLGRDREGSGSRGFGFPSVP
MKLRRFLSSLSLFTLASGTAIAAADTAPAYIWLESEKPTSVTGVPKWDATGWGRKELLSGETWFQINVGEDKTASDVAAEGAVARYAFEVKTAGTYQLWNRIGYEFVRSPFEWRVDNGAWKTVAPDELTTDLQAIETWVEIAWLKLGDVPNLSAGNHTIEIRLTKRNKPDGTPERILYASDALCLTNGEFRPNSKYKPGELRNAPEDAQARENVFVLGAGGLPASRSTVELAGLWEVCRADEQLPKPVAEPFEDVPANPVWTAIPVPSDKNESRPDLLFAHRLWYRTRVNVPVDMAGKSFTIYFPQNNLNTTVVVNGVLCGFNKNPHAPFTIDVTKAVKPGQVNEIRVGIRDAWYAYTASPTNPMKLRKWFNIPLSFVGNGFQDLSYPVWHAWQSGILVAPTLTAAGSVYAADVFVKPSVKDRSLATEVTLSNVSGKNADAEVACEVVDSKTGEVVHAIVPKTFPVPNDAKKTVEVSGKWEDATLWWPDAPHMYTLRTTVKMGGKTVDVTETPFGFREWSTQGKDFMLNGVKWHGWADLTGGSTPEEWIKNYRKTGQRFARSFGYAQGGQKWLGMDYAAALDLYDREGIVVRRSGILDGEAIGYNAIESDPELKKLYNSETKVELQNNWRDQMVQQVLAERNHPSIQLWSIENEWLYINCINLYGGLMDEFETRAKEVMDAVAKADPTRLSMVDGGGAGKDQLFPIHGDHYVYSGDPSKYPALAYEDNVDGGGRGRWKWDKKRPRYLGEDYFATGINPADYAFIGGEETFQGKGATKNAVGLIQRMLTEGYRWAEYGAYHFWLGGSDSPEQHKSNAPIAAFAKEWDATFPGGAKVKRSIGLFNDSFRETRPLTLNWSLTSGAKTLSKGTVTKTVAPGESAKFPVTVAIPVVPAGSRMETAWNLSVAVAGKTVYSDKKSWSVLGKPVAKRAGQVAANAPATASPSVLLYDPKGSLAKQLPDLGMTAKPVLSLAALPATAPTGTVLVIGRDALSPTESTSS
MPITPGRQEAVLGALLLESGRLLSSDHLIRLLWAEDPPETARTQVQICVSRVRKALRRRQC
MCCAQTKNIKFKIASGITGFDRPELERIFLTLIKGRMICTGEILQIETSDRTEDLCIFSLNHLILKLEKELRRLGSSVVS
MAFANPDSDGNIIMPSISQVTSTARMNNPNIIICISLAGGALTTEQAASWSDLIDISANRPLFIEKIVNYVLDNNLDGVDVDLEWSHVTSGYSDFVIELKAALDEHNKLIMVAFPQTLYSNVSNAALDAFDFINIMSYDATGSWQPSNPSQHSSINFSRDGINFWNKTVGTPAEKLTLSVPFYVYNFIDASTAVSFTYSQMVQDNVNNANLDQVGNSYYNGMPTIKSKVNLAHNSGLGGIMIWELGQVF
MLLSLRPEAWAEEAQQAFGVITSGPVVIQDSRNDFLAWDRVRNLANRQVAALVNVSSGAVEEILSEGDVQGLRFGESGDFVTYTAVKPQKTAYERNEGTEYEILKLVLDGSAPESIVAPSERRTNPNWNKAGDAFVYSEEGNVFLRELEEDSAANLTEQHRVSMSEDDSTKMSFSPVRWSPDDQSILMSSQTGWHILDTDSHGLELVLPLEEDEELRPSRSVQSWSEDGRYIYFTYSASDEWQRGLKRLDLQSGSVETMVLDENLYRSFNFSDDGSTVIYRMSDGNRPDEIWAASGDFSERKQMTDLNPQLSDLPLSKTELIEYLDIDGNTLYGILYYPVGYEPGRKYPLVAEIYEQFFDNGYNENMNLITAQGWFGFRPSVQFEEGFPGEAWLKAVPSAINKLVDRGIVDNDKIGVYGQSYGGYAVNLLITQTDRFAAAANVSGKVNIISFLGDSPRITTRNYRAAEIGQDRIGATLWEQPQKYIEHSAIMFADRINTPLLMLSGEGGLERTCNESTGDVLRAPSARKRSCLGELYEWRPWGRGGRVLPRTLWIIGNGCSIGSGSISMRPTGIALFLRVNPETQRMRCHLFGLRA
MLPESGLGEVSSGFDTELRLVVYGPPATGLPWLVVCLGPDNEAIEANACASLEAAERMLAQTAHQLMERFENAGEGCLLALKTDWSS
MAYILSVCGYKDSGKTSLCKKLAEMLHEEFGLDVGYIKHCHDSVLSADDTDSGAIARLGIDALLWGTDGIRLETMGNSFSLQDIEKKFFPTKDVVIVEGAKSLLLPKIWVGQGPPSHDIKGIYAIYEGPDDLFPKLPHFRAGEERPLAEWILQRMGKIGERVLVYMGDKKVGLNEFVMDFIAGTVRGMLGSLKGIEDRGEEIAVIIKKQKKPRIS
MRGSAMARLVRVWIPIHLAMLAAAYAWLFAESALDLTVAMGLWFFFPVGVIGAIIANATGTGGGVVFVPVFAALQDGGIPLPPEILRIATLKPEESVAVSFAIQCFGMSMGALVWAHSIFVKDALAWNEKVSSQTLMALTLAPLATGLPALLLTQAFIEVDGATLLIWFKVFSLALGVILLIFAWAQRRQAAKDRKIWVSPAELWVLLALGAIGGTVTALFSVGIGEFLAIYLILRRFPTKVAIAVAVWVSVICVIVGVWDGYLGGLVRVELVLIAVPGALIGGILAKGIASALGTLWLKTLASVWIIASSLYLLFT
MWGNLADRIGATDINSALEKIGNAVAPREGIVSKVASTTPFRFAGMLATRALGDNNTRRSPVENADYTESNDDDDDEGIKLFTQKLLKKDEDYMEATRSLNNDSANNLWADDDDDEDEEFAYKNNKTTTDKDRTLFSSISIPEKEKNQPVSGKYLTTTADYNDVVEKIMDKRRMTVQTAVVAPVIVDKKLPHTTTSVVVENVKDETNGMVQTASTPVVVVVEKLSQTTSVIENVKDKTNDNTKQVNQLNVSRKEATKLDKEQVGLSFAGTSIGIEEESSKVSSSLDNTEKRNHDGQETLLPATGRKQTPPEPEPPVLGRYGSQDTTDKKIAVNVIAVDKSDTYKPIDKTTKQKLLDAEFNCKELQIKLELANQEMEALRSQVQRDKEKAEIEKDELITQFTSKEVRLLQATSEENQNQTLLLEQEFSTRIQTLEQSLVKERQEAQEEQAEYRKLLRESYANVDRTENQLKATLGKYENEISQAKQQEERVLRKADDRVAQTMAILDERDEEISRLKKSIRSIESKAKEHKEGEEEADEELDELHEENDSLRLVIENLKLDTKKVRDELSALKSESENSSGLRMELTMLKEQNNREKSKNNSLVDSAMSSRTQIESERDTALSELRDAKQQLAAALGDLEISRADNTRIMTANNNLQSALEAFQDERQAEMGMIDEQRREAEEGVKSAHATATSALKQIHEAEMYEIQKAGDKAVKNLMHEMELLENDMEKLKSEKNQMRRSLDEAIHRLQSTQEDVIDRNMMKNILVDWCTLNDQNKREQILQLMANLLHFSEDEREKVHLTSTSHRNSVGSRVVGALRAPLPPSKADIEHLEGSNVREKWINFLMAETDDG
AAMATPLFVVLIATIVFSPFILKLFFEPLLSASKTKLPGNSEYLLYWIAKIESYREFGLACLKYR
MNAMGMILQAPPTTEVKGNLNKNAAKASEGTVSFPSLLKKGEIEQGQAQALLSAAVQTSNALIPATGEIKVELQSFLEAMNLQPSDLLGMVEETVDNPNNELAASLLGLITNNQQDTTESLDSELSVQALDATMGIHLNQEELEKLEQQLTAILAKLENLLATVSTEEDSKKIAPKMLELLQQLTKLEAKLAGTNPTSDVVNRSINLDLEQYPALKEIIVAFQNRSNLSAKQLYNSDSQVTTKDVAKWIENAIRNQQVSEAAGTTGQTTAVEQEVPGLQDATKVSTNVNQPVQQATFQTNTALPKLEQFVIYVNQNQSSQSVEQQVMDQFQQVMKSSKFMTTPNGLNQLSIALRPDNLGEMMIRLTQINGEMTVKILVTSQAAKDMLESNMNQLKHMFSPQQVVIERQDVISGQTQNPTKQNDEQSLNSQQQGQSDHSEQQEKNHSEEDFQSQLNEMILNEKV
MKKIEPRLRVNAPNGAYGIFIGEGLLEVMLRVFARRRFCAACLSFKFRRRSSRWWIQVLAAKWAWIIRAEKI
QQQQQQQHSRDDAKIKAKSNTKIDAKIDTNPNSSTQQNNSNYYHYNGNQKEMANRNLTTNADPRKDLANGLDNGVIIRSGPSSINGSKQGSVSNLDLRRVSDYSTASNVSDSNVDDSDDSNDDDDDDDSGDDGRNGSDRKTLLDNPFAKRNLGFDKFARPLGEAVEDLEDLEGGSNDDDSNENRISNNNDNNNNDNN
MSTEILTNQANSANKPNLDALKSVAVTAQAGKTQSRQDTAQAAGNNLPPGSNQVSAEELQNVVKQLNEHVQMVNRDLQFSVDEQSGRSVIRVVNAETQELVRQIPSEEILRISQYIKEQTDEVAGLIFHTSV
MDALTLNSQLQVATQAKGPAQPKVAAAESGKVSPESGKAAPPPAPEIDIPEIDIEQAVAQIQEFIVQSERDLDFRVDDATGRTVISVYGGSGELIRQYPSEEILKIAANLQQQGLQLIDQSA
MSTSAGLTDIRLEDIQAQVKRISPEATPPNMEGREKIQPTPDQAAVNAKDSVPEADQKTQRDDLERMVQQINQEYSMRNISLKFSIDEESGSLIIRVFDTANEKIIRQIPPESILAIRRRMSALLGDIFDAEA
MQEQVSASLRSMSEIAVPGDTPTLDEVREKIERRYVNALGAAELAQGSVQGRMIEIEQAGVQMAGHLRLEQIRASMLSQALSTGGTAAFPGGTPASIATNQASDVHVVEKSLGQ
MFKILETFDTKLSADSVEWCTIEGFRDHFVCGTYQLLNREQQSESLANNTQKRQGTIYLFQVVTPGKLHLLQEINVPGVLDMKWAHVLYEGHVLLGVVNSIGYLQLWQLTEESQMNLLVETKIRCVDDEYLALSLDWSTGRSCWNQSTEARITISDSKGFITVYSISQEKLHCLGSQLAHQFEAWITSFNYWDTNVIYSGVPLAFYRFSGEVSQILSIDDRKELIELIFTNSFS
MALTFTCFALHPVPSEAQLLKKLKKKLEKKAEEVVDRQVDGVLGNDDTAGEDHTGEERSGDPFRNLPKTVYDFVPGAEIVFQDDFSEDVEGNMASRWTSNGTGAVETLPGVPGKWLRVYPENTYKIKDLMPMPENFTLEFDMLTRADNYKDLGTPAFGFDYNKGVSKHYYLADQNPVNADLSYQFGKLNFTSKEVGSNRKSSEMDFPMSYFANDVMRIQVQVTGSRMRVFANKYKVLDTEMVDSESKKYFYFASDGNEHSRIYIGNIRIAVVGK
KVTVSVLYWTSLTLLAMARSWLFVLVLTAVTVAGDPLNVFGEPLRLCDRSRVRDARFPTTGYRRTNYCGTDASDYGAHYVCTLLPDGTDDETGESFSPFWTTTGQASCPAEATSWPKPGPWCICMWAYARMYDATAGSFDHQLVCGATNMAVIERYNLAVPGERSALASVCLRCGLNSSTTTAAAASKCRDAVADGLSS
MMSAVWRIVGSNVVPRRFGVERANSGSSMSDYKFTVVATSMASFIADNVEHLSVKALMDTINAKLNERRRRKRENEGINRRKDTLVKKAFELGEFDGIDVVLIICKYGQYTTFRSKGYVSWQPSFAEIVEIHGNVDTF
MQGIIKVHPPRERGDAQAGEGRSDRGIGIHAERRIWSRRRRQTVVLATGTRSSGTQAHGRGVGESAYARLFGPFMASSDKHHCVVLEEHFPQAAAVGDAPSQAQELGPRDGLPAADMHLNQL
MGRKYCCVPGCSNTSSTITPEGVKIVLHRLPMSEKKAKIKQEWIRRLRNVRANLIVNDSTRVCSEHFEGEFNDSSVPTIFPSKKPTVVKIRRPVVRHLEETSCNIEEEVVFDDFYQIKENVAPKVPEEHETVTKHALFRFKEEGCNTELPETCSKDIQVGEPSPLMKDASVQVSLPYISPEDLRNNDEKTRFYTGFVSFAMFWHYLTTLVKHGANKLYYWEGEQRCANTSVPAYHQTEVHKPGRKRFLRPDDEFLLVCMRLRLGLLQEHLADIFCASTTTVSRIINTWMNFLYDHCKGLIPWPSREQILCNIPSGFRDYRNCRIVIDCTELYTEKPSSLVAQWLTWSEYKHSNTFKILIGVAPNGLVTFVSRLWCGNASDRHIVQHDDLLPKLSQGDMVMADKGFTIEDLLPADVDLNIPPRIPGNRQMTQSEFFKTQAIASARIVVEMKMEQVKNYRILGGTLPLNEAHLAEQMAFICIAWTNLLPPLMK
MELEFASFRLFTRGKLRKRNQLKASEVRQSLTDMFADDILIYNCCPPSQTFVTAQESLYQVTDWCGSWLLRINADKYESMKFIRARPPALCNYTINECFQKSPGGVRGRLKVDAVPTLFSFTKVQTQRKAPQHRSNMATQRQETAGLNSDESIANAVPLTESNEVGQSIKEADNSQDQSLQLIINELEGKVKQLEHELKQERETRKTLEEKLNRSLFNIDNIKENDNLMRFYTGFNSYAVFTTVLNFLGREAAKQLNYTNTELVQNPPKREKQGPRRALSVENELFLLLCRYKVGLLEEDVAVRFGICQSLVSRIIITWTKFIYFRFSELDIFPTREVIEAHKPECFRNKYNGTTVIIDATELYIETPTNPEAQQLTFSTYKNGNTLKALVGITPSGSVCFVSNLYGGSISDKEITVKSKLMDKLQYGDEVMADRGFNIQELLASKGVKVNVPPYMNEAGQFTEQEMLNTRRIASLRIHVERAIERIKNYHILDFIPITVCKNGLVDMIFFNCAMFTNFLPPLVK
MNGEARQRVDVWLVRARFAKTRAAAARLITEGGVRLEHNGQPRRIEKPAVELAPGDILVLPLRGALRAIRIEALPARRGPAAEARQLYSELDAERLA
MTGPAERIRLDKWLWQARFFKTRSLSAKVVTGGHVQLNGARVAKPAALIGAGDVLTFPQAKETRVIKVVAPGTRRGPAPEAQMLYEDLAPPLPREERALQPQVPKFEGKGRPTKRDRRLMDQTRGQALD
MSETTRIDKWLWAARFFKTRSLATNAIELGRALQNGQRVKPAHNVKVGDLIEVQQAEQVWQVRVLRILETRGSATIAQTMYEETAESAAKRAKAAEDRKYFREPTATMAGRPTKRDRRQLDQTHNQELFSNESGLSKKQKKKGRRQST
MTSFAQLQQHFVHHLNNGSGGSSSPSPVTIPSFAESKIVLSREKRYWSYGPGDKIEGRLILRNQEDLYCCGIRVDLEVIVSVKFREKDWKTFHSTVEDVLLPKVFTYVWPVNWSWVQISGKLTNEKLLCLPASKHVFPFTFQYPSKVVLPGSFSSPYGMVRHQVVAYFQTPWDFVQISSTNLKFRDYYNLALDPVALSPLTYEKQKRSLFHDLVRRSLSQRLAFTLRLPRQGFLPGDDSHFLLTLINQTGKRIERILVSLIQKVCYHTDKNKKKTMGTVLDCTETTEADWAVETIWESSFRVPLGVKPTYRGIIEHTYFIKVQVYLKGNRRAVLKGHVPVIIGTTDNLHDLGHGTSSREMSEHELYTGHYSHTSRRRRSVDLPFQGDQEGKHRSKSPHRGPPPSYSQLPSRASSVDAFPPMYEDAMINSQSATAMALPSTSASSSGSSPKPSTSHASRS
MEIVYNEIQQCKECGDRLRGRADKRYCHDACRSAFNNRKNKALLDPIKSINMALSRNRKILKEVYDLVGDENSVNVRKLIIKGFLFDYYTHIQDASDGKKYKYCYDFGYVESSNGEYLISKYPVTYL
MSEATEIRYCRQCEKQLYGRLDQVYCNDYCRNTFNKQKAIRERVEPHPNQKAIFKIIQRNYEILMKLYPKPIHPNRYERTSKIWMPRDFRPEFFTGIRRIDSQIWYVCFDRGWQLVGGEYQMKDFPEKAYI
MISTRLGSLTAIIFSMVSMSLHAQSEQNEQKKDNRFKVGIDLGYTNTSLNANISNLVDSKYNSRGGFGVNVSAEMNVWKTLFVSTGVSYLQRNYEFERTGSREGWYSKYNNDSSFAPIYFHVVA
MGPLKRSKYETPEEKYGTSEATYGTSEPYMGHPKPCMGHPKLCMGHPKPCKGHQKPCMGHQKHCMDKCLLSKCLFMTDKMTHSGSRHE
MRMWALQTQKWACGSATCSGRMAVVYYSRTASLPFSVRLKRNSAHGLLRSDEASALCSLGTSCYRISEPFSGPHLVRSLRILNPRTGSTSATTSSTRPSASRSTSLFTCLASVLKVLRLVPLLLLGLGAFFIDKLPAVADLTAHGGGSSVVLHRAKATEIFASGWAGLAAGFLHTLTGPDHLAGLAPLCIGKAKLESALIGVLWGFGHGAGQMICGLLFLVLKGKLKIDLLQAWASRVLGLTLLAIGGVGLKEAVDVSASAIAVEGPELQEDVVNRKATHRLKTFATGLIHGVHPDAFFTILPALALPSRLAGGAYLVMFLLGTIISMCSYTTFIASCGEALENRLPSFMKRLAIGSSIIAIIFGLGILVGELFGINFFH
MATKKKTKAIAKTDTTDATIMARPDDYANAVTGLGGPPDKGQATFFQRRPRLSPEELYHWYEQDALASRLIDRLPDDATREGFKLTGEDETFNWNALMSDLEDMDALNAVADAWRWARLQGGALIVLA
MASKLFSQKNRRIRIRIKVLTILCYITALISITIFMNSPSKPKTINAEQKEFSAERAMKHVENIAQAPHPTGSEEIKRVRKYIIEELSKLGLNPITQVHNGYLNVNEFSDNIELHNIIGVLKGTENGKALMVSAHYDSVPTGPGANDNTSSVAAILEVISTIKNGESLKNDVWFVFTDGEELGLLGAEVFWANKEYREKIGLVVNLEARGSKGPSMMYQTSNGNGKLIREFVKATPNPVANSFMGDIYRTLPNNTDLTVSLKAGIPGLNFAYIDGWETYHMPFDNLESVNKSSLQHQGENALAMVGSFGNVNLSNLQSPNEVYFNFFGWMIHYPESLVIPLTLLLSVLVTVLFFSLKKKGLVQFKGISFCFLSFGLCSVISVLFSFALYKGIYVLWAENMTSFTGATYDSILYKLSFIVITIIINAILSLRFRNKTSQFEMIFTGMFLFLCALHATTWFLPGASYVFSLPLIIYCILVRFSLTKPDPIKVISSTLVIMISIFLPITLFTTVFQLLFMGLPALTTIGGVIIIAILLAIIDPINRLLTNKPKTFISVGLIIVMLLISIGGLKANLNVDRPVYQDRQSVIKK
MRGLAGFVAILMMAFAFYWSIRSVSPSGLKSEDSITEFSAIRAMDFVKKIAATPHPAGSAALDSVRLFLTATVKKIGYEPTVQSAIVFDSLRKDLRIGFVKNILVRIKGRASSKIGRKAILVVAHYDSAEGANGAADDGAACGAILEVLQILKQTDLTNPLENDLIFLFSDGEETGLFGATAFLEQHPWAKEVGLVINFEARGTSGASMMFQTSPNNEWLIRQFAAATKEHRFRALTSSVAVGIYEQMPNDTDGTIFLRDSLPLLNFAFIGNVEKYHTPLDAPQNLNLGSLQQHGDYLLALIRHFGNLDLAEAKGGRSLIFFSDPLGGIFHFAPSPLFFQIWIGVAIAFVVVAATSLRSLRWWAILLSLVLYPLLILLFGVLFHGLWRWIVKVHEPNFSVFPYELTYFDTLYFTAFVLLAFGLILILYALLRGDTSEKRINLLEVFTGTLFWWILGAYFVLSSNEKLLVQSSYLVSIPLGIGFLSWLYLLLRGKKAKYHLLDVLILGAGASLVVYIWWFPIQMFPQALPSLTLAEGVALQGRTVSVMLILLISGLLLPLYEIFSHTWRWVLGLLGMMSGILLLMWCSLQVGFQPHQPRPNSLFFVSDLVENRSFWGTETKILDEYLTPYFNSTQQKDTTLYFYEKKYANRFTLNPLDTCFTLPAPEIELIERKGDLWKLKIVSQRKGHFIRLSTTDSLWVYPQQPLHSEKDSLKEKQATSKPLKGYAHLNLFALPDSGAVFYAQSQKDTLIFSLIEAKRDFAELDSLAPAKRGKNFMRGISFFSDAVWLKNQYTLMKPKEK
MRTFTKFLIFIALGTLIYLSFSTLTPTKNYYGSDKAENFSLTRALQHVKKVAQEPHSIGTEAHSKVRNYLVQELQKLDLEVHTQKAYNLNASGIFTAPQNIIAKIPGTNPSSNNDLLVLTHYDSAVHSSYGASDAASGLAVILEGIRTLKVQQKNFKNNIIICFSDAEEIGLNGAEIFVKKHPWAENIGLVINFEARGSGGPSNTIIETNTGNAKLVEAFQNADIPYPLATSLMYSVYKVLPNSTDATVFRENKNIPSFFFAFIDDHYDYHTANDTYERLDRKSLAHQASYFLHMIPYFANTDLKSLTTKEDYVYFNFPIAHFVKYPFSYITPMVFLAWIGFIGLIIYGFRKNKLSVRGIGKGFLNFGLALLTLVFVGVFGWKLMLLLNPEYVENLQGFTSNGHAYIAMFVCLSIAIIFFIYRKKFKNPTDRNSYFITPLFLWLLINTGIAIYFKGAAYFIIPVFFALFSLWILFRQKQPNPWLLLLLGIPAIFIFSPLIQFFPIALGLKMLSITTLLCLLLFGLLWPIFANYNKKHFISFMALMACGFFFIKAQTKASFSEENQKPNSLVYLADINKTKAYWCSYDQILDTYTKRFLTEESLMQNTDNRVFHSKYNSGFTFAQPTEYINIPKAYIEIKSDVDSINKGYTNYRLKILPKRNINRMELLLDRNDTLTKVKANGETQKQLPAKAYKSFLTYYAVDKDTLNLSFSLKNNKPAKLSIYEASNDLLDNPWVHVPPRNKDMMPKPFVLNDAIITKQEIALP
VTAWINAVFGLAWEAQHLFLFVGCLAGLSLGSSQSASRTLVGLFSPEQKSAEFFGFWGLANKLAGVFGIVALGLLQSLVGLQASILLCAGLFIMAILICLAVNQTRGQQAAQAWQARHARHQQGADQ
MERETFPEGRFQAKGIKTASGKVAVNGNTQETFPEARSQAKGIKTASGKVAVNGDTQETFPEARFQAKGIKTA
MDDIKVEDSPVASPYLTEIKTEMTKLDAEDYAHATRLLAEEAKRVESGDKYKAVPLIELHHERAASNAVKIRIPSKEFPRVNFSGKLLGPKGSTLKQLQQETGCKLSILGRGSMRDKNKEEELRKQGGKYSHLNDELHLLIECFAEPTDAYNRLAHAIGEIKKFMNPHEENLGLNMGGYPPQQQMGEDQGYFNGEGSMGRGAGGPRGAPAPRGGMPGRGGLLAPPGGRGGMPQRGSLFRPSAPGGRGALAPRGAPAPRGMAPAPRGAAPGMRGAPAARGAPAPRGGQAVRPAMGAAPAGRGAPQGMRAPPPRGAPAGMMSRGSPSAARGRPMAPPPSAQSRAQQVTQQEYDDYGAGAAYEEPVRNLESSSNQYVEQQTYAQETYDDGYGQVQPARRDPYAVEQDMYAEPASAGGDTQFFDYGHGSTTSAYDDYGQQSHQATSEYDRGSLKAPPPTGSRGGRGAVRSHPYDTGRAPMQQSRYGGGGYQ
MDTHCELQESPRELGCDLFCVVITLMFWSDATHLTTFGNVRLWPVYMYFGNESKYRHCKPSCNLSDHVAYFQKLPDSFKDFAGTYTNGKGVGRECTTHCQRELFQAQWKVLLDDEFLEAYEHGIVILCCDSIKHRFYPRIFTYSADYPEKALIATINNYGVDSTRVESLLKPDSWVPSSNVLSDSLGAFGFNVFVTLVVDLLHEFELGVWHMLLLHLLRILFTLDKDLIHELDKRYRQVPPFGSATIRQFSANTSDMSKHGCVQLQGSSTGLLPEPHNQIVIDLLFTMVHWHGLAKLRMHSDLTLDVLDLETTKLGAQFCQFKEKVCSAYRTQELDREVDARICRQTKEAGKRVEKGGTNGKEGGATAQQPKAGTSAKGKQKASPEQPLDAPLPRQPRRKRSFNLNTYKLHALGDYVTSIHHFGTTDSYSTEPGELEHHTPKRRYAVKHDYAVSTNGSGNGPCVEYNEAASDPHLHHHISQSEKVYDELGHYLRNNARDPAIKDFLPCLKTHILDHLDAGTPGSPAKDPTHADNHHNSILFKHNRIYHHNLTQFNFTSYDVRRSQDVINPKTPHCNIMLLQHDPDDDNLDGNYCYAKVLGDSKADKEDEDHVGVEELIPFEQGHNGSSETLIDALDEMYTGFTLDYEN
MHEVELGVWKALFTHLVRILYASAPTGVLVFEMDRRYRQISTFGRGSIRRFAENASEMKKMAARDFEDLLQCAIPVFEGLLPQPYDKSLTTLLYRFAEWHALAKLRMQSESSIEYLKLATRDLGQALRYFRDHVCPAFNTTELPREAESRARRAEKSGLRTSHARQAKTLNLDTYKFHSFGDYPFIIPWCGPLGLYSTQDVSARHGYYIPSSFSLEGRNQESHSSHSSHGSHHFQPSDSEPLPYTDINMHHHVSDSRESSHHLDAFVHRLGTDPAGTRFVPKLKGHLLSRLLGKDFDGDEEQYSRDELDSLQIVGNKIYAHQVLRVNFTTYDVRRDQDSVNPRTHSDVMVHSCETEAGAHPYWYARVLGIFHADVYQHGRNVRNRSVQRMEFLWVRWFVSTLACDLDLTFRFFRFVDRDMVMRYYGLGVGHTSPHSQHKDQDAPMVDPEPDELEAEEDGDPDEDLSELGLGVNTATVDEWDPESIEPDVEVEGDEELDVEQDDEEVDDWGEDEPDDEDDEDTYDIDDLYLNS
RQCAIPVFEHLFPPEHDRAVQSLLYRFAQWHALAKLRIHSESTLTFLEATFQKLSRKLREFQRDICSAFNTVELPREKAARNRRLAQCSETNTTRSESSGVRLKLFNLSTYKFHAMGDYVGTIRLFGSTDSFTTQIGELAHRALKAFYPLTNKLDTPAQLAKHERRRRILRRVAETGAVACADNGSPADGVPPSESFRAHHDIATNQSNPINLFAFLREHNNDPAVKNFIPKLKDHILFRLRKLDISYCDHTFTDEEHNSVIIPNNIIYSVQTMRIHYTTYDLRRESDAINPRTHGDIMVLSGETTPSHPYWYARVLGIYHMETWLNDGGRPAKQLLEILWVRWLAPLQNHQSGMNHACLPKLAFVDESDSDAFGFLNPSQVIRGAHLIPAFASGRGTSSLRAGKSLARAEGELDDWEAHYVGIFVDRDMFIRYTDLGVGHPLMPWKIIRDFDPAISIDDMNIVHEDGGDQEDFGDEGQDESDDEFSDEELEDEDERDEGDEDTFDD
MFRLPHIGAGRAAGACSRTISSLTKRIASREIKYIDPEKHNQNLCFFQAYSFITMLDIKADVAADGSVIAAKRYKEHSRIAEAKRLFQRIYCKKIDDIYQEFNFSKEEVNLMDEYSSFKIPKFEYLSEPTAKRKRSFKWNWNFETDKQNQRMIVYQICQG
MYSFRAATPPLPPPSPVPPPDMGYESDAEMISPLHSTFAVDAPPELSVFAFPARDKRKEDPTPLHPSTEPTPKQLKEDAEPTPNVENTSLPAPVSQPTRSWLAPLEDDSDWVQWVPALKFIAALMVFTGNFFQHTVGDSVFPTSSVTFMFRDTNWGILLLFALSARVLTAKLTPRDSGVRWDMLASSMFRRVFRFLLPLVAVILIQRWVAAAGHTSFDQFELSLVYPNRSLFFYNGVFGRDREGEVPSMGRVSLAEPSWGNLQLFSQFWYLMNNLFLLEAPFTYNVVGGMIWTTIYAWWGSYMVYVSFMVVSLLSNNRYLIILALFLFALGTYQYHTVFIFGFLVADIARHGHFKRVRDLPLLTNLGIQGVFATLMLAVKVILPLRNNMNTWGASLLIGQPGVQQTVWEASSQYSILFLLLLVECSPQLQFILSRKPLQVLGRLTPGLYLLHRLFFYTLLPSLSLNLWNKGTSSNSIIAQAYFACLAATLASAVGMVVLIEIPSAVIGRLVWKAFGAEVTEEVRSWLDFVRC
MMRRRKADIAGRQNLGAVLKRSYLGMTLFSVCFAGISVTALAMLALRSSSNYSLHMIARSMITVCLSIFINSRIWNAANTFHLAQLEIKLPLIGICIGNITRW
MPRSLRIGGCSKEELRSQLEAAGVSLNPAAERLFEDDRWETASDVRRVKIRTISVAELGLAEGATYQQCVALARELGYSECPLELAAALRLAYADQPVAPERPADAEPGSPPGAITVASAPLDASEETPRGFYLRNINGTLWLRGYWSDDEHVWAPGDLFVFMRNEG
MENEIDIILNKEKVKKRTLSYGGLDKEELLSKLRSNNILLNEYAQVLFSSDLYKTSPISQNTTIIELSIGDLGFTQGAIFREIFNKCEKIGLKPCELDMGPYLRLEYLQQEEVIEPGKNKAPKGSLTLFSKPLREQNDDFPKGFYIRKMDEKLWLRGYICPMDYVWDPDTRIVLRL
MACVRWSLSPQSAGTWAGHVNSMATPISLPYSEMRLQAFLAMCRMSVETMCGCEYRENLSKDSTVALILSISAAATCENCSRNSRSSSRRGTRLTAPFSTARGFLIS
MFMFMRSYWRQVLSFKRAYLGHNHGHVEERSPLASTTAEPTTKSELRHAVFAFRLMLGESPCPRLGSPSSPAATAKHGVSTNVTAGLWSPSLAGSVSSSRYSNTGVQHASVPSKTFSHSSLVRLLKILVNNSRSSPHLARSNLSSSHPCPANPSPLINAAKNCGSSAPTAKYLPSFVSYVS
MTELRSKYSKEIQDAKSVVNELLLESDDQWKMCKEWANGSVYYRKSPSMSGHIYKVVFELNIGLEKSIQSIYPAPRGFREKWDPNLERSVILKEEGVSFS
MRRDTRPIVQQRRTTLEPNAKYGQPIGGGVSGFATPEVPPATTEPAVSQQQKMVHEEWGLPATQSGPTPLKAPVSYGLHPEENPAKSKEDMSKRELIELANLLEQRLRSTRTQLVHANMEQNQLHTQLDELNSELSEARTQLTDLRNRLLQDNMTQYLEVQASGSPESFSVGRSDHLTTFSSPQTELRVNPPPAQFHSSQTRLDQMKALFKGGDSFGSPTNSAGGSFLSRAKALATKPFGGSRGANGVAANQPSLDSQVTSPPMLRPLSPQSPRAIDYGSVW
MGRWSCLEEGWEWRFLIPLGFGLGGTGILDLRSYDGDVTIWRTFSYKLIGGSGFSLESAWSAVRGLLISLLKRNIRSQFEASLMRSRVNVTT
MSRKTDLLAMMSAVRPDWLEPPADPGRLERLRARAMSEPRPVLAPTRAPGRRLRYGGAIVAAAALVAGLMVVTHPTDQDTAPPSARRTLLAAATTLQESGTATGRYWTADLSTYTTVDYLGDTGAEPPPPTAKPLYRYSSTC
MPKTTWDMDMAATVYLAGCACAARWEKAKRDTADAVPQGRRARTRSCGELLHCARAIHGLGEEAADMMGGRLMLVLVLVLGTGAVSPCAHWRPTGVSERGTVACALGQQSTNRQTTHRAPPWAMGHGRWPQRRRLTAAVRRAHSCLQSNMLQDTLPVEMNRATPGPVSEMKSVEKECELAAICVREPCLRPDSSINGIPVTLSWWCCWCCCSGMCSFQRDHR
MRAARFLVGLTLFVAVAASFLPLVETNVWWVRYLDFPRVQFAVALLVLTALLIATGGARRAWGLVAVVLGLAALGYHAYKLHPYTTLVAPMAEAEPVCAEGDRLDLMVANVQQSNETSEALFRMVEKVDPDVFVVLETDPWWDAALTEMDGRFPYKAQFVPEGEDTGAFGMHLLSRHPLIGSETIFYFGNDTPTILADIALPGGAPVQVVAVHPRPPLYWSQPTTMRDAHLLTAALEARASEAPTVVAGDLNAVPWERTLRRAMRIGELLDPRVGRGLYPTYDVQSWLMSWPLDQVLFEDRFALSKWLVLPSFGSDHAPVLAGLCLAPDLADRQNAPPLEAGDLEEAERSIAAARRMNE
MKDERYDEQEQREKLKVTQFNLFGNERRTRITLLLLALLNVGILALVAGYLFNLSWDPYSPARHLW
MPSARPLEEQQPLPAGHAKRIADGRNALPWRSVCAAILLIVCIYVVAPFRSGAGEAAQPQTENATTIAGNRVALQRLAELCVESKRAFRSLTSEDLAAARRSLEAAVARLDRRLSAAGAEGQRWRNYLRLDELQRELARTTPDPAVLTAIHGRFAAGHEGLGLIWFRDVRVALMHYLQTAAGINNPDMPAQFEQLMLDLAQRLKQYAVRPTAEDALAIGQAVGWLDSAGQTPELLGAIRQALMHPNLAFQADAKIVAAGLEEPVDDIRPVRDFILGTDLYSTAHTTGGTIVELVPDEHRAVLDALFYGTAVSEGIGYNGPVCIYNTSVSHLAARKRIWMNAEGLHAFDAVSRAETNTTITDIRSRRGRSLVECLAWRQALRKKPAAEYIAARHAEARLNDQIDRRAAEAIAEANRQFQEKFRQPLLQRRLFPQQLRFGTDRQRLQLIALQAEANQVAAQSPPPPVPPQAEMALCIHESLVNNFAGSALAGMTVTQESFNAGIGKLMGEVPERLRDDDAEEPWAIGFAAALPITVAFADNQIHVSIRGRRFIKGGEAYPGMDVTAVYKIVGDRDGFRAVRQGPLVILPPGATAEEAVKLTSRQVVIKTLLEKRFGRILAEELPIEGFQLPGKWEKLGRLEVTCLEARDGWLVIGWRCASARQVARSALPGR
MRDKRTFLLCLLILALMELNAQNTLYSYNGIFRVALPPKLELQNSELNTIRKNSVQGESPRVNITTQAGHITFQQQGLNDDNKAAYGKYCRVIMEYFKENRSDPTFGRGDQIMVDRDVLYAVTEASKENCQRSGTPFMKLISVQPLTINDFPVLYYSYKRMGWLKDDGKRQPPVIVNVYRIFNRYESATLTFSYRESEREQWKSIHNDIIKTFTFSRKY
MSSPRTAVRGNRIGALSMFIAVLLVLYYNQIISAGLLWVSMAIGATVGYWLAIRVTMIQMPQMVALFNGLGGGASMLVALVVIFESYAQLSLFNRVSGQLALIVGGLTLSGSIVAAGKLHRLITQRPVILQGHNLINNIVLLAMGLLAILAAWYPAGTPFVLSLLVTFLSLSFGVLFTLRVGGADMPVTISLLNSFSGLAGAICGFAINDPLLVAIGAIVGAAGLILTQIMCRAMHRSLAEILTGRTVLNNQIRTPHQEEGTEELSLPETRKDSLHKKESIEEKRTRLLKKANKVIIVPGYGMALAQAQTEVKRLYDLLEAQGKDVKFAIHPVAGRMPGHMNVLLAEVDIPYEKLYEMDTINPEFKETDVAIIVGA
MTIDELETAMGEAALVTLLRYFGGRRLYVPRNMHADHHIACVVGTDAALALAELVRGEYVDLPTLARYDRMDKRNRIVGLRKKGKSLDMIAWECGCSRRYVMQVCKESREEIDREIPGPKFRQMDLPIFAGAR
MTSKLVAELADAIGYQPTVRLIRAYGGRRLYIPRQADPEHPITLTVGHTAATQLCDQYPGTRLDLPDEQTSLLELRNRRIVAESQRGRETRALARDYGLSPRMIRKILDAAGARKAAGAARQAETVPPDRVFLTPGQ
YGAPPGPFPPGQFGSQPSYSPSQPYGGQGPPAPFAPPAQYAYPPHSASPPQYQNSRHAPPMSPPAQDLPTRQNSLPSAPGLPQRPTFNAPHVSREQLAEMHSGNMGGPVAPPQESGANATSVDELISGAAAQQSAKSAPSQQPTPTPAPEKEAEGGSKKSKKATRLVYSDQEVSPEEKMAQMPRYAFTPDKGGETYLAPVEANVTG
MSWSLVLLLAAGAFGAKAIGFFLLAGRDLPPAVERCLALIPAAVVAALVVKDTFSSGRDLVVDARVLGVGVAVVLVARRVPFVVVVVAAAAVTALARQAGWT
MAKHGRALAVGLLLLPLILAAPQAGSAWDGPVVEQSANSSLVLAGKVCQKETGHHCNVLSCPSSYGPTVCAGSFMSHTCVCAEGYCLSVGGVCKKPAELATCQQDSGGTCTVLGCNAWRGRTNCVDGRCLCAKGLCATPGGFCEAPLAPGRGGCVTFTGSTCTVSGLCLAPFNVECVRNEGLEKLGSCMCTQGTCLWRGLCLHEWVIQLIHHYSRPVLALLAFGTFFWCVCVPLLGSGYLLCKALGWAAGPLCRCRRRREEGGAKQEPLLSNEK
MRRTVIGQIMRDEKIITGTGFLVKPDIVMTVKHNVLKADDLISDEFEEKEVIFRIAENDEVKGRTINLKESIENGIDCVFIRLEEVLSEDEMYELVDVDNEIEGIECHITGFPKLISGKSTLSATVSKIQQEKMVITVKKEDQLQSYEGLSGAPVVVLGNIIGIIIRQENSEKVEALSIKYINETLKCDEVSVKKKEIPVGISEEKFNISNLKQKIEQIISMVGPRYSKKLNVKTGTYSDLSFMLKRDSIEERLQEISSQIKDCIKILLEFDSNNRDEKELVLEINRKKIADIVDNLQKDSCVVDSGLNNKVDLTQTLEHIKRYEQELKDLFEVEKRRFEEKNGNGTYDNKKWRGFMASYMCTFPAQYLDEIRTVISNLSLIENLFDINLMSNVENRAILVTGKGGIGKTHLLCDIVYDYIEKGIPAVLMLGDMFKGQNTADDVIIKWFQKGEIIENFFAWLDEYGKQNNVYIPICIDAINEVDDTSYWNRNLPLLIAKARSYLNLKIIVSCRSIYLEEYLDEEKISGMLQVPHNGFDEMEVEALGSFCEYYGVNINYDTTCVPEFMNPLFLKMLCEIAVEKEDKTVVVDDIQTLMNEFFDIKNKIISKHYLESLSVKDKVVSLVLDDVTQYMSDNDQYSIFLV
MQKKFQSFGSYLEFSRKQFLLLNQNFGENIQKYQKISLVYGNESADLDSCLGSSTLAFYYNILKNQENQVEKQQKLFVPVINCCQRDIETKFEFISLLEKYQISLESLVYKDSFDLDCFINKIKQQQFDGFELILYDHNKPDTNLMKFKNYVKQIIDHHADETDQIYEKGSFGYSVIAIEPKFFLELQDFEQKIQDFIQENSLKALFLLFVYPQQQNKTVFERQFIFICEDQDVKQKVQNTLVQNMKYEFRNYDEKFEKFAKHYFALYDVTSTFSRKLLEPIVRDIKF
MAAMAAMAAIVTFARNSLTLSSVLAISLAASLSLKLRKEPHGKAPCTTAPLALEKPERAQLHKRELLHARFLVGAKMFAAEVH
MYRVRWKNYSSDDDTWEPEEHLDDCREVLLAYKKALAEMKPKKETGMKLPMKSDLFDADSESDSDKEKHRESPIKKKKKKKKKVEESDDEMPVKEKKKKKKKEKWREDKPLPAPESDEEEAESREPSPAPPKKDTKKRLIDSDEDDAPVTPKKQKKLDKHRDGGKQRKESGEDRKKKKIKSKKEIDSSDDEDEKSDVPSESHTDDTTNTETNDSTKTATTKNTDKSARSETGGDLKQAKQKKPKSDLKLQGFKDLIQEKKPKKLEVSTATPKESAGNKHKSLTSSKSTSKSSRSEEEPDSSDTGAATSVPKSKVKSKGQEAAPPSQKLSSASSSSSSSTASTAPIKPKEEEPKEEAGEKGGASNLFEKFLLNCEAKDRVPRRQAEQNKSATQKVQL
MVGAGNDGELLLRFTTDGLFEIVLRQEDLCQGFGPGLRLRLRRRRRPGPRPPPPPTAAFVSDGSYVTANGDTCIVRNNYTGSISISRDKDGRRVVKEETREEARLPPFTSLLRPGADRTSPPRPPPPASARTPPPRPPPPRWVSPRPERPATPVPPEGPPPPYQGIIVTEGPHPMDDLPPPYGEVEVEQLPIVVRVTSRTSQGLYPELPPPVVPSAPPSDDGFQGSSEMSSSDSSGSRGILRPHSESPFLRNVRARIGNEKRREPRTPPSAITTVGSFAASGAASGSPEILVRTFKTSTPKTPATAPRTDCPPRISSRRVLPGNTPRALRRPPSAALGAPPRQEGEESSEMELEIPDIPDTPQSPTMSDITVLSTLSSPVRSESPWLYSGGYTSDDLSEYEYGDPYEGEGPHSDTPPPPCEGHSHQLCVPPAWGERIPGSPARGSVRREPPRSKSEEAAARQKGRINTRPVSESERRGGGRGGILVCGIGRGRGRGQQQPQQQEQQPEESGPVRGNSNRARRGRRGGRGRAKGRGTTIEDDEKN
MLRVVPKGWLSRGFEILEDDRLVTTVRFVRSTGAAEFTLGDATYAIRQEHPGYASWVLEIGGRAPARARSVRTLFRDSRVIEYAGRRYQLTSRSAFRRNLTVQEGVQFVGYIESERVFSKTLNACLPESLPLAVKVFIVALAVNPWADQDEIM
MSDRCFNFPEYLERKKKLIKKQLCLKCLLRQQDEEKCKYHKRCFYCTQITHHCSMCPEKIEIKWDENDGPSKGAKKRKREEESQGSKRSKE
MIAAIRLRGKGKGAEGNGALKLADLLDDAVLVRARTLAKIMEAASKAAGAGSLEFWHELGEEAGEGMASLLIAKMKTKRARIVKFLLGEARSAGWGKITYCGRRFGGIDGTLRIEDSPLLRIDPTGGACQLYRGYVEGFLKKIRGSGAVSCSESSCVRKGSPFCELVIEKGRESWLRSVIT
MSTTTMTRTGTTRSTARTTTGTTTGTARTTSGTARTTAGRTRATGVPTAPVPAVTESSRRTSGEPAPAGPVGGGRPGGLRLTGRGRLVAAGLALAAAGLGVWGADAARAEVAGPAAVAQHVVLPGETLWGIAEGVAEPGQDVRDVVLDLVTLNGLPSSALAAGQTIVVPVGG
MPDAYADGILRLRRKGLKQICYGIEQARAQHLLACIPKHGDKASLKFVQYVVEGLTFFPLFIFSLNVF
MLDLSVVERLGTLELQVRAVVDSLAQGRHASSSRGFSIEFAQYREYTPGDDLRYVDWKVFGKSDRLYVKQFDDETSFGCQILIDSSESMAFRSPAVPCSKFEYARLLGASLGLVILQQQDHVKLHVLAESAAQAGGFARSWPAYRQMLAEIEQIQPEGKGNPGQCLISLGNQNRRRAVMVIVTDGLSDLQELISGLRQLRYGRHDVLLLQVMDRWERTFPMTGWTQFIGLEEWPDRTTNAPSIREGYLAEYQSFQQQLNSACHEMKIDFFPISTDMSLDQVLRSVLQRNPELLPQ
MICMAFLFLAFLLHPTTEGSPLPARVRCSCIGSGVDFVPPARIEKIEIFPSSAVCSQLEIIVTLKDTTQRCMNPKSRFTQNIINKAKKERSSH
MIRSLAEMSTNYLQPSRAVLFVPDPGAISSNCRSCERIRLTTGWHRRNAGAHDEWRRSGLAAFTRLCRGMARARLMTNVAPARGLGGNRGSGADTCARRALQMVEAHWARTSNAACDARRRA
MENNSRKPVYPCLPPPYAEYQTCSRSQISVTASSGLPQLPPPYSEIGSSIVVQPTRRLHAEVPFDARPPDTNQYSPSLGYDPFPTVCPTCHQNIETKIRYEPNSLTHLVAFIICCMGGFCCMFVPYCLHSCQDLKHYCPNCGEFIGTVAM
MNSDSHPPSAPLDIQPPPYGALSPQQATHGDIQKQAYPTLQVHSGGPLPAPYPHQTYSGGPVPAPYPHQTYSGGPVPAPYPHQTYSGAQQQQQPVINVQPSQPEVIVVQNPVFGSEPQNARCPHCHTEMFTRTETAITPMTHIVFILCCLFGCFCCAPFAYCTDSCTEKKHYCSRCNHYLGQSQ
MRMRPTLSWTPAEDLPPGTTDPEPVADALGAGGVLVLSGAGLSTESGIPDYRGEGGSLSRHTPMTYQDFTASAPARRRYWARSHLGRRAFGRARPNAGHRSVAA
MKRQLATLLLASTVTLLAGAAHADPAQTAPQGLTREQVRADLYKSFLNGTTAADEKTSYPSPASDRAELSAQRIKEAHVNSAYANFVQ
MEKSRMNLPKGPDTLCFDKDEFMKEDFDVDHFMSDCQKRVQLEALRDDLELYYKLLKTAMVELINKDYADFVNLSTNLICVLWLIQVIPSVEKIEKILNSQHSKETSALEASSEKGSTVPGYDFLVNSVWPEIVRELEEKLPLIFNSGNPDAFHQLSWERGCRCSGICGWRLQDTFKHPSMHRTATQQRPTAKAIWLQICSMEWKW
MKRKYIKPVTTVLMVETQNNYCAATSSWVVGKFHDNGQVELDDPASDMGPVITDKDEAVKKYDPWNSYNW
MWAKTSFHKGITMQNQIGMTELSVSEIEEISGGIVPAMAVAYVGLYLAGVALGYEIGGDIF
MSTMSTKLNKDGRHIVPMDLSFRQRYWSALTAPIRPPAKTVAQVVDITREANNVLLLGATPEYALALLEKGRKRITVVDCDPLSLSMMRSYAGKSWRQRIHSVEAEWLSWCEAKPDVFDAVVMDCGLLFISPNEYPRFWSSVAAVLKGPEAFFVSRQFVKGTQLECRKVWLDSYRRLPKRTALSSILLTNTIIAARYGEEAVSYPRLQRLGSWTLAKLKPSRLPSGESVVAEMIERFLHLDVSSTDFLPPMKTFPKVADMCNDVKQVFQEVSVSPIDALPRTYQRLVCACKRRSSAG
MDLQKLISQIDSPLWLINPDSSPPQEIIWSNSSAQDLMGDSTISELRNGELSAVSQPNLSDYKSN
MADSVKEKITANLEKAKAEGSLRTERIREIIQEAVSQAIAELKEGTGEIRSIVKDAISAVTSPEREPDQEAKAEITASIEGVIEGVTHRQKAAIAQSQSQLRQLQAQVEEQQQQLEQEIDGTMVAIEATSQEVPTWLKSVMESAVNAVKEQKGFVRLQQQYEQLQMQLTALDEKLAARYGDRYQEVKHQLENAKTWYEATKAEAETLGSDPLLQKQAEIETQLGEAGATAARKEQQIKQQLQALWQTVTKR
MVQTPNNINKGVPPPPYPARWRGPDPESEIGWLMRRGPNKWEWGPEKDPNDVIKNIKVRCYDVQELHVRPSSEQKQLLLLSTDTTQNLGDSFT
FRDLFHYTAYHLADIAETARDVDFAIRWGYGWKLGPFETWQAAGWQQVTAWINADIAAGKTMSKAPLPAWVTDGRTGVHGSDGSFAPRSGTHLARSTHPVYQRQIYPDALLGERFDQGQTLWENAGVRLWTLGDDLGIVSFKTKMHTVNDAVLDGVQEAVTRAERELKALVLWQSSEPFSAGADLKGALGLLQAGKIDAFEAMIANFQATSMRIKYALVPVVAAVRGLALGGGCEFQMHSARTVAALESYIG
DIPRFIGPFRILKVSNNSTVEIQQDVRKQTQLVHVNRIKPLFESMIWKDEPGVDFLDVRIEKQAEKFVQEIADELETFPLPLEKNLQSELEQIPCEKEIPNQNLMRPPPTIPPTPNLMISSPTISLPSNPIPETSTLPIQPERRLGFRPRNLLKPVVKF
MPENDDRIKQLLDKLDELLKKQEAFSREVDAISKEVQYLRYGQPEAQQETPPEAVSTPMPPPQPPPLPKQVWEQPAPPKPGTHLPPPPVRPPRSRSNVEKFIGENLIATIGIAILVIGVAIGAKYAIDHDLISPLTRIVLGYAAGLALFGFAVRLKVKYPNFSAVLLSGAMAILYFITFAAYSFYDLIPQTLTFGLMAFFTVFTALAALNYNKQVIVLIGLVGAYGVPFLLSDGSGNYAFLFTYMAIVNAGILVIAFRKNWKVAFYSAFGLTWLIFLSWFNLEYEAARHFTLAMTFAAVFFIEFYLTFLGYKLLQKEQFNFGDIASLLLNSFVFYGMGYAILSGQKQGEELLGLFTLANAVVHFIVSAVIYRGKLADRNLFYLVSGLVLVFLTLTFPVQLDGNWVTLIWAAEAALLFWIGRSRKVPVYEKMSYPLMFLAFFSLVQDWMTFYPQYQYQPDIEVAAKAITPFWNVQFLTGLLVAGAFFFIRWQDSPSSFSLQRAGKIGRIEESDRIQSDSSVPQFLDSSKPDWLRSIMQIAIPTMLLLTLYFTGQVEVTAWFDRLYWGSAVMSPPDAYDYSYPIYNNDFLQYKIVWMLNYTMGFLAILSFVNLGWIRSRILAAANLVVNLLTILSFLVGGLYALSLLRESYLTPSQSEYFSAGSWNLYIRYASLAFLAGLLFATWRYIRDRELIGVQLRIPFELLLHLAVLWVASSEWIHWMDIADPGQSYKLGLSILWGVYALLLIVLGIWRRKGYLRISAIALFGVTLLKLFLYDIAHLSTIGKTIIFVSLGVLLLVISFLYNKYKNTIFDEA
MKNILLFIAFIIPFGCLGQNLYFLQEDGQAQADFVRKNEIGVYKIIYENKFVSNDLLDEVKLKKEIIKSFPQSTASGFGVLDWEGHGMQVLTVQDNDKDLRLYISQFVKALKIAKRLRPNVRWAFYALPFREYWNVNTSFKKKNYRIGEIFENQGFIAPSLYIFYPDESSSKRNKEYITNNVEFALELGNMYKKAVYPFIWHRVHPSNKMYGEQLAPISVFSKEVSQILNTSYNGNKVSGLMWWQSENHIYRNRAKSRVFSKEYNNNGDPNTYQKSMFQQYYDSIEQYFGKNRK
MNLKFIISLLLFFGIFIDAQKKQQIFFCSEIHNPTQQEFVRKNKVKNFYIFYQDYIIDRDLNLDKDKLKKEIDRQIPSTKASGYAALDVEAESLLIILKEKKVSINEYNRIINNHINMIRYAKSLRPNIKWSFYGYNLTSYPYVTDGHENDITVGTYPLLRELDFLAPSMYLQDKKTSENLDIIKTFVSSNLTLSLKLGKKFNKPVYPFVWNRYHNVSSENTLIDPSDFQWYVSQILNFTYNKRKVDGVIFWNSETYIYETNKNMNVRNEYRNVKDIKEYQTGVLQEYWNTIKNK
MKNMCKIIIIPFLLFYCFSYSQYQKSDFNIYVFKSRYSEEGKSFINEQPYLSEIKLLGGYMIDPHKKGTINLESVDKHLKKLFPKPNDEGVLCVNLENRYYDNIRNYDVTHRKYKEAIQEFKKLINFIRIRRPNVKLGIYGLPYRTYYKSQTKWNVGTKLDAILSEVDYIFPSLYVLYPDKEKGEKANNIYWNKNLDTAFSYADRLDKKVIPFVWYIVSTNNKMFGGELLGKNEMSRYLEHIKNYISPYNCKVDGVVWWESSKKSFKKNVQSATHLNESIDLKNSTILKTYTQHLKN
MCNINLFWRAANDIDLIILDYDYNNKKIEKSFENQRFLKLISEFSYINYKIQDISIEILTTKFIPYTHINKHNLIWTCNIYWQIAMKIFQLIEYVLTRTDNKKTIEIIIDLMWIYNMHTKNFKRIKKINNALNYLLLSNFFVYYYLPYKGRITNNLEQINEKIKQIFIKNNWLNNLDKKFYDFLINLNFLNRIFDANLLKKINNIEKVLMFKRDLIWKEILNIDSQNNLKSIKLKTNLNKNFWINFDKYSKEIVSYLNFDVNDLRCYLLTKINI
MSRLFLLTILLRRQPPNLLRPPPVTYRVGPQEARELRIPGSLSFPLPILSVQPPLAITKRQVIYPNMDAPTSVATHVNNQTRLATGYTSNPFIPNDGSFGPIYSFDKTFQDVEFDVSFTGSLVSAENNPAVNNSLPLGTDAFSKAMALLDVQTSADVPAAIEANANTADHTLIAALQQLRIGIAPLPPIDWQPMYVATVGNQHLQLTARQMELLHLYKNDLCNLQYHIAHSADSSIADELFDLAMHSEAALFGTLTLTALYEIRLRGGPDMPSEEEMVEVRGFMERASKALEQKENTSMLDSGDAMGALHMVSAVLFDGGIGAEWDKFLDIAKAYVAKHPIVTMKSWHSSPIMEGSSSTAGTAPAANAPTPTADKMTQFIIKTAAWFDVIGSVTMRRAPFFLDTYRELFGRQGGAAMERIMGCNEK
MKSMLCYALLCYALLCYAMLCSVMLCSVLSKLYKNEEKVEEEEQDQFWGW
MITQPPSYALEDGRGRRLLPAEQHASLQPRGKVVNGRFISGKRSRDKKSDPRFSTPDTRPASAPRIDEQWMMQQQQEDEDELSDEGGGEDVLLIDEDDEDELRPSREEEFSDEDSDDDDGDRFERPYEEKGVTSSIAMTHNFKSLRANDGEAFPVNVDTESPYGGRMRASGLGQVWRELSPEVRFGEYLETAVPLTHTTTLGEYRRARTDAVVADESYKQLYWITGEDRQMVADHFLTTGLRNMTPGDVQFSCIIDTRALVLDLCHVYVHPDAVAILTEGHARPQLYDYLCQ
CNRKERSLWGELKRRSGIFSYEYSVLNNLISSTDYLRPYELLEKMLNQYEGRANLISRLGPEAEDSIDAFLSISIDYEKQETPSLTGFLSWISASNFEVKRQLSSQKNQIRVMTIHGAKGLESPIVILPETQKRKVEVRDRILAGENIAVWNNKKSEAHHLEAEIKLQKSRALEAERERLLYVAITRAETWFIAMAAGELDXNCWHXKIKNSLXNSNAKIYKFPTGEGLRXEEGNWLAKKETXDXQXKVSKNEIPDWIKNKNFXHNKLPKYLVPSDLGGSXTLSKGKGLXEEEAXLHGSRVHKLLEVLPRFPSEDWRDLSVKVLXSSKLFDGSPDFQGAIMEALSVLNDSKFSYIFKKNVLSEVPFSASLPKLENQKIYGIIDRLIIEENKVQIIDFKTNSTVPENVNQIPTGILKQMSAYKQAVMQIFPDKEISCFILWTASKKLDFLKSDLLEFSNLNSS
MADQDVCPHMDSIGEVTKEDLIQKSKGTCQSCGAGGPNLWACLQSDCPYVGCGESFADHSTLHAQTKKHNLTVNLTTFRIWCYVCEREVFLDQKPALVPVPAAPHHCKATEQEAVPLAASHPLKAVPIAVAEEGSESEEDELKPRGLTGMKNIGNSCYMNAALQALSNCPPLTQFFLDCSGLVRTDKKPALCKSYQKLISELWHKKRPSYVVPTSLSHGIKLVNPMFRGYAQQDTQEFLRCLMDQLHEELKEPLTECSLSVEGSDGEERRDGDRSPSEDESL
MKRGAFFFLLMVAIAMLGLTVRTWWQSAQPAMLGYEVLPAGQSAYLFSKLAGLISVLLLWWQCILAVALPPRHATWHLKVHRFSGALFLMAVLVHYSLFVIAVEARQGHFPVALLGPQFGNYFKVGVTLGWTALVGFFLVFLAGVLRRYLKKAWKYIHRLAFLFAAVALLHGFMIGSETASGEYSVWFAGMAGTLVAAIMDRLVGAYGSKGDVVDARTQARGGP
MLDVTRLRVPGGMFFERNHTWVFMERDGEVRTGLDDFIPRVTGRLTGVRMMEPGKSVKKGQVFLGLVQKGKRMEIPSPVSGLIREHNSRLNLEPWLLNDDPLSDGWVYLIQPVNWLTEVKSYLMGEKYRELMRSELGRLRDFLSSVVVRIPGEAHPVMQEGGEISEGVLEGLGPEVWEEFQSKFIHKQNR
MLFQFNLHFKQESTALLLLANFVTSPVLASASFSDLYGVDLLNGTGMTVNGSTGLMMVPTAQLIQHGVVAGSFNNFTRPDAPKDAQAENYYLSAGVWRGFEVQLGLNELHAKGANPNAYGDFYNRDLVGNVKYGLKLTDHWRMAVGGQDVMGLAIQNQRWYGVMTHASRYLATTVGYATKGKSSASSTHLDGVFAGAEVYLPYNISALMDYDGVATRGGFRGRWHNIAGSHLQLNFDAILASTEASESIHLGMTLSYPLGGDAKAKLQALNDTQSALSVNRSAHPLPSFQPASKRYPTVKADPDSEKSVTPTAAVSKTDNPKDNLNQFDNPIDNAVLRDLKNQLVKAGLEQISLAVAPESSTLRCRYQNRLYDLSTQDGLAQTIAILAPFAKQYGLANIEIEILKQQIPVLSVKLGTDWALGQRQKHTSKARYYLPNFKTLSLSSESDWQSMQSSGRSEWLSLKLEPFVISAVGSEVGVYHQSLGLLSELSAPLWQGASVHLSRIDPLHNTYHFRPGGIFEDERLVSEWKELSISQTWVPFDGLVNILSYQMGLNEGEKTDHIVNSARYYFDEGRHQVYGNWSRHISDTPLATTGLNTWSGDYYGYEFYWPEQGMGAFIERGVYLNQDLTTKLAFKSYLGDSQLQATLMRSDTGYEKVRLSLTVPFTSKRAADLGFLTVRGENKWSYGIETIISDPDNTGVNLNLGDARYVNFGAETKQPIILDQHFMDSSRLNPAYMNSHIHQLNQKVKRILYRIQD
EKLLDSMQQTDSYRSPVVEKYNTLPDFFDVKESPKEKERNGRSISSNKITPLSIYLRDLPDEIPCETEISSSGTLPRTPPKLYTSIEASDNQVYVPTKNKRSKISCWRNILQQIPCPFLSFRYAWNQKEEEELIKCLTMASSLYERGDFLKAETMARTGLAFEFSSSTHNLQLKTILASSLHFSGKSQHCKEVAEEALSQAKKYSGRSSEIIYFQFVLNIVLMDMYISLNDLKGASVYATDALSLISAQAKPPSHALEAMLLETMSLYNMQCKNYMKAEDFARRGLALGVTDDQITATLYSVLSRALVLSDIEDELKNQRQAEASNVQRAFVGLKAIVESSEASMTESNKQRSPVLMEEETGFLEVKSEEACEIAEKRPLISDSVELHDSHENMEESQPKTSGKPGVEPKEDCSPTLPAKGTETLEKETDEKLPSASLNTGDKTHCEECEEEILIVDDSKPTTWKTHDMQSFSQHQNNDLFNVLKVSSSSGSLSLSESSISTNEDMDTSGGGEASKVELCSPASMDKEVFRGGTSKEACETAEKRPLIHGNVELHNSHENSKYRQPKPKEHFPPVLPAKGTETLEHNIEKEHRSILNSVDSSHENLEESQPTIGKPGVETKEDCSPIVLAKGTETLEKELRLSRMRLSRRLLNKGDKTHYDESEEEILIVDENKSTIVDDLL
MKIVEKIKNMMKTEKKEGLTLEELGDFLGGYGINIDNIDNSSDLSETIYYICLKHLSDTMSKMPWELRVLTEKKGKEKDYKF
MCAWLRCDGWFGLATTWRPIGYVDAAHASGWGSQLDAGLLRVERAIVCSSAHAPGWPVPVVSIQIDLRP
MKPSELNRSIARVTGESLTTIQRLGFELISREDSESAESESRLPQFIDWDEEEGRDWLTEFEQAMSVPAYV
MTRKLEKESAAIVPTHLERILLGSVLLALRFATMAFLYQWAFAPAIAEVSEAPSGAIAVRIMPALVVAMVAVFGVVQLCDCVGWLVRGLAEYVVARRTRVGRQG
MSKMVRKPMSIMSVVSKDDVNVRLRNNYDLPSMVEQIRQGGGIHTPIIIERQGDEWVVLSGNRRTRAGQLLYKDPTLTAELKEKLDKVEFIVYSELTPAERLSIINDQGSQKELCKTEIVLAVRRAFLEGQSESDIITRMYYSLASFTGNTNKLRELPTEPKAREAKLKTWFHGTVGNGILAVATMGGYVWDQFVLTHKAADGLLEEGESVTMKVTRERIKQLSAARTSDRKPENGGWNPETGGKEFNKLIDQFKAEDAGTADKVDKKRPTPKELSTRADAFKCKGISNVLRYAAGEGEEFLRGLLEEDDRLFRIGKVLEVLSRNWDSIPESLRPFCKSLLDDSPEKFEEHLKSMPKS
MCSLLSGKCSYKFIKMLYVHFAKT
MKKIIIALMLVSSTAVLSSCGFSNTGCRTACTPVCAPTCATTTTYTYSSCGMGVCGTGWY
MSLIPVETPQKKYNIHFEASFKPLLGHILELDKGYSKIAIISDDQVAPLYTSELKNVLESLNVEVLSFDFAHGEKNKNYKTINLIYDFLI
MNGQVTWSPPADLRELLRDLCGGIGASGLGAAAARAWEAAPESNLPPGLAGSTATTLPLPVAPCSVACQGCVELRERYSTLECAALEEISELRASLQQAHAKLVGSGDDVLELEIKLKESQQASRAAEVRRDAAVRGAEEAGAALRRARRQIWQELAVWTQRQGRADGAGHTIERWAGPVLEAIDEAVALLGPSFAELPPQVPAPGRQPRRSAAFPARGSEAPAAVDGWRETPAAPSRLRWVDVVRS
MAIACLICWLVFPGNLVFLALHFTISKVYANSLLATLNARNSLRDRALRSHTCASEIGAVAPGSDHHRYDSDDRSIEFYLAAYVNGKRDSADACDIGDQTVNEVGRYRMGGAEPGGS
MYPTVAEVLALPVVRQGGPHVVAGADGLDGRVRWVHVAEITEIAPLLKGGELVLTTGVALPDDDDTLARYVDDLAAVGVAGLVVELVRHWHERLPHALAAAADKHRLPLITLSRETRYVTITEAVNGLIVDAQVDELRAAAQVHETFTELTVAGAQPHVVLREVARLTGHAVVLETLAHDVLAYDACGTDPRDLLSDWHRRSRGVRTGDRTGYDTRTGWLVTVVGARGRDWGRLVVVCGERPPHRHVVVAERAASALAVHRLVDGAAESLERQAHRDVLAQLLAGPATPTPGPGQVAPTTPLSELVARAEALHVPLTGRRLLAVAIRPRLTGDSGATASAGSLLPDLAEATALATRRARVPALVAGVDGTSVHALLALSPQADADAVLRRLGREVRQTRPSATLVLGVGSAVDTPAEAGRSLVEAGHVAAAALHRDRCPPRGYHRLDDLRLFGLLHLLAEDDRLHTFARRELGPLLDKDAATGSRLVEALRRFCEHGGNKSAAAAASHTSRTAYYQQLARIEQTLEVSLDDPESIVSLHVALLITDVLALSGDNDHPSE
MKDASHIIRKEVFTALNGNITLNSANVPVYNVVPSSASEPYILISSISNIIFDNIKDTYLNNIQTQVEIVTAFDTNTGGQLDANLAMNQITQRLISRNTFFDISSDNFKCISAQNDGITYITEDTETQTIYRGILTFSNLVEQL
MGFLAQELSNEEKQMTIADFFAENHWSKELVTAMDDLGIHELDSDKKMGVLSGGERLNTVF
MSKFPMRRRIDALFDTFHLIDEAETSNETVVEYLVERGHNISVEAFEQLRSGAGTPEMPSAAVVSDIAGFFRFSSDYLTATEDDQRFKDLQEQLDTLRVFRQQGVKRLRFRGQPTSSDRAALIRALRG
MKAQIQMFDGVTTRAIHETLIKAASDLISVQNPDYQYAAARLLLFKIRKEAYGDFQPYDLFHQIYLNVGNGKYDRHLLDDYTLDEIAFLNDKIVHDRDFDYTYAAMRQYEDKYLVKNRVTGEIYESPQMALMLIAMGLLSQEDKKTRLERIVDLYENVSLMKVSLPTPIMAGVRTPTRQFSSCVLIESGDNIDQIFNANTAQGKYAAQRAGIGLNFGMIRGQDAAIRGGEVKHAGTVPIVRMYQESLGWTSQG
MRALLAALAFGVSLERLREVVSPILAQWLEQVVAAHHEVVDSAHLLEILRATLALELEYDELLSPEDAEAMRRATRFVWGASDVQLPSKLPRIWMPSMTEGALSRLARRREN
MYIKQNIADALQNKGEAREEFKKELQEFCETCVPHLGLWGKMTPQNNENMKKIEQDFVSYISSNDNKTEIQKEVLELFKELPLLASMIFLKSLLHSKGKINELLNSNNELTNEAEKKMGELIKFNRDKALENKKNIN
MDYMHAMADRCSKADRSCAFRNRIQSDSYKRTDSDGNSYGKSAQGDSGVSGLYNEKDFASDTMTDNSYENVTQISDAELTGNAGSVDNDSILAKAEQVMFYLHIGGIVWDAGMGVMLLYAVITYILIRERVSASVKIASGVYECDDISDSFILGIISPKVYLPSTLSEDVKGYILKHEFAHLSRYDHVWKPLGFVILSVYWFNPLCWIAYILLCRDIEYACDEKVARNIEKIEKAEYCRVLLEYSMPRSMIAACPVAFGGIDVKSRIKNVANYKKPAFWITVASIMVCVGVGVCFATSRGTEGEKLSDKASLIQAEVGEKLSVDSKWHTTKVAGDCVLLEKKQPNQDENNAKDIYDSPEAALKVLESDGEDWGMIASDENYVILTRHEQAADGDLTSESDAKWDEKLQESNNSILEEYITDDSVEFDDMLKKLNGIPDRDHLVE
MLVAALHGSRANNSTYTDDYKAPGLGRPAKGRPSSVTRRNNPHPKPGFLFPKRLPCEHGVIQDRVRQLVDNYISTLSPYSCYHLPSCTADLFPPVKGVAAPQKEGRLALLMQKTQPKETDRVQLLLSPLTLPGPDFHRLGSHSGSVFRAPTAGQLNRLQGLDPRVCGSPANEKKLQTILHNIERTMHVSKTQDSPHANPRPHPCPKTLRFKTALDFRAIPNYEPPKVHDSCPEYTKYSSSSPQPVPLAYQNRFRPKTAVDFRCEYSCFQVVKKSQPGHYIIHPEFVSERIT
MEGQVRDDALRRREQRRDTAGPTVAALHSSSSPLLGRSWEDELEDNLTECLPWEHRETTSKGETIYVTTLAAINARPV
MRKPFISPKSAGLLALGSISACNIELDLTHEDTDTREELIPLSATATVIVEPSNFVADRSWEDIREEVREKFSEAYNGFWNMKKEETEVVSCIRTSGVHEETLLSIKAGEAFFEGNWPNDELHDKISKDQEENINVFFAVSNPYDQAAVKEGTFQSVVNAKWIRDIDGSTIQNIVSLQTSMEITVFAPLSFHLPPGVNGGESVVLLFECQSGPGCPKELCKLPIQIKK
YTLQHLRIIRLRSSEGLAPIFFLFGGIASTSTLWNVLLLQSPVVKCCVTERWSCLQNTLALIQVLVQWASFQTVFILFMIYFPVDLRYVHHPHVSHQQNSQESVKRRWTLPSYSMALFVAFTQMLYVFIAIVVTFVLLAVHGPGAEAPAAVAALAGGISLILSCLQMLPQIWETWKTKYVAALSISSLCIQTPGGLAVAILIASTPGTNPSSWASYVVSASFQSILLGLALWYTYQGHGGVPEHHFEEEVDENGGASSESTGTGLGGRERRLPVGDSAIDVNETTPLIGS
MTYVPNLDIDNTTPSHVTELNQNMSVHYLLDDDNGVKCEFNHDPMQLSLSIFLCVGLVVSYLPQHYRIIANKTSEGISAWFLLLGVISSTSSLLNIILLQWEIIVCCQELSTGRCLESVIGVFQIGFQWTGFTIVFILFLLYFPEHRKRAPHLPHSLHLDLPGPTTRSEEWRVSLGVAIACALHLILSVAISAYLLVSDGRQRTAYWAGFLGVSSMILAAFQYMPQIWKTWKRKGRFAMEKTVCDNAEGQGGGLGVGEAAMARLYLLDANVTDSEEISPSIWPSDNIKSYNFCESLSKPHRPSAPSVFR
MKRNHLNALCFGLIIATFYACRKTEALKCDPHTGPNGVRHCIKLPQFNDFQWSTCRTDLYIRAKTDSKHHCARRDTTYCYYQCMLDVYGENGGDVYGSCRCSAGVPDENPKPSSKSNTGVIIGTVAAAVIVIVAAIFIVGCFLFGRLKKQRRRDIGPIQNAAYEGGRYADRMPQVFHSESRPPAYSESGLPQVFYSQNDYGQPAKYHSSTTVSIDANFQSANEAYPNQHHRAHNENVQRDAPHNLNSNPANESMYEEVS
MAPASSLACWNLPTSDADGYFGLDAALDGALVDAPSRAADGAAPPVDGRAPDASLPLADASADGALDASGDGSDGAVDATVDGSVDAGPLAVPTLVGYAYDGSRTTTFEFATNGTNLAFVLGSNAYVCPLPCSTPARAGSAVTLANTAASKPASSNVIAIDGATTAFFQSPTSDLVAWDFAAGTTSVMRSGPVTELFVSGPALYFRAPTTRLLPVPVTTTAPPRAESSHHAFGGDLVEFLGSGEFARNGEAIPGEMQRDHDVFVSAPAKGSRPALIVATVLISGTHRLRICRGDCTGFRAMRLSDASGIDMVRDLVISGDALYVTNALGVYRASLDALSNPASGPEIFTRLTTHGGERLRASGTALYVSRAEPSSDGAGTAQAIYRVNP
MMADTLITTQHRYLAKYLIGEAEMNRRVDEYSKQFDQMAQVKDLHEVTVSKATYQSVEVEPVQEKSFKGYIMYVHDPKMIRVVTTSIPGQGEQILSMVKRTGAIAGVNGGAFDDPNWDGNGFKPEGIVMSGGKILYSDSSMKQSVNVVGIDKNGVMVAGRYTPTELLDMGVQEAVSFQPKFIVNGKGLVKNEADGWGIAPRTCMAQKKDGTIMFIVIDGRQPGYSIGATLYDIQEILLKKGAITAANLDGGSSSVLVKDNQVINRPSSHYYMRYLPSAFLVFNHPDQVVVNDIWSGIDMKHFNSSYKPFVQDNTDTTSSAKPSSSPKK
MSTYRGYTYVPNKAAPTPTPGRKPKRRKHRIFGYIAIMMLLTSLGGVLWFYFTESGTNLRYTLADTLITTQHRDYAKYLIGQDQLNRRVDDYLKKFDAMGLEKDNHNIQISPLSNNTVQVEQVTGKKFKGYLMYVHNPKMIRVVTTNIVGQGEQILSMVKRTGALAGVNGGAFDDPNYDGNGFKPAGIVMSGGKLLYSDVGMGDPVNVVGIDRNGVMVAGRYKPSELLRIGVKDAVTFQPRFIVNGKGLVKNEADGWGIAPRTCMAQSKDGTIMFLVIDGRQPGYSIGATLYDAQQLLLEKGAVTAANLDGGSSSVLVKDNQLVNRSSSSYGMRYLPTAFLVFDHPDQVEVPNVWAGIDMNHFNSSVKPFAKNSK
MSEHIKIALADDHVLIRKALAEMIESFGNYKVIYQASNGIELIEMLTNANPFPELILLDVQMPLMNGIETAKFLQKKYLEVKIIALTMVENESSMVAMVKCGARGYLMKDEEPEKLREALDSVYHKGFHFSELVTGRLVHQVQHGYDQTNAGLAINELSKRESEFLKLCCTELTYKEIADRLNVSPRTIDGYRDRLFEKLGIKSRVGLAMFAVKTGLV
MSTQNIQVALVDDHRLFRSGIASLINNFVGYEVMMEAGNGKEFTQKISKKFAPDIVLLDINMPEMDGMATTKWLKSNYPEVSVIILSMFEDADTVVSLVKMGIKGYLLKDAEPEDFKEALDTVSAEEVYYPPFVARHLVNNLQYKAPINTVKLSSKEIEFLKYVGTEMTYKEIAEKNGHQRKDRG
MAISTINKNNIKVALVDDHVLIRNSLAKLVASFPNCTVLFEADNGRHCIEFLNKHQLPDILLLDISMPIMDGFETAIYVSQHFPLVRILTLTMLTDERSIVKMLRNGARGYLSKNISPQILLEAINAMAEKNIYIPQEVSEKLISGLQHDLSEVVAVSALNDKEREFLALMPTDFTYTEIAKKMSVSPRTVDDYREKLFKKLHAYTRMGLAVYAIRNDLF
MKHKILIADDSELFREMLAERLCAEPDMHVVGEVEDGVAALEQTKMLQPEIVLLDMRMPKLNGIETARRLQTEHPGVKVIFLSSFSNREYVQAAKECGAANYVQKIFLDKDLLPAIRSAARKTANV
MSANTPVRIVIVDDHPLLRHGIAQLLDLEDDLELTAAYGSGSEAIKRIPELDPPPELILMDVNMPDQSGLRVIEKLRKADVDSRIVVLSVSDDHADIFKAVHAGADGYLLKDLEPDNLLHEIRRAISGEQVVSETIKDVLLSAQDSWVPENVHPRIRELTEREKDVLRLIARGLSNKGIGAELEIAEGTVKVHVKRLLSKLGLKSRVEAAVFALEHDID
MLPELHRQASWYAPANAHDVVQSVCEKLLRRRLDLLEHPNPLAYALRSVITTAYDGHRRERHEAPLAVAETPSHRPIERREAELETLRILRTLTDGQARAVALVDVDGYTLDEAALRLGVHRGTIARLRARGIARLRRPS
MGESFARQGLYGQRYLLSRFGTAASVGMNVDPFGHNGMLPQILRGQRLDSYMFLRPGKHESDLDDSLFWWEAPDGSRVLAYRIPFEYCSPPGDVAWQTEKSIAQLDSSLGEGMVFYGVGNHGGGPTKANIDSIHRYDRMGTFGRMKMSSPREYFDEMLARGPAFLENLGVRRDDLQHHAPGCYSAHSGIKAWQRRAQFNVLNAERWAAVSALDSGVEYPREDLERAWKQVLFNQFHDILPGSAIEQSYDDARDQLGEAVAISKRIITRAHNLIAARIDIPFEAATQPVVVFNPHPWAVEEDVELQYGVQPTGVHVVDGTGVTAVSQRVQSVATTDDKGRGAVAFRASLPPSAIASTACARGRPSTPRPRAASW
MQVVLQLMQKTELFLQKWEAQLEDINCVRNFSNLLILDVSYNRLQEVSALSEESQLIDLNIEGNKIKTIAPLDKLKNLQKLNINDNPIEIFSIKNKYSLHILLMRNTTNENLEDIQHLCNLQTLDISNNKLNNLEFLKFLINLEVIDISGNNIDKILFDKTNNKQFEQLKSLTASNNQIQDLSDFNFPNLACGWPSSILGIHAETFDRGNNFEMRFLCRELPPLKIFILVRWILSQLFVHFDTGVDTIQLYLNIIRFLILFQKQLQFTSKLAFLVITLFMTSILYMLNL
MAMKISPYVGPRKYTGELIGVEYLYQQTGKVLQDYRLAIEESETTEVAIEVEETYDELEEFQDITVPTFDTERIPSAASQASVSAASSSTPPATRPKSSLYVSPVRSPVTSSTSSLSVVPPVPVTSSVSSSLHTQPALSPGAHSSPGNQGSTETDLPTSEENPLHDDCVGPDNIEGYGAVQDLAEYLFNLREHRLALTGEESDQIINLWQALGEFDKKKTTYSPRHQTNLKQGRFRASKKNVAPGVESTRRCFVGNRSPAQRPDCNRVVEGIFIRLCALYQNAVRDNGERVTRFTMIARVYRHIRECILTNDRVMRETTIQLPQMNAKTISDWFSKRDKSQDVGVTKQGINFPDAPMAGPEKLPAALQKGPNLFPGSLAEPHLFVLPRNTAGEAKLKRRSQPPAISQAPPSHQRLPIIAPAIPVSLPFILPSLQLPTVVDTSSSVPGTSQVVFFNVPLPSAMPPSAQTQTSSQAVPYSTQQYRKRKQMREDTGTVTRKYVRKTDVILCRKCNKERKPPSHLQYFGNWYCKETETQSYAEWRAVLEGRAHNKPRGFARMTRCSRGRVVKAMDLKSIGVSPRRCFVGNRSPAQRPDCNRVVEGIFIRLCALYQNTVRVNGERVTRFTMIARVYRHIRECILTNDRVMRETTIQLPQMNAKTISDWFSKRDKSQDVGVTKQGINFPDAPMAGPEKLPAALQKGPNLFPGSLAEPHLFVLPRNTAGEAKLKRRSQPPAISQAPPSHQRLPIIAPAIPVSLPFILPSLQLPTVVDTSSSVPGTSQVVFFNVPLPSAMPPSAQTQTSSQAVPYSTQQYRKRKQMREDTGTVTRKYVRKTDVILCRKCNKERKPPSHLQYFGNWYCKETETQSYAEWRAVLEGRG
MKISTSAGGVVFLDNTMLLLMKTNGDWVLPKGRIEQNEHRMQTALREVLEEADVKAIIHEYLGTIHYEFYNTWRNNEMTSKTVHWYLMTTRSKHCYPQREEGFKLAKFIAIDDVLSMVRYDDERKIVKKAIALYREKYLNKQ
MTATLAYLAIHTRAPISPKPILRETLQVGPTQANPISRTLGSTWAPIWAFALSSFRAQPRPTPFFQLGVHLRTQDKAFALPSFWAQPRPTPFLILGGSLTDPNNRAFALPPSGPKSGPPHSLDSGFIHGPKIEPSHYPPSGPNPSPPCSSNSGFTHRPKIEPSHYPPSGPNPGPPHYSDSGFTHGPKIEPSRYPPSWPKAGPSYARFSRLAIRAQQTI
MALATVGAGLAELTGRVVASSWMEGSFRCSGLFFGAPSSFFRQASSSLIVFSSTSPALNFLHLALPFCTIFSISGSFQGPLSERIQPPLSRQVQVPPKNSGSSLGSITSSNLLWYLLPRTCILAVVFGSRKPLIVFHKSLKPEPALMINIRFRVSG
MSKDNYLPKDEFLSLKNLPKSNDVIITKPNKGNGIVLLSKQDRMMDIISDTSKFNLIQRDIFSVLLQCEVKDSLTFAKEITISTSATGETGQGFSKENLRKMLEVSVKDCHFVFNNQLYMQRDGVGMGSPLGPTLANAFSCHYDHIWLSNSPIHFKPLYYKRFVKICNKENAQLSHHLLRRASIQLKLKVSKLVNEFYPQVKLSYIQNKEHYSKSFQIQRYSTH
MPPAHPSGRHTYGGGLGQLDGLGERLREAFGAGDSSLRSLVPRSTRSSTAPPCFPHRSTPQQTDY
MFAGVGSGSLASIVCAPLDLVRTRMQVAGGLDGAKANPKIVQSIYEIYLSDGVRGCFRGLGATLATVPAFWGLYFPMYESFKRKILEWSSDYGDGGHNHHALVHLGSAITAGGLADVVCNPMFVVRTRMQTEALHYFHMPVADRKPHGVMSTVLSLYKEGGIPIFWRGLTASLLGLGHVGIQFPVYERLKAEARKRSPTGEESPVDLLLASGLSKMSAAIITYPHEVVRSRMMDARGATAGMNIFNTIGHIVKTEGYSGLYVGLRVSLVRVVPNCCVTFVSYELIARWVRAQMGQHKPRRVEDE
RTNQVELKLDEGTWITGDGLLLATGFDLFDAHRKEEYGYGIYDNVYTQAEVEQMFENGRIENRSGKIPQSIAFLHCVGSRDQKVGVSYCSKVCCVTGVKQAIEMREKLPDAIIYNFYMDLRMFGQGFEELYHDAQEKYNIRFIRGRISEAAENQQGKVIIKAEDTLTARPLKLTVDMLILLCGMQPSKHTANLADTFGFTTGPDGFLKPLGPSQNTFRTRHPHVMLAGACTGPATLTEAIQAGRSASLALYEQLFNIIN
MTLPANGQTVLVVGGGPAGLRAAVDLIGLGIKVVLVEKRHELGGAPIRWRYKTLAPELRPTEEVMAPLISAIEASPLVTIHKSSLVQRLDGQAGDFRAHIGGEGGRATMADVQAVVVSTGFEHFDASRDPRYEYGRAPNVIGIHELEGMLTDGKVVRHDGRTPKKMAFVFCVGSRDRATNSWCCTICCGVSIKQAMEVKELLKDVEIYMIYIDIRTFGLWENLYWNSMEQHGINYIRGRVSQVFDTGSQLLIKGEDTLVRGPFEVLMDMVVLSVGVDPGEGTAQAAKAIGLATNPFGFLQPKHPNVHFDSAREGIYLA
MAERYWLAANQKEAFGYDISNLLSQRPLFKNSPVSLFNPYIEGEVLMKVGGTLQFSLNIK
MNRVECRGNEIHLWDCPLSLNNHTDCSRKEHAGLTCADLSVSTTPATATSASPSVSPTVRSIPVTPPQTPPFPPVLVIVLVVVLLLLLVPLLILIQQNRVMRRALSKRRHRMTSEAVYEEIQHRHNHFTQRGSLISEELHSGYEDADELLSAEEFKTAYYDDVTNGSGLKEEMEKEITPGYYDDVITDGLKPDRETEETPESYDDVMTSRQNSDIKKVNTPENYDDVIINKLSSPGVTEGVQEEYDDVTSVSKDVRNLLGYTDLRLVNGPDICSGRLERQYFSNWGTVCDACWDMRAASVLCRQLNCGIAVSVVGSDWFGEGSGEIWADVFDCDGNETKLSECSISSWSRAECSHRRDVGVICSEFKEIRLTEGCKGNLEVFYNGSWGNVCYNKMDRDTASLICQELNCGRSASEPTNSKGLKPYNWLDGFNCRRHDSTIWQCPSLPWGQYECDNEVANITCSKEENQESPRSRLTCLVSPSPQQKTCSNLPDSSVSTTPPKTTSVSPTVRSTSVTPSQTPSTVSPQSPVPPVLVIVLVVVLLLLLVPLLILIQQNRVMRRALTKRRHRMTSEAVYEEIQHRHNHFTQRESEAHLGNCSSSQTHNCSSTQQLSVNQVKTPENYDDVMINKLSSPGVTEGVQEEYDDVTSVSEDVRNLLDEENEEAPLSRLTCLDSPSPQQKQCSFLDWQDLSMSTTPATTTSVSSTSVTPQTLSVPPVLVIVLVVVLLLLLVPLLILIQQNRVMRRALSKRRHRMTSEAVYEEIQHRLSHFTQREFKEIRLTEGCEGNVEVFYNGSWGNVCWNQMERDTASLICQELNCGRSGVLSDSTARLKSAPNWLDKVKCRPHDSTVWQCPSSPWGQNDCGEDEVAKITCSCEMM
MEARPPIQGCSVDKLLIKGIRSFSPDNKTAIEFYKPLTLIVGQNGAGKTTIIECLKQATTGDLPPNVRSGQLFIHDPKVAGETEVKAQIKLRFRTVIGKPVIAIRSFSLVQKPSKLEYKTLDAALASKNEAGQNVAISSRCGDIDKEIPALMGVSKAVLDNVIFVHQDDSNWPLQDGATLKKKFDDIFSATRYTRALEAIRKLKSEQVQAIKEHRLKL
MQSPSIGVIALPTPIGMVSSGASESRATVILYNGMEKKVKNESLVIIRNKNGGDVLAVCRGGRGLNENVKTGSFNPGVAYARRGRIPSSAKEYYIFNLEVIGAVGEDGIEQNKLIIAPTSIVELFSDEDDPMKLLSKGSDLTIGHYWGKPNWRVPILKQYINYHIGIFGVTGSGKSYLARYEIIPLLRKAGYSLIIMDWKGSDYAPYFEPVILLDKIKVDDFTVLSYFSQLTKNFGYHGREENPVASAFERALRTVEWRGRNPSEARDLLYRKAKSILELEASRLKKMETGQLWLERLDASFELITEEEIEKFLGTMEPWEILAEAKDKGIVVIDMSKGEKEQKLAAFYSIARYLKRLMERKQRLDVALIIDEGPQYAPWQPKGLERETTDLIIDLCALGRSYGLSIVILSQGMAGEIGLNSAVRRNLNTQFIGRIHPLDFEEAQKLAASYYISPETLLTLPEGHFYFLGRMNPSPTPLLISFEIS
MKTKIKRAGVFSIISAVVVAVMVFASLSSAALALSFTTDNVSMTSDDGQIASVTVAPYIRMDWENLDETPNNAI
MNGAPCAFLVHPTVKYSMCDASKKRGSALGRHLQSRLTSGLVIFIPIAITLFVLRATIRLLTSFVLPWLRNLPFNIPDAALVAVALTVMAISVYITGLVAAHFFGRRLIRWGDRLLLRLPIVKPVYSASRQVVSIFASPSRTAFHAVALAEFPRKDSFSVGFITGTMIDPEGVPMYCIFIPTTPNPTTGFIIMQPEDETIVTDLSVEEGVKMIISDGMLSPHSYRTRTLPPIKRKTKNAQRPTPNSEG
MDRNLPAALPAYIEDARLFIHRNVERDIDLTAISAAAKVSPEHLVRLFRQHMDTTPMRYLWDRRVTRGIELLTSSGLPVGSIAACSGFKTSFHFARKVKEATGLSPTALRAANWSQHTSRAHGINP
MVAGYWNSCSPLVWDEGFPTPVGGLSMFTNPVKAPDIRFSSSQFRKQQLCLEKEMIQVVNYECFMLHFIAFQNFDFTDSLFVFLITSLLVIVEKAEFQAICYNSPNNNDNNDKFITNEDTTLISSLNTSFTSSNTSNDNASNTVNELNSTPDNNNSSRFSENASIKELNSNSITSMTTNSALQMTTTNPSSSSLIHSAQFPGRRHQQTDQRLRVSVTNSPQLPNTIISNNTVTCELPATTTNSSGSNSNYPSSLDTVHTQFFAWSCRWFTHYEPVSLGTISIDPKAVAYTDRVNRLKKQRKISHLGRLQWELMINSERKGVTIHSTKNLKLLHSINTFTTKSSLFPDFPSTIDG
MRATRPTCPRTVAPDPAHRDGGDAVALIPNRGPEMPMPTHLCIDPTDQYSDAQVLVTFKGDGSDVSLISVINAAHDDILPDLEEIQRQDLRDEITAAVHRIDGPLRRNVQSPRA
MNTYCKSALHQIKVAVTTIVDDRKLAENDLQKRPTPNKHSIGELLEHIAIICEADSLISDGASQDKMNKFYSSVSYKSLKEMKEALIKSHQFLEDKFMNFTDFELQEEITSYWGVTYSRYEWLLEIVAHRETAYRYVNDKRLSSF
CHFDLEKAKVERAYNIRFDEYFEAELKDLAEMEKDGLLSLSPERIQVADAGKLLIRNICMVFDRYLREKQNQRFSKVI
MSGYATRSRNDQLNYRVLAGIQAEGQKPRRTSAQVVEERQQVDARRREAEAKRQAVAQRIAELEEEMARQDVDDHEGAGCTLASFTGFRAGPSAVADVDAAGLREGNRIGANGSKAKKLSRADVEAAHKRKEVTTVVKKVGQKKENRASVIGSTALAATRKRKAESQEVVGATEHHAKRSKATHAGVRSMWTPHSSKNTTSTAAKVKTSAASNGASMGGIIVNAEATLVEDSDEDDLVEHVAVGNGKAIHPHTTLGVVEINPRARAVDSQPVKRGPVIAIRCPNGSRKFTNTDLPISSASMWVWRHQLIPHYLETLGESASNPWNFTGLNLVAILQLEELWDEFFPDIPLIVVPHEPVYDIAQQKVYEWRYSIAKAGVAAVSAFFEDEDNGLMTEEERAAYIRYVIGPNLPFRYAGATMKGRNGKPQYVEHALRQYESGVFIKTDQKFSQDIHGRLTDYYMLSIASLREATWNKIINATAPLACLSSIMPGDLGGDEWDKVVDAECGNIDDESASDGDMEV
MTQVYVPKEQITARPSGWNEEGTSTENEQEDINGEGITSIELAKLARAGHSFDFLSDSREDIYSLVDGESIE
MKKLDSFTLKIIAMIFMLMDHILTYIGTDGGVNIPMWFGYFGKIAAPIFFFLIVEGFFHTRSKNKYMLRLFAFSGVMLVVDYILGIHNNI
MLKSPKLVKSRLMFKEFYFDIDQLDHPETMYIPYLNPLIESKLKMKGAIKYLRGIEWKIVDDFKYSHKEIEAKTLFLWGQNDEITFPVSKAEKMVEQFDATCYFIKVPSSKVMPHEENPEFVVEKMGAFFKNELP
MNTRLNMLGDEDPHEQTVVIVDDEQSARNSIRRVLESADFNCRTFEDAARALEFLNENHTKVPVIISDYRMPGLNGLEFLIRCRAAWPEIPRILLTAFIDQLSLRDVVNEARIFRFIEKPFNNEELIEVTKEALSSFQRFLAPADPVSEGSEDLKFMDKLIEAMSEQTSLSSGMLLSIKDLLGSSSRFDPVDWDFQREVAKTAVQIGTSTLYKNNDLPLLAASAVLYIHILKNPDAPDIPEKALELSATLDDFCTEDFIRAEFNNIKAIARHTSEHFDGSGSPDSLSGDRIPMASRIITTAHYYHTLINISPGKRFIVDFLKWNTGKIFDPSVVKKLIEVINSN
MTNNNGTAKGNDSKSYQGSVNVNTIFWDRLQFSAKVAGSVSKTNGFHSSVSPYSYASTTSRVLPAFEENGEWAYYVTRSGYKYNILNELAESGNGNTSNSLNVNVGLNWEIIKGLKYEMSLGYNYSSTHGESWATEMTHYIAKIRGYEFGMYGPNDTQYQASRLPHGGQLNMSDSRNENYTWRNQISYVKNFGRHLLTATVGQEVNSTKYDGFTGTFYGYLPDRGQTIKNPPLTTSDAAGDPRENTSYAQPTTSIIDNKSNKLSFYGAFTYTFDERYVFNASIRSDASNRFGQDKDARYLPVWSLGLRWNMGREHFLEGQDFLNEFSLRLTYGYQGNASEAAGPDLIARIPSGAGISKATGEYYLEIKSLPNPKLKWEKTATVNAGADFVFWKNKINGSFEYYYKKTTDAIINKEVPYENGVLSMPMNGGSLENYGWELSFSFAPVRTKNFIWTMGLNTSKNYNKVTSKLESNENWQQAVAGNINKQGYPVSGFWAFEFAGLNPENGIPLYYLPGIDTPEAKTDATVYMKYMGKLDPDFTAGLNMGFRFKDLTLSTSFYLSVGGKKFLAKMFDNDMINSTPYEYNNLPKDLVNRWRKPGDEKYTNIPSLPEYQKASVDLSSSSAYSYELYNYTDIRVVNASFLRCNSISLSYNLSKGWIKKFAQNMGFTFSVSNPFMIVSKDFKGKDPEVATGSQPLSQSYTLGVNLSF
KKCSEEDDINNLTVDESAAIQLYTMESETERESFFYILNSLLRSPNRNELQPVLPYFKLLIGALEKLPTLNGVVYRGVNGNISSNFVKEIRADDPASYVTKTIEKICGHGCNLWKTRQCCHCSDKRAHKGNGLYEKYVDGIGFVNGASRNEYYCPTCKLHEDLS
MMKFFRVFCVCLPVLFCTGADWRVGDPDPKCCHTLTTPVADDPELLNRVQQLIMQLRLQQDELPPCVEVRKIERENVMLIAQHGHDELAHWLEHDPNGLLSTLCSGGQFGALFSNPATREHERKEVRFLFFLVQDLRRISGVRSITWWEMDFQKAFCPICNPARRSQWRPQYRFQYPSHLTTEEFLEMLTALDIELAMPIRGSEKFQLLPPHGYAQEVTIIDPGPRIIKRKLVWHPVYREGSLGELSLEVKLGEAAYAPSRAILDWCGMWGSDHTEPRIYHLYSKEMADCFGTHWKQRWFQDQQNLPNLRGTPLREVVFGFRKRTDGRWEIYISGLRYY
MSIRPADIHPDEWVEEKVVTGKQRLLEARNEIESAVVEHPLRSVGIGFGIGYLARSLPIGRVLVGVVRLAAPVVPYALLAVGAARAYEILRSDRNPDRALPFRGARPAGPVALDPVDVCINSCNKLLRGELSAIDTYTQAIAKFGVGADRDTLRHLLAVHEDSASRLRQHIMEMGGQPSFDSGIWGDFAKAVEGTALALGESPALAALQAGEEHGVREYEAALEDPQVMEDIKSAIRQHNLPRAHENINTLLRLKAKEVSVL
MENREGRKPTQLVQPRDRRKKNTVLVKRERYKKPWYLHLEKSEVIFFFDLIEEDLTCGFKRKISLATQGSADESLGEVHSNVFKRVAVKREEPGGGEVGVEICTESEGKNQEGEDDCSGGLFEWRGENMYSAAIRDEHSFVERLHEHLRREITTSSVESGSVNSGSVNSGSVNSGSVNSGSVQSCPDQIELSNYVYQKEPCNADMRCTNYNVSYNLFLYLNTFLKELTCDKKDTVDRLLEAFNEKRFNNKNNKGMRNNFKGDLYKVTIHTEGILSFKLINNKNEIGTKTYYMNEELLKLEINNILGKNYPLKYIFYVHQEVRDFQRVVMPLQLQSDKPITCGILLDFDYMGSSFEMNENRPMKLVDLVLMLDKICELLRENCTVIYVHHARGANHAVEANYAVEGNHTVEANHVGEENELMLSHPRRAPKNMASAHRGHACRHKKSYGYLFDDLESTLKLFEERNIKVVIRTSELTEEAEVTSAAKGIGGADTSPSPHSSCLPHLSHPSAMDNASDKKKKNTIVKDIAQLFENPYIDNILLLCNDIDVISYCYNVRHKIKYKNGESSTKKREYTFGYMKPVLIFSFLKNLPIENGRIYPHLKLDKFCYMTFIITSYLLRCQARNLQRLAKESNFNVVLVKELIKKYNMENSTLKKGMNILLLDDVLYKVNAKTKMKGKTKKKAHGEVSISDLLRRSFPAVYYPMQYYLEES
MDLRLLSGYVPDLDARGPAQINASFEGTLDRPRITGRVHIENASARAPDFPTGLSTITGDLVFDATRLYFENVSAESGGGTLHLSGSVNYAESPLRYDVSLRTDRVRIRYPEGMSWLVGGSLRLTGTPTAGVLSGRVLVDRVTLTRGLEVAGMLVSVKEGISGPSTGSSYLRNLQFDVEALSAPDARMEWPGAELQAEANLRVRGTWEHPILLGHIHILSGNLNFAGNRYRVSRGDLNFSNPFRLDPVLNVEAATTIQQYEITLNFNGPASKLTLAYRSDPPLPANDIVTLLALGQTTAEATTRSGGTTQSGTAGASAILSEAISSQLGGRLERLFGITRFRVDPGLAGVGSTGSEQNAAARVTVEQQVTRNLTITYVSNVSSTQQQVIQVEYNVDRNVSIVGLRDQNGTFGIDVKIKKRFK
MKVSSGHGEDFVSIYGIFDLSAVFSPYGIPFGPGYLFYLFSEKDATVIPDAFIYASALLLRCKSGRGTCAKP
MSMSSRGRRQSRPAIILCYEVVVSSAAFVKPRLPDRVRSYASGSTSTTSRHDPEYTSRPLIPPIRCCADNAGPASTAHRTPTEGSDCLVGPLACCQLGNSAATPEPAGPSSSRSDGKTANARNASPAAFDANLKEQALVQLVVQRAPASGDTVGVVWRQLEEMIAERVRRLT
VFFFFFFFLYVGGVTYLVLFFLRWGCGMWLKEPLYYVYFPEIIASPEIFFPDIEGAYLMKSDDGIELADNNDFEDMKFTEREIKAIDERYWAFAVPVEEE
TLIAIDKGSRLPFGFLQAYNWNLKDGWCFFQVHFEREQRGNDSCAEAGVALLDYVFRNFDVRKIYMDVYDLNREVLGRALTQAFVEEGRFGEHTWHQGQYRDLVRVALYREEWPTVRGRVNFVLDVQAEASELLDAPPRENVE
MRKIDAILTALAALRVELRQSPSKSDRPSLIEQSARESGLHPAALKDLLGRWYATVESGEAFERLAASALPRRAGNVVTLCPGNVPVVALECLILSILAGGEQNVMLSTRSTALPLTFLSHLSAALGSDLETKAMVWRKLSSHQRGTLLGAADRVIVYGDERTVGWIRNAVPTRTKVLEHGPSLTVAVARQGRLGDPEVEGLCRDVAAYDQRGCRSPHVLISVGSNATDLDATAARLSNGLDDTARLWPLGEPTEEERYSTFLDRITSSNLGDIVQSEGGTVTVEANPVALRHSPLRRTLRLYGVPDMAAAETLIRSSADPVGLLLSPMADPMEELSTRLSIPETSDIGRAQQPTFDRIHDGRHRLDELRVDLP
MNRGAGRVCRACEPCRQRKIRCNGKRPCESAYCQAHVSECKYRTKARIRGSLKAIMSHSTEGDNNLAPSYVSSAPETMALSADAGRAGSGGPELGPAAPNPGPRANSSASPDDSVHNGITATHTAPTATDSSQLFYGTSSNFAFLHQVHRGILQNAPSQDHPRNREDLARSFLDHFKDVCLFRLPFFTPLELESLFYDLYRDDDGHDNSTMLPQTKAVFLAVLALGALCTSHTNAAEILITKVKYHVLMYDDAVTLQMLQFSLLMSGYQLDMGPPNSAYLHLGVACRKAFALGLHKETASTIDREEILEAQRATIWSLVTSLVLGRKSALKMSDISCPLPKERPELVSFCRLSIIIEEAVDKIYDRRSESLLQLYEKAEDVHAQLLHYADKFGIASSSTGHNMKKLDCVESLMLHSWYYLPVMLVFRPFLVADFALRSNGNFRHKERMWLRQAYSFI
MPGTGSKTKRLGAPDTAKVACFRAALQPIADKSAP
MQEQFRSNLMKENNQLPYWLAAATFVVLAAAAFVYLDSVLDEDDIDFDMSEEDESVYL
MPDRLTVFLHIGAHKTATTHLQKLLDRNADRLAAASVRYVGPSTLRPTGLSLRQFLGLEDGSRPGAAESVLRDLAGGADTVILSEENLLGPMFQGDAGQLFPLYPKADLRVERIVRGLAGCDLRLMLSVREPASYLVSAYSQAMLRGRYGRFVDFVAGVAPERIQWSNLVKRLSLIEGPRELILWRYEDYRALLPRLLSEMMPAEAAAALDAPEGIAHQGLSRTAVAVAQAWAEDDPEEAGLAKAAREAFPVGPEHPAYDPWPEAIKAESLAAYEADLAAAARLPGVRLLRP
FTHAILYANQVLVIFVSLLWITPLAEIFVNSGDGAANSGFGNAEKLVGKMGFLPSDFGSFRKWCLLGSSLLQIVALRPNLQIIANGDYPYPIEPPPCEE
MPDLIGQQVSFALASLSEALAHARRGAYGAASAAARGARAAADAAFLHPAVLTQLNFPDSHKLGMYMPLFLPVSVPLLQGLARELLRHRRRRREWRSQHNPWRVADVACRAASSDDEAAPEIMGDWRAFRAKLVAQSGESEWSARRSDDNMRLLEIQNPSLAGEEVWAHATPGPERGGLLIAAPDAPELLGSERYWQVVVLVISHDAAGTVGIILNRPSGLVMGRKQASSGGLPFDMAGGPPGLQEVFAENRVYCGGFLAQQFDQVEEDLEALRKKDPAASCQRQQQQQQQQQQQQQQQQQQQQRQYLQKHEWSWKQQEWNEAVAQVAGGKLPGHDFKFFAGALAWEPGELEAQIKKGGWITAAASRPLLLKQVLQLPKPLWQEVMELMGGSYAAAARAARKEDGDE
MDNKFKLLMPLDIQMFANPNDALFYEVLSAPVLATVVEQNPYLFDPYLGEAFFPRDKVDGLEISYIKDTRGLPMVLKPSSFDVATPIRDRANVELSSTSMPYFKEGMILGEKERQDLFKAFNQSPEAYRSILRRLYDDRNTLVLGADAQAERLRMQALTKGKIDILANGEQLEYDYGVPANQKHTVATADEWNKPTADVLKTISLAKSTVRNATGRAIRYMLMNSATFDKLMVNDQFKSLVNPLAANAGNIPVMTDEELRRAIERYTGLRVLLYDKVFLDEEGVTQQFFPEGIVTFLPDGELGRTNYGTTPQEFDLLNSSNHNAEVAIVNVGVAITSYKQVDPVNSKTIVSQIVMPSFEQANNIFIANVYTP
MDNLIDGLEFRDTPQGLLGIAWETWSEIEGELENDQPCQCVDCRRMPC
MRIILTTENDRRTALEAVQGAELGHMIQVTKPPRSAAQNRFYWALLQACSEQLADAKYERDIWHEWAKNRYLVGRVVELPCGSIKELEPTTTDLTVNDFSDFVEQVLAYALEKGLIWTDEMKDAELDLRKMKRVSKQEAA
LLKIKTTEIQEKKVVSLREGKTGKRRTIHLGNIYDELNAYIDTLNGTEWLFPSRKGQNPITRVQAYRQLNKAAQMVDMPDGIGTHTLRKTFGYWHYKQFRDIAELQNILNHAHPQITLRYIGITDEQIESNLKAFRL
MNKVEPIRTKAKLDRIRNILIEQSYRNYMLFQFPIHIGMRIGDILELRVKDVKNKEYIYVTEMKTRHRKRNKRNRFTIPKTIRKELLDYIEYEQLKENDYLFYTSNRYCLHLSRRQAYNIIKNAAVKAGVTSAVGCHTLRKTFGYWHYKQFNDVAMLQKIFNHTTPEETLIYIGITDEQISSTLNNFAI
MKTDYLLHREVGHVLAALMPQNRLIARVCLHTGLRVGDVVSLRTQDIGLQMMVTEAKTKKRRRVGLTASLLAAIRAQAGPEWAFPGKRPGTHKTRQAVWADVKRAARAFRLPQNVAPHSLRKVYAVELLERYGDIQRVQRALNHSSIETTLIYAMADKLLDAKLKQAKRRKRPA
MTVRVEGDRVILSGPCLVEDAEPLLLALQAGARMVDWTAATRLHGALVQLLLAACMPVVGQVADPFLRAHVAPLLQAPVGEGIKALRSADYAGPHQDPVRSMQ
MAISCESPGLFNTGRTAFKKNFLAAQIREIEKNEPLGHSQSWGQINSSIEHYYVFPIRFYLPLLPIQLLFAEQSPKHAAFSLMWQDSCFVNCPRL
VFEQDNDDLISLKICISQHNELAKNILVYIEKIGEGKSAQLFEPPFLLFEDLSFGEYQISFKQDNEKTDVFHFEMNQTGIYGK
MQYDEGWIVAYAMALEQKAKALVSRAWMTAIIIGAIALLALISVPLGAQDAQRNSFIFFVALGTIGLGFFLANRAEAKAAMIRLQAHQALCQVQIERNTRK
MIGPDLYWKRDENEHLTKFSCRTNSPQLQYKELLKRIVRATGDCAREDCHAVYRYSRSSGRKRSKQEPGTKRRAATGEPVKQGAEIKERP
MLAPPSDRESRYLVSFLLLSGYCAGATHEIAIQTRPTACAKQIADLEIHYTYGETDAQKKNKKTGRQRAMTISPVSKGSIRLIQLGLSTNPRTLTV
MVFSTFALMAFALLNGASGHMFMSDPPALRAKNNQFTTDPDYSITTPLGPGKYPCKGYHNLLGAPQGTPVKTWTAGDKYNLTIQGGAPHGGGSCQASISTDGGKSFKVIHSWIGGCPGTSGQESSFNFRLPADTPSSDAALFSWTWFNLLGNREMYSDCAVVKISGGSGSESTAFSSRPDPLVANVGNGCTTVDTKSVVFPNPGPDVDVADNNGALPVGDCGAVVGGGSGGSTGGAPGSSSSSSGGGIGGGSQAPTSESGSGGGYNGGGNSDGQGSEHGGPNGPASQPVTGQGSSAPMVIDAQDDAPVNVNIRFVPAQAQNPLAKAGEPHQAAYPSGTAKFGPS
MAMNAFRIGFAAIALIQSAKAHLVMNTPTPYDVAKVSTSPLNGEGINFPCQAGSTTDWDQASGDATQMKVGQKNPLKFTGSAAHGGGSCQLSVTYEFPPPADPAKWHVIHTYLGGCPTNAAGNLQTAGSDKYGRPDGPDCALGQEGECMNQYEFDLPEGIKNGKATLAWTWFNKIGNREMYMNCAPVEISGGSDSDDVVNTLPSMFVANIPGYCTTGEGNLNIPNPGKFVTNGETVDDAAIGTCSKGASTSSGNPSSYGSSGSGSGGAAAGSSSAASPQVTVTTMSTVTSGSSSPATSAAYPYPSSNAGGSDAAPTDAPSPSSSGYGSGSSSSSSNGSAASGTESSGDSVSCSTPGDVVCIGDGFWGMCNTDKVAVKMALADGTTCSNGVIAKAKARRHARDHMQRHKRGLLGVKSGGIGWF
MDTTQTDPEATGFAEFDQTAQKISDEASDSIERTPASPKQGAAYAEDAWKEMSRLTTSAVANIHQRPFTSLLAAGALGWVLGLLAGRQR
MYFGGGYRDFDKYEKEIKRDYPIISKTSRYKGFKKEINEFWECLVKEMAQREHLFNRPEFIGQLQIWVVAMSSSTLRSFRHTSTVISLAMVTGFCEVINCLEREEVAANKQYEGEKKRKKVQ
MDITISKSKSNAIKVVAILFMVIYHTFAFKGRISNVSYISIYKLTNTVTIEYFLSRFGGVCVQIFLFLSGYGLYQKYNRTVTYSDIIKKVKRLLINYWVILLMFFPIGVILGKYTLNIKQFIMNFLTLSSSYNREW
MKPGEIVGLVGESGCGKTTLARAILGTLPEGLTEIGSSHIRLDGTELGRLRGIRCLLVPL
LNRREHILHTNLIYNQIRYLTSSLEKRAAIYSLKAQSFRELCRPRFSFFYLLLSKIERYSYRFKTNKLTAYPFSLKDLAHFLKSSLTANSSVLYKSATHCRQRRTALVVALI
MNPTKTGQFIQELRKERKLTQTELAEMLMITNKAVSRWETGDGFPDVVILPKISEILGASVDEILKGERITKETNKQKLHHVKLKNASLVSMTLIILSFVLFVALTYATYRAWIGFIGYIVLATLGLLFILIERNRFMVACTYDDKDQQLLFKSLFINVSAFVIGFFMTVPQILQSISSDIVTSVITFDYYLLAASIFGLVGFFIVLCYFVYFYQNGKNLKHHKQTANTIFIYLGLAAGVIITNMIIHQQILFVGVPGLILYIGMGIYYLMRRQDKIIVFLFRVWTSMIFIYSFNSAYVYEGRIWLLQIASIATLLMILVFWVLWFKDKQFNQIFRFAYQSLFFLLYGILSNDSDLAILVTLFALIAFEVVVVSNVKKTEKLLQLQI
RQHPGERQGLSALLDELTTLGHGAHLADLPQVDELCEALLDLYGSVEESSLAVSERFFDVAENAHEALINMLDQVAAGQDVEPRPECVRALLQLLDQALDPSATGLVKSDGHQTLTVTELNAATEQLASEAAPADAADADDEIVEIFLEEAVDILDSAGQALQRWLADPENPAPLASLQRDLHTLXSGGSRRPRP
MKGWVIEEHDRENEREIERKREREREREREREKERERERERERERERERERKKERKKERKKERKKERKKERKKEKKKERKKERKKERKKERKKERKKERKRGSVARTLSS
MRFCKKHRLPENHICPFDLRLKRSLNGFNILYQDALEFMGEKLTVAKIYDYVTTKQMTKIEATDLLTNFIEQSEDTEV
MSGFGIGMVYPTLSVLVLEPSPPQERGREQLRPPGRRVGVPVVTIAVTGALLTVLGRGAGAYVACFRLVVLITLAGTAIAGRYAVRGPQRPGS
MARRIPTAKPDGYSTSDGEADEPGPRRREEDLELPGAVAGCAADEDAEESSEWEGFMLDFGSGSDNDGDGGEAM
MVERVMPPSSIKNRPKPIAGVAVPPATPITAREAEASPRS
MIGRLASVATAINEVAYVFGGYTLAKNHSEVSVPDVYAYHVLEDHYQALTPMPVPVDDSIALPYQSRYIYLISGWHNDGNVNLVPLYDTKTNQWQQAYPFPGKTVFGQAGGIIDNKIRVCDGVGIDVHFNKRRSSAAQSACYRGEINPQSPSEISWCRVKHPTGQSRYRMAAKGTINNTSNTQEIVFIGGSNNPYNYNGIGYNGEPSKPSNEVWRYNLDTDKWQVTYSKTVTMDHSGLLELNGKLLTIGGMGENQQVLKGINYY
MRLNDIKPPAAWPFVPALERKTIIALVLALISITGVAALPAALSIGGKIALATFALTVIGWTLTKLNDTFVALIAAAGLVLSSTITSENLFASLGNSVVWLGSARLSSQAPGTKRVCRRV
MSNVFKRNKMNVEMDYCRPNPLSGFEGQWVSKIEINGVRQMFQFEEEKLFLPDLPFGEGPSPFFEVMANMVGVEEDDFKDFEDTLASSIFLLSHYAKKHVIEHGEPDDYQELVDEFVDALWG
MSAASEESPASVIHDDAGGPASSLGMPGRGALDTVHRELERHTLDGPEAIDPLLTYRDALLGRYIIDRPIFTLAWPSSLYIPADADPAKYWITAPPADHRYRYRWTDPQPTASSASEKTGHLFSWTNVSGLNPSYTGAAGVGVRIVPTNSLSTVRVSADVDLVAESRWWYLVGSSAGFANFSYRGTVYISGWEISPVTGQWELLRPFGSRVLFQYRESGQGGTAIRSDHHAFDDLSVRLQLQGGHTYGIGVSFEVEVGFDCHDRNGKPYQKQPGDDIKLWASMTGEVSSISVSTETVWIP
MQISKIVTSFAVLSTTSAFVLPRFITDLSDLAKTNGQFGNLPQIIPTAATIKTDLTNVINSVQNLTTALSNPDGKSPTELIKGVLDVRSVLLNTISLQSANRQAMLDANELDTVFSPADSTSIVSQLKDEFLPKVQTALSLLKEAKKQNLLPTLTTSSAILPYLKITRKEFDTFGKGIVKFLDAGSKSGGQTALDSTLAALDDAINDYSKLF
KIELHFTEMTGANYGKKIIDFDASMLGGHIELVACTPQVITDDAGPVTLPPCTPTQIDFSVHPPVGTPEDTYRGEMTITIMHLVDP
MASTNTLDDRRAAYIEAVVTGTGTLSFWWRVSSEPDYDEFKWIADGVEQESISGDTAWAQVSVFIDGTSTIRWVYEKDLSLSGNDDKAYLDQVIFTPQ
MEPINNIKVEELSAENYKDYFDQAFRLLEDSERKNNIQEGILEGQQDVINLFLGDYDGNSKKWSSKEIVDMIFDLKQKNTFETDEYNDPFIISESIFNEIEYTFPVNEEYKSAMGQFICCEYDKEIELYGEDADIKSLYDFLDKNSIVRINKKSLLLKALMSWYSYNEIDIPVYWMEKTNHYYFCDYYLNPDLIFALLGGKEFTEERKTNLVNNFLSFINNEINYNNIY
MEERIICQKCVYYYVTWQGGRAHGCKAYGFKSQTLPSVVVKNSSRLDCKFYQKKPNTK
MDQSLQRIKQESKVECETQRELWDGNLRGTPQTLPGGTSFATPRRVKSFCPGPCTIAILTACSLFGSGKIDGAQIDDVLADMTRVSPQELELSLSKQQPQQLQLIAQRLEAASPGSGSAEKIGVFFKAWARVDATSAFAGAIHLKNASLRTKALASVTETTGAAGAKPLVASLSALPTEVLPMSQRGFLISTALVIWSEADPGAAAAYLESISPQGPDYYMAWSQIARRWALKDPPAALRWAEARGGNERGHIVLFGVISGWWEKEPGSAEAYVFARLDSFEGQRMLAALVKDMFDASPEKAVRWVTQLTNGQARQNSCMAVGVLWANNDPKAACAWALSLPADLRSRVLNAAMGAWTTNDPQSAGQWLTELEGEGRDVAVDAYCAATVMINPATAMQWADTIADAPLRQRVMNRVASLWMAQDPDAAVAWIQSGGFPASEQAALMSVRSPR
MQIPGERKSRYRRAYLEEAWGSFDAMFPSKYRPGSSKRKFDTIHRRWIHAFYQITKHAENSGIQLATLWADGGWLFEAVSKREPARLTHSILRKVNRSLGISDEYNSARKQSVSSRVDAIVDLQDGSSQISASEVDHSTTLVAEEQIKSESESESESGCYSDSTYDERAHLTLRVTRSSSRPKTPLSYSDLSRPVTSATKVKAESIDSPTAVTRNSMSPSPMLPQTLDSMSRFMSPSPGGSEIQIDTSQHNSAKRRFDQVEASSEKQSPESLHRRRPRRARLTTSESDQESTAPTQWDQPSTSVKNISAREAFKEAFARWSAFATTEKKQNNGDKMRALGDCKEELRRLEERLSLAQKKHDKTHQQIEAIDKLIAAAAPGRDEGDGIGIDRPSGEAWASLLATAERTREVLEGEAQEKVEALSTAVEAMRADVARLTDEAEMQRLVGVVAKGMEDLSGLVEKRR
MAYGREAKLLCKKVVSFFSLLSKTQETGVRKLGVTQELLALVTGLAHYLKLLIRIGLQGSLRLERETKTPDALYAFLDLTADLEEVNTITASDLNADTSQLAHSQSDCCAACTEPIDDECIVLGRRQWHKKPPHLVCGACQDDLTHDLERARWSEKNDRPFCQNCAVQRGHDPQAISGFENVTKLQQYVFLLRVALARLLSVLRSGGTLPHTSDDPNLKEYEANDGHRIPPQADPHLPPQRPSTRSMSYTGEQKEEKAPSSLEQTVGEMRRLRSTRNERAISTTFKKARTSRIISGPESSSVQPGAPGGANNGQNQNFQIVEERDADGEPVADLTFGNQDALTLDDIPRIVAAEQAKEQRPNAYKHAGGNLIGSGGPAAKLVNGHQRGVSVDQLGAMERPRAKKYFSELSALEYFIVRHVAVLSMEPLLEGSFNLEELLGLIEPRKPTIWNIFNRAFKNDPRKVGKKKGVFGVALEVIVERDGTESTHGVGPGTLRIPTFIDDAISAMRQMDMSVEGVFRKNGNIKRLRETAELIDTKYEAAELDKESAVQVAALMKKFLREMPDPLLTFKLHSLFVIAQSKFIFVQCHFLTRKDVMANLK
MGTIENTSTFAEFDADAVLEKLREIAGGQILTVAEYDAETYNIMYMDDQMGHQLGDAVDIEELADRIHSDYRLDFTEKEMYEDVYSELGEVRAFAVFFEGNTIFRFVGETTGLYVSLTMDAPFNAVIEAVSDIIEDA
LRFPTDPDQVGQEHDVVPVAVPLQCPCRAVTWMVASSAAKNAADTRATLPRARQSKRSRRAARTAYKTAPHPPFVLLRLLSHLPPPRSHAARVTPSSPVRQRARPRKPLEKWLKRMSSPLCATMAPEWSR
MSVSYATTHEGSHTALTSTRSELNLLRLPFFRNGNDPLEDFDYNDPRCNPLLRPAAARTPSHTTAHQPASCVCLTHFFTASVRKETLLYCLSAKDYIHFFNVSVRKTTQTSLTSQCERQLGRAGSKNSPATSKSGINSYDDSRPSAQLRKEGDNFAGRGHEKTDFEQAERMSGDYRHVENPGCDTDHGGLNEIAFTIAQDEQEGPIGGCPEGETFKIVNWVDNNDRAEKETDNVEGAIVIQSGHELPAQLTMTYTGQGVFAPIQIREINWALTTLTDRISSLDVMYTRMREDTNLARHHITQLRNQLTSAVDELEIKIDVLEATLSHLNRAYYVGRRNQIGAQSNWSRENQLRALKTNQQRESGQAGSGYQDVLVLRTNHFRTLRCADRPAEYKVLPIPAQRWLAR
MPNEIKHGQEFVNSFLSHYSSKYYDPVKAREYYLRNRELKGRRSTSKLTDEGKMVWDYTKSQITAEKKLALEENANKKKEEVKGLQTTAKEKRKELSEKIKLAMKGLSEETKKKIEALPKIPKGLSEKARAKAALERKEKIAKIRGEAKDVRLDTRAGAVEARKKIGEDLKATVDTARTKYKELRDGLVSEYEDKYQSEYDAIKATMSKA
MVVNHQTINQVIEINALCSESASVEDNLNLVSLCPVRALRTYGTRTQSLRRSHSQLFVCYGGNKRGDPVSKHRLSHWVVDTISQAYDSQGLPAPGNLVAHSTRSMATSWAALRGVSVAHFYRVNVAAATPLSTAVLSAARGT
MNIEYKKIFNKNYAILSDCNIADYKECYRSKMLKSNHLDNFLPYDTRIINGSFEFTYDISSKQCIDSFYENSEFDYQTSRHIIMSLKSAFDTLNNYLLEPDYIILNPALIYMNLATKAIYFCYCPGEKKDFYLSLKDFLSYLLSKIDHTDNNSIVLAYSLQQQTLSENYTFDDIINILNKPVFHHTSETEHTPPAFASDNKSISLSDQTTASQPPHPSSTPDNEPGTLQLSSINPNLSGNPSGFTLNKTLLFCFGVYFILTCGIGFYGLILDNLSLETVCIIVLILGVAFFYLYNFLNRTLDKPALFKESLSDNLPGTIELPTPDSTYPDIPVSPTFPIFSDDTANTSMCDTVLLGSKCPDSVPKLIYTGTDFESINELTHFPYIIGKFKDNVNMVISHPMISRIHAKVHFQDGHYYLEDMNSSNGTYLNMTLIQPHTLTEIHPGDQITFAHLTYIFQ
MFSLKLFVVLLAVCICTSQAQHFKDCSDSNPTPCLCENSNLCAFGNTCDLGPPKKCIIKVSPPPTSEKEKNNNKGSKSDYDYY
MHSLQPSGFDFDLLCCAALLHDVCDHKYPQSIKRGDLDAFIYETVGKEKGGDVIFIIDNVSWSKEDKARRGEAVPVPVPPHLKEYLDAVRDADRLEAIGQVGIDRCIAYSVSIGRKIPDDVIVHCHEKLLRIYGEKFIVTDLGRKMAEPLHEVIVEYVKAQEAAV
MQTRTHVDANVIAKVWQHVEASIPSAVSPGAGDAGHGLDHIRRVEDMACRLAAEHGGNLYVIRLASLLHDVGDAKFNNGQELSGRLAMQWLSELNVSAEVIKAVVEIVDQISFRHQTPANQLSHEAKIVQDADRLDAIGAVGIIRTIEYGASRGRPFYSADGTACTLSHFFEKLLRLRGLLHTPLAIEIATDREALMLQFLDQYCQQCGLELDDIKTQFDAN
MDQKSPATAAPHLGPVFQDSFGILFFFTILTWSITRFQRLLPGCCKCCTCAVPKTTSTSVLADKKTYKTLNENEDDDIDVMRSDAEIAMKNMGLNFDIESSIVLKTISSEYISMLFDHDEPSLQEVKMAFLVFDENNDGYIDASDLRRVLHNIGLGEQAGIGECEQMIARYDMNKDMRIDMMEFTKVLEASFC
MEATHRATSVNGGLAPVVEWAKAREKRGDIPQITARLWLTALDQFVEILDDDDPTEPKLFLDQLDRLTDRYCVKKLAKGETAKSYKSRVRSLLTNYFAWDADKTGWKYDARVLGQRPAKAQKGPKNDESSPSDPPCAAPAAAPAVGAQVLASASAATSTLRSFPLDAEETRLFEFRLPKGGISKDDAFRIAMHLVSFVHDGIVDPAFRGEMADVVRSAGR
MKVYAMECLVLVLLVMTVTTVLSRAHGGHGGGHGGGGHGGGHGGGGKHGGGHGGGGHGGGHGGGGHGGGHGGGHGGGHGTVGHAAGQGGGHGGGGHGGGGHGGGGHGAAAKVIRRRTRIDDEEDDDDK
MHTAPTGGGGGEGGGLGGPNAPGGRGGGGGEGEGGGGGEGGGEGGGEGGGKGCGEGGGEGGGLGGPNAPGGRGGGGGEGEGGGG
MKTQKILSIPLIALFSTGMVLLPPTAGHAQGGPGGGGFHGGGHGGGPGGGGFHGGGPGGGFHGGNPGWGGGGFHGGGPGGGFHGGNPGWGGGFHGGGPGWRGPVGGGFHGGGWGGPGWRGPAWGWGWRTPAYYGWGGYPYARGWGGWGWGWGWGAPFAFGSLLGLSIGAAAATTATTNSYDYGYTQYSNPAGGYVQAPAYYSTPTTNVVTCNPGLVFNPQSQSCVRE
MVNIVDKTGQPRSDEELQEAIDACKQIMVKQSLVLPLFTVHAGIIINCLEELQHLRRMLAEARRKRLEQSEEKAT
NLEMEIILTLSQGLKKYYGKILKLLHLTLEEDTEGLLEWCKRNLGSNCDDDFFQKRIEEFFITGEGYFNEVLQFKTLSTPSSTEPSHARLPTAEPFKSYFAKGFLSIDSGYFSAKCYPRSSTSGLQLINVTQHPARIAETPGPKTTSLKTINCINLRASVFKEHREVEINVLLPQIAVNLSNCHVVINSHVCDYSLDTDGPVRLPRIYHEGTFMPGTYKIVIDRKNKLNDRCTLVTNCVIKGREVRKGQSVLRQYKTEIKIGKAPTGSLEVLFQ
MIPVLRVKDPVSAGFLLKTQFGFEPSANVWRLGEQAIRIVAEEEVPDHFISLPFDHLAIAASEIDRRAEHFRSNGAILSKDYTPDGPREISEFWEQGVKYVFFNGPDNAPIEFCEIKGRPHLTQFGHSHIGVRRPSISEAMNEISQFDTKLLASYRLDGGRNPVNVAFVNWENVVLEFFDEPASMSRGTSCWIGFVPS
MTTDSSAKTPRILSRRTEIIFLVIYCICFSFGSVVHVMDVVKNGLTMSSAGPVAGTSIPLVWIAINKSFTLFNPLTLVLLLVRRRVGIALMVGITTTVFVMNLELMAQWWLQAKFLHVAWLYLNGSLGVFQLVTAPMMWRTSLAREAQRASPALAA
MSSQQLPWRLMVSDPWVVRDSSGISVLGFVSALSNLPDLSVLCLFAGTGVEPYNLRFRQPISHPCLSAGVFASVWISQRVGLVVFWSKLRLEWTSLVVMAGRGLSQPCFACPPFGVAFGTVCGASDCSPVVNSNDRLSCYRFPVARSCCGLLILNGC
MSPKSNKRENREVVRREYEEHAKAVKAAQSGAAGDAARKAAEDALKRKGK
MQIFWKILCIYYSNFEINPNFIREQQCHFTWNKQEIDAGIKEFVKKKDEIESTTTWFLQHRINNWLNKNIINETNWKWSIETWHETKITNNKTNSKENSLRAFSLKLLNEELPTMATLHTRKPNIYTSTECPFCKKYKETNSHIFMCANYGKILKSTFRSIIKRIYIKEKGNIGLHDLMSKITRGHFLKINYHRQILGTQPSDRFEFNDLVKGLIPKSIYRLIRKKVNSTETGKNMVMEIFCSWKRTLYSRWKNRCKDFLNWEIKNDIGESDKKSKGKKPYIDYTYLERKKHLYDIGIDIKNFIINSVYKNNVDILSNIFFCLDVENHEKGLNFIDNQIIPTRPSEAVRYLGVWVQENGKKTYQKKLIDEKIHQTVSIMIRKRLTDKQSRYIINHVLFLQVKYLLSDYVYLEKGLEKLNAKIRMVFKRSCGHTSKLPNSILYSSIGYKLFCLQRRQLQVHATELVNRINKEDLCSWTTKVRLQKLQDYMWTTTSIWDNSTINKFKARNKNLTGEILRLLAKHDIEIQINGKLEFPVIVRGGVIDIESFMGSDIWYHKHRDSLRKYGVLYIEQLLNSDLTRVLELKRIHQYEIPRKNLTWYEELRVKIEENIDNIRNKLGRNPINRLTLLGDNTKIKGKFVTTDYPSGPIMGKVTREPKEQRDLLNEQADKLAKLGVNSGSNFEINPNFIREQQCHFTWNKQEIDAGIKEFVKKKDEIESTTTWFLQHRINNWLNKNIINETNWKWSIETWHETKITNNKTNSKENSLRAFSLKLLNEELPTMATLHTRKPNIYTSTECPFCKKYKETNSHIFMCADYGKILKSTFRSIIKRIYIKEKGNIGLHDLMSKITRGHFLKINYHRQILGTQPSDRFEFNDLVKGLIPKSIYRLIRKKVNSTETGKNMVMEIFCSWKRTLYSRWKNRCKDFLNWEIKNDIGESDKKSKDVGGVVASQ
MEADLVSSAESTAVDAVTHRLPRRAAPGAGLPAPMDQVALTAGRAHTPAGHPMLVRRQQIGRRAPVGVGAVLITGRENHARGRGQVAARNVAMRRPSGRL
MLATDLDGTIVFERTVPPAEKAALDRWRAAGHVLVVSTGKSIFATADVLGPSGLEFDYCVCYSGAVITDGAYRVVDATYLPTDVVREVYAYLKDRPHVATYATTIDNDYALADNAGRVDSILAKFTPMSPDEFGDHDFIGIPMLVTDDAERDRLEASLRERWGDRVEVHRNQDFLDIVPPGSDKGIGLQRLIADQFADVEVDLWTIGDSWNDIGMHQVADHAVCFPYSPPEVKAVCDVEVAHAHELIDRVLGDASGEDA
MGDLDIDLAGARTRNAARRGLRSVLDALSVQDRRNLLLEALAEVDEELGQARRLSAHAHHVSTPESVHFLTATEEGERENMTLTRRLVNLISHSPGVGVTELARHLYGDESRSSRAKVRSLLSMLHARGVIEKRADVDP
MKNIPLPTECLLSRDSIAKRLAADLQPDWVVNLGIGMPTLTTKYVKAEQDIVFHSENGIIGMGPPPPAESQDDDLRDAGKNFCTLIPGAALVHHADSFSLARGGRLDCAILGAFQVAENGDLANWRLPGDPTGNIGGAMDIASGAKEVFAMMTHVNKNGESKLTEKLTYPATALSCVTRVFTDMAVISVTEDGYLLEETAPGISAEEVQFHTDAKLKVAENLRDIAA
MAGKREMIAKNIAAMLHDGDVVNLGVGIPTLVGNYVPEGMTVWFQGENGCIGVDKELPFPWDFDNYESVVGWMKRNGGEDGDWKVGHKDLGNANDIFVTLLPGACCFDSALSFAIIRGGHLDATVLGALEVDMEGNLANWMIPGKKLSGMGGAMDLVSGSKRVIVATEHCNKYGVPKLLKKCTLPLTAVECVNTIVTELCVIQCKNHKMTVTAMAPGITREELQAKTDAPLYFADEVCEMLM
MDQPLTAAILLDRYLEGWLEDILENNKGHEQTALIELVRSVDLHAYFQHFFDPADLGLALRQQCLFISKGVGPILHAFLPKLDQFGDGIPWLPSNRKTAAWADQTLSEAGQLAMLRRLAHCEHYGLVRCEIHAEAHVSIHVLAKDQETEDARDLAWFISQQLAHRAEYQARLNQQIQGWARDRIDQYVGIQMDHFIQYNSDEELHKLYQEQAYSSLITSPEADALPDESIIGPRTFGEWKMLTITAIARAMLHHSFATRLGATNQEKLNLRNLFTVPVRYEDLRTVWSQQTGIIDTEGLDEIADIFLLTPRHAKEYYSNYDSPLPYNIGFGRYFALLPQFGYIGNTCTFLVTELKRKYRKDWDKAVNQREAKFQQDLYALLPEPRYVRGRENVTLRSSNGATATDIDAVLLDTTANCLYLFQLKWFDIFGLGLRERQSKLTNLLKANKWVDQVSSWVSTLPQRELFIRLGLQKHLPATTVEVRLFVLTRNSARFSGPHNYDERAAWIAWPRLVRLVTESSTHPAPLEGAWLSAKENIHQEYRPSGECTKYEFPDLQVDVYE
MTKTIQQMLINIGYPVGSYGADRVFGNGTVTAIKALQRDCNLSVDGVVGKETWGVLFRNLK
MLAVIASLVVISVILAVAEILWRKKILKGENQRKFVHISIGTFAAFWPWLMSWEAIQLLGLIMTVGVLLNRHRTFLHYLGNIRITHNYGDVFLPIAIVIASLVTTNKIFFAIAILHVSLADGLAAVVGKNLGRHWKYKIFKHSKTVVGSMTFWLVSVCILGVGILAAHDSFSYDQYSLLLLTLPPMLMIIENVVGFGMDNIAVPAAVLVALNLAV
MSFRSVLLTALLSLSFTTTMQAAHHHYHRYTDKLHRQNHKKDLISPKPTEQEACNTSSLSKELIPLSEQRGLLSPICDFISERPCLHGVSVRNLKQALKNSAGTQIALDWSILPQWFNPRVSHAPKLSIRDFGYSAHQTVTEATPPCWQNCFNPSAAVTIYDSSYGKGVFQISYTLVRYWRENAATAGDAMMLAGSINDYPSRQNIFSQFTFSQNFPNERVSLTIGQYSLYAIDGTLYNNDQQLGFISYALSQNPTATYSSGSLGAYLQVAPTASTSLQIGFQDAYNISGSSIKWSNLTKNRYNFHGFASWAPRCCLGSGQYSVLLYVTRQVPEQMEQTMGWSVNASQHISSKLYVFGRYSGVTGHVFPINRTYSFGMASANLFNRNPQDLFGIACAFNNVHLSASPNTKRKYETVIEGFATIGCGPYLSFAPDFQLYLYPALRPNKQSARVYSVRANLAI
MATRVLLLLLLFTTLTSWGQTPVADSKAVRFNGGLVFGPTLSQIHGDGAGGFDKLGFHFGAIVKITNQIKNGFHLSILYNQKGSKEPADPQQEDFSSHRYRFRYIDAPIVYSLHTKYADIQFGIQPSVLISAKESINKLEYTSFLQPEIHPFDLGFVIGVQKNYGRGSSLFTRITQSIIPISPVPEVNLPAGVRWDNKMYNMTLELGFIVLILPHG
MKPASLASFVAIGTYGCSVAVRRIGLPAVWNKGVAFSSLENGSKWASMVGFAVLLAVTAMADKKPAPTRNGLIFLWGGAMGNMIDRLLYGAVMDYIPVPFWPGGLYLNVADLALIGGALSILWGTFKIKNQEKTQKEGKP
MATGEITTLPSTPDDGGSGGFPPGSFKDPKRLYCKNGGFFLRIKPEGGVDGIREKTDPHIKLQLQATSVGEVVIKGVCANRYLAMNRDGRLFGASVNDLQFTGDLSLVVSDNIFSFQKFVPPREL
MTELVWTEEAEVAFEEGRKDVREAGVWVVHYWPEGEEAQTEVFSSELGATTCALGFAMEVWSRFWTEDGDWPIQPERWHQIFDEVLNRAGNCSDVLTVEHKEIQE
MTLGSPTPGAGMRGCSELDPMIARRRRTVSSTDHEDSFALPASSCAATSFVSTMTSRDRTAEFKSAAKLMQGTIALQQHRMRRPGERGAQEWGHFMHAAKQIGKDIASTFAKLEKLTLLARRKTIFDDRPEEIQELTYIIKQDIGSLNKAIAQLQELENAFLPC
MQRDTDQAVEDIWCQRLLTLGAQWFESQQRYECIDDFLHGEDNSLNDQPLPTAMELGWFSVGIASNGFWVAEYHQRQSPRDATGRAFRVEARQVQLARTMEEKCEILQLMGATFYRSIDDYDGFGCLNAWAKGRPLLPDTGN
EFESYKQKANAALQTGASHCEEIQLKTRQTEQLGEQLRAATLDVQRAQEDKARAQEDLSETRRRLQETLAKGSELEKVLERRAREGEERCFAEVASCRSAFEAQ
MHNLRARTREELNAVLNEVVSQIKPVFGDKLKKVVLFGSYDRGDYDAESGREVLSRSSYRSKTTYRR
MGAIPEKTLEAGSMVVGRAAEADWSIPDPERVLSKAHCRIDRKDGGFFLTDLSTNGVRVNGVPVTPGVLRPLADGDRLLLGDAIVGVIIEDGPAGIADVPPAAAETADPFPDGPFGFDAAAAPPQAQAPQAAVSAAPVAAPETRIMQDWWDPSAASGKSDVPDWRNPGPESADSPSVPASAAHRASAAVNGMEDIVMMAATVEKAALIRALDAAVSVLDGEREKFMQRLRQLLSEESSRWV
MPLGVLLYSDEGRDAARSRRVIEQAAARAEQVLVCRPGTVGHGLVTQRRGSRRYDLTIEGERLSPGQVGRRRPVVRWACEKVEAIGQLSSPRRRLSIGVIDMNTERHAMFMPHRVEVSLMMSYLSVAHADETEAAMRKVLGRGGPKWSLNRLTDRPPMPDRPANQPLAEALEAAAAKWDIAVERESSAWPSVAGLVGDSTAGVCGVSPAMKDGGTPEEAVQRISLVERTLLLTEYLTGWIGR
MTLWQDIESAPKDGTIIDLWHPAYGRMTDCWWDDGEWAAFVSDGFTHWSRPTKPDGTPCIIMCEDEPA
MARSFSLRTGVALALSSFLLVSGCSDSGTPAAESTASDSSSASAEPVSHVKSFSPYKDYSGLESSVAEFFSYGCSHCQAFAPLIDGWSEKEGISVEYLPVVWSEETALQARIHYLLKEREDFKTQHYALFEQVAALGNQGTPEERQAALMGWLTSLGYEAQELSAKLFSPEMDTALNRIQKLGLYFQVRSTPTLVVAGRDKVLNDNLKSYEHLLEVATEQFRLNQ
MKRFVAKTVFLTRKFMISLAIYMIFMIFLYIYSSYFLHKLPSEARLFILSYLSYNLWFYISLISSALASVTISEDLEEKAHYIFLKSIYRNSFRNYVWNKVMIELTFLTILSIISSLILFITISSPVEKFTVDDYERLSILLLLLSTIPITSTIAFSSFIPDQKSALLFSVTLYFFGNVLIDYYLQKYAPHLMLYVLFTLPYYFPTAFHNWREIYFQTKIVYYGLYGTNLPYVKYCTILEVLILYAIVSAISMVVGIEFNLRKRIKD
MKTKEIAELSVDELRGRKRELRHEIFNLRLQQQSGQLEKPSLIRTLRREAARVETFLSQKIKAAAAKS
MYVAETGDDDAAGTMKAPFATIERARDALSGRTGASNPGLVYIREGVYQTSKTIEITGEAQSHVTYTAYPGEQVEPAGVTILAPDRFRRLSDVPAGEAKWSSKSRVPAAAAPHVFVSTWARRASPPERSTRTASTGSRSPTPPR
MTGWSRRNPWSPTAFMQACRREGEVETIAEPALGIARRIKAQGPDLPVLFSLEHLAATVDVPIGLLLSYVDREKDPYRVFSISKKRGPKSEASNRRTICVPEPLLSKTQCWIAAQILNNCKPHSSSTAYAPGSSLVNAVKEHCGARWLLKVDVKNFFESINELMVFETFSSLGYPRPLCFQMARICTRVFGTAQFEVSKARLGVLPQGAPSSPMLANLAVNELDEAISEIAIRRGWIYTRYADDLAFSTKRESSRHEAKELIFSIVDEIRSFGLSPNNRKTTISPPGARKVLLGLNVDTSRPRLTRRFKNNLETHVYAITNPSIGIKKHSEARQFRSEQSMIKHIAGLIAYARSIEPEYADKLYRRLTADAIST
MTISSEKKFLLFSGRPNRFRRVRSRASKKCKGAAGKRSTLGCSGVSGHLHYEKSAMPR
MITQPVNQITGPPFTSRRFVVRILLFALLILCHPLLCAQVNDETAETKAWREFTSQVEAAGVEILNTYPQPEALDRAEGLRYLLQQLGSSLQQRLIDQPGQISLLRVGATTINKWGLDGADAKYLVATISGSGSYRLYGQLGSARLFAMQLTRMSGTFAAYGALTGDQLKADKAGNFEVLISPHKPDDWQGVWLELNPDTDNLLIREYFGDWANERPGSYYLEQLNSGAADPPITTAQMATLLKDTASTFLSRAPQWQGRVEQARKYLENKVHMKKSGEQGLAANVYGSGWFNVGRGEALIIEMEAPDALLWSVQLGNVWWESIDYINHTASYNDYQAVVSSDGKYRFVLSHEDPGVPNWLDPAGHTEGALMFRMQETREIVNPQLKLIPVDKLAQHLPVDTTAVSREQRQHEISIRRQHAAIRWAP
MDDERAVAPRVLDNKRDASKYRVLVEIAARQPAVSQGEIADVLGVTSQAVSDYVRELVDRGYVEKRGRGRYEVTKEGVDWLITRTDALSEFVSRVSEDVLGSVDVDAAIAADPISEGDAVRLTMRDGVLHAIGDRRGGGAPAGTDAPTESDAPTESDAPATAVAVTDGAAGEAVGVTEFEGVVEYDPGVVTVIPVPVVTEGEPPSVDVVTDRVDESGFVAIAGTEAYALATRAGIRPEIRFGTVDAVPQAALRGLDVLLLVSSDELSRHTTQLRESGVRYEVHDPVGDSA
FRYKENFTKFGYETQIELIEKDALEAFENIQHQSFDMIFIDAAKAQSKKFFTLYAPLLKEGGIVVTDNVLYHGFVSDISVVRSRNVRQMVKKVQEYNEWL
MDRWLAGPQAWRLKCESNPLVVDLGYGGSPATAVELYSRIHAVCPDAHVTGIEIEPERVRIAKELEHDGLDFCVGGFEIPVPGNATMVRAFNVLRQYEEADVRLIWDTVCARLAPQGIFVDGTCDEIGRRSTWVALDSSGPQSLTISLRFGGFELPSDIAERLPKALIHHNLPGENVHSFLQALDKHWLAAAALAPFGNRQRWLRMCQDMYDAGWPVVRSPARWRLGELTVAWSAVDPAALSPYLLPAALPAEM
MRPPASFIRLWVPFVIRCRRHVRPALLEGTALRLLANASLLDDALSACRYTLPARRYSPCSQRQAYSCVVSPMDAPQRSAPSMLERPSSTIDAQLLRRAMPQRLPLCASFSPGFALISLFRYTHTCGSDFTLISSLRPTPIMRLGDRSSGRSDSPPLWHTRAHAHLTARAQG
MQSQIQCPQCGGAIHLNTRLLVSGETFSCVACGTSVRLSAESRQDVSQAVDGFDQLKAQQKNAGQAADKVLKNMH
MYQTYLYGQRGQERDETLALRWLERSAKLGDPEAQRTLAFRYEEKGDLAASYAWTKIFNNNADTTDFLKSLMTPKQISAGEKLYSTLEKTVTSKKSVLEQGLKNEAMIFSADIYRASPSTFNGVNTEERQNFVKTTIATAREHAKLKSRGSVVNYIIVAWHAKQKLPATKILDNEEVVKKLNNIDQGIDDTVSQVLDILEKA
MSEIGGDLLPQRFDVTVPAHADVVRCHTVRTWDSDSLSLVFDGSPKVVDQLLGQYGHQLADLTWDDDSVLVRDEQWGMGKCFPDGLKGKCLYLKFQTNIGYCAAVAEKLPNRMVRFSFSVDKV
MTSDTTYPVGDLLSNVPRHSASLWTVYSLEAVPGLKLGAGLYYMGSREATLPNTFRLGSYLRTDAMASYERGPWKTQLNVYNLFNRKYYTGGSAGTFNYTLLPSPPLEAQITVSYRF
MPFTDKSNTYPVGNTLGGIPLHSGSLWTTYTFQNGPLDGFGFGGGIFAATEAYGYLDDLYTVPGFVRTDAVIFYKKDRLRASVNFKNLFDVRSFDGSTGGVVDPADPFTVQGTISWEL
MQADSGVPEYHADGNPSYRQQWIAEAAYYKAEARGFKLGYETVDWLAAEQDYIAMLIESFLAVSKDEGEMSIAGLRQLAKAVGVSEPENIFSETELICLIQTASQKRPCFRTQIGGVCQDKTSCHWSIECQKLIAEWCR
MRRFDGPYEDLIRPHDWSMAGGGSGGDGITTLPHLQELIVGGPVEATGISETASRKRIFSCRPTAPSEDEPCAREIIRRLASQAYRRPLADDEVDGMMRFYREGEEAGGFEQGVRAALEAILASPFFVLR
MDPATYQEILRFGETVCSSLSCETVQKRMLPDFVRLTGGDMAGVCLLDHRSRFTLVSVLSVSPTLLYEIGEVSGPTECPLVRRANAVRFPVYDTMLFSDGRTYASTSQGAALVRYGFEHCLSAPLIHNGRLIGVVSIGREAGRPPYTMREAQIASHLSRFATIALANAEAHEATATATAKTEDRGPSVVETIRFSEAQRAAVRMAHRNVSAEVRDALSARELQVFELLVDGLTNAEIGHELGIALNTVKQHVRHIYEKLGARSRVEAVRMSAPAAAA
MVKEEEMMAEAGGGRGYMDLLGLGEEDYLLCLSPPSYFTPSVVSATATTTAAASASTCCAASYLDLDLAPAYHHTLSFGGQDQEQQHHGDDGGCSAEVQPDHRVLLLPLVHVALAARDSRLRRRLLPQATGFQEEEGGVKEQCVCRRSSCYEQEAQGEEGEARGEDRSSAAAGLSVRKV
MFFTRTLLSEAASEVAAATKSKASNNLYQVLGSLPQNGVGARVAPSKYINNPTLKDSYYEVTKVNLKEGTTHGRAWGVQILKGRTLQDGKPVEIRGGLKLKWKEYKA
MEQVESSASRHRHAVSCPVGGAGSQQPNPSVHQQQGCPPQAGCDATASPRPNPAPASQRTPVRGRLGRNYDASSMIHSLQLARHNADVDRAAAVAADSYEPEGQYEESCKQEPDESLRDYVRQFSKECNSLRNISVFLSKTTCKSLVHKLGRRKPRTTRDILDIAMNHASGEEAVGAVFNDGRTMGKAKR
MTKLTNEVLNKVIEFPGGKIDNKFVEAAVGVGLLAVVGGVLFTGFKYLTNSHVRKDINDVMKNRQKGETE
MVIGALTVAQAQKQTGVIATQATSQVQPRLILQDPAAFEQATQPALRRSMEMVSEPTALMEREKARELWENLSLEPEIAQERQAERKRLLSRAEQLARETAAAKARSVALEAELARVKEDRLNHPVVYAGAAGIVALGVMWVLERRKRLQQQEKELEAWAQLSSVPPASKVQEALLNQESGNHLNDPSSVFSLEEPSDFGEAHLADARANVQDQAVQAKPEWAQSPADKKSEVVAQAGHSSKDVSDQLASPGFLTKTKHVLGQLWTRPSQRGALHSSGDSTQSHGSQHTENFEYSTLMHSDTEVNTRLIPRENMRADSPFEGIPPSAKLSSKIIHSAEQDNIDLLTSIRAKRRIGESAMEHLLELRMASSGLNALGRPMAAIDLLQAHIDADPQTCAWAYLECMQLCEKMDEREIFESMRQRYRQHFNRMAPYWYEPNASVIGLEGYARAASELCTAWSQGYQHTREVLASWLAGPLLGRKLVQLPAYHDLFDLYEMLEFLELGANAETLAATSQAPAMFGQSQRKSPEQNHFVEAGETAFEFVPTVSLLDLDYEFSSDVTLQEGEVEQSEKAVTIVKPGNFSVDFNVAGTQLGGLFSIPAELDKK
MRYPSLHYLLLCSQTFLNVDQFRIQMHRLLQDIDLALNGEMAVGRSGGLELARARVAMAWEAWRLSARLTRMGNVSRPGPCHKKRSGRTISFPFIACLPCSHSSTDAQSLAKGYVENTVAARVCKFHERWPLASHPFKASTFSSLTMLSRMRSADLSVTKECHTRVKTLR
KGLRRDLDASREAKKQLEAEQQKLEEQNKISEASRKGLRRDLDASREAKKQVEKAX
MFNIAVHVEIPVTEVGEGIKVAKFQDPEGSRIALKERWPAALLIISQPVL
SALVEGSLALGATDDQTLWHMVLPSAWPAFAQAFLVAVGRALGETIAVQMVIGGQSRLTSLLKPSATLTSQLLTDLALMPPKTPGHDALDFMAIWLVLLMAVLVGLQYRMRRGLGG
MAFVWGKRDLQTALALKQRLKALKVSYERIAGDNWDAFVNAFSDTGDQWVGKQHTKAIEGNNCRIRHRLSRAVRRSCCFSKSMFYHVKSFNIGF
MSASLKMFFDRFPPLSISVDVIEMMQLGHPPSLGHPPSLERDLLLA
MKRFVPSRRPRRSGMTLIELMVAIVLSTIVLGAMQAALHTHWKLRDAGTSQVETARVARAIHQDFVGDIRGLRPLPSEPSAAAESVSSGQLSIPDTPHFEERLLNIRPLQFVEPVGVYLRPRVVAFGCLKQNHRFQTVDQPEETKRACQTIWWWNDGRADTEVPIAKAQEKVFRVTLKGSRWPRGLIRLQIPVGLTKRPLGTSSPEAAEIRAAGGRVDVIDAADREISDVRLRCLAGETWSDSWDSSRSGQYPRAIELTLTVGTETLRLVSRTDAHD
MKKTDEDKHALLLRNSQVSMEDGTATSFTRDDSLSAKLADSVKEAEKDFDIALLSLAMVEGHAKEQVISGLPYIRSQVSLALKKVLEKMEKEWEGKEEKLGSTNFALRVVNLKIVLAEYRNILERINITVANYTGRGIAEFKGLINIAKEEGLDFTHELLASLEEKDVAEVTQGRGKSSNSIVKGSNKDDEVDAIKPKPPKSPKPPKPPKPPKSPKPPKPPKPPKLLKDATEESKELKNSTNPLVLKSSKTNEEEVEMVDIKPMSNSNDDDDNDLQVNEQSLDKNI
MGSKHSAVSRQPSAVSRQPSAKVTQHYSNAYLLSAMQSASGGNHASRSWFVRVRLWRGLRPSVAKGDSYRKIVEPCQPCKARVGETTPVAHGGDPQDRTGSPRPRCIAINKYLTLFCITC
MNSLYTYLFLAALLFSLGIFGVFQRRSLIGMLIAIELMLSGASINFMAFNR
MVTLAKLRPKPVKTVNAGFPYVNHKKSGRLSFESYSHSPPTISPSAFISNSAGGWRNRLRLVLDTGGILFLEIN
MVPVVIDVQGVEIEPNDCPLEKELNLKLTINASQKVPNAQWTVNYLVDTVHARKIISPFKNDMERNYAIIRTNMGLGHESMQRMFTEVWYAATNTLARMRIGNGDFGSMIREKRKVVTVVVVRVTIRKAHDAE
MKNKIFKMSVLAVSLSLAACKPETKTAAEIAPQSVAATDTVQRVSLTYKSAENYFINNTVQETVPEKITTQEAFDMYFGKATTMAENGLPTPVDFSKEFVIVADFGETSRSVDMRPVSLEKAGDDLVFLIQRQKARKQVLPVVPS
MNDKSSRNFPEHTRSLKSASVAPSAASGSATAASEPLSTPVVKHDWHRILLYAAIIVATGLFVASPAFHGTWLWDDDQEITANGALQSWAGLKEIWKGNVGADYLPLKTTILWIEWHIWKFDNTCYHIINSIWHVINALLVWQLFSLLRIRWAWIGGLLFAIHPVLVESVAWVSEQKNTISLIFMLLSAITFIKYHEGGKTGNLVASLILFVAALLSKSAVIVLPFAFVLYKWWKDDSLELRDTPIFMALASFCAAVACGTIVAMRWLVGPDTISSQANSTSSLIKEIIAPSGSLKFAAGMLVALVITWGFVQLWNKKKGPQWRAVRHLVLSTAPFFAMAIIIALVTVYFQHKNAIGSEVIPVGGRWSRIVIAGAAVFFYLKQCVWPFDPYPLMPIYPRWEVPENPPLWMFLPWVAFIALVILFWVYRKTWGKHLILGLGFFFGFLVPVLGFVDMSYMRITWVADHFLYISVIGVIGLMIAGAAKLYDCVSDKGKPWAMGAGIAALVLVAFNGNLYSDVFLNETNMWRYTLSKNPDAWQAHSRYGKVLLDSGNRDAAYYHIQQSNRLRPDLAETNNNMGVLLLQKGRNAEALPYFRRAMRLMPIGAFMVNYANALTQVQQGAEAAPVYERILRAEGGPNFTQMLRERGSQTLQQLLSERGNVTIGDLVNSPDGAALGKLLKAKCGDSYERVLGLKGGAALAELIKLRVSDPLDDLRKAKTVDTLENLVKEESGAALEKRLAEKGILNDLLKLDAGGEFKEIFTLKGSAAYLALRKMRGSDAYESMILDMREEPLAHLLEMRGGDLFGKLIKADQREAFSLLRQMNGTEAFDVVCEMMGGDPVTRLIEIDGGNPIIWTNYGVALMQANRRADAVLALQKALRINPNLADARRNLDIAQKMQTGTAAPALINPAGLGIGGTGIQQPMQIPSIFLNK
MADDKTKTDDGDRRRVAGNQGYEVTYFARKHGITAKEAREIIERVGNDRKKLNAEAKKMSVKRSGEREGNGRPSRDTNQPAHGDRQGADSASSNSIGKAAALGGVVAAGALLWSRRTQISDQVSKIVERVSGSRDKRDVNDNSAARDESDDTMVSSAGSRTGNGDRSQAEIAQEALSLKETGRT
MPKLIGYAPTGLVYNPRRNSWGLRVGFDPTAAAGFVEVSPDSGLLVHGADVKRLFEEDEVLVPAKFLADQNRPELLDRSCTMHHLLFAYHQVVMVNGLWSESLLEPPSDPGWITDLGVFPPRGVFHTCGARSYLSQDQAELLQRQRAIQMQPANCMLA
MFFRKNRILISVIWLWSFAACGSKDPSLQKEYFLAKGEYAGGRLDSAYNHFMKIQKQDDDFEDVPLYLAKIEFYRGKFEHSSEILEKAVTHKQYGYQAKLLKIKTDYVSGKDRKRLLEDVNEILLWDSGNLDILLIAAMVNLELEKVPEAILNYERILNESSKIGYAHQSLSKIFEKAGISERAAHHRQQEKHFITDVRKETSETATVITKTKKSRRNK
MEIIGAAALVAGALVAVAWLLVRNGGLSGGSATTAQRDEQGRLLGERQADLARLEERLNARASELDRRESEQNRREDVLDGQRDKLLEREGETAQALERACGMSAAQARKTLLDEVQEQAHQDRARLLRQIEEETKRTAERKVRNILSVAMQRLAASHAAETTVSVVQLPSDDMKG
MRITTLAAVSLFFFSCSEQLEKVHSIASEVSYPTLIGKDVYVIFSDSAKKQISVQAKVLNKYTLGEEPYYEFKEGIYVQFFDSTENVESTISANYAKFLEEKNMWIARDNVVAKNMLKGDQLKTEELFWNSEEKRIYSDKFTEITTIDGTFIGENGFESNQNLTKWKLIGSKGTVNVKDEP
MTPLSALKVRKSVFLILLAGFALTWMPGCENSIETINTLTRNPSFPTLSRNDTEIRYTDSARLKIVVYAPRLERYTNTDKPYIEFPKGIHVDFYNDSAQIESTITAKYARYDEKEKIWTARNDVVARNIIKNEQLNTEEIFWDENKKIIYSLKFTRIMTSDGIFYGDGGFEADQEFTRWKLKKTRGSVNVKDEE
MLRSIHRNTMVALLFAGSAILLFSCGHDAAPVEYHDEGLMTEYSENFVRTESENGRKAFIFKAPLVEGYAYAKEPYREFRKGVDMTTFHKDSADVVDTRLTANYAIYYENRDLWEAKGNVVVKKSNGQELYSQQLFWNAKTHRIWSNVDTKIVQEAGRGDMIVEGFESDESLKDWTFRRIKGRMLVNVEPTPVDDSDSGESAE
MRSRADRGEEPVERRRPGDGLLLGAILLLGLVLRLFYLREIAADPTFEYPLRDAGFHDYWARALVSGDWTPPHGQPDPRIPHVPFLRPPGYPYFLAGIYALTGGSHLAARIVQMLLGLLGAGLAYRLGRVLLGRAAGLFLAAFCATSWVALFYEGD
VLISSSLKQHEACQSVPRSPQHRHQLYSNEQQQPTLQAQQANDPSRVVGSYQ
MYTKLEPVTLRGGETVEAGIVTGPDAEWAPRLVSLLQHKGRLWNWQNASVLEQDLGIDVNFYILHRDGTPFSNIMTSTYKGVGLFGHVWTNADDRQQGASSTVMARQMTHFAQMSGKALFLGTGWQSVAFRMYEKFGFRSIGGESGYMTYFADAADAFEAAYFAPGPTEIVPLDWRHWPASQALFLSANPTAVRAASMGLIGPGLTEGPLLPVLHDAERRAAEGKPARAVVNQLTESGAMVGLAMWDWHPIWPEVCLVDLYCHPDFWTDADNLLARLTLPDAAHYIAYADADAPAKCQALQNIGFQQGARLHQRALATVSAEKRFADVLEFTR
MDFRVKVIKNYKFLKSVLKIPETSDNAPVVNNNCKRVSDDTPTSTPSVNYRRPGPKSSKTKPSKTMSSTIIGPELEPKTSTIVGSDLSPSITPTPSFSSEKTEVEPSTDRIWNPTPSENIIFSDATTTQSHKSSNQGNISDSSGSTVLLDKSRNSNSVTPNCDTNSMELDRQRTALEETIAFINGVNLDGLQPEKSKAKRGRGRPPRISLICEHCNRPFLKSTDYKNHKLLHKRLGAKIYLCKYCGMAYGSDYEVTLHVKFYHQFSSVEVLKQKELEQKTLLTPLSPTPALNTAASSSDNLDLIESADPPP
MHDELEKSRHNPHYELTSIPSTFSIPEQPSIDTNTILQKQYQDIKDKLEQRINDLHLKENECVTLKAKVDTYESKEKDLQHYISILKESILIKDQQVNMIQSEINDLRTRLKEKDSIIEKKNSKIQSTRLDRQQCEYDLQETKQQLDIRDRKINVLNRKIENLEEQLRDKSTQIALIRAKLTAVTTTASTINVQQQTSITTNTLVSNLETTIAEKERLIEKLREQKHTLDMEHQEEIEQLQKTLNDTRLKLEQKEKDYYEGQVSFFVYFIKSET
MAYIVLITTLKGIVFDILTHPLVTLAIALPYVVAIALRNFSKGQINKIIFDDRHNANIRGRFDTVDNIKESMRSSIASSISHEFKGLMEIRDEKV
LLLAMILLVAALTANAQGGFGAQGGLPGLGGFSGQGGLGSGGFGGQGGVRGPGNLGGQGSFGSSLGGLGGGGQDGFGGFGGQGGRRQGGFGGQGGRGQGGF
MYMPPSVAVKEKAKMVDQVQHPMESICKPKSEGGLGFRKAEDSNKAMLSKTAWALTKSNNSLAARAMKAKYGNFLKPSNRSSPPPIWKGLQWCKDTIQAGTFFSVGNGSSISAWLDPWIPGTHDHKPSPNSNVIPNPELKGNEQISTKPSKESKATTPSTSEGDKPQETKKEAPPRHLCSLILHKSPQAPTGASSARTRLGESPPHAYQESFTTRAVLQHSQGSRFHTRTRRSKQRPELHSLHSQ
HRMSTSSHQILVEPSCRQLRMLIQRHLAKGCRCCLHVVAVSAPSSSNIASRTIPLCSNPDDSCLSESSASSDKCLPMSASDLSILDEAAVKAGDDEYNFDDDCEAAGLLNLLSLSKLTDNNCDEFVNNDGSASAGRASSTPVPPGASPCAAEPELELSMAEPLNSATGQDSLMESVPNRSLLCKYRLYCSRR
MCQLFETIKYCDGKFYDLSFHNERMNNARKILFDRNDKIKLENILSMQIIEGNKAVKVNVYYSKEIEEVKYSVYESKKIERLKMIICDEIEYEFKYSDRRIFDELKEKAFCEENEEILIIKNNFITDTSFTNVAFYDGKKWFTPSTPILKGTKRAQLLKDGIMTEFELKKEDLNKFISVKLFNAMLDFDNCPTLPTTSIY
MKRPIMRRAALPVVMLAMAGCAGSGVFGRAPPGWHDAVVERLGSHAALAAEADLDCSAGVVSDAPFVVARYHRAGVHSRSIGRLLGPSDPPLHVGQKVRISIHDCSAPFVPVSAASPT
MLRGAAPPLREPAPFGPVVDALRAAGRWLPPASEIDPAAHALGLLLPSLGPLLPADPAPGQDAPAERGRRGGAVRAVLDAVSPVTLVVDDVHWADQATRELMLLLARNLPAGLGLLLTYRREELPPGRPVLGTAFRTPPGTGSADLVLRPLTEHDLGELAAAALGPAAGRELAAALFEHSAALPLIAEEDLLTILERRRHHAADAAGFEEELARTEVPRALREAVLERLAELPPHAVAVVEAAAMLGVPASQSVLTEVAGLPPRQAADGLTLALRAAALHELSPTRYTLRHTLAQQVVYQHILGPRRESLHRQALALLRLQSPPPLVQIAHHTRALSDRRGWLEQASAAADQAVAMGDDGTATRLLNEVLAEPRIDGELRTRASLALSRIAYNSVDYAAAGRRLRGLVVDPALARATRGEIRLGLGLLMVNQAGDVAGFRELEQAADELAERPELAARALVALALIGGHHPVEDRLAWMARAERSVADTGTEAARATVRASRLTLMVQVGDPAVWELLGDLARRAEDREVVRQSARALHNVADVAIVLGLDRRAAPLLVEAEELARESGDRVVQCLSAMTLLVLEWHAGRWEGLPERFAALAAQYPTMAYIESIARIVHAQLAALRGHWDSAIEQLGSLAEEIDHGQLAEECVATAWLARARLAQGDPGAAWAAITPLLDVVRHGAGWAQTSGLVATAVQTALALRDRPGAEELTAALRRTVEQRADRAPALEADLELCRGLLARPTTAETTAGPGSDPGAGVGAGVGAPVARAAEHFGRAQLLLKEIGRPYPAARAAEEAARTLLVEDREQGAARLEEVGRGP
NWRMTSAAPQQAGVATTRSVLSIGEGGFWEGTVKGRTGWFPADCVEEVQMRQYDTRHETREDRTKRLFRHYTVGSYDSLTSHSDYVIDDKVAIL
MAQEQKQESLNLEEVGADVASQPGNPESIPLLKISKVATDPNLPEEQKTLANIDEILALESIAPAVFDDEDDDTDDGYPRDVDKGWAWVVLLGGFLAFSLLSGPLASYLILRFGCRITIMIGGICASIGYLGTAGAPNLGVAIFTYGILAALGLSLNFSGWVIALGTYFQQKHSIATALAMTGFGCGLFFLGPAVEACVSFYSWRGSCLINAGLALHFCVFGALVFPIVVVTQHEDEEEEQEKKESLEEEKVEEKKEDGETKGDAEKKKEAQEKEAVQQLIQFNSVTIVPGVARVPEMQPLHRRSQSASSLGPRTASSGPSSLHGSIRDIKFSPAAAYLSHSIRSLTEATRQQSQMGASSDSFMSLPAWRSNESFNGIELSFRERSQSFREEVLRHPFFRHPVANPEEMEDVDVMEDIKKMIETPIASLFSTKRRKDLEEEDEPSASVPEVVVEAKLEDVEKVKKKKKKKKFHAPYLTVPIGGAGVIEEVDENIGSTTNVEMIRRVSREVVNREPQPASKGSPDHPPAPVDPLPRISEKANEKNFGGASKPPPEASKLTKKPAELHRSDPTLATKAEATLHSKGEEATTLSAAEEQEIRGRFRITPIQDEETERAENQQLDNAVFVEISRAKSHDVEMGIRRDGSCDHIDESPLHPKQEGIGLFADASSVRPNPEIQQTTKAFDDPCRQQPVGATGSTVTSMLAECGSETHTDLGATLTALASPTLPPKGQLKPPCSHIQRQVILEQHLCDLSLLTDVRFWCFNVSGFMWIMGTLTLYVMYKDFAVSRNIEERFIYALSGIGLGDLIGRLFSGTFASWSFIDSVLIYALIQLVCGAVILYHMVIVTVEQLIFLCTAMGMCYGMQNVLLAVAPGEVYGPRNLTMVFGYILFWCGLGALVGPPVAGFLEAKYSYDAAFIFAGVSTLSGGLATLTCFFVDRHHKRRELKMRKDQHLLSLVNSPAKSPAHMPADMQDPHVETQLEKNNGKV
MDQLIRGWHTPVACPRYHQALPCQRRGNITLSLHWPIYPVVSIAEMGPYESDPSGREQTKPEQFEDDEHEVESILGKQEYKRDISATKSTEYLILYLRGVTKLEMTSGSGNLDLLVRHLP
MKGEKVTVNESDEKIEHLVYVTKSGKKYHRESCRYNKNTRAMSVGEAKDRGLEACKVCKP
MLFQRMNSNGTEEADEKIYGRGTSDMKSGLVACALAMVSLNEEGLPKKEEIILFATVGEEAGAVGARRLT
MRPILISFVLFTAFSLFGADQSDPRFEKWLNRSQNIYATHHMIAYVRLAHLDSKGDRSGEAEFRYDRYPDKIERISRNDVSFVRKKGQKWIQSDDWGETGEPGEPEDLKLIQVVISYADLPLRTKGESHDKSQGAIVVRVVDQRKTKERDEEIIFEQGREHQNPDLNYPKYTFFRYKDADPDDVVLSEFSGPVYDSGGGKVQLDVRYDYMIAVKMEAITPAPSAPDKNASDEKAAGPTRGKSVSLPPPISDQIYTFAQIEKQKAELKDKIVRIEIRYLLGEPSDLLGDGTQRYIVKDTSKGATPYGQVAFPREGLEKMGLA
MRHSTKPFAALFLAVLAFAMPWWGCASTKSGAKEQETETVQPSSKGAPVDTAIFEETAVFTYPAVVVNRTINLRKGPGTNYDIAGKLSGGQTVTVVATSGEWVKVLVDRDNQMGWVHRSLVRRKK
MARLLEENPYSNTKTGLLGDQRDTMLINEVLRKLSAMRGWPDIQLGKQKSKSAPRERDFIAMNTAATQSGIVTAKEVNSFFKMHDIRKKVPKKSTTKSKSFESMVHGVKSRCLFLMSFSPSTPISYVIEHKYQTDYINEMYNKKHDKDGLLKKVIRSI
MPQELLRFPPSYLTVGVYRLATDGKIQRAIVVEFSTIVKRLVILSIVYCLLSYPVTKVWVKYFYQTRRASQDGASSYHIRGRFSLFNNLTTISLVLSQLSSIIEFFLLRKLKKTRQKLYQFTIQSRAKSDQFWIPYVEEWQNPPIDKAKRRISKWKWYRTITGPIFRLLILKAYGYMFVVMLLPLNFVPFLNTIVGSFLVSVTLSERLLEPYFRTKKMSEIERATFMVERENWLRWLGFCAAVLEKIPILGILFSFSNRIAFAMLAHDLEKHQHAIKQGLIPKRSIPYASKTAAIELDLPPEAIGNFPKKKS
MSQSLTDQRYGFRSIVGLLIFLGCAGLVVFDSVVRLGAAGPDMEIAFTRGLSTAADFDDTVMEIVRRMQAESDLVVTLRGHTGTLGDADINKELGRDRAQKVKDSIVAQGIVPGRISVTGVGGEEPLSQEGGESGRVYQRRLARVQAVFEIGDVR
MSGRQRHAANRLPGVEDIECRGRWARFRMARRRQRIYLPGVIHQKRKIDRSQYSINNPQPLPLPLPPRFHSLYER
MEEGEQQYGINHWQPWVYASVSILILSLVGLLGILLVPIVNRVMYNHLLQFLVAMAAGSLAGDALLHLLPMALGLHGHDGGSHSHHHAEVHNHSAANSTKEHHHAHEDQDHRTIIWKCLVCFGGIYAFFLIERLMGLFREFKNRKEVTHLSSTTVEKRTPTNNGQLHDRCHTHVVGFKLSGTTANQCVMVCDSEADKNGLPVDQMAMQSLLCGKEEPNSNSLAPEKEELNNHHGHSHSHGHHHQAPTNIASVAWMVVLGDGIHNLIDGLSVGVAFKTVSGGLSTSLAVLLHEIPHELGDFAVLLRAGMSVKMAVFYNLVSSVISFIGMIIGVTLGQLHNTTGSWIFALAAGGFLYIALVDLLPELRPMEAKKGESPLWHLLLQNFGLLTGVAIMVVIAIFEHDIERAIGGS
MAVRPDAIISRFLTRWLISPAKSSSLSSLCLRPVTSRKTLNLIRLTIHSSSPLPRAGSQRRSSPTMMRKSISTGPMTARVALNAARTRSRSAEWIYAERSSNVTSQSIGMPQRACALSSIAGASVSTCHGHRVTPAASTAQISVCGSHSRPSDLALLPRCTLPAHAVRDRPVPVGSMCRISSPHGAVPGAAFASMQIEWTASPCRAGQTRRWPRVSFRAK
MHRDKVRLAREERFSLVSETHDQTSLNSAFVALSFSEMHAVFGIPDKAVSLLSFLSILLSYNISSIMKVFFTNLLSEIAKKINRTSTKEIRLILS
MSRCPTSTSSGHRNVTFQEETTATIKEINKKSDSIGTNSEISLVSAVTIE
MNPSPYPDSWKKICGFNEEKLLFYLSLSDDPKWFLHVFVESWKINGSFNFAIEMAVDVMKEYPKDPRKGNLNDD
MLPTSTKPFSICNEFGCNNLTKDSYCEEHKHIQQEKERKRYKRYNVERDPVLVKFYNSKEWRALRDYVMMINNYLCVQCLLTPAEEVDHIIPIRVDWSLRLTINNCQPLCHACHRKKTAEDVKKYGRGEVRNFQKQVAENPPPVDRATFYDFRIGGS
GALIAAAFYLLFYESTHLITTDTEAASTALWGSMALIGFITAPILELLVWMMVPRSPQLPTTATTEGTKVGQLVEVTDLSVRTRVLSGVLLGDFIHNLVDGLFIGTAFLACGNKMGWSVTAATVYHELAQELSDFVVLTDPKQGGLSPVKALLCNWVSGLSVVLGAIIILTVDVDNRAMGMLLSYGGGVYLQIGASECMPKFYSSASKSKLLHFAGIAAFCIGCLAIGLVLFDHDHCVAAPSGATGAAATGGAHAGHNHGR
DEEMLEEGKTIPMMDTEGNRLLGSVVDVKDDVVSMDSNNPLAGE
MSYQNIFSYLTYAYKEKFRQVDFLIFFSLLIFFLIQKSISFEALSFIGLFLLISSHAFEADRRPYTFN
AEENHITAQKAELLLPESIRADRDEPGIRYMCGKIPLDISHEYMPQIEFMCQISGDGDDYRVISLFNPQLSAESPHTELSKQFVGTVKMWLRAGNTIEYAVNGDFFDWGKTAVSGAGEEAELNGGLLLSFSPQTAFNGGHYQYYYHHGSCAV
MSWLLLSCAIASEVGGTLSLRVAATGRRAFYGVVAGGYLMAFVFLSASLAMGMPLGVAYGIWTAVGIVLTVLLSKLLFGESLTAVMGLGIALIVGGVLLIELGAAV
MLRAHTARALHATPAAVLLVAAILTEVSASMSLPIAAHHPALYGVVAVGFAGSFAFLTLALRRGMLLGVAYGVWGASGVAATAMASALIYGERLTAPKLWGLALVVVGVLAIELGARRGDEAR
MQQLHGREFSIEQEVSLQFTEASWNGFMRILFQIPAEVKKQLPIDPRTSDPRTTLQKTLESDIWAIKEGNNLLLLSRCFVLPCTLVRKDTGSVLRVHSCRQTSDGDAVTAFNEGVLIKASWEILLPEDEFIPTPSAEALYSFFFPEESASESHYYKSERPAQPAAAPAPPPVVQPAAAPMVKPAVSPVAEVVSERPSAPQPKPWVEIVKAAPSSPVVESDPAPAFDEFVASAMPVAPTPVMPSTPAAEQAPVFFKEAAIPAEVGASSVPGFEMNAQSAPQTEELHFDLSVGGDLSNGSAVPEQEQSDSLFSLDVKANSAPFISGNTSAQTANAQPANNILDGWVFPRENEATKNVESVSEKLVSEPSAGSADYNDKGKVKIKYSESYAVEGDVSQDVADRLLKKPGYSVPEVAASSEGRAMNDSMKDVAGNRIKPSSYSAVSMSQKSIDDLFRQFAPNNDSDDPKPADSNLNSNSNSLSQPFARQVPSNPDTMAQFQRSVLPAQPFLPEQPQQQQPPQPRPFNAQPVNRTMPEPSTSQPGLRTPSLGQSQVQPFQTNRMGMAPLPVTSGKTTAPIMAGPAKDEGEPIMSQKQIDDMIAKLKGGQ
MQTILLLLLIYPIMICLSFTSSLGARLFIAVVDGLVPDPVPFADEILTCTGVLVKIIKIRHLLRLLNTILKVGLIILGMIVIGMLITYMVS
METNDLQTLHTLPKPKLLQNSRDRVNTYAYPCEGIICDYTIFTAQYAAQHGICRVT
MNATTEPSDILQNANYNLTLNALPNIVITILYLFLGIIGNTVIIYVYAFATKSNIHNKYFILVLAIVDIIACVVNCVFTIVLDFMQLTFTSDGFCKLLRVLCHVTALMSAFILLVIAIQRYLLVCRPFGRQMTPSVRKLFVVLSVILSSILGFPAAIFYGIADVSHLGVNGTACKYTENYVGSPKLLIYNYIFMLTCVFGIIAVCVLYFQVVRRIYKQRNKFPKGRKSSKNEKEETTDFSTTDSEMQSESRLVDIINQEKLGGNIKRKTSYNPFARFSSRSNKISHTEGTMLTNTEMPSETGLESNSSRSLDRSIKRKMSITATAKQRVRSKSKKIKASLKYHRVSLMFITITVVCFASYIPLRSLELMEVQDKDFVEKTFGNFLPLYRFLYTSYILNNIVNPLIYGLFDKEFRKRLKNIFVK
MATDPIKNITENDFSVLNAWNDKLASFLIGNSTVLCVYLFLGVTGNILIIFIYSFRMKGMKDDRYFIPYLAGMDLIACMFGASYAMALNLLPVRFHGDYLCKSLWFANQAASLTAGFMLLVIAIQRYLKVCRPFKDNMTLFWKKLALFLVVATGILLAAPCWIFYGEIEIRNEDLNITGYRCSEKGKESHRTMILAYNILLFVVAVGGILCISGFYVLVGTTIYRQVRYRKRVSISNTKKRRKPSGDSSSATQSEVVRRCYRDSLDIDSPAFDSCRSPSEYFDYEADDKRTSRPSFGNALMVPLQGEPVRRRSFAEELERPIKALRQQLPKVRSHFTTHRCSWMFMLITIVFIVAFIPRITLMVLESVDKDFWNNLTDSEIVFYLFLYRVYLITNVTNPFFYGLFDKSLRRELKSMCCKDDKRKKNKK
MNKRKRSTTPLNSNYCLLINTKTTIKCLDPSLLVYFIASVCGDNPAPAYQPSPAPAYQPSPAPAYKSAPAPAYQPAPAPAYKAAPVPAYQPTPAPAYKAASSPAYQSATYDESPKPYNYQYAVSDDYAGVSFSAQENSDAKVISGSYTVALPDGRIQTVSYTVDGYSGYVADVRYEGTASYPKYEPKSTQTYNPAPAYKSAPAPAYKSAPAPAYESAPAPTYKSAPAPAYQSAPAYKSAPAYKSAPAPAYKPAPAPAPAYSPAPTPSYKSAPTPAYKPAPSPAPAYQA
MESELVGMQHGDIRLETGKGGLEKEPGRSGHRPLTTTRRSSPSPSPDGRLGQEQEQGARERAILRGG
MTRRLLLSYLSLTVVVLLGLEITLGAVFTRRQVSDFPASVQRDAVMVAELIEKDLSAGNPAGVTEIVTHYTDCMGGRVVVFDRAGRIVTDSAAGGLAAPARNGPRGGDGDEKVGRAVSAALRDHPTVGTIRDRAGGEELMSAAQPVTVASKVQGAERCGSPRRPRTPHPHPDRLDRTGLHRRRRAPSRDRDRLRARTLDHPAPGRPGAGDRTAGRRFPHRPSGRGPGPARTPPPGRLLQPHRHPAAASPACPARLRRRSVRPAQKPPHRAAPPAGDARVRPRAPRLRHPRRGHRRDRQAQRHGPGPARLGCGVQGRCGHGVWVASCSATYRAGSLTLSGIEKVAAPP
MASLVRAMVNGTAVVNATKVQELLNDVDAAMDWKNDPLRYLWATASAVSTFMWFGFAGDNLQYYRMNGGLAYAGGATNRSVWAESFGKSGVFLLAYRACATAACAYYEVREKIAPRLTVNAETEMYGLDMSYFLNLHDWTVHSVTLFFFFATLASLAGMCSRTATHDHYLGHSGRCNTLGHWVMALYTIAFTMSVSFLVIVLFEAAFAPQCVPSSARGKLTTFLDPASRLCYLEPQYMIGYLGNVLLLLIEASLGRLVFPKCYMSQPIFYASTFFIIGQFNVMVLNGDWAYQWTNYWRRETVVYLNAFLCLVMFTHLVLVKFLRANSNSKLAKNVEERVPLFM
MPFRAVFKRSGRNNLKAWVRVSHKEDFKLGFNFGSDKLKIKILIRSE
MRNPLRTMKRRLTPAGGTASGRGAGTRDPLARVKRTARSAARSPKGRDLLSRSTRRTSPSGRRPSTRRGPMGWLDSLSRGRKPRL
MSSAALDAGVDPAIHFGGWTRLQRRALVMAVGASEAAYADAKAAATPPVKKAPARPPVDVAPAKAVSPAPSTVTQPASERSAGPAEEKGGTTHLEPAERAAPAEVATLAARSRCSRFCRALRARAALVTPFFFRSPWATWTREHAEAAAAAMNYVSALAFYAAMGRGELQ
MAFATLALRKGSRCILSPGECKARLAGKLGSGPAFANTTRVCYGYACSHPYEDNEVKEENGGPDAEEEDDDDATKDAYGARRREPRCGEERQNSNAEERDESSNAEKKDERMRKMRDPTRRSRAADRLVALPARCLTTVQSRN
MMDESIHSSLNRALNSKEGTPSSEESGWTMYFEDFLASERKEEDGCSFSIAGGSSISDAASCVAWKPSAGAETTKSCKKLSFKKRKAKGVLDDDSLEDTASSPVNSPKVSDLNRSDVQPNKKDDDHKGIPQEEGVGCGNDLELKRDVVNELGFIEGTNECTELKKRGLCLLPWSMLVNYFG
MELIQAPSSKLFPSFRSLLRERDGWSNCNAQEPLEEQEELNDDEEEDDEDETDISILDKESLQKIIEGLQRHLLKEKQEKFLMESEIRQNVVGEMIEQYNTMERHWREQLEEQKDSLEDAFEAKMKNYQEYVKIYYQLSSEEDSDDSGDEMCDGKAMSQKIRELEATIQQLKAERKSAGRPTRQSARLANTKLSDELHNLKLELVNVKTELEQIKVEAATSAEGECSLD
MTFSGWGGQDCPDRRRPIPFQTGVEEGDQTPSHSQQVTGGCTRTKLRNAVVTITKLTRWGCSKGWEFNKGWSKVMMRMKDAWEMMLEDGTYLPWRIYWRRRP
MAATRAQAEASHSTPSSTPAKDVSSTPPTGSAAPARRTTTRTRKIPSRLLPSPSPPPSRPSSYHNPSKAARGGKEGGSLPTTPMTNPGGSMGGSSRAKKSSRNSVGSAANTPASGTELGTSSSALADGDEDTSAETLGLGKRKRRPSSMIQAPSTLTASDAPSPSAQQVGKGKKRSDTTSAELEKDRGSVTRGVPPSTTAKSRGRLSRGGSERRSGQSALLEQNPDDSDGGFYAGSAMEDSDLELEVPTAQHRALSSSGGLQLPGSLQESELSPPPLLPRCGSAARIRMPRELAQPATFTKGGLVSVSPDSSGKRAKSGLQVFGGHWGSGWSPHRAPGCLPKVGNNGASTSSSQHPWRMHEDRSAAGDGYLKVSGPRNGFAAFDRGFNFAAAPLGSGQVLSDVGSDQEDDEEDFHQAMLDGDFDMFDSQKSEGRAWAPALDHKGDASYADDTPATTPRSPQSHCEMSMPDSPGSLSDNGKARADKEGSSDSASARAAAFAAVQDAVFAHALPTTLVGADKPHQHAGSLTLSLPYSPDVVASPKLRHVEKETAMDIDADKADSATDCFATPIVSRRLHVPGTEAKAAGIPMTMKFGGLGEDAPGASQFLQFSPGIEMDSPLLGLELAAGKASEPSSPLVMAAANEGAIDAPAAMSLDEAAAPKAGPAPKLSTAAPAAVVSKVADPSPTAAPASNASNASNVVEEAKPSSNTMLVRRTAPNHPPPRHISFTVRSVDPMPVSTGPSRMVVPPSRPAMPLQMQKPAPTPSLPPPPPQPQMAATTTTTGAAPAATVSAVTTPAMDRTPELVYSGGSTSSEASSGSASPASRTDSDMAADAEVESLLFGPPEKLDLRELDQVWGGPAQTQVEASGLVSKPDVKANLSASSTPAAAMTTSLRRAKVTHLQHLDLMSKQGGA
MVKGGTKRKPEMKKITNKASRATTFSKRRDGLYSKAAQLCVLSDAQIAILATPSSSNSNASFFSFGHFSVESVVSAYLSGQRLTPVSSSRDTREDLGICMARKDLGLGFWWEDDKLVNSKNPKELMEAMESMEVLMSHLKRLEDQTLTTTVDEDKVEISPDLDEWSDMDLNQLLEDCDDVPVPPPVVCNTDKEDEGQNVSEKTCNNNSNSVSSSLPAAAEKSLEEATMDLDFDSIFEGLISYDDEDLFWSDELLSM
MVSLGEIGQSLNRVLDKAAEAGAALRTAVELAENARDLLQSASGGSLQADVEASNARFTQAVEGIAELHQHLGAAIDGTQKILHGLELGGRVAAPRPAALSTSVPLPQPGVRERVDQLRRALPPPVQPRSGQKTHGRWFTDLDEPGTPARELASGHDE
MADRAAARLRAEAAAKREREAAKKHLEASGAGPSQYEMKGVIRQVGYDINMGDNTLQVARKTSAASARIPVQMSSIPLPPTLPKTAPSSKSSTKKPEVDIGLLPGWRSAVDKESGDVYYWSKTTKETTWEKPSIVNPQLEKGETPLPYGWEEVQDPLSQDVYYWNIHTQKTQWERPVSLGTRPPP
MPSDASERWFELAAQFAIPQLPVVSPTSEVARGQLWQASWADAAAIVLVDQVDDDGALAHVLPVSVEAGVADATTVVVDADHSDLHTPLSIWPTAGTWISYAALDGLLETLMPAVLNTVSSWRGQISHTEAPSPGSGAALAIDELFDAVATLKRAPRLEAPQTIAAGPKLDIDLGLVVETLGVTQSRAMNILKGREPLTEDEAQSLGAAADITADAILGALDPLPKDLARELQEPRWRDSVRRCALDGDEDHGRTRLGYTIYQLAARERGTGREIWRQRLEAYVATEEH
MVYRTTSPRNDIDSPDFDVAPGQLWIGRQESSSELLIVLDVHRDQRTVAAAPITIEPGIETGDAVVLAPSVSPLETATAVWTGLVRDIPVRVLARPLGTLGESLTGFLRLAAANGATSAPDLGARPGTPDFDLYSPSVTAQAAIEDRFEEWASSLEPLPDSETPVEPVTAKLPVGLADVIAILRVPQATAMAILRRERPLDPQELERLASATGIPESEIQPFVPDLPPSLLTELEQPRWRRLVEAEAAASGTDERAARQTLGREAYALAARQRGDEDSVWRGRVRMLSLARLDEHPTER
MERGPHFAKIRSFPQCSGPRGPRRCRTTPGWWAPCGTCCTTESNTDKPPRCERQRGGFVLT
MRMMEHMNIDGSSDPEDSSGAMKWSEMDLSHVNLNQNLSSWPHHLSTRNFESSPFPVDPVLNSRVSLWFGDILALSVDGLTQTTNETVDTLPDHILTRSGPAYLSEIRHQLRSIRTGEARTVASHPNLPCRDLVLTVTPKYSEKHKTAAETALFSCYRSVLERACEKNMDCVAIPTLHKGGGPSPFPDELAAHVAIRTVRRWLEQGHSSPRLVILAVSDHNLFQLYTSLMVSYFPRDSLHENYACSRLSIPDIGDEEGAPWLPDRKIRIFSNPAGGGAHSKKGEESGANPSFAHHPKNLNLNLNGGSGSDDYAEEGEGIMEQLNKDFLQMKEDVDKERLIFNGRKRRSLASLNETERHIAIEIETKERQERILRRARTEDLSSIERTGCIFRNGIDRWGRHLVIVVGKWFRPEAVDLDKAFLYLVRILDEINGPYSVVYFHTSTGGENRPSLRWMRAVYDNMEYRFKKNLKSLLIVHPTMWTKVLCWWFTTFMAPAIKLKLHNIAGLEELYNTVEPSQIQVPAFISEHDITINGYAGVAYSHTHSAASTPVSS
MTRRRKTRAIRKASNSSDTSRTNSKATRRNKTSRRQQKKSDTSLYIGIGSVVVVVLFIIVLMVSSSSPTKRTPNRTGTEPDIAKAEQLSNRGYELYKQKNYREAQRVLKQAKSILHKGVEYYKNDARKQKKMERMLEIVNKRYVDAYHHSAIK
MICDENELPLISCVNCSTCWERQYYYINEKTRSIQLLEVDNTESWQHDEKDRFKYPFHKIRMKLDLLNSFDEDEIIESMGRDYICKLGGEPVFLNDPIETLCPKCEKEMQYVGEYSGVNPN
MPVYLWNLKVWAANLVWAYLRRVDGLQKKSHFAIDLDLNMCIHSSSVRFPSAQARPAAPLSIGMEHTVHEQDIVPGRMQPQHVHLRVDGPDNNPQHVVSQRFIDSWTPSTDVVVGLVLPTRGSTCAELVFVRSGASTRSDLNRDAPSRAAVWPCRAPPYITGLVTRSRSNSSSARIRRIAPSTIPDSHPPPPSRARSGSLCSTRVPGSELLRTPSGKIYRRRRQMNGVDREHLDIALRYSDGDLPRTSVFPPVRYRVVVAERFLRPRQLMACAPTSHCPRSSRTRARRNGFNDRPQYYRVSVSCAVSFTLTLYAGLQRHTDHQRVNAHRLNRRACARAAPFCPSVVWNHASPRHAPRILVIPRAKRRDRRLVSVCLADEICPGAVARWRVGTDGECCRRWRWAGLGWGWGGTCVIWCGCDGTSPTYTRTSVFFDSIGHRGHLAPSPQMPLSFRLPKDMRVALREYERPYFHLHLPRALRLSHPIAWYPRADTGPLPILDSLPGTPV
EVGLDDACGEAAVVQTLLGTTGDYNSCIIERIR
MVDVTEAANGDLPPSPHGPQHHHRPSGIVARGSIALVEMSMRYPKRIVAIAAVLTAIFGVMFIRVTVDTDPENMLPADADVRVLNAEIRENFGTGDMIVVGV
MNIQYINKEPSKMEIYEIMDSIQAEMDEDIAMNIFEPNDEMVTTVCAYHFDKIVGMGRVIKENNTLYIQDIIIKPEYKGEEIENNIIVNLFKQINDFPSNFSLYIL
MTILIMYQAVRYKDFKTYYVELIKIYWKYYFPTSSSYNRFVELIDIAMLPLIAFISSNHGKHTDLYFIDATKLLVCHNLREYRYKVFKSLAAKGKTSTGWFFGFKLNYIGEIISINISPGNKDDRSFLTKLCKGLKGLVFGDRGYISKDKAQLFEKQGLKLITALKKNMKKVHRLLRDLSLRLFLIISKII
MRIDFTEIFCYVDDFLQELDKHTNSITQNNNKSGPKSRLHRSEVITIIIGYWQSSYDCFKNYYLKQIWIHHQRDFQLVSYSQFIKIIGPHLPLLVLILNSILDQCDGLSFVDSSSIEVCKKYRISMNKVFAGIAARGKTTKGWFYGLKLHLIINRAGGIVKASFSPGNKDDRKQLELMIKNLFGKIFGDRGYISQKLFQQILEQGVFMVTRVKKNMKNKLMSMLDKILLLKRAWECSTNCVKLKK
MATTNYSVSKSTAAASLNASDLPGDIVNKILSKLGSTVKVVQFDSNLPLTNPTGAAVNGKDLVMIDPNGPVDLSSISKANIQGVDAFIFTTNENVDFTLSGSNTFTFKGVVATNAGDDIISLNSRVGVTVSSGDGNDNVKTGSGHDYVNLGAGDDTVNTGAGNDTISAEAGNDSINSGAGNDAVSAGAGNDAIITGAGNDIVWAEAGNDSVNTGSGNDTVSAGAGDDLIFAGVGNDIVTTGPGNDSVYAGSGNDTVYLGSGDSLVNTGVGNDIVKLISGYSGTLAQLNGSGGDIDKLDLSLVSIDTVSRFGPQLTITLDDGSVVKAINFEKFVYDSNGIDTEGGIVIVGIDQFDAHS
KFSSATTDDLLTCLQEASNKNNGALKPIDAPLRNRKKDFKFTTTNLILSQNWTESAVKNDLDNS
MSDVTEPRAAHQKKRQFPTIGGVFAGILVLVMGIIAHFSNPRPFWAAVNAVVIVLSIAFALANLIGLFVLRKRQ
MIGVFALSAAMAVAQQSGLVVTGEGRVSSPPTYADVDYSVVGEGKTREEALAALVTMVQAVETSVRKVDPTAAPRSDDLEVTTIHSEDCYKDGYGQRRLPLGGACQIEGYVAQQDFHVRTLAVRDAGTLVAQASLAGANSASIDDFGLADPGPAQQQALEAAFLDARREAMAIAKAGRFELGPVLRVDSDTPGDIIVVTGSRLRADVEMFEVPMVPSEVETKAKVRVTFSIE
MTELFMAGICFGLLFFIMFCFGHFVFLFAGSEEGMSIQHLLISGFIIYFFLFEFFAFPMMILKLPLNILSAVWGVTVAVLVLLVCLKFGKRWIQVWKKRKKQGHSFSFYLMLLLIGLQCVFVALWSDNSADAAYYVANVSANVATNTINIYEPFTGVQMDRFYTRYLFGMYPVHNSVVCQLTGIHPLLYTKTVTSVVTVFLSYTVYAQIGRKLFSGCRENVWKFLCFLSVIQFFFHTVYSNASFLLMRGYEGKAILANVVLPFVLYLGLCLYDDIQNKRIWLMVFCAGIAGVDISMSALSIVPVAVTAVCISVIIARRAWRCLKYYIVCVLPSVGFIIVYLLVSRGYLVLAI
DIDLLFAKSKNLKFIAITGTNGKSTTTALISHILNSSGLDYPVAGNIGVSALQAKASKDGYVLELSSFQLDLVKTFTAKIAVLLNITPDHLDRHQDMPCYIAAKSKIFDRMDQESYAVINIDNDYCREVFIKLQQEQRIKLIPFSVTQILENGISVVDDKISANFFDDISFELQHNSESFRQDEFQGEPVEPECIKIREHRQDLQNSLVSSFMHYTVPFNKNLQGVHNCENIAASYAVAKIIGVEPKKILESISSFQSLPHRMQYIGSINNISFYNDSKATNAISAVQSIKALNNIYWLAGGIPKDGGIEEIKPYFSQIKKAYFYGQAKEIFANTAKNIVDFVICDNLEQAF
MRFWVDWELWEKLSLVLAGLITIVLIYAFCVLAYHKWMIRKYAAAEARQKEEEAELHPMLTGDDIPFGARALERGIYVDDIWVSKPNTPNDSPHQPGTPDGSRPASPAPRVMGKPPANPAPALAFENTMTTPTQMVSFARPRASPKMDMGLANYRHESQRPGEIYGPAVTSSFSEYPGSRRRNDILSGNEKRASFHSRLFRTSHLFDKNARTGPDGQDEQDLGSAGNDVGLRNPAEHHRPARLTKTLRRRSSEEFRRKMSQIFNENIQMGVPAEQLQFNPELRDTQKRSKRRSIFGQFRS
MNKIPLIPPFTILFPAKETISKLLVSVIGALLIPEPICFSKSPPEILLFPYALILFPAELNKTPSVPPDILLLPLSVSNSILPFSFTWIPDEVFPVITLFPSTVDIVVVPEVYMPFSPAEIVLFPNLEDMLVLPPLLTLTP
MGVELLVEDLPPSPVRAYDHHVHTEITRVRAADPRQPSTSRHEPVVLTRRPDRLTPLLLAACAEGRRLSKVAIRATDGDEHPVFRIEMARVYVISVLTSFGDEGPVETVTLDYGAVSWHWDGADTVQAAWEVHPPPEDG
SRTGVDGMGAVDMTTEVCSEETVSDNHPAWTSEVTTI
MNEATDAANGVKYAFNATGMETETTRAGKIESPMNLRGEVNIAASSIGAVLIDYNAKLAGDPRGYDPFSGDLLGRVIAGPMLVLGHATAPVRTRGALLLGGPLNTSHASDHTPSVHITSAPTTKQHT
MASAVCAATVERAGTVPVWVKVSPDLGDTQYDSLLEVFEDNGVRAVVATNTLPGIVPSTDIRAGAGGKRLYERALSVVLRLYAVRERRSYSVDIVGCGGVMNGVDLRAYLDAGALAVQVWSALVFRGPFAPEDITREYLEILKEGQS
MTTPALFLSHARADAHLVIDVRLAARAAGLRLSTDFARQSEPVAVALAQLIAECAIFAVLLTPGSMHRPWVRWEVTHALRIGKPVAPIADDPGLLLPPPFDRLPRVTHLPLRPAGLLAARLAERLVTALAAGEGALNR
MSKSEELKERIGYLKGFLTILLGIIVLMGGGLVGLYLKHETNEVFWFGIGGVAVILSICFRLMAKIENHLKELGDT
MSATDTKTTKSDVFFVPNAMDAEFDNFWKTVSCFAANSFPFEERCEFVKKAVNCNSSTNVIPYMRLLACDLKCINQFQEMIFIALFVAFCFQILVILIYTINVYYSPALKAVSRFLHMNEHLAGVTLMAFGNTSADLFANLASVERHVPVFANNLSSALFVITISGGLICYISPFKMNSYETVRDILFLLLATLLMDYFASHHFTLSYDELKFLIVLLVYISYIIINVADVYLLQKTIASTRAKMQKLLDEKETPEIALKLQELERKLEYYSQDTRVEILEKSSSISITRIRYTTMRMIRNPRVSVNRRYTRTMMLDYTQSKNQGIFRDFFLALRPIKCQAWKQAELLNRVLLLIRAPAVIICTLYIPLVDYELEKHGWNKLLNAINVVVNPALSISIFFFHA
MLSCIYEELKSELPLIPEPIGTADIPAGFQDTTSERTTQGSEGFPARTVSVLVTRQCLGDHIGSQVSQHDLVDIHAQLAYQLLIFAGREYHYRDLNDGNIRILRGSDNTLLIVDFGNVRKNLSARGDAATPDAEATIDRAADDSRSATLEFLPVCSSDAEKGVRQWNAKIDNLIREVSELAGITRATRLRQARQAILNKLPTLRDSLRKASVNSHRYIDDLESAAYLQLWQVSELSIPSKC
MTSTINRVSWQCGNILLILWKASMDSFDAMAVLLAVVDAGSLSAGARRLNAPLATV
MKRAQNLPCPPARPPASSPWRQRPPPGLLLLLLLLPAASVIGRRRRGGHGGGGRAGPGGGRGPQPRGARARSLVPGRGWAGGRGGPGGGGGGRGGRGRRGRGAQGGGAGRGGEEQRGGGRGRGGPEPAALGGQEGEAGAEGAEGEEAQSGRGRDPEDAVSAPGGLRAWAGSGGCARMGPGARCPRCPLPLPRPCGSVAQTSARWERCCSLPGSVLYCWRPRRAERAPECVFLTVINSLHSRILVSSFSEEQLNRYEMYRRSAFPKAAIKRLIQSITGTSVSQNVVIAMSGISKVFVGEVVEEALDVCEKWGELPPLQPKHMREAVRRLKSRGQIPNSKYKKIIFH
MMTVPEQFLLLTLDTETGEFLKLPEAYNSAAFAGAALMELALAGRIDSDLDRVWVVDPAVTGDAALDPVLSAMSAAGAPSNVERFVEKLVPMGAQVREAALNGLVARGVLEQSERKSLFRKPQTSFALQDRASLAGLKEALGAVLLGTAMPEPRDVCIMTLAKTCDMMDRVIPPEDSRKALDRLEAFSKTELIGQTVRRYLYLYERDIARS
MILFVWLVVLSVTLAVLSTTLAFLSVTSTVLSFALIILSVVLAVLSVVLMTHPSLAILSVVLIILSIALAVLSVTLMILSVTLIILSVALAILSVALMTISVTCGSFRRYDNSIRRSGDSIHDFGGSIHDFSGSIHDFGCSIRRFDNSIRRFDDPIRRLRFYPSF
MGDVAATAWHVAPSGVVGTAGEACWPMIGYLGAVDGDPATRRALLAEALRVLVEGGAEQVVADVDATRPDVLADLERAGFLRQRARLSFEPTEA
MASVTYSTTASSHMDRNNVFPAEPFAMNTYEWNTSLYPVEEQSYNPVAVGAFHSPSESCYDYDYSDQYSDHSDESASPYNFNNTFNHEFDSSVDGYSTASSNNNSGYPSPALSNTNSPSFHAAEVEDYGVSNLTLVPNNQLPHAYFENLPDHSKDFHLAPVHVMGHMSDPSNAMLNEMLSTPIFPERKSVFVPKLDSIPTPSIQVIEPSPLSKSQINFAELDRFINQHNIKLEPEDSDDCCSEPDHSTAYRRHSSPMPLPARGVSPSNGLITPPPNSVPALTRRKSAVPYAQLMNNINLAPPRPRKMKQNSPYKCPTQGCEKTFTRPYNLKSHMRTHTAERPYPCSFPGCDKTFSRQHDRNRHAKLHLGIKPYVCQNCNKAFARQDALNRHLRVENGPCALALQNQTPKFKPVVM
MAVKVTGTPYYECYEAIIKAGATRIAILFIDIDNKVLHMLFGATKRIRKDRIWSSFSSTQCHPLTMYNRSSIENWIPIDKTSLDIIVSGNDVCDECLFNYCVIYRFLPSEKKLYVDPTPSFKGCLPVCNTYCVKLGTCYLTDILEEKPIKFSDYKNPSEGSKSISQCEGNKRQKLSHDEIKNGRSSSNFDSTSD
MSETLLNIMGALTVVNTVLVALIMYNFVQSYKAVKSRFTLGLLLFSSVLLVQVVFSLPVIVLSGIAYPPEAMIFDIIAGSFELVALLIFLRMAVK
MRQQYLTGLERGQRNPTVITLYELAQVLEVTVADLVQADEERKNAR
MAAASEPGRVAKPDTALVNRLNKQAFVLRINHALQTRQLAKQALRLAQQLHYDRGLLNAHFSLGYYYRGASKYDSALYHTQQALRLAAKLHSDYDRTRGLYNLARIYSEQGDYSRALDVNLQGLALAQAIHNRRAELFQLIESGLVEIPLGEYATAQTHFDQALRLAQSIKDKIGIGGAYSGLGDLNRQQAHWSLAGHYYTAAAASYHDVFNTQGMLPVELSIAEMTDRQGNHEAALAATRDLLRQALEAEWEGPAARAQLLLGRIYLAMGQPDSACYYGKRSLALNRGHRLRPAIRDAAQVLAQANAQLGKWHQAYEYQALAISYADSLTGEDARRRVAGLQAQESHRRQQIQQQLGQQQGRLQTQQQELAQLRYRQQLGALVVLGLLLAAGGSVFFWRYRHRETRRQEALRTRIAADLHDEVGSMLTQISMQSTLLREGRYAPAQQQVYLDQIAEASRLAARQMRDAVWSIDARYDSAASLLDRLRDHAHEVLPPAGLELDFGVEASIVSATVPLATRQALYYIYKEALHNVVKHAHARQVHVRLRLHHQQLELEVRDDGRGLASTSPGRPGGQGLPNMRMRARAVGGTIGLEAAQPGTRLIVRLPLR
MTFLSWIAVALSIGGTFLNARRRVSGFYLWAAANVIWIAVFIEARLWASAFLFAVFLALAVYGAWEWGRGRRGERFGHLGL
MNSKVLLSTKEVTKLINRSESTLYRWWKIQGFFPAPILLDNGRACAWTKESVDNWLALKSTTIT
MTQSQDNIQLSLSHLGIYVHDLEKMGAFYKEVFEFTETDRGDLGHVQLIFLSRDPKEHHQFVLATGRPENLSFNLINQISFRVPNLDNLRRFYSRARQHGDISDVQCVTHGNAVSIYCRDPEGN
KKVAELLSFQECNSVDSLLGCQDVTAILHLESDELIDLKKNMCITLSNGSIYLLPDIESSIMHLTKLFKNKQEELIKQSKRRQSITISSVSSTPNIISNNLSPTTVVLGNSSIHQSTFNALPNSYLTVSPPATHVLANEIKSRISNTIIEWFKHNKDKLSLINIDFNEGSDFQVELNNNQDGIIVRCKCGTTSAIGQKQGVLMKFMGVIF
KKVRVSQQMLTGGSQMQMRTELLISNFPLKKENIYLAKSDEVLIEDLPSFVWVPEFINIAGSLFYEREGNRKPNDIDIIVRAKEEDGKFTITLDKSLRLKIDRILEKRVGEMSGKWLTPEWLGSTFGPNWRYQTGWDLVLVPHQPQEIREMNEPEFAEEFYKEENYEEFCDFGGGHLSKFIKEHPEFNSKQFREYVIVHNRADRDSGELEDIAAPEILRLAKKFAQEKNIQIRKRHSREKCMECDKPPVYECLWAEGIGHAWFCKKHFKEWVTTGDGKGEIISVKEVIGDKASKKFGDNRNPNIWAELKKEFAKKFYKELSTKQKEEYERETAIINENKKKPQAEKVHEFKGAKYTHPNGHPRCLICGDEEPVGGVCNMPDSWYQKHEFDDEEAWKKEREILKEKGIIKQGMNWVNSKFQYHIYLSPFFLSVYLP
MATNPRYNIQQVSDFVWIWGASDGSPDLQVVLDINNPTVRGLISKAVHPGLLNFHREIDSANGDKYAEMNTLCDDPDDHPIRGWYNGSEKVKARILDLKEDTQDQVNDALDAEEDGYHERGQEAEQDGRKVQKYRDSSLDFNKKSFAFVPVKEAPAHGCTMDDGIQCVHMAGNPADEEEDGDSDFDQVETDYDDL
MILPLNQTIETDAIQPLDTSTVFNETSTTFKKKRSLRRTLSKVFSFRKDTRQGNSSSNQSNEEDDNDDDNGNISRFDNKDPMPFFTIKSLRLDKSIDNCKLIRPPSPNYNHVVERPAYEKIFYKYNNLGIASTQFEGFEKLKPTHFQMSLTWNVWQNILKTINYNGSEMIESRYKVIDKVWNLKQINDTVNRFEYILQPTDLNNGQLMFFKYGINPQRKKDPLLSKSGKLTIRIPYNKLKLAWESLIPIVLKDDLMWCNLDNTIVGISWAKAAKEKDNSYVSLWLSKTGYDETSINNLLNEVMLRSPTELKPLFKYTKYYTNLDDVYHTLDNIDNP
MAKSAEDLIRELEAGGVLDRGSAPARAAPRAMRSSGASTPGTKPSALLFVVLAAVVIAVLGSLPLVSTGLYPFSLFVTLVHETCHAVAAALTGGRVDSLKVSGDLSGVTMIAGGARALVDSAGYLGAALVGAALLITPLRYARWAIAALAAIPFADLLLFHPATLFTAVWCVVFLAGLGLAAWKLPLRFMRFLQIFLGVSCGLNAFRDLMTLFFISSSGAHIHTDAVAMSNVVPLPATVWAVLWTLLSLLLLGGALFGMAKRDLKNWRGAA
MGWFKLNFDGASRGNLGHATIGCCLHNLDRTEMTQRAKLVGIETNNKAEVLALVDGLEICRELGVEKLAVEGDLTIIINVMRKGSILNWRLEVLLNRALNLRKTFKKIIFNHIFREGNSKADELANIGADVTYID
MKSDLFHDIPGVGEVSIYRKNNFSNEENLWLDDLAFRELSKRSPLTFDEFKTLCDSDTKIRALDIPFLRIQDRHISFASEKYHIAAILIAVYEALNQRRDIQKLDCVMPYDHISYFRPVIEANDYEILIESIENSNNNHYKRFLLLLIRRCGMEGEKSWENWIKGYISKFPTQITSYPEFTVVPTKQCRFGNTALSCFVNT
MQINGFDFLYDEYSVFEPELDDSRTDSLYEAGIKELEKIDRTQAVEGSIAYRGFYDEIKKFLSPFAESKRPVSQSDIMDFFNEIKTKKQREL
MIALKGVKVFPVAHAVDTPHQCLQATNSGPLPAVHTDKVLIRKSYARRGILQLVTKINVVNDIDE
MRKYFIMALVCILSLTTFATSMSAKTNEESNTKGTIDQNSSIEEVELVMEKYTYTEGGTVFFDVEKAKNDGQSEFIIESGLALNSISQEYKDYINGPEKTTGRFLLAKMSIPVYGNWCGPGHGSGSPIDYLDNACRQHDYGYQKYGYYDCDTDAKLIFQINQDYKKMGVKEKIYANAVKAYFSAQMKVRGCK
MWNANNLVPSADAVTVLLAGSIPRLQAWYTALAADARFRVAMQASDPQDLRSKLVTNPDVLVLDAGIYPGPQPLLDDLTRYHGAAYVLLPMEASREAMDSVAQIPCVKGVYKGEINLVAIAGEMYAAATAQRTSRLNAGMEALWNRPQSMGGGQPLGLRVVAVWNQAGGVGKTTIASNLAYEAARRGLPALLVGMGAPDDLPLILGLKPEPNLNHWRANPTAEGLKLALQKVDTLDELAGFLDVLSEARAITTPLDAPESLPKLVLTAAYMGYAVIVLDAPPTALASAALAAANTLVLVARPSLEGVMRTVEAYRTVVERLAGEHHIPA
RLQKGGVKIRASAKPKAADPNFAGKTFVFTGALEKFTRDEAERMVDERGGRASGSVSKKTDYVVAGPGAGSKLDKARELGVKVISEDDFLKMVG
MAPEASEGSEVCPTLIAHQRILTVHVLTRSAKSIPRWLSLTPSPQVVQLRRYRSKSPTHGVGANILVRTINPFYPLLLIIPEGLMNDSSFEWADALSSM
MRLFNILPVGIRRSIKGQLADTMERIISRGLQPEQRFFLEERHLQNCTFIRNREEMLHCMPSNAVVAEIGVLHGDFASEILQRSHPEKLFLIDSWEREFSRLKNEVAQRFKTEIGAGVVEIVQSNSIKALNSFPDHFFDWVYLDSDHSYPYTLEELKVLDRKIKPSGIICGDDYTMGVWYIWNSFGVIQSVNEFCISHDYELVYFALAPGMFNSFAIRKIKN
MICSNCIIDHHKDHVCKTLQELEYTEERLKRSSSWIATSFIRSELNSRKGKCLIQTMKMHRTCEKLAHLASFYYPDPNAKVFDKDEYHDRYPTELNKFFESLPRRNVEMVPIEQGDTWIANLEIQSKHLDKQANCDCSKVWDELNKLCFVNQIGKHFIQIINQLGDSIISECPFRLEEIQETRKKALEISNYMQYTSRFAGISGRKEFAACSIISSGNPVFAIIVKHQLVWIVLQVIGVTNALSDQGLGSGNECVIDSEVMDLVQFYVRNCVDLYEKWWICEASELGFCVSCSSYSNQLEICVHCELTTNLNALKTKPGIESHVQFRQKMPLYFKYNKMEMFPIRWQCVDCKKRLEADWEHKYCDDLKSNEWKGTWNRGCNHVITRLYNTKTCSGYDVHGDKCEYNAIALSDIGYYELAMKVTTIGLVHKILKRGIEEMIACKTKKIRLLQIYGRLKTQTRYYFKSAMDGVKIEKLKHVSDDIAKLIDNLKLGWRNSEHEGTECLCFNIQSEADDLDEESILPWRKMLHLACLYCHACVRSSTDGKLFGELVNDRLDTTSSFPDGEKRKPCTGICGHSICLECVERSLNGKCPLCQKEESFISKTVNYQSLEVIEGYHKDYWNILKNWWNGTDTRKNKCSKCTEEAKKLWICLTCDKLRLCEQKGDSLRLRMRFDSHLLTLANHVFCSDCFYKHNEEQHEEHKSMKLDDIKYAAEEIKARSSNIILELFRYQMNNSRKSIPCKLRHMRFELTGLSIWKLFRETSNTIDENSCGNLLVEIKKELIGKRIQNLDKQLKDFFNEIESEESKCECTLLYEKLELSGVVENLDDEFFLMALSCLETEMLGCPLYFKRNAEDRVRLFGIVNEKDSIRELTASANNENQCPLCFLLDYEDDNMELYKYYTDNCIEIFENWWRHEMSPKSICLQCLVYLNRVNTGICCEEKKEENKFKLFFQGEVAE
MGHYQVTVPLVLIVVLALWTIRELPLHKKLYLFISLISTAMCLTGVKLVSIYDPVLVIVDPFILDVSVLIIMGFLFYSDVASRNVRYASVLLGGALGQVFIGMILTTIGFGHTIGEHKYMDAAAVSFLILVGIDGLLFINRLFNAKLQENKGDAHHL
MTTAAFDLALWTILFFIIGMIKPKWPLFFLKSADRIVVASITAVLVMVTVTLFTEGARRDKLAKEAVSAPAASQAAPNAPVAVPVPVPTPAPAAPPAHK
MIKSPTRSWGPDDSWMAFAFLPLMCRCVSMIRVFTLRLSPSIDDQVLAQKLILCGRVFYALYLWCMKLCLLRFYKRLETGSNKVHYSLQVLRVFIVVTFLATLAATVLECKPLYIFWDHDYLRHPCRKGMSNLLTMGALNIATDLALILFPIPMLWRMTSLDFQAKIQLTLLFLVGTLVIAITITRLPLILNHSVAQSTRSLGSTRLHNQPSAYVDPYSLFQLSSRRRDDREALKARLGAYGKLPGRYSTSLHNVALLSQLNGIPFTSPILTLIPCLYSSGRQNFPGSQIRENNIFFWARKTIPASATKEYEYGAPNSFSSYRLPPSSPINQLTKRSSWLIADDNYLTMTATFALAVSELDITLVRTRVSFRNGLASLEFPDAIQKSKGINAIPLLAVKLPGYPYRLDIFQY
MPHKNSFTWNMIISGFVKGSKLNVARKFFDEMPTKNGVAWNLMIHGYAENGHSLEALRLFKDLKSGCYGPCHVDMYVLATVFGACTDLLALVNASWEMFHLQLWHVIILCSSLGDSILSYGLLALQLGKTIHACIVVSGVKFDPVLGSSILFLLPLWTSIANVGLIDDGRKLFDDMIQSDEASWNSMLMGYVTNGYGIEALH
MSTPPKKRVEGGRVTPKGGPSGSRYNAPADQSDTESPTWVQPVMFGLLLLGVVVIFFNYVSWLPGGMNNGYLLLGLGSILGGIITATRLH
MPLSSLLWVFLSFTFSGSGVAQKVTQDQPDIASQVGESAAMNCQYETSRNSYNIFWYKQLPSGEMIYLIGQNSYSPNARDGRYSINFLRSLKAISLIISALKLEDSAKYFCALWELTVVEVIGKAEQKLLSWIREKTPSPTTHPPPPPPPPTENKV
MTAQELDQLLASPLLAFMAIGAVISSLIPSLVLKWLMSAIYNIKVSFWILLFVHVLHALIMIVGMAYLGFLDPVVVETLPMVPLLLLSVGSTALKLILLTLFVANEDGDMIAMWRWLMVEIVEFLIYILISLVFLLVKMLILGGIPPQLSQVLN
MQKFKVDFVVTKCETGSVSIEAESFEAAKSYVDENFAELMDKVPKWTEKSIADEYLCEITTL
MVHCCSTGVVGQPNNAQIDNYIMEKTMEWVQQRTMYGTMTENPEIIMSMQQGQSNPLAASLGPALGAGMGTGMEASNPLASMLGGSSAALLSLPSAAAAPGSSSSPSSMPGLLAALAASRGSSSMNPMIASLMMNNMAGSSGSGSSNTGGATSNPLMGLLSMGAIGMDLPMM
MVVSTTTYNSVKMQWHCENYSLSVNGKVFQIDLICFPLKKIDVVLGMDWISACVIGYKEKVIFIPTNEATPNDVITTLLGGTISIVNLLFEREKSTLLILTKESSEDMNVMQVIIVYNFPEVFPENVTSLPPGRELEFSIDHVPGTATITVAPCRMSLVKLREMKNQLKELLDKNFVRPSVPGEYEGWLDFLCIDCRQLKKVTIKNKYPLP
MAPFPEKVAELAKRADGCGPGRYRDFDGDCHYYSTWYHWGRWVFAGLAILLILIIFAALLRNSRRRRRLGRQPLYGTGWMAPAPPPYYPPPPQYSPHDQGVPPPPGGYKYGEGGGYYGGNQPNNGYYGGNQEGIQLQPPQNAYHRATDNDYAPPPGPPPKPAN
MVDGFINSTIKDLVKPKVGSIVHCGLLMNQIEHSGIYIGHNKIVHLDGSGRIEIVSPKKFLDRLDGMNLATNIYVSCRNGKAVASKAAAERAKLKIGKIVNYSVYSNNCHMFVSGCLTGHFENKDNFFSSLEETVEKEIDMNEWRVWDLF
ASPAEPLLTSSVPSGAPPYIQCSQWSPSLHQASPEELLLTSGIPSRAPPYTWCPQRSPSLHQVSPAEPLLTSSVPSGAPPYIRHPQRSPSLHQASPAEPLLTTGVPSGAPPYIRCPQQSPSLHPVSSAEPLLTSGVPSRAPPYIWCPQRSPSLYQASPVEPLLTSSVPSGAPPYIQCPQQSPSLHLVSPVEPLLISGVPSGAPPYIQCPQQSPSLHQASPAEPLLTSGVPSGAPPYIQCPQWSPSLHPVSPAEPLLTSSVPSGAPPYIQCPQWSPSLHPVSLAEPLLTSSVPSRAPPYIQCPQQSSITGHRDWQRGGRRRSSISIVAT
SIWVTSSLYEIEVQDYTETTLLKFDRNSKLIKKIIFEEKPWFIGMSSDDLVLGIGRPRCGCLFVNSDYVLSHKKIEGNSPITMGIETELGFLLGHSNGMVTEIKDGVMDIIQFEEYPISSVLKNEHYLCVGNDNGTIISSKDWERNFVGKIDCLIKIDSSIWASNTANENNIYVLDEDNGNTKYKINHDSRVRLIKYINGKIALSDQKGKVILLEEDVVFRRLNEVSEIVQDREKRDLLKKRLRALRK
MEGRVIALAALLVVAADKVNASILVLQSDGDLSSKEKTSIYECVVKEALSLGRTETSKSTTCKWKSEEVEKRALLLCEMELLQLFGAVAHNSCTERKVTSPLIRAAQAGDEAVINLLLKTSIEVDDTDAEGNSALQCSLKTNADRTCISRIVWLLLKHGARVSHRNKLGLNAIHIAAANGNSEALHLLLLEEPDGVNATTEMKETPLFFAVKNNYMDCAELLLRWGANSQVLNLRTCSIAKTGICRYFESPGGCVRGAKCFYAHGEDELRRLKQGTRTQHSSTIEELKRKIFVGGLPSSLDTDSLAKIFEEQFGSVEEAIVMGDQMGDQIHSRGFGFVIFKHEKSASDAVQAHYITIMGKQVEIKSAVPKCILFAELQTLPPQQEDQEQGQIIQFQPQAATPDEKNTDDGEPRQMSWVDKLLQNPPNTCFSEPQILLNSTTPNQSMPKWVRIFKRWLPSFLNDVSKRLKEGEWYPLSSLKADFRATCGQELDHTSLGYPKLSDFMRSFPGLCRMKIVPVGGRGPATHMVLQPNHQQQTQPLPMRCFSPTPSPLDDYDDDGSIDLKSLGEFLPVSYDNAGSLGGSFEDGDSLHGTLEESPAHKDAKHGVHPWFLEFLKPDTLLGQPWFRNENAAAGDDYKSKELRQQKRHLVLEALAREKNNTSVFFLREFDFYENYKSSVAQGKCFACNRSEMFWANFPCKHLLWCGNCKIHAIQAASILEHKCVVCDAQVQNIGPLPWTEKYQQICDVPNNDFPPFDPNPIRMYAHSMPTFSHKCMITSNHLQENRVNQECGAF
AIYILSSPSLMEIYCNYANELLRTFISHPAAIYGQKHVVYVHSLCLPVNAKFIRKEHIVNEIDGTQFRRIHIKNIIFQCNEKDSCFKTCNNTVAILQNIVEAQGEIYFIGFGTIALVTGGASGLGRGTVERFVKQGAKVIIGDLPVSKGKTVADELGEDNAVFAPMDVTSESDVQAALDLTKQRFGKLDVLVNAAGIAVAHKTYNSNKKLPHKLEDFAKIIQVNTIGTFNVIRLSAGLMIENSPNQDGQRGVIINTASVAAFDGQIGQAAYSASKGAVVGMTLPIARDLCKDGIRVVTIAPGLFDTPLLKSLPEKVRIFLAKSIPFPQRLGNPDEYAMLAQQIVENPLLNGETIRLDGALRMQA
MTENVQGLALPGLAKGTTAMITGGTGAIGRAVAEAMASLGMAVGVLGRSEQRVQQVAAEIDPVSAMPLVADVSDTAAVEKTAAAMVDRFGSLDVLVHAAAVSEGAVGLADITDQQIDEVLRINVRGSLVVARAVAPVMMRQGRGRIVNVASVAAHQAMPGRVIYGTSKAALVHLTRQLAAELGPYGITVNSMSPGQTPSHITLVGDAPGAIPQEKTLTPGEAGVERIPLRRRGQLEDFVGPILFLASDLAAYVTGADLSVEGGTLILR
MASQKTLMITGASKGFGLEITKAALAAGDKVIATVRSGAAELVTALGHPDTLAVVTMDVTNEAQVKAAVAEALGKFGQIDVLINNAGYGLLAGVEEASDVEVRKQYDTNVFGLLNVTRAVLPAMRQRRSGHIINISSLFGYDAIIGWGIYGSTKFAVEGISKGLAQEVAPLGIHVTALAPGLFSTDFLGKASYQESANKIADYDETVGQVRHVPEQFHGQQPGDPVKLAQVVIQIAHTAQPPLHLPVGTDAVEMLKNNAAKMLEESARWQEVSFSTDHRK
MPSPQTWFITGVSTGFGLELAKLALEQGHTVAGTARRPADLAAFEALAPGRAHAFHLDLTEAGTVPGVVAQVIERLGQVDVVVNNAGYGTAGAIEEVTDEQIRRQMEVNFFGALAVMRAFLPYLRRQRKGWILNLSSIAGVLANPGLGLYCASKFALEAVSEALAKEVAPLGIKVVIIEPGPFRTDFAGRSLVVAPAIPDYAATAGAMRRYFEQANGRQAGDPVKAARAMLELVNMENPPLRLAMGNRAVDLIRNKLESQLAELAQHESWARSLDYDA
MTSQTPVWFITGASSGFGRSIAIEALRRGHHVIATARHSSSLAALAEDGAYTLDLDVTAPEDVIEAKVKQAHDVHGRLTYIVNAAGYVLEGACEEASAKEVFDQFNTNVLGAIKVTRAAIPHLRARRAGAIALFGSLASWNGSPATGLYNASKWAVSGFSESMSAELAPLGVAVTCVEPGMFRTEFLNAGRRVGTERRLRDVYAAGAEEYRAILDRSDNKQLGDVEKGASVTVDVLTRTGVAQGREIPLRLVLGSDCVGVIKDKCENTLKLLKEWEDIAVSTDHDDMK
MLTLDVRDRRAAFDVVAQVEAESGGVDVLVNNAGYGLIGAIEEVSESDARAIVDTDLSGPLWLSQAVLPGMRARAAGTIVQISTVGAVGTMPTLGLYNAAKWGFEAFSEAMAAEVEGAGIRVLIVEPGGLDTEWGTGSMRFAAPNPAYDGLRESLFGTAEVPWPAEGTAGGASPADAAAAILRIVAEDPTGLRHLVGDDAPSQVATAIGMRLADYRRDPRFVAAETDIDASAGQT
MSSASSMNSLTVATAAIFGSLGLLWLYKKLRSQRRRAGLKDKVVLITGASSGVGEACAIAFYRLGCKVILCARRAPELERVKKELMALKLAPGIPAHTPHVIPLDLERLDELPDKAKEALELHGYVDILINNGGMSGRGSVVDTVLSVHQKIMNVNHFGSLVLTSAILPNMISRRSGHILAVSSIQGRIAIPFRSAYSASKHAMQAFFDSLRAEVAEHNIQVTVLSPSYIKTNISLSALDGDGSVHAKMDSTTAGGMSTVYVADKVVDAVAKQQRDVVLGPFTHGAAIYIRALVPNLYFWIMAGRARKGTKMED
MSKNILILGAAGQISQMLISNLLENTDFNLKLYGRNLSKRIRVTDVSRETVIDGDFSDKAKLVQAMKDVDVVYLNSMESVADTQTIVVAMNEAGVKRIIGATIVGIYDEFDGPLKEWTNANLSESYRIDERKSAQLVENSNLDYTLVRLTWLFNDESHLDYVLLEKGEEVKQNFDVNVFGLMQVTQMVMPYMRQKQSGHIINLASISGTVTGPSQSIYSATKAAVIMMGEALADEVAAFGIKVTSIAPSGVRTDFLDQSSMKTPAKKIADYDIVKQTMKGLANFNHNQSGDPDLVAKAILEVAKMEQAPRRLYLGKPAIMASQSQIGRIVDETNQHLDLSNSIDTL
MGPLEELPVEHLREQFEVNAFGPHRVTRATLPSMRRRGSGTVVNVSSVYGRTTMLGQGAYCGAKFALEAMSDTLRAEVSRHGIDVVLIEPGPVETRFGETALRTIENLDRTGAYEWFDGLYDGRGTIDRAPGALRPEAVAEVVVEAASDPDPDARYRSSPYGAALLLDRLAPTTVRDRALRLVQRLA
MEEATDEQVRAAFDTNVFGTFNVLRTTLPTLRAQRCGHFVQVTAYRGQSAAPGMGLLASFNYAKEGLGDALYQELKPLGIHVTMVEPAPSATGFRDNLDRAPEIADYDQTVREGLKAVRAKGPEHYNQPGPIATAILAAVDADEPPLRLATGSVAVNTIRKALQSRLANLEAWEAVSVAVDGDPDRVCPLD
MEQKGLRAGHFLALVGALIAVGSLWRPWYSVRFPPELREMLGAGGPLGSDPGLFGQMARTVAGSIPDQVSVSGWEVLNGADVGITVLAIGVAAVILAASGAISGLRVDAGLAARAASLAGLGVLALAVWHVVHKPVPGQVADWLHVEQGVWMALVGGAAMLGGGLWAGAQPAAGGATARGTGSSGSAPLNTAFPPLTPDLPPVFAEPVGGTAAVSSVPPPSHR
MSRQAGGLWPWMLQRISAIYVAIFTLYFIAVLLFEPLGSYEPWRAWVAAPFNGIALLLFFVSLLLHAWVGVRDVLIDYVRLLALRIFLLSLFALCFTTTGLWVMQIVFGVMQ
MRIAIAGCGITGAAAGSFLSSAGHEVTVFEQAEECRNIGAGILIQPSGQHVLKQLDLYPIVKQEAAILDGLEARLANGRQLIRLRYGSGPDSCGWGVHRGRLFGALLKRCRDSGAVIQTNSRIRSFEESSAGIRFIHDDGSQSEEFDFAIAADGSRSILRKVAGIPTHSIEYPYAALWTTSPCTSITDRLFQVIDSTKRLTGILPIGNNEASFFWGLRKTDHSACVNGSFDEWRKNVIELCPAAENFLEPFQSFDSFIFSEYRHAVMSRWHTEKLIFLGDAAHPTSPHLGQGANLGLEDAAVFSQALVATRNFADACRNYTWQRRAKLRYYQTVTRLLTPFFQSDIPLLAWGRNLALPWMPSLPLVGSMMRKTLRGEKQGWLN
MRAMRDAAAAMDNAADLINVAIEQLMRDRIKLPAFRVRSCVTSRMKRFGDYIIDANAIPKSYEIELALVA
MATGVLVHGVGLEDSAASCSLAIFSCILLYTTTKLLIYIFLSERVWLVWSNMAASKAGLASLQSPNTPRRTSMHVQSPTTTSPGVEKTQFTPEWQSGIMKRLKNPVYLVCLVSLIGYLVIIILLCINRINGFRKQDGTCVIGLARQGSIPLITYDA
ELYLIAGLPIPEASYYDEGALYENGVGAVRRFVDGFDEGLARVPSYEGRRV
SLEGEQGPAHPLTDSQQLNSGALPVEPGRKSAEGLIAAINREVARGSRVPAQPSAHLGSGSLAGAGADIALQELLGEGTFGKVYKGLWRGSPVAVKTLLLPSTLSAGEKREKMVVMETAISSTLSHPNIVQTY
MSQPASLVHKRSTVLTANDAKKFVFFSGMTKGATGRPIKINASLLPHFIALVDPKTHDGQAVIHHIQSLRATAGQASSMQNINNAFEHMDRVKNVQICYKILQLDTGSPVTVYITDVRAAYVGDGRKAGLYNALKSGSTADVREAKGPIISSPKAVINGVSPSFIRAGVNALEVSASTSVALFYNPAHVVNELGSWRNTARKATNANSAAMALAEVLMKNQKNRTSTLNWYIEGEGTNLLAKALEKISGELTQQKFRFVNPTGDVVSTIKQLKQKKAQFVTESDLISYNGKRASAVAISSQLHRLASSIAVEKGKHGFDQREKNTKKMIADHSRGGSAMAGANNMLKAGQTTFADMLKQAQGVF
MSIVSIKRRQLAYKLGSKADTRQFVPFSKTTNGDHGRKVFIDAALAPLFASLIDPNSAKGVQTIMKIEQLRAIAGGVTSNSNVNTPFEYMENFVDLALYYQIHNGVAEAGNSAVPGVYITDITFAGKETNSPAGLYVRKPDGRSWKTRVVENGIAETEVGFIRSGKNVDDVADQSSDIAGVDGFGNQNSFNLFFIPEMVRNEMGIWMTPCRRAFRPLEAAQRLANTLINTQRYRSQNNSATPIKWTLAGDGVKLLQLALENVPGILDKHQFKLHDPVADIVQVINTLEMKGAKFDSTPVAYSGTNKRALASAALSLNNGNLSQYHKSLQKDIKAEAGNISVAPVAGPSKNGKVTTDFLSYVKNMSSSLTW
MRKILMGLLATVPLLATPAYAAVKTDNLSVTAKVLDSCSISSTQTLAFGDVDGNSDNIDNQGSLTFTCTDTTPYFVSAGTGANAVAGQRRMASQTLATTAYLTYDIYADRDRSITFPTAASETLDGSGETGGVGDGDAKTLTVYGRIPAGTHLPAPDDYLDTVVLTVTY
MIRVIFILSQTSAAEREAYAHATLSGSQWVTTTPNGKQRKITDKEMVDLSQTLYGWAQSVYKFGCSFIHLSNFHNHLSSNPFRSLPQAEREAILNHMRNYHGGPLEDDPTMEVIASYLPRVFEKISDTAPGCSHFSRGGFSSLF
MGIKVAILGTQPTSYWPLDDLIGSWCHDELGLHDASVPAEGVSLAVIPFGESQAPYFDGGSGSYLTIDSDPKYSHAYANALTVAAWICPLALDNVQTTGSNDQFVHFVEKAVSPSTDVEWVLRLYNQTNPNRHSRLSFYTFNLGSPAGQGNGSYMEYGVSANDETPIELGKWVFVVGQAEHWISATDRTKGCILWKQAIEAKRIAADKYGDFNVHPEDGSGPLTVGGMETTGYKGAISHLAIWNRLLSASEIASIWTAGTIDLRGTAMYHSYV
MAAPASRTFWLTVGTLLLLLAALLHSASPEKKVPNRPLRTRIHSSSGRSPIQWRPSHSANSSPRRYPGFLHGYGESNRKMSFKLLPKEERTHNIKKIASESVYRVSSHSRNFQGRSQPVHQAALTKRRVPEEDELEETKDVAVRVMSSHSVLVTWTDPFSEKQKKPIPSRQYTVRYREKGESARWDYKQVSSKRVLVDKLIPDTMYEFAVKISQGEREGKWSTSVYQRTPET
MNPISPRVSNGLGAAGGSAPIAIILIWAIGLILGHWQIQVPPEIAGAMGSLFSAAAGFLGGYLTRLEAGPIAPVPVQAPQAPSAPVIPPPAVPPVPIPPAS
NDPDYERWTDMLAAAVPYKVKPIGIKHKSASSAPIITNSTVITTYSNGVKLKEEVLEDFLLHEDAALSENDSDDVSAKIRENYAARTRGFIRWLKGKGYVAGTGNIPTPELRQNRNELPNTEDLRLDYLASIAACEGRSLHVTGVYENGKELTASAADRAN
AHSLGAPSDYYFLFLEFFPIFSDAAGIFLFIYFFIFFLPIVWER
MATLSNVSGSVMSTAATTRSTVTDLGVVGRGVKRASSLASR
MWRKLWNSNILPRHKWRLYQLGKSWLKELVRARKDKVFRGKDFNPLKVYRDVVLQSNEYHSRLTPRVDRINLTGSWQTLPTEWIKANVDCSLGPNSTVVAAVRRNSDGRVVLVATRLLNTREPLVAELEAVHCALRIS
MIEDPDVASVAGLMAVPARAEILLALMHGQSRPAGELARFAGLSPQAATAHLKKLVSGGLLTLVPSGRHRYYCLTSPEVAHAIEALMPLARSARPSPHPKPTQPLQKARSCYDHLAGQLGVAMTDALVRKGYLIENERDYRVTPSGESWFCDLGVNTQPDPRSRRAFTRKCLDWSERRYHLGGVLGAAMLETFLDAGWLARSSSHRRALRITHAGQAELWRHLEIEWR
MSRLPDRLFPEAVPDVAGTAAALADPSRAAMCAALMDGRAWTVGELGSYAGIARSTTSEHVDVLAASDLVTRVRQGRHCYVTLSGPGAARVIEALGVMSASVLPTAHSLNAWTANKRLLAARTCYRHLAGRLGVSLAEHLQERGHLDSSWRLTDSGGDLLATWGLEKPLRSHGEACMDSTERRFHLGGPLGTALTQALFDRAWIARIGRSRAVKVTKAGREALAQAGLEGMLTHLDETTSNGATG
MSTHGPYLAEIAHLMGDPARANMLHALMDGRALTAKELAYLAGVAPQTASGHLAKLMQGGLLGVAAQGRHRYYRLASAEVATALEGLMVLAGTQPTNRRLPSRVGEELSRGRTCYDHFAGRLGIGIHDALVAGGHLNVADGGYGLSVSGEAVFAALGVNSEQPKSRRAALRPCLDWSERKPHLAGSLAAALACRCFETGWVRRKKDSRAVVLTEEGRAALGAALPGFRCDEPEAVSPAVRAPETVFA
MKMAGAHEIYVLGGMQGVGAMALGTETISPVDMIVGPGNAYVAEAKRQLYGKVGIDLFAGPTETMVIADETVDSEICATDLLGQAEHGYNSPAVLITNSKNLLRKL
MKVGICFLEPQLNLYEALSSLSSVTKSQVKKLGEKKKYWEAPIKKGECREVPLDALNYLKVNPVCDHKINVIAETSEFIVLSKPFKCHSHPLRYSDTNNAISFIRSRWPDSVLQVNANHYGRGLLYRLDYETSGLLIYCKRDFLHKELRESFSTLVKEKTYYAIVEGNFASRSLTHKLVYSGEKNSKAKAMDIGHSEGITASLEAKRVEHNQERNLTLLQVKLKEGHRHQIRVQLAAEGTPILGDTLYGARESQRLFLHCYSYSVEGKVFEDPNLNLFELFFNLNG
RLVKTFRHTIHQVQCLRLSRNYGKEAATSAGLKASTAQCAILLDADLQDPPECIKDMV
MRDAENWPHLKISFPFLRFLEDGQENWKAKYAADLKWFLKQKRGHYVKTLALNGYIHSDQDYLMYLDTVSEFKDTLEEFLTIKTVDHNGPEQQYSQRDLFFPVLKKFSLRIFNHMNEPIVPVTTSWMKTWADAITRVKSISTLGHGFLGSRIAVAMQTTGTLGYGNLRQIMLTCKPTDGINFLMGMNPQLKSLTLTLPLEPQHLPEFENLLKKFAPSLNLLRFRVDTNGLEEKSRFVLNLPCFPKLINKFKKIKLRREALLQTRNKPSHQHPRLAVAPFIFLYLGGVTTILFFVILSCIIQNDL
VQARFGKQYKIPLYIQEDLIFIPTKRVRDYENVWVNFASVTNVIEVNSAVMFEFESKKKMIIDISMKTLRKQIKHLEVIHNVKVKHFHF
MARLLREALRRVDKFLKVDVNPDPIVLSDFSKGSALKRWRVFSDATFGGNSKGTLTAGEEGGKHAVFEGRYSKLINDGSPLVRAGYVGINTVLPRPLNLSLHDYLEWRVRARDGHTYVASVRTDQYTGGDEEAWQAPLSVSRSGEWEDVRVNLDDLVFTFRGRLVQQQQAFARMPRHAIIAVGLTLAASKDMPDEGDFRLEVARVTAGAKPVSGLEEERKAFQGYMHVEDHTSYADSQHHAQPSGRSSKRGGEAGAVLPDEPVLGLGSKRARSAESVQAGSAGRSSSSEGLKQ
MIMKDQNKEEEYKTPPRGGPSIKPRPSHKTVDISSTLTVTVPILEPNSPATIANDAVSAAAPPIAITTRRRKQKTTKTTPLVAVDIKLTKTPISGATTNTTRGYIPKMRPISVPEAFISCA
MFVLRKQPGGFSGRGRSSSGSHCCVERYLPRGLVSAKPNQSGGSSIFLSVNAGAPSLEQRQMEEKKASKEKAIDRIYTVSPREGERFYLRVMLLYTAGATSFEFLIQSTAAGAAGYLRDDEEYRCCLEEASRMAMPAETKHYLLHFEPL
MSISKLDENDIFLKKILDAPLGQVVTVLGENLNCKICIDLIEQNTPKPGTKFERKIVVSADGLPIIRAIIKFDRNQLPDLVVNELLQKRSLVGTILNRYSILNDKKVISANFDKSGKIFYRVYEIQSHGKILFEIEEEIKLDHLDLIRKKYYL
MFVVLGATGNTGSAVVETLLSKNQPVRVVVRSADKGAGWKAKGADVAVASLDDVSALTKAFEGAKGLAKLDELSESNDPASREAIPPLLASVLTLMMPTEAGEGFSRQYHDALQRSPDVVLAHAAVRALLMQSIPKKGGVR
MLAGALVVGGTAVFSEANQAGARRDDIRLKRHEHDMLDAELGLDELRKQARARGLDPDVASRGTLEPSSNRTGVDDVLKFIRR
MKENFLWNQTAQKIYLQTAMKAKE
MAAISGGTGASHVGSTLDQDLFGVDQRWSKECLYEESLRYKRDKKIRLNVGGAHFETRLSTLKRHPNTLLGSEEREYFYNDDTNEYFFDRDPDIFRLVLGYYQTGKLHYVNQECISMYDDELTFFRIPASEIGDCCYADYEENRELVKLRTMIDSFHRCHYSFDPMLMSIRERLWRKLSYDVTVTGFWMSPPTVIMTFVVLICTILAIIETVPCETFDGRPDSKRCGEEYKKLFLYMDTSCVTIFTLEYLLRLYAAPNRTEFAKSLRSLIDIVAVMPYYLGHIVGSKSVLGSFQTVRVVRFLRVFYYSKGLYILFRTLQSVAMEMGFFLISLSVTIILFSTIMFYMEKAFAFSTFVSIPATFWFAIETMTTTGYGDLVPQSYMGKFIGGVCCISGILLITLPVSITVSNFSRLYHQVMDRTDLRQHRLEDMQVPDNFQLQYDHMMACLGKVFVREKKRRRSSIWSLLSRKSSRDSMASRKWSTDEEGGSRRTSRADSVGTRSWLNCDDSPIRSRVMSFLTVFSMDGIMSRRSSTASRRSSNASRRSSIFSHRSSQSQRSSIHSSRKDSNEESNEVSKKGSREELKLRRLGSEGSGVRWGPYNDGTGVRRGRAESLLKKPSFDMGGGVKGSKVEFHTDLVHIELTDLTSSPLAGRRISRDQRQSSTDRDEGSLLRRESKGGLAPGLPQTARKGSYDLQTLGLTEHQGILKAARSDHRLSDAEEHRGLRDSSRDHLRQYGSELTVAKPNSEQGILRKYDHSTNNVPRLTCEGEELATSDLDIVGSSASIDSKGRRRRVGRDETMLSRQDETRTERQGKAEARVEEIEMSTLQSIEGKSVGSEDAAGSERGGLRGEGMLTVPDRSGSGRNSEGGLTPKSMKRRVSFQDDMSDDEA
MKSNGLNNKLNDGKIFKMFEMEMDYLSEIAWEGLKCGQAIISCEIQQKVLHMIKNIYPYYLMNCLYELNESKEHEQVYSILMNEQLTPRYSVMIPFMCAILYNNIISKKDPSGSGLLYFWKLLHLSLPQIVPIHQMMLFMHCLDACKADTDSSFLSSQLQICHKSLVDSFKPWIISWIHFDKDKDYAYEKNMWNDYKRILDKPLDKLMELHLLISNQMI
MFRFLGNMVKYDSKHSATDIVQMDATDHVRRGLIEARRATYISGSSARGPMGPDLPAFENRVDAEFFVRTTGGRTLNFDQATKETRSASAR
AKCPVCGMFVSKYPKWAAEIVVDGKTYWFDGVKDMMKFYIFDGDFPYDRSKIEKMLVTDFYTLEAIPAKEAYYVIGSRLYGPMGNELIPFKTEKEAKDFMADHGGDRIVRFDEITGKMVMGLDGIEYDEGQP
VSQQVGQPLLDLPPAAATSGSQHVDQAYSVSPQPPPAGDPVSSSKEGQQVPEVNGKYIIEMNLPKHVEESNLCFMFSKDPQHVLKQGKRGRVEFPSRKDADEARARWAITEKFSQRDPKDRTKNFATYPYGRMHPIEGSIGPKPERVNTRVNKLNKPKPEHDPLKFRAMVTDIYDWCRIDKYAKECNDNELVRPFNFNAAAVRGSTSPDITLCEEGPIGNHVFFNILLHVFAAHLTGRTWQGKFSKKHLRISRNQLMCAILMRTVPLTREEEILDDILRIISLLKPVYTINDCLPPHYAEMLSILKKPPRRSNPNIFNGKELVKFQERVLKRPAFSTPEGRTHVVQEFYTNSLEFVDSQWTAFDNSAPVHLEDWKHIPKVDKRLLKVLRESHGMEGKNAGKFGKQSLYMRHSMVHLPDDRERNYGELLAAQKVLASARGDVSPHPGALAAAAIPGSSSPAAPPGPRPQP
MTGSVLQVRRLERCDLEARTAWFNDPIVAANMLMDYPASLADTEKWFADTRLDRRRRDFSLWVQRSGEICAMAGLTDVDERNRRAEMYVVVAPNMRRQGIGATAVAWITNYGFAEMSLDRVYLFTLRQNHNAQSLYRRLGFCEEGVLRRHVLHRGTRHDRLVFGLLKDEWSGLPWAHDAPLPMEIRV
MSNLFGTSFKYRKLFGEKVMFIVPHQDDEMNMAGSALIGAIAEGLDVILVYTTNGDFEYSFDIRQKEVYNMAEIIGLNCKNIIFLGFADNISNELFSNPEQIVVSHKGNNATYGNEITQDFFSSSTGQGKPYTLKNYMLAIEEVICTYLPDSIVAIDYDKHVDHRLCCIYVEKVIAKLLKNNLWNGSVFKSFAYSTGYEGVRDYFKTHLLSTKVKYDIQIDNPSLSWEHRVRLPVIDRCRDSIIINNPIYCAMAAHISQKAYSRGELLINGDQVFWEKRTDNKALQAIFDVSSGEAKYLNDFLKFNPSKLESYVEFCNNDMWRPERDDKKPSINIHFPRNELVKRCVFTGLFSDNLDIGIVLDENCISPRYHIKQLKTEIIIDFNQHINTSSLKFYFSDNDFAISEVEIFEERKSYEYCHILCNNEFMYSWIVFPWEKVPIIDLYTNKQVLSNLTDKNFVWTVNELELDYSQINEYIQQNLTYDYMKIKAVNKVTKEWCEGSIRRGTLFEWCLLSYNRIVEKIKLIINNYKLKQKYKRLKRYL
MKIEQGSVHGVYEFIKLLDTTDTYELWKARSTKLMPFVLIRVLPKQYIDHNRYGEEIKIHSLANFPFTSKFYQYFEEGELTFVVMEYLNDENLEEYISNNSKINEEQARIWFSQIISTLNYLDTQFQVYHGNLEACCFSLADSNALKLFDFEYCHYLDSSVKCMTSRCHPFYLSPEEIKNESNPLATTVWRCGVILYRMLTGAYPFEDDSSSELFTNILSMKPIIPSQISNDAKNLLTRMLTKATYERITFEEIMEHPFFVKVPIVTLPSSDAHIKSDILRQLERVGYNKADVVADLKAKLFTEKTAAYNILLVEGNYDDESDASIEYNNAPLKKSASASAFQIITQPKSPNSLMNKMKLRPVVPGRSIPIIPQRRSRSNSFHAVNNFYHVE
MEDFFTQELLKYYSSKQPFRISKGKSTWYQYDTNITNVSDITLREILKDEIIIEVDDTLFYKNKKEFSDEVINFFSCNLNYHIPKIFFFLFQSFSQHIINLTSNNLKKDNITFEVWNHGGKSPHLHIHNIPIAHLSKDELKIWKESFIDCYVPSEYLIFADKSLCGVHLVALEGVKHWKGKYEIKKLLHYYNPTLKGVTK
MAIYQAFQLTFLIKMKKSLSFKNKQRPKSVDFGRCFLSFYFFEGNTIKNKIKSCFLYGH
MRVLSVLLVALTVAGSAYSQCTVNDASSAQLDSTFGPTTGGDALTFNNNLGNQIQIANNYNFVSNGVVQSNGGDNLVLTGTLTPANSSLTDKFSLRAAFVRTTTPSDVKRELQAGAYTSNGGTVDPSTWSFYQILPDNTKMVSLTNPNTVVTMSENPNTALQVGVGANGKNLQNGASGWFQFKATDGSVSIQSGNGEMEVVDININLGCSSTFDCVSNTYTISKASIDKSLTDQQNDQALYVDQVSTVFGNQQRFDTSSGTVNTISIDQTNGNLRLGAGFTSISDSSITVNCDLRFSPALPSANYQPKLELMNSAYVSGGGSIDPNTWAYYTVNVSGSSCTRNDGTVVTITGDQDNMYLQWGKGANGKNGNFGLSVWLNYVDAALTNPFFDINVDTVCETNPSTNLPETSPPTEQPTAPPATCPPGCIPAIPVVNVTETPAAGNSASSIEMSKLVVAILSLFILAFFH
MTYSDGKPVKLNRHKTEVAPSGRFAWACRGNVYLSNVVNCLLNEAVTIDEVEAQAAKLESLIDPEIMALAQNVEITAAGWSDQRNDLRLIRIKIRPAVFEVAEIGRGVHMAPTPEASRVQLPATATDDQFIRLALAQWKVQNKFKAPLCIGGVMHQTTVSRAGVERRMVALYPDYDTHAKMLGDPNAEEVRAFRAQARAAA
MAFNYWSSGETTSVGIASGPTRLEYNVYRPDGIAIDGATHPYTVSSTGEPLTDDLKRKLDAIVQGVTRQRIEIYKAGKDAGLSVSEIRESLISFDKSLSQSYRALVGTSYMTDPSTRYVNPTHTELMGGPRQSE
MGDPLGSSCVSFQKQNREGMVGAQNGQYSATMESDPEYGGGLNWDSKTIIPIQNHRSSVEFLFTSRSCNGATHLVTTHITRVGDVIGGIVLNRSGCLILWHMISVRYYQTYVGDPNFNTDVSRVFNFSYQMIR
AKCPCILKKDSSFVQGRKSDKQLTAITNFAANCLYGSKGSESSDDWFLDSGATTHLSRRNDWLEKCNCDKSNTVSIANGSQIRSTGCDTVKIPLATKKTMVAKDLHRAPELAVNLLSVSRIASQGKSLIFDISGCRIASRLDICHAVRVVSSTGKAHWIAVKRIVRYLKATKNMKLVYKRAEGSLTAYCNADWANDTTGFVVCLPGTAVSWNFKKQRTVALSTAEAEYVALSHTMQEITWLQSQCIDRLLCDNSAAINISQAKNTCHEQKHIDIRYHFVKEKVQAGDALIEHVAT
MDLMKMYEQVQQRVNQINFQYLWRGFREYEFALYDDTIVILNGVSIPKTDEFLANTSIFYQGRYIAIWYITVDIDVDILTSKIIHEMFHAYQNQMQDCRFVNEFEALCNYQYSPLYLQLKHNENLLLADMVSDFSIEKLNNFLTYRKIRQIEFSYQYNYENSIEAIEGSAQYVEMQVLKTLSARKYLEFLKGIIDRVCSINNLIPVRIISYDIGALFLSVCFQNNLPLALEIGNTSEIFYSKLITQAHYKKLDIAIEPEIINFYNGYTKMLRGKIDNIITNSSEVIKGNFELLGFNVYSARFIDGYAYSEYFLMYKDNQPITLYGNYLFKLENDRVTEIYKEL
ARALAGEPLLDPTIIRDALILGAAGAIAADTETLRYGARKASSEARRRLVLLANLDELAALVGLAFLNAFFRPSGVGVTWQLPNTAWLFVTFGLGLVVGVVVYAIARLPATRTESVALLLGSVAFTAGIASELHLSPLVVCFIVGLLLTNFPGDYKARLNDNLARLEMPIYLVFLIVAGAMWTPTLLGWLVMLVLLAMRYLGRYIGGRVVWRRVDADLPLDARRSLVFAPMGTLPIAVAVNAALLYPDGEISTILTATIGGAILCEIALSLLWRREEQSHGPAVLDPKVRT
MTYGRRKTREPKTEILGAPDLFINRGSTINLTCVVLQSPEPPAYIFWNHNDAIISYDSTRGGVSVVTEKGDSTTSFLLVQEAKPSDSGRYTCNPSNAQPKSITVHVLNGE
MSAPMQVFRQARLAQLPKLNAQRRFLNMQPTRRAMRPVPKEEHSAHTISQRIRTLKKIPPELIPLGVVLGYADLQQPPLSTANQTLRLSRQGSAGD
MTDFADITGDIGVVSFTPTGGVATTVQVGLDRAVGAVQRVPSFANANTTFIPADVHRVFVEYYSPVYNDASTLIGGAHYKEVPTEPTHAMKFLNGARWFEIDEAEISVEMAGAKANATSGTNATLCADRIQIAYDAAAVIGSRLYIPPKTFVVHLLLANRSENPATYRMYALMFGLPNVEVFGVQGRSTIKCFETLGRYGTVQHFRPPLTNGTVEYSGGSCRGITFDANCADTLTFVGHMSNSGWEGARDYIVDSCTFLNSGHYHCGFQSGAYQSIVISRCFFENSAKDAIDFKNNIPAALPPGNTEPEPLPGSIFGIFVSECTFRNCCRSEDTIASAIVDLMGFGCIAENLFFDELPSDPTSEWASVVRFKEGLDSSLGLHGVGAQGGRATNIMVKFTGGEKAPAVALIEVRAPYCTVTDLMVYGTCPAGTVGIEFSQPFGLVRGGRIKGAEIGVYTRLIRNDDDLNPFPGGDDVTIMDFVFEGCATAILANFSAAKILANRFVDCTIGIAGTATTAKNHLIMGNSYDRVLTPYNLSFLSGHMILNEKGVPGLATYSLSFAPDGGVQTAALLAARDSVRLGIGNNGDPANVREVLRLQSAASAASTVNYLTILAGGANVGPHFQAAGADTNIDIQFSPKGAGLMRFGTLSANADAPINGYITVKTAAGTTIKLATIA
MTTVEHVPAVAPAEHRPYEKPALVCHGSLAMRTAGGLGLNFDGALPLDFTSG
MPLFCGLFSLWLTKFSSTSFPNSFRESIQEKNLDFELVVKKLNIKKIQSQGTLDHRLILLGWLIDV
MPPCSLHVQGMALNTMPPCYPHVQGMPLNTMPPCSLSCLRYGPEHYASLFPYVQGMALNTMPCSPHVQGMALNTMPPCYPHVQGMALNTMPPCYPHVQGMALNTMPPCYPDVQGMVMKTTPPSCSRYGPEHYASLFSSSLRYGLERYASLFSSSLRYGFEHYSPTLTVTKLS
MNYLYEIYDASNQKVVARITADNPVSALRKYRENGGALDVVYIPRGEGAAFSESVLAIYE
MWATRSNDVHDYFLSPGATLKLRRGERLWLSADGATSACVSFSAIAPPQQAAPRGVARFASWLSAHWRDGWRTV
MKFVSTELFEVSPRPVAMSYGSMANWGGNFVVGMTFPSLQVLIGQYSFLLFAATTIMLAVFIKYYLPETKGRDSSDIAEILKHGFLSDINTPPLYTMSQRPTSVSSNPSRLRCNSETVSVDIVPATDSPSHNVGILAQNNSYTNLSAIPEQFQAVSDFPKSPTNPHPVHAMMPSIPENLDSSSVIVAKGGKQIM
MSRGGGTGTKKDVLLELVSRDIKELQRVHSESKSSDVKAFTEAEEPAQLLCDHLDHILMHGLREFEDGYWPFVRHFTRNELVQRIRQLKRVTTSVGRGRSWLYTALNEQSVESYIRMFAENQDIVEGFYLRYQLYYAPVLCSGTPLIWIPMGQKKVSVLVRCPYFMVQRTVVGE
MNNILFNNLPLLVLNQVVSLLYLSTTFLYGAHFFKDIQFAKTYKQPLLALTVLSHVVYLGLLTSLEGYKISYSTVNLMTMVALTLTITYLFIEFTTKSDKTGFFVIAFASGAQLISSILTAQLPNTGIGTFNGIGMGVHLIASIFGFSAIAIAGLYSILYLLLFRQIEQNRFELLFQRLPNLEVLEKLTMHAVSFGFLFLSITLFAGFMEQQASGQSISLLEPKLVTLIIIWMLYGTSIFIKPIIGWDIKHMAYLFIVLFVFITLLIVLMTFFSPTFHKLSL
MRKGYLINYEETFKGYKVLQNLEYWYNTRNLESILKILEHCRETMTNPVMYQIEFSYDPDKFNKSVFLEVLRRKWKSEWKKYEEERKEKWILKYEEAQLKGEKTSSFRSRKIPEFHYIYSFEFKEFKPAGIRRDRGKIMLNYHHCHFMFIVDLRHNQFGIDEVVYRSINAFKKIEGINKGKNKRNGKDQNPRLNYRKTEKPEEGGFIEARYHDLKTEFEDAFTRASYLSKTSQKAGVMTRNSFGSSIKLCKVM
MSVEIDLTNNSRDLLDYFKNTWQADFAYNGNGSFYDSTQAQPFSQWGAGTNGHSGVVLEGDLYYPIGTGGGLSSSSTLDGLDFGHGYAGGSTGPFSVTSDLHIDLGGAAPDAMFNEALYALSHGGNLQQTTLPVAPGVSVPFHGLYDYFAEVGTHQVGTSGDDILYSFAGNDTLTGNGGNDIYTFDLHGLGTLTTDFTKVGNDVVSDFTASNDSLIFGLQNSAYDTYAEVLGAATQQGANTVFDFGTLGTVTLDNVNKADLVGGTNLFLV
MCRTLDNQAQVYVCPSRWNVSSRFEWEYGVFPNQLKFDTESLLVGQILASCIVFRYIKENGKRYSQNSEFPWEMGVFQPKTIQWYGSITGRVKSEVSNRRSMNREIVLMNFYITSHLPEGYEMQKYCQSRRSSDDSV
MDTKHQVFLTIIETGSFSKAAERLYMTQPAISQYVKQLESEIGVTLFDRSTKKLHVTGAGKIVERYVRQLADMHGEMEQAIHDYLHEVKGPLRIGASYSFGEYVLPNILASFLHDYRDVIPKIDIHNTHEIANAIVKQQIDIGIVEGYVDHSQLLLQKIAEDEMVVVAHRPDVYVEEQSWIVRERGSGTREAMNAFLTKFHLKPKVMYEYGSTQLIKGTVMAGIGISYLSKWTVQRELEEGRLFTINEAEFNMVRDFYCIQRKEAVRSKVFEAFSQHLHKCCSYL
MTLKQLQVFLAVARFQNLTQAAEALFLTKGAVSQALQELERHLGVRLFDRVHPHLRLNHEGARLWPLADEMLQRAEAIERTFQAGGACFLSIGASKTIGNYLLPQLMHDFEKDHGWLPKAQIANTNRLLELVEGYVLDVVLLEGEQHRTDMVAEEWLKDEMAVVACKGHALADGKAHAPEELAGQRWILREPDSGTRAFFAHTLGSLIAPYTVALSLSSTEAVLGMVEHGLGITFASRLMAELPGFSSRFSIIRLTQTFSRTFSLCWHESKYHSAGMDTFIRFCRAWSPLSR
MDDDQSCIDFAIDSQGRAVADTALLEDRDPPPQPVHPAAMVNHAEDSVFDGVNQACSAADQYYSGVMDDSLLVTDDLPGLTYEDELQLLREFAARDPFDSPAEGSHEAEADAGDGVFPVDVEA
MPIKSFALSINKIEAIKWVLRISIGVQLITHGLYGVIEGKEVLIGHFSSVGLPGTLMDPEYFLLTIGWMEIAMGALILLKPFRAALVLVILWEVFLGLLYPISGLPETEHAQIYHVFRTLERFGDYAGPFALLYLMSFKPKSARTERAPDPSRRLEGVAVIQ
MLGLSDDSVLEAFEKDEIANPSSRNIYPDRTIYQSRPIDRPKAIGLPVLSDFGLARFEGGNSDDDIQPEIYRAPEVLLDMDWSYSADIWNIGAMIWDIFYDKHLFDAYDPITNKLSNKYHISEMAAYLGPPPQRFLEKSETSWKYFDRSGRLITGIDLTDKLSLEAREEWFDGEKKEQFLNFIRSMLRWDLNDRLTAHELLDDPWLNDYKL
MLLYHFAKILDIHPGNLLLGVVDDDSQLEFLASMAFKSPVARKQASECRTIYLSRLMRPRPGSILLSDFGEARVGLGPHADDIMPIPYRAPEVIMSMPWNQSVDIWSVGLTAWDLLGTDRLFTAMDGDGEMYDAAHLAELIAALGPPPREFLKRNPRRAADFWDEQGKWKGPAPIPKNRSLQELETKLRDSSAFIAFLRRVLTWMPENRPTAKALLQDPWLMTKSSASAKVESMDAEGQ
MRPREKKVIDDVRIIYGSRRLDLPKGDLWGQPALCDFGEARIGSSHSGLIQQEFSRAPEVLFEMGWSSSVDLWNVAVLIWDLIENRHLFDAMDENGDSSATHHVAEMVAFLGLPPREYIQRSKITTNIFTNRACLFVINSASPQDIILFDADIPTALLDELDDPRIVPRRRTRLVIHRMRMSIEPREDFLARLVAALSSRAVNDAADGEGCGDGGGRGDCADEEGGSWRQET
MKSTWGRWIFAGGSTVIWIFIFKPMTGLGAAIAIGVALGGWWIFDSGKKKSK
MTLLTIRKGAANRAMGHTRSFGCLPIEGVPSYVESRALSSGMESQFVVFVLKELAHRARWIVAQLPPTDAELDFLATGKLPGQEEDTK
MDSVRDLNWSADRIETTKTGRWRKFLDSVRAKFNWFRLGIGVVAASTSRSEVRQAQESVRCTINQRLLSLNPAIVRSGAILRPRLGVCGQPAGLISSCHPKTRSFVRSWATSTSSALWP
MNLLEPITISYKGSWADDNKLPLREFARSADGLDDILGLCAEFAITHKIILRRPARSVRLVTQAPQPGSFEFIPLVEAVFQNQFVISASSGLFAALTTLVFSRLANKSEQSENRIMVEKLLEEIGRDRDRNQEMLETFILGIDKKRAAGIRSVCTIGAGCDEIVIAKNTPSEIHLNPADREMIELGPEAEIETQRVRVIISELDRVTGGCRVSFDGERKRYRGVVLDPAVRGDGNFYATAFAQGTSIDVIADISIVEGEIKKVMIISQANESM
MIANYLYTIGRHLPPRVRDDVLKDIEVNLYDYLEENFGKKEYTDEELEKAIRSMGHPHMVAEAYLDQPRGLIGPAYIDAYWLVVKIAVFGIAVGLTIANIINLSGFTNGIQLYLQILAQIWQASLTAVGIITLIFAAVQHYTPEETIKNNEAWSLKILEKAVEPQQKIKLFEIILGTFFLCLSLVVINQGSSFVSTNGKTFVFFNKAALEPYLLWFNLFILVSLAVNIYLLIQRKWQPATRILTVLLNLAGIAVFTIFAFDPNVWNLAPLASYVGSELAVIERGIQISIYIGLAAVVIVSAIDIIGHVKVLARRR
MASGGSLRLKELKSRFAGAGLPLSQHQAEQFLLYHEELIKWNPRAKLISKRDEGRVLSRHFLECAGLTQFEEFAEGVETLDLGTGGGFPGLAMKIVRPDLKMTLLDSKRWKTLFLRSVIEKLGLSGTCVVCERVENLADSPGFRGRFDVVVCRAVTELAILYGWATPLLREGGVLVAVKGSQLSREQEEMRRAFPDVSVTARPLSVQDEEHKLKAVLCSLFDRADAENV
MNSMLDMVIIPEGSFLMGADPEVEINAEYEEQPQREVWLSTYAIQRTPVTVGQWQEFLRDTDYNWSYDNETLSVSFRDFYSSLPNLAAEFSPGEMYPIVFVSWFDACQFGCGGLLLI
MQKKKQKPPIGLQKGLEVTQTKFTNGENKLLGCHKCNNENALNLGVAAGFCTSMV
MTASIPQESRLPLRLARLGAITLAAATLSACALSPGMHMGSPSAVQSKMDQAGDEAPAGALINITPQLLREQQAAVSATISDDVKALFGTPQPYTIGPGDILNIVVWDHPELAMMPITSSRSTGSPSQADIGNGYNVDASGLVQFPYVGALKVTGKTELEVRNILMKKLASYIKDPQVTVRVQSYRNRRVYVDGEVRQPGLQVMDDLPMTLPEALSRAGGFTQEADRSTIVLTRKNKSVRISMPDLLRLGINPNKIMLTNGDMVRVRSAEDNKIFVMGEVLNAISQPMRDGKWTLADALGAAGGPNPLTSQVNQIYVVRKGKQDDAEIYHLNASSPTAFVLANGFELQPDDLVYVDPAAVVRWQRVIGNILPSYAAVTATRSATR
MLIATSQDLFYLVAAISLLWVTFFLCWALYEVARLFRRGNALVDDAEEKIQIVEDAVTGVVEKVTNASNYFSILGEVAKSGIAMIQSKLQDGGEDEDELPEMKEEKSSKRKKK
MRKTLLMIIGFCSALGVTVSAYALYLHYQTTGSSFCNINELWNCDVVNKSQYSEILGIPVSALGVLAYSFLFYVSVHFMRGRPIPKYTLELATGFAAFPFLFSIYLTYIELFVLYTVCLLCVTQQALILIILISLIILWRHYKRNPELVAQWHSRA
MKRTLLATLMLATGASAPAMSAHHECDGDLFTMNAGRGDVGVLVDVDEGSARAGGDYFTTAPLRATLNSRALFSASAMAYDSTTDRIYYASTPTPQSYHIAETNNGDFTDEEFQALVYMPMHSSLSVWHTTTCKQAHIMTLVPRVLK
MSRFLPGLRAVLWRFPVPALIAILGTIYANLFPVPPGRHGWAMWGNDIILPCLAGMLAACSAHYFARSRLFTGLADILFAIIAGFVAAMLVFQAKSFATVPLLLILGLAAFLPISGSLRAGISQGATWRFALDLTQAALFAIIVGQIFRFALAPLGEVEFLRSVDLLLEYRTIVASLFLVSPLAFLAFLPDGPKADGAGIQSEPGRVERALLLCLNYLLIPVVLLYAVVLHSYAFGGLFGYGLPDGIITWLTMLFVLLGSMSWVLAWPWRNDGSVLLRLFLRNWGWLTIIPLMLFTWAAWERVAALGLTPERYGVFLVAVWLASAAVYWLVRREEADIRLLLGGLAVLLIIGSFGPWGAKETSAASQATRLIGLLKAEQALTPEGKLLHTQPVWNAAKNNRIGAALSDLSQLNGLARIRPLFAGRRDDPFVPNSTEGNLLRDIRARLKIEFYVREHDDVRFNGDLPLTQDVGTQSRLIGPVKLSMFPGVMPRGGAYAESDGHTLFVTVGQRKWDILLQPLMEKLKAATAPDRIQAYVHEVDPNMSLVVSEASGTLGEKQRDFRMTFWLILRQ
MNESKGIEPTKLKRWYVIFTKHNCEKKLQKTLEANGYNSYVPVYATIRQWSDRKKKVIVPLINSVVFVQVPEVLLNDLYNFSHVKGILKELGTPAIVRDYEIENLKIIAKEWNGEAVSACSENDRYEKGDKVEVLRGPFAGIFGELVEKNGKHRVVVRLKSMNVEFVINVPLNSVKKTSEKMV
MVELQVHLPAARSPGRDPRLGGGQVGALDDGRVRFEVDDSGPGVPEDRRTRIFDRFARDGVSGGRGGRGVGLGLAIVAQHVRWHGGTVTVLDRPGGGARFVVDLPVVRSSSAALGLP
MMEQISKQQIIDLKQQENEFLKLVDLSKREDLAQCTKFLAMYIALYRQKFGEIPEAGYEKLMNSTKLDNELATIVEDGIIEATEMLKMVLLQHQETATEDNNSFYLN
MIVQCTQKKVNSNTGKTDKHPVSSKVIQIQPPDKLNQQIRKRD
MKIRRTGFPTQSKTFETMPDAKRWATEMESEISRGVFVDRTEVDNPRGDLIRRYQVEITPSKAGGDREKGYLAAILDDQISLMRMTMLASRDVGAFRGRMMATVGYGPATIVRGMNHSGTQAATGLFITPPAAGGHRSMRKEDQPLVEPKVRSRP
MALAASAAAPAALAQTVAVPDAPETGYTLSLGALGVYTPSYEGARSSRARVFPSIVAATSGGGFISGRLDAGWNFSKDDALDYGVIVAPALPQEEKDDPALRGMGDIGWRATAGAFVTWRPNRMMSLRTAVRYGMGRERDGATVAAAAGIGYPIGPGRFVSASLGALWANQSYKQSYFGVTPEQAARTGYRVYAPSAGLVDTRLTLSSFGGITREWGYFINLTASRLQGDAKDGPLVLERTQTSALVGLNYRFR
MYTTDQSVRAIGRVRVAFATLGGAWLAMVAGYVDAVFFRLAGTAVTHVTGNAALFSADAAASNFDQAAKLGAIVGAFVLGAVLSGVIVGSPSLRSGRRYGVVLVIEGLLLAGAAAAFAEGPTASACLASCAAGLQNAMASTYMGLIVRTTHLTGVATDIGFLIGCALRGRRFEAWRLGLLVLLAAGFIAGAVTGAIVSGEIGSRALWVVSGNVIVVGAAYFAWRVRRPARL
AGRRRGIAGIYAYSILVEAILLAFLAAADIWLLTTWRPPLLTLGLAFLMGLQNATVTRISDARVRTTHVSGMATDIGIEIGIAVDILRGKAPDAHAAENRTRLKLHVWTIVAFLLGGILGVIAYREVGGYLLLICAGLLFAISLNGIRHGRGTFATTATRRLRWLPQRRQ
MMQRLPRWVELGGCLLAMNAGFVNAVGVLGFRHQAVSHLTGISTFFSIEIMQGDILQVLHLLCVMLFFVLGAIISGMVIGHEALKLGRQYHLALWIEAGLLTAAVLLLNQGWLSGQWLASAACGLQNAMTSTFSGAVVRTTHVSGLFTDIGIAFGLRLRGQAVDRRRLTLYLILIGGFVTGGVLGAYAFTQQQFNALWWPVCHLVGLSVLYFGIGRRARQSMDV
METVFIYPDSRDPDQVRWLKANPGQRPGPCQTARLKAVAKEVGGYPVVLIVPGEDVLLTHVDIHVRQMAKLRKAIPYALEERLAEDVDSLHFGIGAREGDRTEVAVISQEKLEGWLDALKEAHIVPRIAIPDMLVLPWHQYEWSVVVDGARAVVRTGAASGFSCDRESLEPLLLMLLDSEERPTLIRLWHCDGGERLHWSNTAPAIKEHSCEGGLLSLLALAWQPRPNLNLMQGPYNQQADVVKALKPWRWAAILLGIWFVLGFGKNLVEQHQLKAERAGLRAQSERLYRQTFPGVKRVVNARVQMEQKLKKLRGSGNAATGSDFLELLAAASPIVGTEKGVSLENVSYRKGLLTLKVAAGSLSQLDAVKQKIEQSPGLKAELKSADSAQGKASGQIRIQRTQ
MLEFGRRVDLDSKTSLRAYAAFGVSYRPDSSYTVKSSFVNADSTIGTFNDHLKSPEVLGKIDLGLQLYRAGGFEAKAAYTADLSSHYTNQTATARFAYHF
MSLSCPSPDDTRRRPLLDRPYSYLVESLQSRSLPLHQPTAKHRTSRATTSCSPRFPPAKRCFVAGQIGSTCCASVAVAVSLVDLCMSGRWTFGIQYHYLVLLMFNNITFSSSTLSHLGWFNFNFVCKEIKNPSGSPCYDLNPAQDHSLERRLALENLI
MISSGLVGFRGLHAVAVLGLVLLVSACREDDAETGADSTVGGRAQDLFQQLGAGEARIEIAGIVETTGTRDTLVDDPRYISASADIFRPSTSNNRRGSNADGPYELVLYGDAVHSGHAPETPVRASLTLILPKGAEPGVYTVDGRRADDDEIIARLAGDGNAWNYNDGFSGQLQITEINDMLSASFEILLSQDEDHINILGAVNALPLSPQAEVRYELATADETRQEFATPSGRLVGSEGDYQLMLGRELYLRLPAGFEAGRYRLVQRAAAPDEAGMRLINYDYDSLQGEITLERTGNLLSGSFDFSTDGETSAEVRGEFDGVILQE
MKQLVTLLLLCMTLGWMTPGQAAMRVHHGDSESSPTSHAMPAMDMTSDQQHSKHDCCDPQPEPSQAMLTSAADCCDGDTHSCSGDCCDCSTVAHATLSNSLLWHDDFSGQPYLKAGSDLLPANQHDLLRPPSLA
SFNITTSVKDKXQKEYALLYKLDIVPLDEGNTSYRLISCNTSVITQACPKVSFEPIPIHYC
PLCVTLNCINWNGTKATNSSGGNLNGTHATNSSVGMGEGEIKNCSFNITTSIRDKVPKEYALFYKLDVVPIDDNNDSSYRLTNCNTSVITQACPKVSFEPIPIHYCAPAGF
MSTNALPPLTLLELADTGLPGIESYSPFCLKAHRALKYAGLPYARGCADNPASHRAHNPTGQVPVLLVGKEAVPDSTAILARIQQLAPGRIDASPEALLWEELADTSLNGFLVAARWADDRNWPRTRAAFFHFMPAPVRAVVPTLIRRKQVERLVGRDVWRAGAEACWRRFGALLDQLDARAPEQGFWLSGALSVADLALFAQLQSLRTPLTPWQGAEVERRGRLSAWLGRVDAATRTASVPLRAAS
MTHYFRSSFTINRIHIVCIAVLLAILHIKAISGLFSATLAISNYLFVVSLSFLSISLLRKLNDKLAVLATILWILFLLTELIINQLTGLHLNSFVFASLLQQATNSSMAFVSYLITAIAIVTLVYFLQFYNLPRRKQNKISIKYGHVIGALFASLTCTQAIYAIGYYFGELETVYIKRKLSILVTPHPYYIKKGLSILFEPSTDNPFARSRSISERQISDGTLSEATLDQRTNILIIIADSLRGQDIMKTPSLAPNIATWSYNLKFRSFNHYSTSNCTHFSIYSLLSGQLPTNFSSARKAPVVVQPIQTLISEGYQISTSEAETLDWYDTASTVYAGQANRHIVPSKQPNKDEMAIVDSLNKIQQYQAKKQPFFHVTYLSDTHFPYTSSTNKQNKSIYEQYLSAIKEVDNNIGFYLGELKSTGALDDTLVIITSDHGEELLENGIIGHSSRLSPEQVKVPLVIISPVLQDNTQWPKSHIDIMPYALSSLSLSAKTIDDNNSIILANCDYDYPNGFAVITDQSRTDFIFEDGFLYNAQTPYSRSTTINREQATKTLLETLSD
MADFTKMIDEIRAKIASTADRLAELREKRRPHALGAFGGDEKASAAVAKIAAEESAVRDEADTLALALEEAEKRKAEADRIAAEKDQLARDKEAKRISKAIEAAAVEFDVVAMQLVEILEKRAALISELRGTRVLYDGYIGALQRPSRVMSALWAAGLGRYDVLKHVDGAHRHPLAKSDAHRQELFGGAIASSEDAKEVAA
MPESRRNTLVGLFVLVGVVALGTMIVVSKGVPMWLGGGQTYPLLVQFPNAAGIRPGNQVNVRGVQVGRVVRLKLADATRLDAEFNVIVELAINHDIKIPDGSTARATEPVLGQGRPPIEIVAGPSGSAPLSAGATISGSVRTAVESFFPREVVTTFTDTASQIKETAAALTPVLVDAHDLMQKRSPGDVDRAGGPQGNLSSAMARLDSSARHFNEVLGDPAVKSQLRESIANFQKITEDGKAAVADIRAAAGDAKEFVSDAKGFVSKATTTVDNIGARVDTVSDGLMSSLHKTDRFLDQLSDIAEPIAKGEGSIGQMVHDNTFYEALVFTLRKASESLEEFRVLVKDWQKGKVRVAF
MVAFLLYLGAALYLGVLTSISPCPLATNIAAISYIGRKVENPRLVINAGLLYTLGRCLLYLALATLLTTTALSIPAVSLFLQKHMHLALGPIFLLLGMFLVSLITVTGGGTMMTEGMQTRVDAMGIWGALLLGVLFAVSFCPTSAAWFFGLLALTMGSEAGAITSVLDKVGISLPEASLPGGAVILPLIYGIGTALPVLGVAFLLAYSAQAVGKTYNVLSRVEWWARMVTGWIFILAGTYFSLKYVFEV
MLQTALPSVVLDYPTQTELQFGEQELRTRTTTVRFPSTPTESVWPIQLQLQPISFLPNEILIHIFQLGLDEFPHSAHARPLPVAVAGVCRSWRAVALRTPGLWTRVFVTSATPINHLQMYLERSRNLEIDVNFCHWSMPNHVDEDN
MCAKITDLPTELLASIMLRTLDQSDWLRPQLACSHVCRHWRAVALKEPCLWSRLVYSNSGKGKPEVVNFVLERTGPAVPLRMELSLYAEHYYNMEFESLEEVEQTLPNHTILPSIAAELQRAKSENSREAVLPVLEKWMACRRHSTSYCRT
LDVFGSLLSGGLNLGYLNFGTGNNGEQIFKFGGLLKAIGINGNNNILSTPSVVTLNHQEASLSVGQEVPFVTGQFSATGSSNSDGAPNPFTTIQREDVGLSLTVTPHINEGGQIVVDIAQEISSIDATAVSAVDLVTKKRTLSTSVMIPDNSILVLGGLIDDSVQESIKKVPLLGDIPLIKNLFRTKKRTRVKRNLMIFIHPQILKDDLQQESLSKEKYNEIRQQQLDKVSPEKFRRGEPLLPEIEDQ
LEIHQEVSEVDPASLGAIGSDGSADLITNKRTIDTTILVDDREVIIIGGLIRDKETATTSKVPVLGDIPGLGVLFRSKTTTVEKQNLLVFLRPTVLESREAITESTRRKFDSLYEVEIEGRDSSKTIPDLFNGNPP
MVTQNNIMAVVKQGVRIPVVTQAQLGGPSTTTYIDAFLRLTVTPQITVENTIFLNVDVENTTPDFSHEVGDNPTLITQQATTQVLVTDGGTVVIGGVIQTNNSVSIKQTPVLGSIPWLGNLFKEKLVSSTNQELIFFITPRVIET
MEVLIVDLQVDEQTAAGLELALQDPGGPEGRTIAGGISSSTDNASSLGSSLSTAASSFLRGGSFFVGQPDKIIAQLQIFASDSKTNVLANPILVTSDNKAANISITDEIPIVQEASVPSGGSAVVSATVEYRSVGVKLDITPKINSEKFINLKINQEISSRGTDVGDQPSFNTRQVNTEVVLKDNQVLVMGGLMRTDTIDTVSGVPVLKDLPYIGKLFGSESTSLKKTELMIFITPHVISNTGDSEFVTHEFKKRLSNLKNISHLGS
MKLCTQAGISSIEGDSPTMLKDFFEKFGAKYECRTPKDSEVFYNKFYIDLLKKDKLHNLQTMPSPL
MPPPPPPQKGRMKRAGGEEEKAVFKKMRKLMDTAEAERLAMLDEKEDIAQAKHQFALEKVRFQEVSALERRVLARHRDAIGRNGERLRQEDAALTKAKERLRQEKAKVQQACDLAVMCESLRAQKDRTLKEMAQLRTQYKKKIHDVEVDRDSMRTLRDEAQEKLDTVAKQLGGSVKSALAERDQLKKERDELEAKYLDAELERHIMEGERDEQVREEKSAKKEDLERNLGKAVADRDTMEKERDEALAELAKLRNGMKNMLCEA
MIQSRKKRCGRPSNPYPLRELPDLMVLPGNSIRLAGC
MLVSLLSFVPFVSFIHSFPQRKQKGKTLASLTAAERSDPLPPFVAVQGVSLCTVGVIQVKTLVRCLLPRSAPILHRIHLARGRPVAVAVVSSPSRRFCVFVEIIYLLWLCLAAVSSGFWCAVVCFVAPLWCGVVCCCVLKRMELLCIKQWISGLLPTLDILTWMLTTLLISYADCK
MAERNRDAIRRDWEIVMKKIQISKFSEHLLTIESVKNKWKTLRTSYGRKLGEEKSTKKSGAAGPNQGKPWKFMKQMEFARDTFQPVQTPKSNFDDQNISPIDNAANPSSRRRMKTDDVLRGLCNMLDQPPPVLTLPQPMPPVLPLVKDDDEVSLFLGLIGASLRRIPEAARHGCILIVLTYTYNLEDNPAQF
MTTRPTTPGVFWAPSRPQASRPQVRSDVAALLGFAERGPLHEPVRLQSWREFESVFGRAVPRYHLGRAVRGFFANGGRVCWVVRIAAPEAALAASVKLADAEAGSWSVRASSPGIWGDELRVEVTEKVAGATLASPWGANTLQAEALAGFEAHARLRLQAEGAQPVYSELVGLDALGGTLQIAEPLADHGLAGQPAGSISVEVVEFELTVWRDDAVVERLDRLSSSKAHPRYAAEVAREAEYVRIEPSAGAVHPLSSSPVALGGGADGLAQVAVEHFVGGAGLSWGLSTVAANQEIGLLACPDLMPVVEEMAMPAPIAGRSYDCSDLDAPAPVEVDGVVVDAGGEALAGATVHLPGVSVESAADGGFRQTVNPVDGKVGVRVGLDGYTTYEATLSVAELGQTIVVELRRKERAPRFSARVIFSVQQAMVEQCERRRDRFAILDAPVDPLRRDLRAVRDWRLRFDSAFGALYYPWISVPDSQASGAVVRPMPPSGHIAGAFAATDLTEGPHHAAANLALRGVRGVSAQLEDELHGVLNEAGVNVLAALPGRGVRPLGARTLSSQTAWQFIPVRRLVNQLREEIEVALSWAVFEPNDPTLALLVRASLTALVDRHWRRGALAGQTAEEAYRVVCDQTTTTEQDRANGRLVAEVWVAPAVPLEFIGLRLGMTTDTLSFEEV
MKEILKISFIFFFLLGATPVLAGTLSSSVVTGDCPVGQTTVFKMSAMSNAHAGLASSSYPISVCVSGISGLSNSCSGTFATVLKLSGATNAHARQGDQADYPGAINACLSVPAGGTISVGYQANNCSGFDTTLASMSQFPTNAHVGDSNAYLNKICVSITQPEQLGSVILINNSNNSFSFPVVPPISSTLPLEESLLEETLRVANSAEEVFIEFSESSEPNEVFNAIPLTETINLFPKKENIFSPTSILISPEELTATTLNTEIPQIKSIEEKSPKVGLLASVSAAVDFPPKDFLDYSLFTVLIVLLFISLRSFLRLLKKIIS
MKTNILTLSVLLLAVSACGTANQLASSGQRFEDGIYYTPSEDELITTSDQEVQNLVAETSASEIYRVRDLGDTVVISSENPVTIVTAPDIDIWMGYTPYSWAYSSWNYWYYSPWRYDPWYYSSWYYSPWHYDPWYYTSWYYDPWYYSPWYYDSWYYSGPYWHHHYRPYWYRSHYYDYYGGYYGYYGYGGYHRYHRDLIREGGYAGHYYGSRNLTTNSGRSTYNRRADAMGVTRSGVSATRRSGSSATRSSVSTKSSGTRTSARAATRTSSSSSMRNTASATRSSGSSATRSSGSSATRSSGSSATRSSGSSATRSSGSSSTWNSGSSTRNSGSSATRSSGSSTRSSGSSATRSSGSSSTRSSGSSSYRRSSGSSSSSSTRSSSSSSSGYRSSGSTSTRSSGSTSTRSSSSSSSSSSRSSYSGGSSRSSGSSGSSSRSSSGGGSSRSGGGRR
MGGVMQKFLVASMFMWILPVAILYGFNNDLLPGFEIELNPENHYLKKLGRMFKLFLLGGTCARFNNIFSAFSNTTEWISCCGISQCSDCVLHLPGPERTYR
MDVLIYALPVIALIAGAFLLYSLFPAAFPPFLHHAHRPNQGVIKQDWVATGRIDFAAQITKIKTNPGDMDQPTEFKLLVEERRIVESIAGNENLEVQWRLATLREAKAVVTQYHKYLSDNSLIKTVPDETPSLPPPVTTKDNPSDDAPAVKRQPEEDWGR
VSVQGASSDSDEIIFLLNDREVNQENTSSEATKHGKKMAKPAVVSPPFPRGLKITENYGSDGSINTRENSSNVIERSVSFGSFSDSVLMSYEQSERGASDESSGMVNNRCVRSIDMMDLIPWVMQR
MTCKPETVNYEDKKYDKLHEGDSHFFFQGYKLTLYFHFSDQKVETTLILSEKEYNNPESLTRCIQYLVARLKSTFYRKFFVEKELYIDAEKILEDVKASSSTHPSEDELDFE
VGISTARIHARGPVGVDGLLTTKWVLHGNGHTVQDFTEGGAYHYIHEPLPIQ
MADRLHIYFTDEEEILLEEAKRRIDNLSEFFKNVLREHLSIPKMPEVSANPEVPKLNPESLFQKKFADFETEADIRTVFEDRIGAEQALKNRLAELKRACPQEFLEIKELFRARYPRYAKITDEL
MRKLFLIHSDCELIIDYLKNDLITEGYFYEELVFERGFKGLLEFVKYSDRIHNSNLLICQSISFKLIVMLIINILVKPRRVVFIIPPSVKHSVKNIVFKIEKYLFKTLTTLMRFINIKQLLVFTTPYERILLENIIRKTRFTYYPTYFAEKPCVSEFVDSEKLTILFFVKEPFELHLVSETISILEEISLKPLVLINYLNKYFFECIDDYRVVCIHQYDYDELIKYSTIIITKTPSPESNSIILKSILYGKPIITSFENGLAIYYRDVDFINIQSSWSSELIVNTILKILSSIDELKKKSLSLNIDILNNSFGKYVIKEFLEE
MLGGKGRTLVLFSVFFLTAGFAFENYFLIYFAILLLFSTVVSLPLFYYQMNIEELRVYRELEKEKVFKDDFVHIKVIIENTGKSSFDFLEIRDYYNPQLFRLVLGENFISTKIGPKKIIKFSYILEPKVRGEYSLGPLSLI
MTETVSAKEMTETDSMKGMTETDSMKGMIQTDSMKGMTETDSTKGMTETDSTKGMTETDSMKGMTETDSTKGMTETDSTKGMTETDSMKGMTQTGSMKGMTQTDSMKGMTETGSTKGMTETGSTRGMTQTDSMKGMTQTDSMRGMTQTDSMKGMTETGSTKGMTETDSAKGMTQTDSMKGMTQTDSMKGMTETGSTKG
MKFIYLKKERFGPAFHCGAESRSGSSSGAEKRTRVCVCVCVCVCACARAGGEPGAPRGGGCASHGARDSVGCRRGRRGCRGGADPAPGAARGGLHPGGRALTRLVTPRCCRRRLQTLPASSSLLLLLLLLLIQTPTQPQTISRRGSVHPLRITTAALATPWLGSFIPEGVAQGLPWTSTLSQPLLASPQRGIARLGSFWSSAQSEPSAASR
MKRTRTPLSYLGTALGGLVSLALASSAAGCKKAKPAPTEASGHTTAGARVPTPTARVIYVTNNGSDSLSAIDRDGDTVTTVSVDYDPDAREAPHHVARTKGGESLFVALAFPPEGLSAKKKDPHGGHGASSQPGALAKVDPGTLAVLATRDVDENPGDVVTTHDGTRAVVTHYDMKRAMTVAAQGNASPSTMFAKIQVWDTRSFAKVGERPVCVAPHGTAISEDDTRAIVACYGSDEIVVVDLTKPQLPVARYPLGNAQGVPGVPRYGPYSVTLVPGGNEAVVADIESNDLRIFDVGAKAFRGERTVVLGAKAFMPAVVDAHTAYVPLQAPDSLVRVDLATGKIVTQVVKPPDECRAPHAFGVSQGRVFVVCEGDHKGPGTVLEVDPTSLVTRRRWTVGVYPDGLAFGD
MCEAETKMAMPLSNTPSKPTTQPQFVPYTPENPSRPTQEQGSRTRDYSTCFNCGKKGHWYRQCPLALHSNGSSPIPDNIYCRCGYGFCLVKTSKSTKNFGKKFYVCPIKEGKQCKGFIGFCNEPPRDEEYFHPPPYKYPVCECGAGVCAKERDPNADRKFRFICPVQPGHGNCGFIVTEDELRGKQRITGLSGSGELLVDHPESMTAMDISEAPSLNAIPEVPLGDDTSPKATPPRQVGLNEFEVIDDDLDAEDVNWDDFDKEAESFRSRFATGLTCRQNLFGNNSFNDAIGDNAPPTGTFTSYDEISDSEHPTAGDSPPECNQSTVTSLNEDAQLSSRGSDTTVLGQISGDDVMQTEVPGGVSAMLTVTQGTKRKLMSEAERRKKMVSYMLREFLTELEDLDIHDHDSIRVAVDGCFKILQELVLTADYKLFSDHVWDYINNVSAIAEIDKSMENRLTLGESNRIIEEEKMKLAQIQEETVETEAMFIACNLKGKTLREEVLRMKAELHEKQNQLKSCELESATIESRLGDLKRRRLEADTKLMGRVEEAESARRLNEERQLKQMAAMAALEKLKHQLQN
MRHARDDGPTHCIRERPEDFLVEELPLYSPQGSGAHLWIEIEKRARTTDEAVAELARAFGLERGAVGYAGRKDRHAVTRQWLSLPKVGGLAASDFAGSVLPGLRVLQAHEHAQKLRLGELRGNRFHVVVRPLGRGPGLPDRQSDPPGLEDRLEALRRFGLLNRFGMQRFGRRNDNVEAGAAVLRGERSGGDRRLARLLVSALQSELFHRVLDRRVERVADADAENLGDDEKVRWVTRLIEGDVLHEHGTGFLHRLELGGEESGSEEAQAVAALRLSPTGPLFGPAMWRPRGASAQIEDEVLAESGLDLDAPVARQALERLRLRGARRPLRVPVARLATGVEPARFDAGGGDARPRPGRWFSFELPPGSYATVLIDQLYGRGTVADRGVGEGSQADPQAE
MAILATDEDEQNDRVEVVEAYTEKVRLGWDNKMQFLLSAIGFAVGLGNVWRFPWLCQKNGGGAFLIPYFVMLIFEGIPIFYLELSIGQRLRQGSINVWSTVCPYLGGVGIATTVVCILVGSYYNVVISWVLLYFFHSFQSPLPWAECPQVKSYNSTELTIPKECSGSSPTAYYYHRETLMHASRIEESAEINWKLAVALAVAWLLAYLCIIKSIQSSGKVVYFTATFPYLVLFAFFIRGLLLKGFETGIIHLFTPKKSVCHLGGSMLKVGTPSGPTSMAGRSNTNLLLLGLAFGTMVAYASYNPIHENTLRDAITISIANCMTSLFAGIVVFSILGFRATYMLDNCEASNAKILESLNTTLHQLNTTGLSSQHVEWLKGNTTTNVTSGLQECDLETFLIDAASGPGLVFIAFTDAINQMPGATFWSIMFFLMLLTLGLDSLFGALESVTTALQDVRGFGKLRREVLSGLLCITGYCIGLPMVTYSGEYVLQMFDSFAGNLPLLLIAICECVGVCYFYGINRFCDDIEYMTGSRPNLFWRICWMFITPGAMLIILIASIVLMSQGKASYFAWNMDKAAYEKVLTPTGQCSLSSYLF
MDKSRPLWDNHFQFIFACISYAVGLGNVWRFPYLCQMHGGGGFLIPYLIMLIVEGVPLLFLELAVGQIMHQGSIGTWNAISPYLCGVGIASVVVSFFLSMYYNVINGWAFWYLFHSFQDPLPWASCPLNINHTNYEEECEKATPTQYFWYRKTLNISPSIEESGSIQWEQAACLILAWLVVYLCILRGTEYTGKVVYVTAILPYIVLIIYLIRVLTLHGATNGLRYMFTPKLEQLANPKTWINAATQIFFSLGLGFGSLIAFASYNEPNNNCERHAIIISLINSATSIFASIVTFSIYGFKATFNYESCINKVILLLTNVFDLKEDLLTTENLENMKQYLASVHPGKYAEVLPQIKNCSLEIELDTVSLPSFFSRKNMMKSFVDVKKFGQYLEYEIADLLRMGDLV
MVICYFSLWKGIHTSGKVVWFTALFPYVVLIIFLFRGITLPGSTNGIYHYIWPNIEKLKSAEPWVDAATQVFFSLGPGFGVLMAYASYNEFHNNVYRFRILFVFINTTDRDALLVASINSLTSLLSGFVVFTLLGYMAYKRNVLVLDVIKDDPVLVFSVYPEALSTLPGSTFLSICFFLMLLTLGLDSSFGGSEAVITALSDEYPMIANHRELFVLGLFTFYIGIGALESTQGGIYWFHLFERTCVEYPILLAVLCETFNITYGLSNYQPLQLGDYTYPLWANILGGIFSGSAVLTIPVVAIIQILRTEGTFNEIITEIQELRNRWIEHFDKRLNRQATLNPPDIKTAYTDIPTNIAPSMTKEIRIGIKQIKSGKAEGPDNLPAEALNSDKEATANMLHTIQEDLGGGTSAEKSERRTFHQDTKEKRSEQM
MSSLLHVRAVEATGLPVCFSYFKAYIQHPNSNTDGGSVWTGKVVGSEKIADPLWNQSHTFNITKDDVGNGWLVIEVIKKRRKSADVWLGECKYELKQLEQNKHKQYRGWLPIRYKQRGKRRKMAHSANNSQDLDDTTQSLKASTEEEKENGHGNTSGNKTVTNETGKKAKSGPGTSISPAESTTTGTPFTSPSPPPQSATPKKNLTVETTSSYDSENETENETENENENENESDNDDEKKEHIVNGGNGRIFICLCYQTTVKKMSIRKLKQVESKH
MLARLSRRYRSRAGENEKRLAAALERSRTSHNTTTEGRGTRIDQSLLIFTLFPLAIPLTLLLKAAALA
MAMPHHTRGALRPERSAPRRREPSPRARTAPRARTAT
MFDKMLPQLSNIIIEITLIIVAIVVSFFICWSPFHAQRVIIANISVESIDPAITQIFIKITYISGVTYYLTATINPILYQLMSLKFRLAFKDTFGIWFPCLKPRKMPEFLYSTAGGGNNANSEYGLERASSMKRQHRVVSTRKQNKSNGEAIRHSASFHHFPQATGTRKCHNLSPTINQTFVELNTYGNNMWVSCGETNSPTSKSDRIVIELNDSYSDDKCEENNATSPVKENFLNVPSTTV
MGTGKALQTLETIRMIKELFPRINTVVCLSAVSFGLPGRRLINRTFLPLLIEAGVDAIFLDPLDEALMTTLRASNALLEKDESCLEYIKTFREGKLKI
MKASAANISALNSAVPGRNSVEQMNIGTAFFCVRPPARVGWILFAAFFCALSKTAKKLRVSSGAMFQVNSLKARWRHAFALEDPEAGWSEEERALAERLAEFIVRRRMGAAASMALEACRPLNFLGSQALTFLAPFATLVFSRDEYERFTRMLERRQSIDLLAEAIAQRESQKHG
MKRIFIFTLITGLLIIGSLILIFKPYDDEYTGLDNHVSINSNDERIAFSYYKNGSASIYTTKTDGTNLIKLATAKKQYSHLSPIYSSDDSKIFYIKTPNNKKKLMSSICVMNENGKNDHKILEVDGLITEIILSTNNQKIFILKARTYKHYSPIASTAPHEYDIFSVDINGKNLKQLTFIKEYQLKNLNITKDGNTLIFNRSDEVGNNISTISLNALNNPISILSIKDYGTIEKNDTIGDIKLSPDNNSIAFSAVAKSLSPFEYELYTMDIKTRRAKQLTSLRLSANSPTYYHKSDKLLFFKYTNWPDVSPAYELYLINTDGTNMNKISIDIPLTTHD
MKLLSLATAATLLAVTVQSIPIDQTDHFQPFSQAETIPLAYITTEEDWGRGKKTGASQLLWGKSGQCISFEKTDYDQQISSFGPEQFTTCVVYDNTYCDGHYEPEQKIMILNPGYAKLSEINKNGTAKTNWDNKIRSVLCISGRPSE
MTIEIDFLQKKNIDSNPYDTDKMAAEFIQQFNNQAFSVGQQLVFSFNDKLFGLLVKDIEAMDPSILKGEPASGKRQKIEVGLVVGNSQVAFEKAENSSLNLIGKAKTKENRQSIINPDWNFEKMGIGGLDKEFSDIFRRAFASRVFPPEIVEQMVEILSGLCSYPYHSLDWNVSSRISEKGIYNADRE
MTEFGGYSKTPLMEKYPQRQKILPLFDSKILKQFNRNESRKPIPQMEQLLKAFTEKDLNAVKALLENGLQINYREPVFAHRTPVFYAAKFDFVEALDELLERGADITSFDDRRVTPLHAAAGHGSLNSLTSLLQIGADPNAKDIHGKTPLHFATRALGGDHEENGFKIVQILLKVGAIPSVKDNDERTPLHEASFVGSTGVLSLLLSGKGASLIYERDKDGRTPLHLAVLGARSLGAVRFLLQFNPPIDAKDHDGRTPLHYVAGRPINYADKDFDVDCLELLLTSGASAQVKDDDGCNALSLALQRTMWWSRQSPRDSVLYSVQLLVAKGSAIIDGFDMWRIVETFPSLVMVALNQSIRVNTSAKDSVHLKLVFDFRPLTVSTRLLAERKRKTTPVAPTEEQLIPSTLLNNNEISMLHYIFSAGHKNILRHPLCSAFLHLKWLKIMMTGEIDYEDKFLKDSNLTSKFFSFFVLIVFLLLVYIILSNLLVALAVNDLHAIQQKSEILRLSRQVQLMVTVESFLKSPFIPYCLRKYLVKRFSIFQGYSGGAIAILPNKKYGLVEHLYLKLLFIFRCRPLLTKIFEHFVVNFIPPEVKPSLPNSLIQEAIQIALTELEIEKRDKLLYSKTHQFGSIRQRALLKKQRKISAQNASDVYPLPKRDSLPKNLRYFNPISENHDIDPFSSYNAHYLGDQQRPSLRASMWRASINNEAFRNVHDSNSNSRQELSSEIEDLRSTVSILKTTIEKLTQKYPSITSDEEIKTGPYRFSKPKLPISPLTKPLINNHDEDKDTSIRRPNIPVSNLVQKLESQINIKSS
MQRISWILVLSFLATACGDGTEGTTPVDTPDLDFPDSDEPVEDMGTPDGPRFKVVTFNTQRFFDTVCQSNNCGANSFEDQKSQAEFDFKLSQVVSSIEEMNADAVLLQEIENETVMDAIQGALEVPFEVAVMGEIGTSASLDVVVLSRGEFIETRTHRENPIYRPDGSRTSFAREFLEVHVDVDGERVVLFSSHFRSQRDDDPGRRIAEAEAGRTIVKSTAAEFPDALVVWGGDLNDTPDSDTLSPVVSDPALNLLTETDFWSYQFNSQRQHIDHLILAENGGGAYDEGTLEQFLDAGGGFGGSDHAAVGATFLMVD
MTIEQGNMKEMIEKVQSLPHVLVSLCAYVPLDKVNEIICQHAADTSELLEALDAILAFDHIHDRTCYEASWQSEEMEAAFGKARAIRDKLKGTAA
MSRISSLLDYSFNATFKCWKPLVVYVSVFTASAFSGFLHLLSPVLSLLISYLGVQLAVYFGRPLVQSPPPEELYRFIGESNSLKVFTEGASTTWGIILGSTVISVLLSALSGAVVLASGIVPMVEDGVKPEELVLRGFFVFLAVSLLWSFYFYGYFLAFGAALSREGFGGSFAAFVTSYLPSNYLKTLSFGYFKVVTVATVILTVTTFMALVFAVSVVLSPASAVLFYFSSVLFGAFAAAAYSLTTRSEVTSA
MLKKKVDMEGVRKTSELDIASRVGRSGEREGGGSDVQVGRSDPLSKIYYDLIGYKCISYDCAGLPCSSTHEFASLLQPNPGSIELQMAAVQTYPGLGFHLV
MTRSGRRRFFVTATAAGAAVVGGPTLAQTASGGAPTAAAGAGGWADWFARPGAAVRPKVRWWWPDGLVDPAEIRREVDQLADARFGGAEIAAVHHSIEDKSVLDPIGHGWGSAPWIAGVEAALDQAGRRGISNRRRP
MKNSNALTIFLIGLFLGVTAWLGWRTWQIQQAGMQGLPMSGMADYQMESAVQQLVEQPDQAMAQRLLPQLRELHAENPGPRERTLLAIVLYYSANMDPDYYHDYLREALPLMMENYSASRTDQGGSGELLLNFLAMAQQEDAFERWKRDLLSREPYMQEELDLLALELWLETDQRESAKALIDRYIKPNLQSRSATTMAFKIHCMLNQYDQASQFESEAIDIPQEDNSTGKSDNSENNKPVVDRYTYITTLDYLLKNARFQEARAYLDRTSNELLDPAYIALHQAIILASEGRSNSPEFAGFLGQISQSPFMRMSRDGAEASVYAALAEIGGGTDCLSEAAALYAGNEMDTQVLQNLSLVCLYTNQGTIELLDSNGVSHELNALQLAVQALESARNFEDRQQSLMLLAAVYAAQPRSAEDIQLQQKSLEYLHKALLTDVEDPEEGFPASLRTNLSVITSDEFIRKLRRSSPQYDVQVHELIRAHVDRTREYYGSDPLSVSEP
HEQSYFDAAMNTVHLDSKPEPLTVKTNANLIGSNSSTATSSSSSSSACSSAFSSEDSPAQQQQMQQSPSMPAAVGEASRMVSARCAAINSSSASSGVESRTQRGFSCAFDEVLASNRAVVQAENVPGRRINNNGACGGTAGSGCSNSGNGRSLSRNGSACGANGSYSFILPDLSTYPLEFRAFLEKELLDTGTLVNLEQAGCLNWWHDLGLSQRLWPLQTSGDGNCLLHAISLSSLGFHDRQLVFRSALHEYLLSHSAAVYRRWRWQTARELYRATGLRLSESEWQSEWKSLLQLASAQPKQQQQQQIGQQHQHQLDSLEEIHVFVAANVFRRPIIVIADQFVKDSEGDNLAPIPFAGIYLPLLEKPEHCSKHPVLLAYDAAHFSALVPMETAVNLPYAVPLCCCDLHTPLPLHFSADPGPHWKWSSKSAAAAVVNDAPVATPDTEMGGRLRAKLLASYLRCSTLRLPVAPPQPLPAAVAQAAVAAVTQRPVVVGLTRSGSSVGNAAQLSMATVGAVSADDSGFVEYQQQQQQQQLHSQLQQRSATLDCRRMRGGVDGLYPGGMSQGQQNPSRSLGHQLLKAMQQRLPIRRTGKSSKWPSVATPTSPADASSVADQALLDSASTGLLCAHLGVTNRPPHFTDAVSSYLTSAMARFSQLDELQKRRSAEIIDQQMRRSALMQCVGPNCDMFAREETNFLCSRCYQDQQLLMKQMLNDDH
MNFESVKYVNIKGRLWNPKNQVQFYEDGSFFVAEHNGFAIPSDEVPETFNEHFDRYLKLRSMHGEISLAGIPIRQYEALKSNYKINWTEICWLYYYDGTGYEGLTSEYTFESKAYTLDVLRKSDISKVFEHYTYKDDGIGYIESIIENQPTQCARYKGLPVSWVVQREDGSLGIMYTLESHRRKGLGEWLSKHLIHQVIQKGEMPYLHIVVGNAASVALVERLGFKKYGKIVWFGVQNPYYKTGGVTD
MMRDAFTEIERARSALCSLDPGTDRDTWVKAGMAAKAAGLDFDAFHAWSAEAGNYKNEAECRSVWQSIKGGGIGPGSLFGAARAAGWIDGSEPPAKRPQSHQEKRQRPEASSKPPLHDPRTVWDACKPATIEQAYIKQKLGLPDSLRIYDGPLTIAGNACAGSLVL
MDHSSFFIQNIDKSFNLHHQDKVLDISAGFLQHLAGDSLVPESIGRNCLEDAKVLQQLDKKFIPVVAGGTLAVIDQHAADERIRLEELRQKVLCGEEKKVAYLDAEQELILPEIGYQLLQNYYDHVEDWGWICNVHVRGLESFKKSLNVLHKQRNIVMLLAVPCILGVNLTDIDLLEFLQQLADTDGSSTIPPSVLRVLNSKACRGAIMFGDSLLPSECSLIIDELKRTSLCFQCAHGRPTTAPLVSMEALHMQIAKLGSSEAWHGLHRHEVSLDRAIMRLSAARGSC
MSLNLDALRHRIKNARELSCCEAYMHGFRLAERWAADEASVIELAMVGSDCAGEMERFSLRECDGVEISTDYDERFAGVETLFGNLITGPIHKRHYESYKKDFTQFDLRDYIQGFIDAMAEIYEEVGEDLKAKLLEYNPEGIPGLVEPKV
MLQWVNDVLLLLVSVLSLDKLVDYANQSRVFLIYDILLRRKPSLANRLGLTHDIDAQANELVSMTIQAQETRRDEAFLRDQMFHLNRRLRRFKIRESVALIKCRAWQERKQFLLREKDKQDKQIQEQRKSMQQQWANHCDEMKSLKQTLSDTLREKAEMESEVGSLQDEIKSLEKALKAKSQGVENLKIVRSAISEEVEHSKAQLENTKRRLEAIDASHSRFMVQRRKTLKALKARAAKVRFKADQVELICYMQEKVNQVTRMRVDSLREHLDRLHVDLVPQSEVILIEQRRYKLVKILKRMCMVLLIEGLVYLVYFLITSM
MLLLGLVFGCEVYSQTEYQAYTYPNGQIASEGTLRDGKPDGLWKTYYENGQLKSIGKRTDFLLDSTWVFFSETGDTTLVVNYRKDLKNGPRFTYGKDDILMEPYVNDVKQGEGKRYDRKGHLMQTISYKNGLEEGISPVFDTTGLLREIVTYRKGFIMTREALNRYDREGKKHGYWKTFYDDWSLHTECYYRHGLRDGFYKEYDEKGNLKKITKYVNDVEQVLEGDQKPLIVKHEYYPNGKVRREASFRDGKREGVWREFDEEGNVINSQTYKKGALVGEGIVDTDGKRRGEYKEFYPDSTLRAEGLFVDGERSGVWKFYYHNGQLQEIGTYKEGQPDGAWTWYYDNGQKQIEEQFYKSLPNGPYKEYDTKGNVIVTGTYFDGMKNGKWTEQIGDMRTQGEYRNDKQVGEWVSYYDNDKLAFRGSFNAGYPDGEHFFYYENGKLREIQKYAVGVKHGDWKKYLDTGQLYFTITYDHGKEVKYDGEALEESEIIKE
MAYCDSARSPAAPDKATPPATAVGIKIVEKCVAYSPDSEPIPVGVIVAGSLDTDSAEKPERTRST
MKPGTLRANAQPSFTKASQPACRPSRGTTTPSHQAAGFLRNSKPHQGLLEPQL
MVLGTSSFFYFFQMLNNWPKVLMFIWESSHSPFVANVPIEVQPLSSTLGLHNNRLINSLTDFELFYGLVTITACNFSAPANVTYHWHVFHEGSRMNAFQTNTCHTTVHCIKDVGFFCKAVEKPSKAIN
MMKLIALGVMATMTCAVSAQTVQKKTASGVAKGETFVDKGVASQGLTDKVYGELGLSLMSYKIARYGISGRPMMLRAVAGYDYDPLLAIEAMLGLGLRGADGVGYGAYGAGYSVSAGTMIGAYAKPRLRFGGTTEIFGRLGIANTGSSVRGYAGTDSGASLSYGLGFKTQTGFSSFGNRPISVGGDYMSYYSGNGVKYTGFTLGAGMSF
MKYVKEVVFILAIPVSILFSGMAEAAISLVYPNRTLIDNSSGYCADVYRGDGRVFSDNKKNIYIKAGGEGFVPKNHPAFVKVDFYEKDNRDKYDSTSCHGKFYKHKHDLLNVSLLLADIPPEY
MRHLKKQFKSKTSQGQPSLKEIERAEFGYAVRQSVQTLYLGF
MPIPSLDKIGASDPVPDASEVVVVGGGIAGVTAALFLAEAGVQVSLFEKGQIAAEQSSRNWGWVRQMGRDAAEVPLAIESLALWRGIDRHFSIDTGFRETGITYVCRTPREIKEFSSWAETAAKSGVPTQIIEGSALARLLPGIHGSYRMALHTANDGRAEPGQAVPAMARAARRLGARIVENCAVRGIETSAGRVSSVVTERGTVRTSQVVLAGGVWSRLFLGNVGVDFPQLRILGTAARVDGVEGVPDMPVGGGDLAFRRRLDGGYTVALRNANVAPITPDSFRLFFDFLPTLVRSWRELKLRVGGQFVTELRMPRSWSLDQTTPFEEIRVLDPVPHEPFNRQSLIRLRQAFAAFETARITHSWAGMIDATPDAIPVIGAVEAVPGLFLSAGYSGHGFGIGPGGGRLMSEIVRGVTPCVDPTPFRLSRFGRAKLVVAGAAA
MVWSASSRGLGHGHGKQSGHPKLRRDGSSQNRHSAVAFHASLDGDRNNLIYATNLIARLGQAGNWQQVLANLGRLWLRPASESKRGAADVVLCTTAIKACARSGKWMQCCSILNDMLKYGPEPNIITFNVAIGAHAADGPANLAVYLLEAMRVVAVQADAISYGAAIASCGRSGLWEQALMLLRCMRTKLLEASVVSFGASLSACAAAACWNSVLDLLAGLREHGIKPDPAACSSAVSSLVPSGRWDRALQLLRFFQDSGTSGEGIELSLVAGFNAGINACAKALRWDAALQLLSRMRSSRVFSDIISYNTALSACEKGRQWRTALQLFSEVDIQKLSAGLTTYNSMLSACDKSWQARPALQLFTQMKHLALEPDRATFNAVISACGSQAHSYWKVGLRLLQEMEHSSAIPGQRTCTETVRSCAASGHWKEALTLFASMRARQVEPDHLTWNSMLAACHEGADVEGANVCHLVVDILHALPGLDVEAFASAAGVLETHGESSSMPALLGQVPKRMLYLRAAFMVASSSNHASDISLPIAKGQPSSTECLLITGEELLSWHGAWGGDAIAAFQRQVVLPAVARLQKLVYGVRGFSRRAGFVFQDPVLQQVVSLGSAGTQLYLEQLELPSAVLGDLSSLPPAAVTGGRRLPAIAAAVCSVRSWSSKARTHARRDCHSAIRETARRQPEAQVLAAWVSQAFAPSRPDGPSSGGHPQGWSTSVGADTLIPVYSHHDRSGHAERQALHASLTTARLIADARKVGT
SPSCQGSDTVLDWMPDSERGQSSEFAYTKRVSVGASGHTEPEEDMFDPGYEPDWAVISTVRPRAPVAPSPGAHSKWAFSLSLTDLKSIRKNKPGLGWSYLIFITRDGISFPALHFHAGGSWALLKLSQAWLMAPTPLPQALALITQVHRPVSLTLY
MGKKKPAEAGCFTSPGLFSPSLPKTILLPDIALAGNPSVLSRRRVSDYAIQRFSSIKTRKNFVIRRIRVLWFCISNAHNTPLINHIGLYCIFSASIKT
VCTITLNLPEKRNAVDGVVAAELREAFERFEADDALRVAVLAGAGGNFCAGADLSAVGDPARRNELDTEGGGSGPMGP
MPLITERDGPVTTVTINRPQMRNAVNLETALELRAAFKAFDTDGEQSVAIPTGAEAAFCTGYDLKSIAQDDARFAAGKGRGGDFNSIKYLMEKQYVDE
MDDSYEISNTDDRQSCALEIQLMVEELAALYELLSSGEFSDCYSRALSLLERIKAVSNDTYRIRLLLNISGLLVDVGSMSINRESSNLGLTLMEENKQDFIDVSGEGEYYYNLSNARVGLIKESDPFKHTFKSIEELIDIKALLWKSLKFHQKKTSPIFPQLMVNLGNILKRQFRLSESLDFYDRVNSLSLDIPEAWINRSEALMMLNQVSGSYSVQMLHEVKSGYEKAANCDGVPPSWRAHYKTYACHLEKKINHTCEQLGIEADDRALDLHDTQTEFNELTDFRKFVLSRHLSLSEHGLYCACTGSARDNLTIPSSSGIVGDFVPAMEMVLNRLKSEFSLARLFYYEHQNDIQDNESKHESCFSELFNGELLSMGVEKLRTSFRLCFGILDKIGAAICDLYSVHPGGDIYFHNFWRLEKPDRRELFESIKTPGLIALYSLATDLNKHKDGELAFYKDWRNNFEHKFVVLYAGERPVDIYGSFEASKDIELIQEAAFVGHLEQLLQITRSAMFSFVFCVREKAERDREADGIYISNTIDWQAP
MIYSARHLQAGALHGGGTRVRLFPELFAGYAEPETAFLSPRPGSVRPGPADDQMYVANAVDKTEYEPPEYGPPFRGREYAPAMPSSAGHFDQIPVEAPQFLAAHLYGGARHTLDIWEHYLGRPVQWWHAPQIPQLELIPTVDWPNAHSGPGFLETGIIVNEQKRQHLFCLNFDVVAHEIGHAILFATVGVPAPGALTAEYLAFHESFADLVAVIGVLRFQSVIVRLLTQTGGNLHALNLVNRIGRYSDNQQIRVASNATTMADVADLHLLPSGDWDDPTGKDRNQHALGEPLTGAIFDLLAEVFQNRLAARGLVGPEMDARNAEPAHVERVLPQLHRHFARQFDQFPAAFADALLEARDAVGYGMAQAMHMLHPETLNFARVAACVLAAVCRLGERQHIRAMLHLFLDRGIDPRPYLTVERETICYVSGDPARRRESEHAAFLHANSMVRRNHRGHGH
MPAVKNYRHKADNPAAQQRCEITMEQDLNAPTVVTPFRLYDCTPQNDGATALVLATEVVADPFADQPSDVDVAEAHDFRTGTELMSYEDLGFAERLDGYGFLEAVNQGDGARIALAHDFGRRSAVSAATIIDGPGGPH
MPLEPRASWFSPKCVEAQQLTGHLGVKHCFGAGRESGTKSRVRRGKENASSQCSSTRRYGAEVTHAILPGKARTTFNKSVPIPETDTGG
MKRPQTHVSNYMNTFFNQLPDEQKKRALIDRKIKQVHAQFAACVDEFILEHINSVYMTKEEIPDSGDVSRETHQILTVYVDNSLVAAELNAQRELIILKYREQFIVKVDRFDIKISRGAYRENYPFKKPQYQKNLPTPHKLTAEEEQEIETCVSGIEDKDIRESFRRVLKATKEHPFKN
MSYNSGAVPGAPPLIPPRPSVPPRPQPTTHRPRPPVPPRPDLPPRPSLPPRTPAPPLSARPQLPVADLNSLSDQFSSLSTGLSDSPPPYSPSHPAAQTSFRPPPSRTATSEPEDPVSYPEVPDASSEVSADRRRPSPRPYATVSNSSTEGTEERTGPTMPLPSPVAPELPGNSTGQRSPRSYSVPPSNERGSRGLVHGVLEHGAASQHPNGSLDRVPRCAKGGYVHWCTWYHDPLVPGFDICEECYRLHIQPTELGRYFRLQSDKDPSTQTCCDFNRARMKQVWAEAVRARNIDTARAYMRRRLAIPPCRGLDGISVGPETMNLKWYTMRNSEIQGWIACEACYEDVLCASPLISHFKPDMTQYPAGNKFICDMAYPFFKNTFDEFSKSGDWREFINLSNMRTKIQPCPGDQLVQATSIRWMRPKSYIQDVYVCSACYFDIILCTPWRDHFEAVETSFMRDVGKQWKCCLAVQKMRFSWDIMMDEKAPFDTWWHAARVLATTPACKNEGVENYGWYVLDGCENFDICPSCYHCYVPMFPAYARRFRLQHYPPGTVRACDFAPGAPRAGAFLVKFAQAVECKDFSIFRDYVREKAPLPPCPRSNPTANFRWWGIPSGFTCCEECFKDVVEGSALASQVTVRGEQTSQQFTCGLYSPRMRGIWAEACKQNDISRFVTAARERMQVYWATVPRCQMILETMKMRMSVRNTNLLAATMVMGADGVVGAASGGSHTHYGNAAVGYGWNTMAGAQAAVQSQAAMGMNVMPGNEMVEVAQLEALWKQVE
MGTWLSQVLFPFTGLIQNIDNTLILAYLLRRAGRESLGTIKRESRLFG
MLIAPANSAGQGFAWARALERVRPGTVVTSMQFVSEVEPYSFEVDQSVLRGFGAHSKAWQRAQFRAAIEYKAVLVESAMPPFGGMLRNDATQQIEALRNEGVRVGLLFHGSDIRDPELHLASEPLSYFAADPDFTLQMRNRVLRSRALINTVCAPLFVSTPDLLTELPSALWLPVVVDVEEWASGTAPPLSDSAIPVVLHIPSSSVVKGTALIEPVLRQLDKAGKIQYRSASGIPHAQVRDLVRGADIVVDQVRGGPYGVAACEAMAAGRVVVAHVPDIVRSRVAELTGSELPIVEAAPDTLIDVLEDLLEDRATARRIAGEGTQFVRHWHDGRESGRVLANWLDEGEAAETPTRAEIDGE
MTIDVEYIDYNSLYAMVHSITEYAGGKEEISAVVAEVLQEFEGLLEAPVGLPPTRRHDHATHLKEGATIPNIQPYRYPDYKNSEIDN
MLVGSGFEVRGPSSAAVRVVDLDWSFVLGWGAAGSGFGRGARSDWGSVEFVIVSASGWGLLFFGAYKFFTKGGKKEEQCFPNHERFFREVQKWWKHHNKGSSFDVADLFDNNVSIGLDMPEKFIFLLAKTTLMVNLGSLQYYRLTWRSI
MFAVYLTGCSGKPVTFNSVDSKLYADKKSEGRTISGEASGLQLLLFIPVGVNDRHQNAYKALKAQANGDIITDIKVTESATWEHLGKMRQRILN
MKLKFRNQLSQKQLRQKQVEALKLELSQAKSIILFSSEAITHKSFEEFRVKLAEINAKLRFVKNTLFKVAAKELKLPEALYEQAIITGPTSAIYILTDDFISATKVLKEQFGSQKSVQVKIAFLDKELYNKAQVLEFAGIPSVLELQSKLVGLLNSPIQKLHYSLTYNLGNLVRSLNAIIQKGGE
MNFKDLLQTDHQAIVQWLLHAFRWWVEELLGMVPPEWRDRVLKRSSAVAEFTDAGIMYRNGETAAMSPDKPRGAVKLLLPPTAVLTRQIELPILPASDVKRMVALDLDRLTPFRADQALFDTEIVGRDEARGKQTILIGVMTKAAIARALDHARAHHLEPAAIGLAAAGAGSFDFLSALREAEGGSALRRRTSYWWVAAAVLLGFNLFMLSYRDAAATNQLRESVESQQAPVSVAMRLRDKVQKEAVRRAILLKQKKQNSPLPILEAVTETLPNDAWVERFEWNGKTVHIRGQRKDTSNLLAKLEASPVLRNAHSLASDARTAPASAGSFDLAADREMERAR
MERPLLASNRPYGENLAKSSGNVSGKDAVKMWVDERAYYNYNSNSCAAGKQCGHYTQVVWHNSVLLGRAKMKCNNGGTFIGCNNDPPGNFDGQRPY
MASDSKALLADEFLHAHNWVRNKYNLPPYTWDENLESVARKYLMERYDDCRVIHSKYGYEKDWYDFNKLTCTPPPPSKPNRPPKMCGHFTQIVWRDSLRVGCGLQHCNDRNAGMLIACEYDPPGNYANENPLEQHI
SSSDLTADQQAALDAHNAARKVVGQVAQVWDETLANNAQEWATHLISVGSLTHSEGSGQGENLYMQSATDSPFANADKMWIEEKSSYNGEAIGEGDFSSYGHYSEFLFKSTKVGMAVASGNGGTYVVARYSPAGN
MPRYIRSLDGFIKSLTRKDLIGGKGSDDSRVFFRGQANKDWDWSPSIFRNSTYVENEYDIYNEIYSTYIQEFKDDKTTFDKLVRMQHYGLPTRLLDITTNPLVSLYFACQNKKADGKVTIFFVPMHEIKYADSDSVSCISNLARVKHSLRLSIREESDTDKFNRQDAIMELHHKILEEKPHFKAKIKYDDLNKIHYVRPRMNNSRIISQSGAFLLFGGTTYMAEDWGGN
MVHGETDTWTNLFSVLPAFVLKEKLLHHSIEVKTARSIAELIGVVETFELTQKGNPVYFRGENQDHKVGALRPSIYRTPQRLCEEYKTYREMQRFNDHEFTADKTAFDKLSRMQHYSMPTRLIDLSEDILSALYFALDGRKNNNQAAVYLIEIDQSQVKYYDSDAVSVVANLVKSPLSDGCTPRKSKQAIHEDANKYRTHREAFNGTESAGYLLHDIKEEKSYFSHKIDPIHLFSILFVKPKLTNNRLHGQKGAYLLFGLNEQDINNSIPIFVEDTPDHLSLNSTIHSEQFPIRKITKVLISCEIRMEHLEKLGITTPYIYPELDHVSHYLSKTLEQ
MSTKHYFFRGQASNEWNVMPGVFRGGMLPHEAELINAAYTRNPDDFRKLTTDFEKLAKLQHYGLPTRLLDVTENPLVALYFACQNNQEKKITDGKTTLLPPTDGKIYYKRDYGKSYSDIEIKVLAYLASHEISGDYTLEKLLSDLNKYGIYTDKEVKECEASEYKSLLSTIQRNYFVISNLNNERLVRQSGSFLICGKYNVQLKEKLGQSIVKRAYSDVQSDRALLNGHIVMSKMNLNFKVLEYQREEKMRF
MDSNMEMSSLPPQQQHSESATDRDLCECIEMFAKLRISEHTSASSAAGTTGTSSPFVASAESCVIHQAPTHIPGTSSDASLGGFMAGGLDALLLLADAAETVAPMDESTAAVNACSTTAGGLDGLLLLANAAETAGPVDGSTAAVNPYSSTEIPGTSSNASLGGSMPNWAGGLGGFLTEAELDELQGFNVHLPLSNAVELVSSGNGNTAAVDQPQPSTEQPGLSSQVNFDFDLFMSRIALPDAVELASSGNGNTAAVDRPQPSTEQPSFSSQVNPDLNLFMATAFDVFSAADGNTAALFQPSTEVPGTTHDASLDGAMEEGLDGRLALPITAETVSGGDDSFHSSTGTALGITLRSEAAESCEQAPRAGAELEETTENAEVKQFDMERSAELVELLAAQKLRIAELESTTEKQEATINKLKRRNNEKKCMIKEQAVIIAEHEFAITTQHAIISSQRMAYHKVRTIADSSEDWRARYMEQVKLREKSEEGAKELEEKERESSRRALGFEELLEKKEEETAELRKKYEALARDYNERKWKQWTSEFDIFKVQRQKERSQHKAVLDDLRAAKARNQELEGHLAQLKVKVERKTSELVDAGSSPAGAPSSDPAPTGAPSADPAPAGAPSPPYRRPYHYHVFIAMIGALLVGITIASFVFADGWGQVWNSLGGMDSYGLSRTMLNNKWPANWGQQEISRHINRKDSSLARSVAYDY
MTQKHDEILLKALSRAGLISAPILFVAALWASSLPGANQSMTVLVACFAAFFVGFASWIAQVIIRSVSSDR
MANRIIWSPRAASHLESVCEFIAEDSPVYACIFAQKVVALVKSIPAFPQTGRMVPEYNNPHLRERIYGDYRIIYRIQAKHIEIVALCHGARQLERVLPKSGE
MDAHSIAVHDAHLNNQRHVDVSLPRNKVVVVTGVSGSGKSSLAFGTIHAEAQRRYL
METFQRQVRWLVPAFLLLTVLDRTISFPAIWTIIVIILFVIGLLAFFRVELPLLRLHIFQLSAGMMFSGAIIGSILTSGTGAFFDWIYIIFSVCWIYILSKETKKLPGEESA
TVKWYVKQIYHKLQVRRRTEAAIVARSLGLVEQTLNPVAVPATPNISDDEPFVGRSSELERLDQFLQTTLAGLPQIAFVTGEAGSGKSALVQTFLRHAQRKHPRLIAVSGYCNAFTGIGDPYFPFYEILTLLTGNVQIPRQMGTLDPTQVERLTTLLPETVTTVVEHGPALLTTILDGQSLLTRLEQTHTVSRSWIDRMKTVLAQDVSAMPPGTVTQQRLFVEMANVLQRLAHQQPLLLVLDDLQWADMGSLNLLFHLSRELVDVPILIIGIYRTSDVAVGRNGERHPLLAVVHELQRRFGEQRIALEETGTPVFVNALLDTLPNRFTPEFRREFYEHTHGHALFSVEMLRSLQERGNLVRNAEGQWEEGLSLNWEVLPPKVEAVIAERIGRLPSPLLEILTVASVEGETFTAEVVAQVLGMDERIIVRHLEAELSRGHHLVHPQETRRFKERRISQYRFRHHLFQRYLYTRLNETQRVYLHEDVGCALETFYAGDNMVITAITGALGRHFREAENYRKAIYYLQLAGDRAMALSAHDEAIGYFAEALALLNRSPHGSQRDQQELTLQLRLAAQLIIVRGHSSPEVEQVYAHTRKLSLRMDNPHTPEHLSMLYMLWAYYLIRGQMPATRELAERIAQLGKCVTDNNFHLTAHWALGVTALCCGELSNARSHLEQLIRLALPEEVGDLAHMRALDPKAAGLFWLARTLWNLGYPDQARARGEEAIAHARTLEHPHTLVIALSNSAWVYLLCGQWETARQLTAEAIDLCVRYGMPQLQGLATCLSGNALIVSGDTTNGVAELNHGHAMHKETGSHLSDPYFLATKAVAYSSAGDPTKGLRLITEAMNIAQQNGEHLWDAELCRIKGELLLQQSTENADLGNDVETQAKLSFLQAVKIAQQQQARSFELGATISLARL
MDLIASDVTVLHLFHIKVAGIILILLRDHRVPVEAIYTKRRTSLPARFGHPVPRETKIIKLCS
MRYEVTEHQCYAGCCQRCGQHHKAHLPDAVPAGQMGPGLIAWINLINGRYHLTLRQIESLLQEQWGLTFSLGAISQSQKKLNDWLAPSINKSVKRFVPRISAMPMKPDTTGIAAPTGSGPYPPTRPPTF
MAQIEDNHCTYCEFPTQIQCDDCGIYFCNGKIEGLLLSHIMFHLSSTGHKNVKYKGQLLCCCNCEDSNIFNLKFFKKEDKEIVSSIKTGGKCEKESKQFENKETKCPEIHEETDKKVICHTCLRNDTIVAQANKGLYSRPLVLESVFHTEFFVYEVGADVTTQYVRNFEHVTKDKGDGTNVTSYYDNINEYWATYDYFIRKEEEVDDKITSNIIQGVFFVLEAASVITKGNFYLFDDKFDDRNYDILEDVMKKVPKFVMGRVIRISNNEYEINIDENYFDFPEGPLKEKTKLDEELLHNFKLVRLFFFTRFYQTTQEKFYNFVEENRGNPKIDELLGKTCQHKPDKYNENDIEAEQKKVLNLDQIKAILNGMNNKISIIIGPPGTGKTKCASVMCKTLLENLQEEDKTNRITHTKRRLLCMASSNSATDVLQTYLHNEDLHVLRLLPYDSIGEVDEQILEDSIYKVTFN
MPRNLVIAAVVILFLIVSVWFLTRSDKQTSQTPAETSTIPESSAPSTASDAAVPVDKVSITAGGFSPKAITVKIGQSVIFENMDSANHIVNSDPHPTHTMYSFLNVGLIKPGESKTVMFEKTGQYTFHDHLNPSLTGTVTVE
MLSPSVVKTVSELQILFIEHLNELIETESTSFYNAELILLIEEVIELCGNLLQYKISTKHFCDHMYIVNLGLQSNKLAKEMENTKENRAYIDHHVLVTNSLSKKNADSQISDQPPKKPKIQESNVQKNLQQSKLPKPILARCKECDVWYARNKASAHSRSNVHKTALLQKNDNDDDDDDRKSIIFDGNMNQQIAMELIKARKAVRRKYQALKSDVASMQVRQERGLKPIIEPLQELIKTIKSELPTKQEPKSLFSTPQKDKYDYSFLKESPKRRQTNIYQKYLPSTMPSFLQGDDVFEITDSEDVISTPEQTTEDITQNNLELTQTPAYNDYLETFHPLVRSFVDTSIKRDRDLDHTHGLKHDATTEKWKIGDTFDNFEQDNFKVQNITYKGEIMILMN
MTDAQRQLHTELASLCAAAAHLQATGQPAHEAAVRAAIARYTRDFAEQISGPIEPFQSGR
MPSTSTYPQSPKVPDAGYVFPPAVVIGQTNVVTSGGYDWTDDLEWFIDSPQVSFTEVGLPGEYILTPPPYWIGQRASTNALPIPREVSAQIDVSPDATPGIVCWQTANANGVGKMARFVVTTSPDVVEQRLPNLPQMIPAPPIAVSGRLSRLTEVDRYEFTAADDQLITVDLMARRLGSDFNGVIEVHNEQGEMVTDVSDTLGQDSTVSFAAHAGKKYSVSIRDVDFRGDRSYIYRLILSHGPRIESIFPAFAQRGCIQNMTFRGLCTQRGMATPFTVTADIPVPADPQTTMFVHALETPDGRIDVEIPLSDVTELVRENLTHQQPSPITSEEATPDDTNRNGSAQAASAPPLLPSSAVTAMWNPTSAVHRYQWDAGENTWWNLQLQSRAIGSALDVALAVLDSSGKDLASVDDVGPLTDATLSFHASSAGLYFVEVRAVDPPTGHSTEQYRLLLQPELPGFELTAPQAINLPLGGKTSVEFQLHRRGGLTDAVTITAAELPQGVTLEGDATIPEGKDKGKFTLVAAADAKIRTSRVQFQGTALIDGTAQTVVAQVPAAGNLAPRTLSDITTSTSLLAITMPPPFRILVVDRERQRDVPRGTTCLADLVIERDDGFEGELLIAMSAKQSRDRQGIRGPLLKVPDGATQVQYPCFMPEWLSTDLTRRIVVHGVGAVRDPEGNVRWLTNAGDARITMIMEGALLKVTLPQAELQAETGATVQIPVTVLRSSRLPRSVQLELVIPEELREMLHCRSVTLPADADAGVLQLQVDDNPLLPGQWDLIVRGTAADEHDQPVVSQQAIDLRITPKQSAATVGQVDGGAR
FVSLNVYYYWFFAQHLMCCFYTALQCVGPVKPCVNNAACVTFHNGTGYCRCAPGFLGEYCQHTCTEGYCVNGGMCTVLMIFFSGQHCEQEDPCLSRPCANGGICTALPDREFSCTCPPGYHGPRCLNDTDECAFSPSLCKNEGMCLNTPGSYRCNCQPGFTGLHCEMYYVPCFPSHCLNGGTCQQMTDTTYICHCLPEITIGKTHTTDTKGNSWVTESTHRKRIPNHSNKHSLTL
MRAFIPCVPGISRQSEDGVGKLLSHRIGDSSVSHQPITLRSALEACGREPVSTAHRLMALPAEVAVQDLSDMLVNFRIDGVRCPEPEKYARKALKRAGLEFGLNPFATDYGHSATALLGIAVLGILLAFPTWML
MDTDRLILWLLDISGPGCYLALLACALYGVYCVIVLWRKVMQKRMSPKLSAEFMEQLRELIQNKDFDGVTALCDTPRYWSKAFPQLVMLAMTRRDRGLAKCRQFVEEKYEREMLAEMEYRYSWIIAMIKTAPMLGLLGTVTGMVLAFGKLSSATGGGADPQALAGDISLALYTTADGLVIAIPLTILAGMIQTRLSRLTDATAEQLNDFFQELQDAMA
MVRGLGVIRGVRVGTAVCDSTCSPQVMELLRCIPLLLFLLFVCGLDHFIFSVLSIIQNHSFVEYSYQTSHHLTVNVMGTSLMAQLLRSTIGALNTSFDSQVETSNLACLPQPTGMTRKQYENTCLPLGLLALLCLAQVYPFRLRRAIAAFYFPKREKTRVIFLYNKLLRQRKNFVQLQRGRIARQARQAPGLVSGDRDTGTPGRGVPLSCDPHGPVLAPEDIESSEEEEEPGYSG
MKKRIIMILLIVSCLPLVLSSFYYYNLIYDQTIQEYNEKNMEILSAVRNDVSHYLDMHFVVLKVLAQNPSIISLDPNGKSYLVDARKLYPKLELVVDDKVGNQRFRDDNQKLGNAAHRQFFQDSINGKEVVSDVIISMSDGKPIVVLAVPLKNQETITGVIQGALPLSVLDDFLRERAGRQSVAFIVDRSGKILAHTDSNIAAEHKDVSQESYIQDGFTGKNGTTTITDDQGNTKFVYYTYDAKTGWIICSEISKDVVMAPIKIVQKRFVIVLTC
MKKPPLMAVFFFFLFLKIFNKKKKKATRGKETALCSSSQKKKRGRIIFIWRAENKTVIFFLFAKKKKKTAINGGFFISALLKII
MLYNMEYRIPIAGPVSVAAFGDIGSTFNLRRYNDQHAGGERPLPVDLCLQPEREGESAAISDLP
MKRHDLSKTKITLFEQCPKRLWLSVRRRDLIKIDAATQARFDTGHAVGNLACDLVEDGVMVTMDEGVQGALQQTSNLIAAADLPIFEGTFQHEGVLVRVDIMWPDRKDGWHVAEVKSTASRKDYHIADLATQVWVMEGAGIKIASAFIRHINTKFVYARERDYLGFLTDRRSDEELRPIADDRLAVVLDARQTLHGDEPDIAPGPHCDEPFGCDFKEYCYRGIKQAEWPNSLLPRTGKKIAAAYQVKGVEELTEIPAGELKNPAHETIRIATVSAEPFHDPELAGKLTADWVSPRIYLDFETINPAIPRWIGTRPFQQIPFQFSAHIEDTSGAIEHREYLSLEDADPRRAFAEALLDAVPPKGAIITYNARFERSRLNELAARFGDLSEALKGLASRVVDLEPVARQCWYHRDQKGSWSIKYVLPTIPGISGYDDLDIQDGMEAQRAYFEALKEPIGSSRRDEIAQSLRDYCAKDTFAMVELLRHLTNESAGGVAEN
MVKYRQREGWSHRDLLRLAHPATDDPARKRLFDWACGRGASLDGLRLVEGFQRAQTTPVTDLPNLVREYRLTWEMLPDTALNTPAVWEALLDNGIPQTALMRQLPRLTNLGLLAPLGGRTRQVAGQLADSDRLRKARVHPVNVLVALRTYAAGRAARGTNTWSPSAPIVDALDAAFYAAFDAVEPTRVRHLLALDVSGSMMAPISGMPLSAREASAAIAMVTARTEPFHQLVGFSGATIGLAQRRMTGLSELSISPRQRLDDVMRTTSNLPFGRTDCALPMLYALDKRLEVDVFSIYTDSETWSGSVHPHQALARYRREVNPNAKLVVVGMTSTGFSIADPDDAGMLDVAGFDAAVPTLLADFARG
MAGQQQQPPDVEMRDDEVSQRQKLKENQVLNDAGGYVWAVDNMVRLXRFIVMGTEGGTFYATQKDLXIXNAKAVLALIEEGKGVEAVGVIKEYSVENRAAKQDPLIFCLALCARCKHEETKRAAYEAITSVLRIPTHLFQFVEACETVSKPTTGWGRAHRKAICKWYNNKKPRSLATLVTKYKQRNNWSHRDLFRLCHIKPEBKSTEFIVKYVVKGLDAIKDEACAAGVSHDISKMYEFLSAVEKAKTMSEDEMAAAIREHGLVREHVPTNLLKSQEVWRALMEKMPMTAMIRNLGKMTSIGILEPLTDEAEKVCEQLRNEQVLKDSRIHPFNVLIALKQYGVGHGDKGSLKWEPSSMVQSALDDAFYLSFKNVEPTNKRFLLALDVSGSMSWGSCNGTPGISPAVASAAMAMTTARTEPNHQIVGFSHKMVEIKIKAKDKLETVIQTISKVPMGGTDCAQPMIYALEHKKKVDVFIVYTDCETYAGVIHPSKALQNYREKMGIDAKLIVVAMTSNGFTLADPDDRGMLDIAGFDSSAPSIIREFVLGNI
MMDVLRRISRRRTPQSHPADERQVANAAGGYTFATGEAAHLHRFLTLGTSGGTYYTSAEALTREAADVVFRAAATDPIRLVQRIVEISEAGRAPTQNPALFALAIAASCEDVDGRRAACAALPRVARTGTHLFQFANYVEQFRGWGPALRRSVGSWYLDKPVDQLAYQVVKYRQRDGWTHRDLLRLAHPKAAAADRRALFDFVVRGTGGAELPAIVQDFLAAQAAEKPSQWVEMVGRGHGLSWEMLPDAALNLPEIWEALLDKGVPQTALLRQLPRLTRLGLLSGSTGRTVAAQLQDAARLRKARVHPVNVLVAARTYASGRSDRGASTWTPEPRIVDALDAAFYAAYGAVEPSGGRVLLALDISGSMDCRISGMSLTCREASAALALVSANVETDYQAIGFTAGADWRKPVITRLDITARRRLDDVCRYTAGLPMGGTDCALPMVWALKNRLAFDAIHIYTDNETWYGDIHPHQALAEYRQKMGLGTRLVVVAMTGTSNSIADPSDPRQLDVSGFDSAVPQLLSDFTAGRL
MFALALCVRAKYFTRDDLNSDQLAAYHNYTTKLSNEAMAVIPKVCRIPTHLFEFLKYAQLITKETSGHKGWGRAFRHAVANWYLQAQPKSLAIHLTKYGAREGYSHRDTLRLAHPNAQKKGDDALLYDQLFNFACESKLDPRKYEEDYAPIHKRPRKYDLSTEVRSKAPEDHHVFVYLQNYLKLKALPVEDGNVDQVVQLVKENGFVREHIPTDFLKYPAIWKAMLPEMPMTALLRNLSKLSSLELIDGSNAENQQYVDLVVKKLTDEVSIKKARIHPLSVLIAASTYNKGKGLKGSLEWKVNKAISAALDAMFLKAFHNVEPTGKRFLLAFDISGSMDYGTIAGTAITPREASGAMGLVTLNTEEKVETVYFDHTLRTLDFKKDWPLDKTLDTFRGLRFGSTNCALPMQYALEKKKAFDVFIIYTDNDTYVGDVHPFEAMKQYRQQMDIPEAKLIVMGMTASEFSIADPXXXXARRWSMKGLDSSQLHRFEG
MTKRICKYIMPILVLSGISRLASADIVPSQPFYWNNNTTLQRANCSVSFTGQQPFSQSRTLIVDDNLANGATLYSWDYGDFVPNVTFRCNSGSGGSYYYDSNSYQITSTAAANNITGGLGIRYNTFNSATQTFSTTNPGIGLKLYVKLVSSGSTQTGYTPAFAYWGSLSVYNFGWSPGIEYPVSSLTNSNVISSTFQPIYNTGSKQFTFNGSDHYAIYAVRGELVKINNIQASSNLSLSSGFDFVSYFSGCDLPPRLDTTLS
MVPPPTDNYWDAEIILQMYLHDYMIKRGMHNTAEIFKKEAQVPNQPVRMQEKNQDFGSTSSSKVPTMTHNARNNVPLRIPEISTNDKRISQHINSRFHNMMSGSTIFATSSFDRSVKLWDAGMGGTKQVRFQPYSGDLLTTATENNIKIVDVETNSLLHSLEGHTKDVISICWNKNGNYIASVSEDCARVWSLDGKCISELHSDGNKFQSCIFHPAYSNLLIIGGYQTMELWSPTESSKTWIVPAHNGLITGLADSSKNELVASASHDQCVKLWK
MPNVAKNSPTPYTDATNCKKSSNHIKRPMNAFMVWSQMERRKICETQPDMHNAEISKQLGKQWKDLPEEQKQPFIQEAERLRQLHMKEYPDYKYKPKKKPKKGTENATNTQITGPTNRQPNNTQSINGSRMKTSKRSPNQLLIPSATIVIF
MRTVSEMLLPRRHQTQMQVNGGMGVIYNTATGQSTSAAGFLPGSTIDPKSGMDGQFAGRGRREQRIRRPMNAFMVWAKDERKRLADLNPDLHNADLSKLLGKAWRSLSLVDKRPFVEEAERLRLKHMADYPDYKYRPRRRKNKKPRSSKTRDETQTGENPPPTHSKEGLKAEQMTTLMSVLQTPEASRALRRVHKTHSTVAMT
GNRWKPLALAAFSGELVKLKSLMSLYRRLGDRSRYLALLESPELMEFAPANYPLLFSYAMGVGSVQDPLIRNYQFGRNFLNTSYFQYGVETAMKHQGTVDPKLALELGITDEDRVDIMQSVEKHISGKAGDDISQPGGAFAMSLNRSAFINNNNSQDFSGARLSNYEQGWSGTNQDETRDAYPESTMHRLQNIESTDSDHNELLMPELESDSNPFNRPRFTVRAPLIPEISHQNSNTR
MQRLYKNYINRVECQTDSKVASLIWDNASEFKNTDLQNFFKYKGINNLTLASYTPEQNPFSKRGNQTTMNKSRFLLLDSGLDPTYWEEAANTPVYLENLTPHISLKFETLFSKWFNKTPSLKFLHPFISACDSKPEHKHDSQIQ
MNFLFIKLINNPFYAIVLHLAEMVYFWTLCKRKKENILGSVLSKPPINYLISELNHT
MDLYSWKESDSKIELLDRDSILLKSYLDGESDVRPQAMSARYNWHRIYNVNYNFLRKDYLDVEPKLNDFREYLGDSLTQMQWDQFSKMPLYKQKLLLSIVGFDKAKLQSQYDSSSLPNFVFTGTTTWAGGETREFYAKQQVNVINNAINETSPYYLGRDYDLFFKGHPRGEDINDYIISSFEDITNIPASVSFELLLMTGMIPDKVAGVASSLYFTIPADNVDFIVFTSSEDITDREEALKSPLVQVMMKLNIVKEDNVLFWSDLPNCESGVCISH
MWKIIEKEVFSWSLGILADDEKISRAHQTGINLANAAKDIQNAQYIGDEEICSHCHCREFYFAKNKEVICCQCGIIGKIVLDGDEYHFEFPEEQLKHAHDTLDEKFIHANDIDTNNRKAGALRMSEKYKNRVAAYKSFITGSKPQ
MRGILLACLLALPGTALGGDALSVPVRLSSGVVLTLRAVSLVGEDLGRRLVLRADFDGPRPPSGDPAQLGLESAEALELCRAHLAAIAALLPRRPTRRITHLEVLYRDTRTHYQVDIHERRGMRVDTRRHGCQGI
FHHRKASTSSPRPPRRWLVNRRLHPHPRRAPLLGTSMVSASDDVDVTISWESQEILDSSGDSVDIVWVQEDSAQEMGVGELPGGERVEVTKQSALPVPEELLNPPEGEVGLQSKAEPERDFGDVEAGEVRLDEPTIEVGEAEAVLADPAEFDVQSISAVTDSTFSYAWDGVGDVYEVSRDGELIAETETPEFTDALLTAGQEYLYEITSYDDSGDVVASRSIPTRALGGPQELSTMTYQPHVSQGIYRTFIPDKFVSMDFATTWGCGQAFKKDRMFGGDNRSYTMPTRSAPYDGVSSKTSVALNINWENSAPYKIQWVKEVGTTRLYENYKLIDSATASSDGIKIIDVSTTSAYAQARIDHKVANPYCIAGAITYNVMFRWYRNGTFEGSSYLSV
MKKICLFVFLSEIFMSCSKDNDRNSNGESSVFEAKVNGIDTKFDIESASLIHSKNYDRKRMDITVASMDKKTKLILTLTQTPAQGRGMSLKNYPIRFGLSDDPLTTELDESIALIDGQLMLGEQKDNGYALFPHPQKGNVIVLSCDEIVSVISGSFECSLLKQGDEIMNITEGKFSNIRYLFLHE
MAVGTMRLVAAGLTCMALVACGTPPEVKTLSSTQVANFKTLAQVQSAQASAIMTLAGKVQAQAIQDLSQLQSQAEALVKQQLTGATAPAPATVDSAMAAISTQAQVTAQAKAKLTADMAVIQAKLNEISAYVAEMAQAQQVLDTYLHQERIGQPLVQAITDAPFVQAAVARVTDLAPKVAQSASDLERLMQEFGGAAPAA
MCLFRILDNRYRLMKNHGDGIVHIFHVISFSLLMGITLFFFIYEVWFILPILCDTRSWSYTFNCMLCIYTLHNIIGNFLMCWLTDNSMKSLPNYRQHPPPTEARFWHLCTQCQMMVPPRSWHCQSCRRCTLKRDHHCTFTANCIGHNNHRYFICMLFHLSVGCGICLVFNTIESYYAKSLFFADSLILFSIWGLIRQEIVDEHFWHIVSSCIIKLNFFLTVLAIAQLVYQLIMLSRNSTCHRFKDCIYDQGFKKNVELIMGRRKFWVLLSPFMDSPLPHDGTQWQLAKHYL
MNFGLIVVQIIYSFYKVSANRTQYKTSWLVFIVEMQPNLYKVSVFLAEKTLYTWIKQMKQLNL
MVKNNLKSLLIHVAISIISMFIFMIFNMAQVKWASEEAARSHHYNMMFVAVITIVVAVIIALRQVLNENGTLIMPAHSGEISDPAEWENPPVPNEWLQPIYDSMPAFDVNLTQTRGMGSVAELFRTLPEVYRYNHPQVSFASQGKFAKDITNNHKLTPQFGMESPLGKMYNLKAKVLLLGVGYDSCTCFHLAEALNKKMPTTKMGAAIIENNKRTWKWFEDFDYNSDDDFKKLGKALQATDNVILGNVGKAQCKLFPMKIGVDFAKQWLQSNRFN
MICTFYSFKGGVGRTMALANIAELYYQAGFRVLMVDWDLEAPGLERFFPAIDTEAVRNRPGITDMLADYKAQITRKYVQQTPAELIDLDTYITPIRDNGRLSLLTSGRRGKSHFTHYARTVLEFDWQDFYQNWGGGLFFNWLREQFNARADIILIDSRTGVTEMGGVCTYHLADTVTMLCASNEQNLEGTLDMASKFKHPDVITLRQGRPLEVLIIP
PSLTPTEIVVVYLSENSKLLAADYDQLSSDALEKTLGKNYIDHQEYTLLPDQFKALDPIKLEEKNSYLGVIAHYADANRSEWKKIIKIKGIGHNYQVLVHVRSNDVDLRKEEE
MPAFLLIQFTASHTFLPIEDALLGDYDAQGVSLTTPWGNPALQHVATPIAFKVLQLTDDSLLVNASPQAMADDLKKTLGSTYIRADDYLLNPGQFKFIDLRALDEDTRFIAVIANYHSTDVGRWKQRLRVEPKGRQYAVLVQLDAAQVSLKGETR
MRNILGIAACLLKHMVYKVDLKTVVKSSKDIRLSEAEPMRDEESSHTRIIIEFIKGVELDEAWDTYSATEKESVIAQLRVYMEELSQLKGTFIGSIDASWCVEDDFNASIVKALKEGKTHAHVDVKLTCDIFLEIMKGHKIGFTHNDFAPRNILIGWIQERALDKILQPRLIELSVM
WGRAESAFSLTLMTFIGPLVVPITGWIIDHVPLRPLVLWGVLAQSINLAAFGFMEGSIWVYYLLVFLLMFTAAGPSILTLAKLVQGWFDKSLGRAMGILFACGAIGGVIHPLWVQAVISQVGWREAFMVMGALSLVIAGGVAWWSVYQAGPATGGDGPASSSAAEAPLATPMSMAAFLRDLIWWKLALFNMLFAFGTGAIYRHFAARLQDRGAAPAQARELLQTEGYFDAVVTAKREDGRPARVLVQVVPGERIQVERLLIDTEGPLQARLDAHDAEALGVAQALQTAGKLGAGTPFRNADWAETKQQLLGRLRAAGYASARIAHSAADIDPQQHLARLQVRLDSGPLYRLGELQISGLVHHDAETVRHLAGFGGGAALTEARLLDYQDRLQKAGLFERASVSFEPVADQAEATVVKVTLSELPLQQATLGVGVSANTGPRASVEHTHRRPFGWAVSAYDKLEYGRTTQTWTGDFYSHPGEGFYRNLLGVQISRVVSDTDVVLSQRLRLGRTQDTPRIERLYFLELLRSRQADAAGVSSAEAVSGNYHWVWRKLDSVLLPTEGVTLSLQGGAGQAFSRSGDDGPFTRLYGRFTGYLPLGAQWYGQARLEAGQIYKNAKVNVPDALGFRAGGDDSVRGYGYRTLAPKDSAGTVRSGLLMLTSSVELARPISAALPSVWGAVFVDAGRAADSWVGFKPALGYGVGVRWRSPIGPLKVDLAYGDELKKVRLHLSVGIAF
MKLPIFLLFLVVLEIEAGLEPYYFPTDPLYKGEGCKPVDSSLPKMMKEREMKALEGCNAAYCSNNSIHRVEWRAGVPLW
MNITYELHLLRRRFPAITVWFGQATHHYWALVDDRLIEANTALKLADAIRGAQAGPVPHPGHRAIPPVAARAYGGGTRRRSADPKPIS
MELHIEETDAKVKSKQQRIIELETKREAHRLDLLVELRKQPKLPSRKSYIKRITQISKNSRKLDTDIQQILKETRQLQLESNTIQERLHRTYAMVNELVFREAKRKEVGKKAYGLLTRVQDIFGQISDTILATDRARREAAEWEAKLASLSSRSFDITKLQADLDYINKENELLEQLCHDRNNAKQNKCHDAAL
MRTDADTVYPVVADPKWWDKTKELGGGMISDTWNSMKCGGALAAAFHPGTAGYKAIRAAGGVKKLLAVLSGVNSKRGAAAALGSGFTTLFGVKSIKKACFDDLK
MQNPPCRLAVSLSWQLAAMSESFWNSGSELNSNNPIFIPFIFHIIFAKIASPVPISSIKYYYSKFRDKNIQF
MVAPGEDTRRTARPPRRRRILVVLGVLLAAALGALATANAAPAPAPAPAAQSGRLAPQQVPPLPLPPNPSCASGSPEPACHLPTASPPRTIPATPLPPITTLPGPGPSCFPGSVLPGCAPSLDGPCTGPDCIPQPSTLAPSTPPPAPIPNGPGGDAAECGLTDLTGCMVVAASAMFAAVVAAGLNPLLDLLGKTLLTTPEPDQLPGLGAAWTGSWHILLTAYVVLVLLAGLIIMAYQTLQTRYTVKELAPRLVVGFLAGTLSLFLATKGIQIANALSAAVLGDGVDPAAMTRSLVTMVQGSLHGGGLFLGVLGLVLVALLLVLLVVFVVRVIVTVLLIAAAPIALMFHALPHTEGVAIWWWKGYGGVLAIQVGQSLTLVVTVRIFFAPGGFTVLGPTPSALMNVLLCIALLYVLIKIPFWFLAPLRSGRPSLLGRIVRGVLAYKTMGLLSGATAALRGRSRGRNTGPHGRGDPSGGPPPADPPSTRTGQFMLPMRVRRTRPGAPRSPRLGDLAPTDPLTDRGPGPGQLPLFTAAGSGERRRVAPNPRALPPRTLPNALPRDQLGLPITTRHAPDLVGRRSLADDLADRPPAPPPVHQTGLLTPDGRINRNARPPASLPNALIAPETGMLPIHLRPAAARPPRHTLADTAAPPPVRQTGPGLITPSGQINRAARAPRRRPRDAYTGNRPLASGQYPLPLGVRRQPKPAPPPEHTATPPPAAQPRRVGVQLPLPLDLPKRPRRAPAPKKK
MLSHHRLFDPNNPGYQENFDPAEADPSGQITCQGPPPSFRIPVISGLTSDPASWTLQEICAKPQYGGRGQHQHAGGFCLHYATPEERPRVAFDESVHALTSQILQTPRVLTYCLLRCFCTNGLNRQDAYLQIEPIDAPGHLVRYVSLDRDLVSGLGIGGDLTPGLDDEVPAYVMILYTQLAAQQYQATHNGALVDHHALDLLFVAFRPDNRVRCEGTVPRISLTLYDRRGPSYGTLNWRWSPTLNIQKLCANALSDGTHVLNAGAYCHRMPDGTKKVWFSDDITPNLGLTWQNFAQSLTVRTFCFSMCYCEPGEKDPDEDIRDQHVTIPGIFQFTQNRAVGIGPKGNVDILIRGPGGEKLNTIPLMKPADGGSQVAKGACEGDDKRFCPFEDWPEDVLGPKPTVPVADPPPNEVVHLEQCGMECDDDNDCSGTWDSGCRCVPPKTQPNYIPLNLIFPDVPTLDRGQCLTMPEYILRSAAAAYMPPEFTVFALGLTAKPGAKTRAKKLD
MKQDTFEELLCMYGADISVWPKEVREAAQIYAETEVGIALLEAELEIDQMFEAGIAMGPETASDGNSDAFLNRLACIPEQQAQAMYAVSSGNVGLFTHIKTLFAETFHFSAVSYAAQGFAFVAVLTAGIMVGAQTTVATADDDLDLSEDWFASASDVEELE
MKNLSLLLAVFLVGVTQMSLAQRNFDNAHLTYCQRTGKAIYMYDANFVKLGMVRPHSEMGRGNEYPAAGLTDEGIMAQFGFMRYLDLDINRSRFGIGYYVPLYAAYHPGKKVPWTICPNWSAVPIYLLVPVWVPYSPFVCLTVSYWICMPLPNLRCPFTVDTCMRMPG
MDVEVEISKNFQVFEKPVNFQERFSSRYSNSFRIHRLYLFNYIFIQVGAGTEASIWFINEGREYIEAP
MGVHFLLGGISRVTGPHLCFAGRKASNSQPDGSEQFVTTAYISLPVFEQCWSRAQPEDRTFLGRFRFLSDVLIKQITGVLKKRGELFFVNLSVVTFQSNCPFLTLSC
MVRIRRERLPAGTYSKLGRRKVGPFPILQKINDNAYVIDLPPEFGTTSTFNVTDLHPYYPSDEANTTISAETADHSSAGES
MYDGTVISISKVLRQIQLLREKILELKSLPPPIISNQSKVAPVSKKEKRWLRFAVDDHRLFFVDDTRKANAIKRKEIKWEIKVNYLIVLIKKYIISFSVQRYSEFFLFWVQTCRYQCFGYG
SKPTARKSTGGKAPRRPLGQFEPVMKAARTCGLDPWPTLIANFPGVGSMRGEVDELSADGKVDGKVIVDWKSGAARDGKVSPTDAPIGEKFRLRHTVESVEELERCFQPPPAGEYSRLSEPPPGCRWLSTSAVCLDDLGPEWCDPVIDEKRWDATLYRLRDPPMVGHYEPHLPSYPEALMQLGSIEMYGGGGTSREYVSCKAYAYNDEWLRKGSGKTGEMRALEFATGEVRKRHALWAKAKSGSMESVAALWKDAFDALWGLLLMLSNHSDGSYSPPSWPMLESPARLEKMCANVALVAEQILCHPSAAYDWGDASAPPRCDGGIALFELVWQAESSFDGACCEVDSWEDAKATAAWAKVKMLTAAILEKGKEANGKTHTAIACAPSLNAMLAAREKRLAAARQGAAKRKR
MTWYWPMSAGMRGALTAFTLARHAGVATLLDADTTPQNIEPLVRLADHAVFSAPGLQRMTHIDDAREGLLRAASLTEGKVYVTQGGEGTLWIEDEHFCHFPAFTVNVVDTTGAGDVFHGALALAIAEKMPSQSAIAFSAAVAALKCTQAGGRTGIPNREQTESFLSLLNVK
MSPEVVGVGACLADCLNVFPGLPELDTKNPVGPWSLQGGGPVGTALVTLARLGVSTAMVGKVGDDLFGSFARSEFLRERVDVSHVIVEPGRTSPLAVILIDEFTGKRTILYSLGTLTPIQPEEIPRELITGAKVLHLEGFQKEGELYAAKLAREAGVTVVYDADQGRPGTEDLLPYVDILIAAREFAEQYTGSPAEQALDQLFKLGFKLAAVTLGDRGCLCQTSEGQFHVPAFQVKVVDTTGAGDVFHGAFIYGLLKGWEPRKIAEFASAVAAIKCQALGGRQGIPTLEQALKFLRERDKA
MIGIIDGYPEPDTKSPLLEFDVQGGGVVATALVACARLGLSTRYIGKVGTDFWSRASLRTLSREGIDVRHVLRAPGSPGHVSLVLADRTSGARTLFYRRPPAYAVRPEEMDRAALTAGRLLHVDGIDAAAALQAIRWAREAGMKVTMDGERIVEGIEAVWPLVDLLVCNPRFVGLITGRATVEEGLRELARGGPSRVAVTLAEDGALGLEEGRWVRVPGFRVPVVDTNGAGDVFHGACALGELRAWPLEWTLAFASAVAAMKCRTLGGRRGIPRLPEVIAFLDAHGRRDIARALE
MRARVVCIGEAIIDLIVRGRVLDVRVGGAPVNLAVGLTRLGVDACFAGCVGNDWYGRLIESSMRTLGVASFLEKVCGVPTRTAIVSHDARRERRFEFVPSGPAAENAISPALLRRVFKESPQALAFGTIPFSQGRGIQGFERLLRTAGRRGMLRFFDPNVRLSLFDGIVHLRRTFESLVGHADVVRLNADELRLLTRRRTLVSGANSILKRGAILLLVTDGAKGSWAIDRDGVLHCPAFKVHTRDTTGCGDAFSAASLALLAGQSRPNRETLARILTEGNAAGALAALKVGGTDSMPSRSTLRRFLNARLRGGGAPSQSIAGA
MTRDILRPLRNKTVTVTGDLVNVYYKNKLDVNSTTKFNVGILLKNVIIDDKETDHIWLFERNKHYDKSKSMIGKRVKFKGIVNPYLKQKDGYYQEDYGIERKSNILLEETYDKEKPFKR
MLREEVEQLCASTLGRKLDEWISLGREGQLESDFWDKVKPQLSASAVKLRLRWADKLGVSARKGAAGGDIRVPLMKKILELMQGETSEVLDVEYLDLMDQGVPFGTTSDIPISKCWPAKVRLSPHGRYPITREVWDNYSSAQLFAEEVASSLEKEVELGRMVPISPAEEGKIRATCQLGCVPSYDREGNLRKIRVIDDLRRNGVNSVIDNHLKETLLLPTVRSAVALAQRCQRFARARGDESEMLWVEGDIRGAFRLIRMAPEDT
MAGYDDDEADDFCTVLGYQALISSFVAFVFIRHGLIPMLLNKLRGSTLNKTQRGLLANHLISYLHALYSTNVVLALFFNYPSLFVDLQGVRSGAAAVDAARKALAFSTGYFYADCFDMLVTGVYRNNLGIWGHHAAALICYTASLHTCLLYPYLVFTLIVELNSIFLHHRKVLALYYPMDKIAMPETLSQTYDIATKLLLWTFPPTRLFANLYILYAVVMDRKTWEAPSWTWTLAFVGMLVVTYYNFGLWAQVKKSVERDRAKRANAAGAEKKSDDWLGQSGTELID
MERTRARRQAYAQRALPFEDAARALGRPLSAQALAHRRQMLAYLERAARRA
VAEGLRAQMAADEPRQRPGAAPKDQKTANRRHNSEPAPRPARAVGRSLFHSIAVELRNLDADAAELAPALLPLELPALGVTHGTTETDLMTRWAGAGESELLSRCLLALTDAAPGGPLLQWLSADVPGRTSIVLGSWRTRIAEAQPPAAGRPGQQSRTALAGKRSQPDRRNRHGGNRSAGQRGGNQAGGTDG
MNVLNIQSDLTSITAIGETLSGLLDNEFGSYEKMHRLAKEKHKSQKIHLAFGSMKGDVFVPFSAHNELQDSISGVLKRMPERYRTSIDVNTWSEIVFFEKACGEPALKSIVRKWINRISSYNYGVYSPTMQLPDKTPVGFWVAFFYMLNNEEDVEVYINYLNSLRVKNEFKRKWGANDKISGFLYYPSIVLLGYVYEKIGWNTNTLKLLGAQISNYAYVGIPDLFYDLFDTCNPATYLKDQKNREYFTAHYIKEQKAVYYDQGKVGGDDIVEKIFPMIIGSEWCGNVSEHTLRQLGV
MNTRTDISTRSAKTSQRKAPTHQLASSQRLVILDAAIIIGLLLAALIPRIILALQLDMVTDEVVYILGGKIYLPLVAHMNIGASGWSYNYEHPPFVKLLIGLVLALNSSLGHPLGELLAGRIPSIIFGTLLVLAVYWLGRSPFGRIIALGAALCLAFSPWLAYFSALAYLDMTMTALITIAFLLVWHAIKRPWLYLLVALLVGLGAASKYTAVLIIPGMALFTIYYFLGIWPRLPREQRAPIPWLWWLGAIVLAPLIFLAVDPAIWPGPVKLLIHSFEFEWKHSYNGHLTFIAGQYNMHVPHWSILYILAAKISAFVTIPAALFVIFALIQQVRFQLHPSAANAAEAASTSFLLIWLLATLGLFSLLNIVVGTHYHLPLAAPVALAGASGLATILRYRRGTGFLFRQPRQTEDEEAPASLPARRPALNLWGTAAALLLIAAVAIPHLVGLTTVYAAEGYTSELFHGENTVLQVAYPGYREALKWLAAHTNKPLRVGLVAVPGTLNGGSDGISWYGFNQDLTGRFKLTEAHPTDYNFPYDYLIWPMHLVQRGYAIPEPWRSHIVYTVTGGNTTYCYILARVPATQIP
MPIDKYLEKLEGIRIFSKIKLPNSISLDTESYILLPETKEHPDILVSQTRFVYTENIESFVRSLEINPQNNDNRDSLYKNGYLGISNYDQAIDINTALGGFTLPLNLFVEFVNKLMSGNTLDENNNPVAQRRIEAILEDLLNVRSPARMEWLNGRYNIKVKRINNQLIYSGKIKYLKFDPTSRLTEVEEPIEEDALIQEKRISLNNWLRNSTKQGLPKKDVASGEFYYWPSTKYLDIAYFRVDSWGTGLFFDTSSEYYDDNFGVRHARIKT
MSKHHPFPDFRRRALALLALNDGVTVVQITLMFRMSDQPIYNWTKGWRTKGLAGILTGHKGGRPPKLTADMLDAAADIARAEPLTLAKIAARVRDLYPDAPSFSLDRLSAGLRARKLSFKRTRLSLEKKETKSPSKKQKAAWRDIERWPRQEK
MPVNHMCYYRYEVTENVIISLKKLYNGYIGWSDVSLIVLSNMRGGAERRDLLKYSDFMVVFGTVAHDATLNRDSSLISGETMDDRYERTRAISARIRLSNYRLIEKWECDFIVEIWKYEELQRFVQENTASIKRKPLDPRDAFFGSRVGNTVK
ERDRAVIFIDSWHDGPWAEQKGWNAYFHLEDLEAYHSELLAAGVQIMRDPEETNYGMLEMEVMDPDGNVICFGQELAS
MKRLEREKIEFRMIVIDETALSVKYQHTCSECRIEKAVAKTVRDTKTLAFQILTPEFEEKEI
MKTTIVQLAGNVPAGTRLWLTGEQAVARAHLLSADKPNELEKVEADIARAKQATARARKDKDESAIERAEGDLESARKALARRRLYTTNGQTAFKAGEEIAIESDLDRGLEQMLGIAPVEPARAQKPVDRSAKKSKAEQKAAEEAEQKAAEEAGWTAAYDASAELKAQFPKAADYIASRRA
MQNLRGINSKLISSFKESKLCQLIKENPDRLLACIRNNAIGIYYNADRIAMVSLAKDGNLKCEINSYYLSDFYLRENKDTREAGIDETHVPADIVTSFEKIKKNSDLRSTPEKKAQQALVSATNANKDSAWVCIDIEYRQSTKAQSTLVEANRFTGRFDIIAISKEKPYRIAIIELKYNDAAIGGKSGVVKHLRVCLNLTRRNI
MATTTTNDDPLVDRLARALARTDVRADGPTVDRVQRALRDWRDEDFENMRPMRQSKKTATPYEVWRAAQTTAQPKKTKVLDARARRQLVERLDAANKQRTENAERRRAAALGEELRSTPFAPQLNARSLKSTRPGVAETSATALQRREAKAQKVRERRHEIERRECTFAPTFAAAKTSSKIYKRARGAAPRTPADRAAFQAAARAKTERMRQRQEEAEIAQTPFAPRLNGASMKIAASLRASGACAVDPRSRTTTTSPRRTRRVHVDEAATFAPQISERAKRYAPAVKDVHNRLYAKTEARFPRSPRGAAAPEPAPVAVLAYGRDVDEILGLVATAFRSRPPPGEAWT
MFFSNRSQKQIRKLITLTWLFSTLIFSVVGPVGGAFAQIGAPEPPPPGDGSIAPPLNPGDLGGPQPVPSGAADNSAGTNFSVGAGSDRSVVRLFNNGPRGQRMVQTIRPDTLTPDQLNQIQGILGVNLGSGEQSVDVFVTDGQLEQLNEAMAAYPQTTNNGGRKQITTDAPAANRPLPGREAIYAFPGVVPTVATFSRYLVITGVVMATVFMALAAYSMVNGSPYGGARVLGAASGLFLLLAAYTIWKIVQMNTFNGNSDRAAQIQAKAGGALVQDAFLTRPNMPATPAVGATSIGRSGVPVQPLDPTGQ
MKNSHASDQVKDEGNGIYTATLTGTTAGETSIEVIVNGAVLQIKNSAKVTLTADKKHPSKDKSKLEADPTTIVADGNTSSTIKLTLLDVNDNPLAGQKVKFNSSLKNSHVSDEVKDEGNGIYTATLTGTTAGETSIEVTVNEVVLPVKDAAKVTLTADSSNPSLDKSKLTTEPITIVADGKDSATLKLTLIDIKDNPITGYPDKVVFSTEPADSKIKLEAVTEQDPGVYSAKLTGTQAGDISITAKFNGLELKLAPVTVTLKADSKNPSVDKSKLVAEPTTIVADDKQTSTLKLTLMDANDNPIEGQVVKFEPSLANSQFDEVKENDKGVYTAKLKGKTAGVNTIKVKVNDKEFAIKTNVTLIADSNTVVLKQVTLDGDNTSKVANGNDNFTFTAVVKDANDNPVPEITLKWQYNAGNKVTLSDKGESKTDSEGKAKITLTSTTNTVTEVQVSAKTGDDEAVDANKKVNFLRKFNLNGVVADATTNKPIEGAEVGLYTSDSDSKPKYKVTS
MKFNLLVFTGMAVAGAILSITSTWTGARNSDVGIEAAETDDGAVPEEFLEAQKDWESRQGVKQQKKVLEYPRKGDLRSLFKYLIRKKQGGLAK
LGLAGALDRVAVRPRTGDLRRWQDWSLITSQPLGDRAMQDCGYPYYHLHRADLHRVLVDPVAEECPIRLGHRVAGVDADGGGGDGGGARLRFEGGGEARADVVVGPDGIRSTVRAALFGPEAPRFSGMSVWRGLVPAEDVADLGLPLVSTAVLGPGQHFVYYYVSAGRFVNWVGVAPSDTWALESWTTPGELADALADFAGWAPIVLRLISAAAGPDRASTLYRWALYDRDPMAVWGAGPVTLLGDAAHPMLPFMAQGAAQAIEDAAVLAACLQLVPGPVRALRRYEDLRRERTAQVQLAARFNEVTFHLPDGPEQQERDRRLAAASGEKASHRNAWVFEYDTDLATAHL
MNSSFEDDGEVLVNKSLSGAEYVQPWYDIHRGDLHHVLLTSVSSLSNVTLRSNCEVTSCVFDQTNQRAIVTLKNGERLSGEVVVGADGVRSVVRRSVVDIPDHPQKTGEAVYRAVIPTSSLQDHPELRELVEIPGLRSWLGPGRHVVAYPIRGKSLMNIAMFVPDDDAVESWKSEGSLNKIRADFREWEPRVQHLLEAVPKVLRWALKVRDPLTTWHRNGIVLLGDACHPMLPYRAQGAAMAIEDAAVLGSLLGKYSEGYSIEYLLNAYEELRIPRTTAVQRDSGANAEVFHLPDGPAQQARDAGWKEAGQIGGAHASGSQVILQPTIPDRELYGYDAYAVVEQWWHEKIALKQL
MSTSPEHILIAGAGIGGLTAAVALQRRGIACTIIEATPRPTAINASILLQNNTMRVLSELDIARCLAPKGRRIAHTSIMSVTGKVLTEVKAEDVEGTVGAPALGIHRADLHEALLSRLQDGTLIAGDPVIGFETTAGGVAVYLRSGERLQGRGLVAADGIDSRIRARLHGDRPAVRSGTTCLRGVTETPAERPDEVLEWWGYRLQFGIIPLPDGRSSWFALIPTPTRPVQDPGDRLGFYQRLYREFPVQVRDLMAATEPKNTIEVELRHRPWRGGSGAVTLLGDAAHPMLPNLGQGAGQAIEDAAVLARRLDKAASVADAFRAYEQERRARVRWIQRQAIRMNQIASVPPGPLSTARDAVLRGLPARVERTSVMRMFDGAHT
MVDAVALGHVDQYVALREAAVARGIPISYDKRVRDLRTVPDGVEATFGDGSRAYGELLVGADGIRSRTREILNPDGPAPSYLGLLNAGGFTAGPIEADLDRTPGLVHMTFGRHAFFGWSMAPDGSVWWFANPPRKEPLRGGEFTEATWRAHLLGLFAGDATPGAAIIRATPEVLGPWNTDDLPRVPVWQRDRVVLLGDAAHAVSPSSGQGASMAIEDAVTLGRCPDLADYERQRRRRVEKVVAFGRRNSRTKTAGPVGAAIRDSLTPLIMKLLYRNGDPARWILEHRL
MGVVDGKTGERVAKFGGVPRGQPGHVLRASRSHFRDYMWQHLNVSTGKEFTHYTEDSTGVTAFFKDGTSARGSLLVGADGSRSRVRAALLGEQASKAEVSNYIAVTGQGDLPRHVYEPVRALGTAAILANTPNMRCVFGLRSITPDKEFATFYWILAYWTDEPEKDSAELDTMTKEQLYAKAEELVAGWAKVLTDIVTHTGVEGVFAPPIKLLEYVPPDTLPGKYVTLLGDAAHAMIPFRGGGANTAIRDACELANLIIDAVKEEKPFGSVLKKYEEVMLPRGQEMVLTSREAGRNWESFMRVVKNAGHVQPNK
MSKVLVVGCGIIGPTIAIMLRQRGYEVTIVERIQRPESAAGVSLALQPNGQASSSPVLDTIGLANIGEPVLNIRDYNADGEYLGGLDISYAKEQYGFWPAFVRRGVLLQTLKAEAVRLGVQIHEDWELHDIDDLENGVVATTKDGRTISASFVVACDGLHSTTRKIINQKHGISEPPANATGQLSLSGIAPTPKSLAPQTVSAWMGESRLVVAFEVSRTHSGWTMILSTGSEKDTWRQVDKLEETRAELLDAVDGWPDAVRDLLESTEFILRFGHFDRPTRAPEHWYHERCVLLGDAAHPSSPHRGQGANQGLEDCWVLNQVLPGANKELSTVELATAFASYAKKRQARTAVTVQTARSQGTERVAAGREAGLVRDKMIREQWADQEAARAAYHDLWKEPY
MRSIVIIGGGIAGAATALAAAKAGLSPVVFEAHPASGEDIGAFLTLAPNGFAALRELGVADAVAAAAFPMTELSVLARTGEVVASRPTSDSFTLRRAELCRVLQTEAIGRGIPLHHGKRLVTVREDEDGVTAEFADGESVRGDLLVGADGLRSAVRGLLDDSVVPRYAGQRVFYGHTAKAAPSEPGRMVMVRGSSVAFGYTVSPARETYWFGRTHGDELSPAEMAAPGWRDHLVELLRDDATPAAEIVAATAEPLLVTNTWDLPAVPRWRTGRVVLVGDAAHAASPATGQGASMAVEDAIVLAKALRDTANPLEVYERLRRPRAEANVAASARMTAGATDTVPRVGSARRPADGAVERMLAWDAVLED
MPPPAPDLTSTIAIIGGGIGGLCLAISCLSHNVPVHVYEAAPAFAEIGAGVSLGANSLRALELISPAVGDALRACATNNASGGEEGGYWFRFRTGQGGGRVGRGIVDVASETGQTSVHRARFLDELVRLLPGDLATFGKRLENVEDEGEEVVLHFKDGTTQRHAAVICCDGIKSRGRQILLGNDHPAANAVFSGKYAYRGLIPMDQAAKVLGDDIAKNSHMFLGEGGHILLFPIEKGKTMNVVAFGTAESWDDPEWVKPMKKEDMLRDFAGWVDVVGEITSLMQKPDLWALFEMPPAPTYFKNRVCLLGDAAHASTPHQGAGAGMAIEDALVMSNLLNSLPTSDIPRAFAVFDAVRRPRTQKLVRTSHEAGVLYDLQLPGVMDDTKLVAENLKQRFGWIWDFDLSKHVEEAKAMLKSETRRN
MTATQDPPTVAIIGAGLAGTALALALLPHGIKPTIFESAGGFGTVGAGVIFGPNAARAMSLISPAAWKAFNTCLTRNRDASFWDTWFEFQDGRADATEAPWRLQRTGEKRDPAMASVHRAHFLAELAKQLPDDITQFRKTLTGLETSGGKSTLTFADGTTFEADLVIGCDGIKSRVREIMFSSQSPTAAPLPTYTGQIGYRALLPTSLVESILGPPAPNAALFRGPNGYIVTYPVSHGEQVNVVAAVKRPDISPGRDVMVLPDTHEALNAAFVNWRPEIRELLTHFPSADRWPLFDCPHGRRYVAPGGRVLLVGDAAHASTPHLGAGAGMAFEDAVILGNLLGDVVRGEGELEKAVEAYDAVRRVRTQGLIRRSREAAELHTTVGEGALPWDEVNRRTEALYRWAWEHDHEDELEKARKIMKGAYVRLDSI
MARTVIAGAGIGGLVAALSLHHRGINDIVVLEAAQHIEPLGVGLNILPNAVQVLRDLNVLDQLLPQAVQTSELRYYNCHGDLIWAEPRGTAAGYVVPQLSIHRGDLHGVLLATVRERLGYKAVVIGAAITDVVEDEAGAHARVGHADGTFTAFYGDLVIGADGIDSAVRTALWPDQGAPARNGVVMWRGTSWTEPFLDGRTMIVSGDDVRRIVLYPIRTDEKSGRVMVNWVAAQPSLGGTSPRTGGPSDVGRLSSSFAVWNFDWLDISAIIAKSEQVHEYPMQDRDPLPRWTSGRVTLLGDAAHAMYPMGSNGATQAVMDAWVLATALAEHDSLDGALLAYETERRPITTELQIKNRSMGPEAVITVAHERAPHGFANVNDVFTQGELAAISQKYALAGNFDVDSVNRRTGPEISPEARRTR
MNFENEYQQCLEKLNWATKQLQVEVEPEKLAEIAELIVQTMTGPWRYFHTPNHIFEVGGSEDAIEVLAALFHDLVYVQVDHSVNFNLSYYIAPYIQERNGKLKIREKNELPNDWTFEMVASIFGFVAGQVLLPFGGQNEFMSAIVAAKVMELFLRPQHLFKITACIEATIPFKPNFEDGLTVTEHLYQKLKETNTKLNFNISNAELYETVKKSVRLSNRDVSGFGSPSSIFLDNTWNLLPETNHNLTNGNSYTVCEYRIALEKTEGFINYLNPNFIFRKFDGEPNDKSYKIWIEQAKKNIEVAKIYLGSKIFTMAFIEALSMRMGMNIPLSTMVGELPSKGCIPAHLEDFLPEIYNPYKSQNHLECEALTLLAEGRNNNVAYDMRNSPLTTFMVRYLGFDEIKKQRKRTNDFCQKKISAEEFIDGCNQNLVKTLVEGILDLFESRKQAICGVKKEKFIRLSCYQNH
MALDIRPIADGDTPAVVALWNAAGLTVPHNDPYEDIRFCQRSVNAEILLGFDGDRLAAAAMVGHDGHRGWYYYVGVDPAIHGGGHGREIMGAAENWLIGRGVGKAQLMIRSTNSKVKAFYERLGYVEEDRLVMAKRFRPVPDWKIGAVSTQVIHLEMKTPPDRAKARPPETGRTVTLERIGIPTTRFYRYLYDGVGGDWFWVSRRIMDDESLAQTLSREGAEYHLLRVDGEPAGYCELERSADGKETELSYFGLLPDFIGLGLGRYFIDAAIDLAWGPETERVWVHTCDLDHPRAIGNYMRAGFVPVARETEILPDPRDAGFSAPPAEADRGHAADSLYRDAAGLATLPDLTKRQE
MCLQNIVLIHRCYKVWGAKKKIIVPPVFTSIINNGLALLAFIIDLVQSESAFAYEGGIIAKMAVLRVYTFKSFLVVNFFTNLFIPLMIAGRIWWIGYQVSKFLPLRKFNLTRYIMATCLESGIMYPLALLPALVLFFQPIDKLTASVDLIPVLIQVVGIAPTFIIVRVALGISIENVQDTVHINEENGRDQIVLSMWEADYNINGHV
MNRLMLATAAAVFAATSPHAHAQELLKPGSAYNWTGFYAGSFVGGGKAKSDITSRTEGYNTDTSFAVNLSDRAANIGSFAGFNWQAGNFVFGAETELGSFHASSDKRVGGYDGLEGSFDMSLLGSTRARVGFALENVLIYATGGVAYTDGKYSWTARHNYLRDSSGAHDFSVGYVVGGGAEYGITPNLSLRAEALYYDFGKDSFQGETSGGESEEPGSERVTGNLSATVARIGIAYRF
MPPRTRTPRDIPRWADALRMRRSALGLSQDEVAQRSQDGLAQRTVSALENGTIDLRDLSVGRLLALARALGWTLYDLQQATRLDLGIETPEGRLSPAPVRTFPLYPLEEASKPLSQMKPFPEYPAPVWARDACPGLCVFFEGHHPVTGGKLHYIDTTDHHPEPDHAYLIVYQDIPQICDYQETSSRNGSIGIFVSRSGRFIPPEDSQVVGRRYLLSSIERA
MKEKLENESSTTKQKPGAKQAPTKSRNLWITNISQNTRATELKQALSEYGKVIGAKVVINAKYPGACCYGYVTMETAEDADNCIAKLNNTELNGQIIKIEKVRTDHMNMKTKQKSDKEEGKTKSNQDESEKNKKDEDGKKDAKEDKEKDKDGKEVNEKHSLEKKDSKRSDSKKPESLSGKSDHKKRSHSYDRHRHHEKEQHGKERRKSRSKSRGDRSLSSKSKARSDKERDMLTFDKIKEERERQRLREKERILREESRRRREEALRQREIERQQRSEAARLEREREKLRIEREKLEREKAEIIRLERERQKLEREKLELEKLELQRAKMRLQEEDRRPVKRAAPYRDDPYDERKRITNDRRYEEAPPAPRNMVLYPYRYEAPSTIKAPSPPRKIVPSKEYKSRGNYEKHDSYSKRDREYDTDRSHASSMSRGPPPPTAITKYEGSSVFNISRERDVREARSRESNSNAAPSGRYDRDRDRSPHYRVRDDRDRRAVPDHKSDVRSRDHRYDAAPKDTGRFEGRGGNNWPHPGTPSGKPFTSNSTGGTSSGKSWNKDSWRSSDSSGQDRWNSSNTTRTSGSLSSGAFSNSSNMNMTPSCPPPPGINNYSSDRFDYKSMSGMRKY
GWFNVDRHGGSDTHIVDATAAEVHAALLSEIRADPSRESFRTLQSFADSLKSSAGPDTNFKIGFALRADSPGAPSTHAEAAARGEPWVPSAEGKELRNHANNASWTEIDESELPAGRRLHKLVWVYKEKRDGTAKARLCVQGCTLVEGDDYDQTFSGNLRSGSCRTLCAYAARHGCRIRSMDLVAAYLQGEFIEGEVVYCKMPAGYEKKGKILRIDKPIYGCPQSGRRLQRKLSPWFTDRMGMRKLEDSDGCIYVHDGPDNEIFAVGVYTDNCMIVHSAKLDGDGNAIDPNSYYAKFKAAFESEWDIVDEGEVDDVLAMQVVRNDNGSVTIHMEKYVEKVLAKWLPGGPLPRVQDNSLPYSRQFQARLDEALKQSTTSPDYPELVKPFQQCLGQLMYAACGARPDIAYPVFRLCSAMSRPTPDLFVELNTLLSYLHYHKSLGITYNTGPSALSGCSDANLSTTFGTSGWFVKWQGATINWGSKKQPCVALSSCEAEIISLSEATKDMVYFRRLVSDLDPGAVDGPSEVSTDNKGARDVAYNPEHHGRMKHVERRHFFVRDMVEKFEIRVPWIEGEGNVSDFLTKPLASSTRFFKLRDEIMNVRGQTAHVVCGARFA
MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMPFEDMAKADKARYEREMKTYIPPKGETKKTFKDPNAPKRPPSAFFLFCSEYRPQIKGEHPGLSIWDVAKKLGEMWNTTAADDRQPTRRRWKYFKF
MGKGDPKTPRGKMSSQAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKFEDVAKADKARSEREMKTYIPPKGETKKKFKDPNAPKRPPSCSVLNIAQKSKENILAYPLVMLQRNWERCGITLLQAEKSKKKKEKEEDEEEDKEEEKDEVDEDEEDDQLVLAQFFFPCLYSI
MAMRAQTAYFLWLRENRASIVKQHGLEGQAGPAVSKKAGEVWKTVSEADRAKYVDLAAKDKARYEEEVKTLGKRERAPKTDKDAPAGKRAKKDKNAPKKPLTPYFAWLAENRQAIVDKYQIDKTKIVEVTRKAAEEWKNLAAEAKKPYEEKFEAAKAEYSKEHAAYMASRPAVSGGAEKEEEEEEQEGEGVSEARAAKGGKAAKAAKAAKAAKAA
MSDNFHKPISGTKIVFGALLILFGLGLLGLNLGIIPSCYWGIIISWQMLLIALGVIFLFGRNTKTSGIILIIVGGVFLLPEFTSYNIHFLKHIFPILIILLGLSLLLKKKKKNTIFRQANLNTSDQLDLTAIFSGGEIVYTDPIFKGGEVTAIFGGYHLDLSKSALASGITYLDITAIFGGVEIVVPANWKITSKATAIFGGVEDKRHHSAAFDSMNELVLIGTTVFGGVEIKGY
MESNIQTNKRLLFGVILIAIGGIWILQQLGIIPPVLREILISWQMLLVIIGIFSLINGNEVAGWVLILIGGVFMVPEVIDVPWKLKRLAWPVMLITLGVILIMRHKRKPEVLPDTADLQLDYFDDFVVFGGREIVINSQNLIGGKSTAIFGGSEYDMRVAKLSSDGAVVDCVSVFGGNTFKIPPDWAVKNEVSTIFGAFTDKRGNVVPDHRQLSKTIVFRGFTAFGGIEIKNF
MFSRYFFAIVLILLGIGFLLGQLNIWNFSEIFGLWWPLIIIIAGIVILVQSRKSILPGLIVLIIGLIFLADAHNIYQGSFFDAFWPIVLILIGIGLLTGYSRKMKYKTMIHNRVNSFVVFGGEDKVVDTEDFQGGTITTYFGGTYIDLRNAKISSGKAVLDLSVAFGGVEILIPENWKLHPKGFPFMGAFENKTYQVTDETSPILEISYFVMFGGIEVYNQPKKEHSGRRYR
MTESFPVHPGDPQPRRHAPSLVLGICVILFGLALTLDQLNVPHASFLVKLWPAILIALGAVKLRQCEGFSGGGFALVLVGAFLLVDQFAPGDLMDSFWPLLLVGVGVMLVLKSLKQRRPPLPRSSDRDAFVDASAIFSGCRRQPQGLEGFKGGNLTAIFGGIELDLRTAAPSPEPMELDVFVLFGGGEIRVPAGWAVDIRTTSIFGGVSNKIYDLPVPPGAPRLILTGSVFFGGFEVRH
MNEVDKLVSSLTTTLVGAAAQGSNLWSSVLLIVLFVAIFYFFIMRPNRKQQDQRKEMMSGLHVGDRVVTIGGMHGVIDSLDSANKTVTIDADGIYLVFDLQAIHHVETPKSTSTAKVTDAATSSVSASTSEATSATSEATSSVAQSADSVASQATEKDSE
MKLGWGWAVSVLALVACAPGIPHLPSEGGPAWFEIRSEHFRLWTDDSVERGRKLVRDLERRREVIASAMGKVSSRATAFVISVRSARERAVYVPVEFVGVAYGPNNPTGQSGILINADYKDDDHVVSHELAHVVSLGWFANLPPWLNEGIATYFEMVDVDNDDTSVKIGIPRSDRGDILLRGRLVISVPELFACNERRCEDDTFYAASWAVVTLLLNEHYDQLARYLQRMNDLRIDTPATRWDGEPSDLPSEQATGENGDRFEQWKRGESAAWHDAFPDLPPEKLDAELTEWLRTGKVRVPRIDVVTREFPTTVRKLEDADVLAGRSWLRYRYTEDPTAALRDAQAALALDRTNVLARITAITLTHEIAPDDARATATAHPDDWRALRLVERALHGTSEGDAAIERLCAMSGGSAPECGPGQHRRKSRATER
KFVRDHIAVPFLGPADGRAMVPLFCTFFVFIAGLNLLGLIPIFSSATGNVNVTGGLASVTFGFMVFGSIRRNGIGGFVRSLSPRGVPAFMRPFFILIELVSLVSKAFALTIRLFANMLAGHIVVFALLGLVVVLGWAALPVVALTIGMFLFEVFVCVFQAYVFTLLSAVFIGQAYHPEH
MAVIVQGPSSSGKSYVIERVARLFPAEAVLMATDITPNALYYLPPGEMEHRFVVAGERSRHHNDESAQTTKALREMISSGQLVKVHTISDPEGPRTVTVQQQGPIAYAESTTAPEVFEEDANRCLILQPDERPEQTRRILAASGRHLSGQSSAGLREQWWQEFHALQRLLESLPVVIPFSENLALLFPSEPLEVRRTFGHVTSMIQASALLHQLQRPRDEAGRIVAQPEDYWLAQVLLSEVLARSLGRKSADALKRFVKRLRDFTGEHTAKELSQAMNVSERTVREHLHALLELGAIEQTAQARGPIPAKWLIHEDIPLCEQSETPLPSIEAVCGVDEETLSLSEMPADWPSADSTDVPF
MNYNKSYNLTNSILNPTTLEMKSNGIIDYIGTHRERIRLLVIVYLLTIIWILYLILYHSRTQGITLFYTVAIKIIRLENDGLSSSINSNYDQNIQLESIQTSPKLFSSNKDIEKSFNMINQNSYGNLSDHLSNYQCQKINFDYSQENFSKYRTHPYYNRPFYNSYQDYSGQTLLFCPNNNEYNYLSLNCKVAYHRLEYLTEKLLELSCKSHEKVQEKECNQKILSNSIEKLFSSSFKNNFCNHQSSNNDKQDIFLNVNNQQEYNKRSFRIPIVYISKKNFYPKIKIIPVNSCQQFLSNKHSLDKIKSMSTIRTLRSESQCSSPPIESTVPLISTNERRRHSITTTNASEIKSNIEKLPLKDRQKKVTLEVKTNTYNRKVQVKTTQKDTICTKTKSSNSNETQLTSPQQTQFEQPPKKSLTSNSCIQSVRVSVSSPTNDNKYLDKPEPQSPTYSSMISNFIRENLNEQPQFDDKEETFNHRPLLDLINELNQRNSLKQTQYVPELDHHHHQTLSPSPSPSRILPPKQLPTFTPVIVHRKYQEPIGHFDLVDNSENSSLSNVSFNPRHSRSGVMRSYTFTTPDDIDTDSNKISSVSTTTFQSTPELTTSNQSVISNVLNTKHNSPLSTSASSLTSTLNVNTTGTSTTNIDDKNEAKRKAIAMQMYDTEKSYVEALKNLVTKYYLPMKDKDIVSNDLINDIFYKIPEIHIHHTAFLLSLSQKLNQWDNKQTVGDIVLQMYF
MASMMEKIGDKVHGNKDEQQQQHQYSAAGPQGHGTGGLGSDQHGYKGLGTGKDQHGYQGTGTGTGTDQHGYNAGAVGGGHDSQGAGFGSHTGATTGAVGTGEKKEGVMDKIKKKTHRNKGERKAGEGSSSSDSD
MPKVGMPAIRKPQLIDATMEAINEVGLQKASVAMISRYAGVSPAIINHYFGGKNSLLEATMRSVLQQLSEGVRQRLDETDSDDVIARVKAIVGGNFDPASWIPRWLKPGWPSGHRRCMSHLCTVYSGSMNSVCCHISNMN
MWAYLTDLGIISSKKIHDYFGDVRKLLSVEFVRQMYVEFRRIPDVDPAKYEFRWGLRAEEEVSHYEILKFVSQLHGIEDMSVWTTQHKEMMKAESKKGRLPENESEEMNISD
MEPYRHEPIDLSKKAIRLLHLLPGPFCNPIRCSMYQSLLEKGQCIHIEYEALSYTWGSSETSETIYIDGKRFRVTKNLHTALQHLRYEKKPRFLWVDAICIDQSNGEEQGHQVGQMGTVYSSAERTLVWLGPQSPETHFLFGLIKDFHERAVQRQLAKGQSALELWRQVWEEDMLHETRGAATYELWHARREELYRLLRQPWFSRVWVIQEVALARRAEIVCGHLSVPTSAFALIPSLMGEDVPPRAQALLDVMPGPLRKSGTSWWNAERDLCTILQKFAGSEASRPRDRIYALLSVSSDTSESRAILPDYLCGEEEAVQGVLRFLVSGDHPVPSYVQFPRWTVDQLLDRMSGGYDDQLQDEMSGGHNEGDEEDEGLGIWGQLLFWALQGRASPALAEHIAHTRLPYVNVGGPKRPDPILHVLIRHYPNSTRILRSIYTGEYGQELDLEFTYQGRTVIAYALYRGLVHVAVNLWGLGKAENRRTNRRAYLADNESADLWSRFSGEATRNYGGDSQKPLLSYCREVVNAEARFLHAPSSETREIAALLLRWWRQHNRSRPFVRSWWDHPLTDLDRLWSCTRLLNRRANRM
MTLTDLLDYLSGEIICLTVDKAPMFSRPNTHRTRPEYCNTGLKFELTRKTA
MFNKFLWGETNDETKMHWASWNLCDILGMKMESPLQDFKIYTRNSLLLRDSTQETLIPGMPCAKSKNIVDQHILWKICRERDSKLLVDCVNVLSITLWRIHDKFKELKDHMENIGFILNHSYREANKVAHALASMSFLNPGNNLYEDFTNLPFGVKGLMTMDRCGLTNFQTKPNKKQDIIWDPP
MKDLGDIHYILKMEVHRNRAQRTMMISQRKYIAELISKFNLVNSTPVMTPQVSGLALEPETKLSAEQIAAQPFDYRGIVGSLQYLVRGTRPDIANAVRELSKFISCYNRTHWEAARRVLKYLKRTSTYGLLLDGNTSDVTYEVYTDASFACQPKERKSVSGYVIQMAGTSVSWCSSKQGSVSLSTAEAELIALSE
MMTEFEMSDLGLLSYYLGIEVEQQKSRVSLRQSAYAKKILSQFKMADCNATKHPMEPKTQLHKDLEGTPVDATEYRRIVGCLRYLLHTRPDLSYSVGMASRYMERPTIMHHRMVK
SLITRFKEEISAKFEMSDLGRLTYYLGIEVFQHNEGITLVQKRYALKILEDTEMKDSNLVHTPMEVGLVLGKLEHEEDVDATRYRRNIGCLRYLLHTRPGLSHCVGMLSRFMQNPKVSHGAALKQVIRYLKGTTHLGLCFE
MGFVAFHLLGKDLYSGRVKGIGREEGSLYIFRTDSDMKSKCETQTSQKIVAEDSEEIAPDDYIPTDTQNDVTSTSLSGVPDEILHTQHKDMLLQDSNVDSMSSRKSSRLTKPPIWMKDYMTTAVGQNRLSGAKPVNTPLETNLRLTSAEFDQAAGIQGDDVLIDNSAYQRLVGKLMYATITRPDISYVVQTLSQFMQHPKRSHWEATIRVVRYLKGTVSQGIWLKAQPPTTLTCWCDSNWAACPNTRRSVTGYIVKFGDSLVSWKSKKQQTVSRSSAEAEYRSMASAVAEVTWLIGLFNELNVPIQMPITDH
MIFKKIELIKRFLLKCFRIKDLGELKYFVVGRLIYLTVTRPDIVYYVRTLSQFMQEPRKPHWDAVVRILKHIKGLLFPSIGVVVGPQEGLSQDTAFFFGNSLISWKSKKRLVVCRSSAEAEYRAMTNTC
MTKGSVIVFFFVDDTIWAYKKADQQIAKEAIEGLKSRYKMTQLGEPKWFLGIHILRDRRNRTIWLTQDAYIDKIAHKFSIQLDGKVPATPMGLEELLKSETQATKKSIEVYQQKVGSILFAAVSTRPDIAFAVSRLARHNLNPSDAMY
RTLGAKRAMQLYVESARIPASQCLEYGLVNKVIESGRLRDEAAGWAKKLAEGAPLAHKLGKQCMHFAMQNDLSSTIDLEAKLQVTASTSADSQAAITAFFNKAKPVFTGK
MLLKETVDGLDIKPDGTYVDCTLGGGGHSSYLLSQLTEGGKLIAFDQDEIAIQNAKEKFSSYGEKFIT
MEAKLDNLLTLRLTLHYLLWLSSSFQESSSRNFQCDRMESERVELLNSLVSEISKGMEQVKQLKDSCFCNSNNGVLDKMLSSHEEALFILTGRNPQQQCHSDSGISSLSDPPATASLKRKSSTGKVSGDSSVPPEDGYSWRRYGRKEILGSKYPREYYRCSLKGSTCCGAKKQIQRSDDGTVFEITYRGLHVCRQSPKS
MESDRNWEQNALISELIQGLEVARKLKEDLSSASSVDARDSHSLLQRILSSYDKALLFLRWNESVSMQQPTKTSSPQSPLSIDKTPLREDAEEDHQELKHNSKKRKMMPKWTEHIRVKIENGVEGPLEDGYSWRKYGQKDILSAKYPRSYYRCTFRKTKGCFATKQVQRSEEDHTIFDITYRGSHTCKRNDAVLPPKSPDYTQDGSLTVKTDNITAPSASFGCMAQDNYHDLFPSLVLENDPFFSTLSQTSSLSANTPESNYFVSPTFLEHEFDGVCNKPCPDSELARLVSADTSITSSPIFDFNFSLDAVGIDYPNFPFNI
MSSKVNYKSGVVLLPLIFVFIIMVLLAPGITILLSSALAFIFALLIAFKDGFFEKYLAFINLSYYEKYSAKGSSYMKKKRILQSIVFFLFAALNGFNGYRILDSYEGSNFLAVKVFIPYTILTIIFFGLLFLAFNYAKDSIIEEREEE
MITTTDQRNERDDFSGHGCKFQSVRSDACSGNGNVLYSRKRSKAHPGARSGAETILK
MKVAFVSQHFDMVLPPDQSSVGIWTYEVSRRLAADCDATVIARRPRGNPKRLEIDDVRVELLTCAPERVWGRASRMWSRAWPGAPLFAQSFYAFDFLAQALRKLRRLAPDVVHLQNFPHYAPAIRRAVPDAAILLHMHCDWLAQLDPDVMARGIAASDLVAGCSQHVVMTARERFGSTRTPFAVLPNGAPVDRLARVAARRMPAKVLFVGRVSPEKGVHTLLEAWPEVVAAHPEARLEIVGPSAALPRDLLIDLSRDPEVLGLSRFYPGGGAFRGSYEMALREMIPPQFAHTVSFTGMEAYEKVIERCAGASLLVNP
MLSPEQILAALRRDSRNHITAFHRFMDDISSVAAGCGTVGVTLNYHDSYYSGWAPALEVQSEFIHLNSVLQIQQYLDAETWEEKSLHCGGTIYRLKAEYRAAQVSN
MTPQHILRVLSQHPDNNITEFHRALNSVGGKLLGGGATGGVTLNSKEPYYTWRNPEKNEYISFNHLLAIREYLTAEPWGDMRLGGTVYRLKDDIDVDAVIEKITPKRPPPKPIVIHKPKAGQRYIGKRGIEYTIISASDRSIVYSFNGKTHQSLEPTKFMYGMELIADAA
MLRQTISKNHNCFEGSRHVDQVTAGQLYLNYLAYLFWTALLLDQIS
MEPIGCLTKGVANKPEFYGERAKQFKPVGSWLIPNWKAIHNLNPDLILGWSFPHRFYRRLFGDAAPLYLMGGTGYKAALQRLQDVAQLTGCVSQAEAAVFRFEKRLENYRSAIPNQEYRTVLFMGGSSLNCLSRKFIIETNIGTFGSIIRQFAHYPWLERRCIIVP
MLIPVPPEEIQASKKLYKKHPKSTIQKIIARNKKYKKMMSHRLTTKATLPPTSDDLEEFDERDDDPTTQAAFKFGKRVKNRNNKIPKYHSQIQKMPNRISPKAKRMKRHTDRDNTIIFQDFDEFEFIDNKKDYDLVKTHFKKYW
MFLDASFFAGGMLSDKASSTVTRDVGFWILFAINLLLMMLSFTLNLFLTVVLIRITVRDLCVSSFYTIERKGYL
MKSSRRSFLSALLGLPVAAKAILAARPRPAKVPILPEPASPVYVGLFDDREREVSGHGYARVPAGGPDEQIEFPQATGAWGTVTQMAFCDGPFGPPLWFIRFDCYGDVDEGNIVRVTVHPSC
MPSSSSSSRDKDKEKESTKTKKTTAVTKSKSKDEPDNKTPGTDDNKGKDSAVGKAASSTGNSAAMKSTSRPTNSVTASAQQPNESISSGNNLNAGGSSLTSSHPPQTPLTKVQPMTGAPNIRKDNRRNSSRFNISKNRELVKLPLMKEAAPNERETLFVQKLQQCCTVFDFQLDPLSDLKWKEIKRAALNELIDYIASNRGVVTEAVYPEGVRMVSN
MLVASFILLATIDTSAQRRGVRIVNKPRVVVNTPRPVVAAAPATNQPAHIIRRRTANGITCYGWDYVKKVYVPRVCPAS
MPIEFACPCGKSYSVDESLAGKRTKCPACKAALVVPTPPPPEEFGDFEILEDEEDEVVEDFEYAEDEPEPVAAVADKQAPKSDGFPPDEISENTIGYECGFGGTNVYRIVRVGNELLFLDAGPFFQGLNSAQSSVEGAAAGAGQGGITGGLMAGAIAGSVAALDEIKQAKAEKRAEKLDKMSAKQMRAESEEGKVSFRAKADDLSDVRFDKLSFFRDNQRPKNDCAGVLRFSHATAGDKRLYLVKMAELKKAVYLFVDILGPKAVTVNLAVKKKRKR
MMESVKKTVLYGADVVNQNVKSVLGSETVKKNKAAFVDFLSSLPKKKNEFKTEFPSARPGPARPISSGETSSFYTTNNVSVKQLFDSRKSPYVGSVIDESAPNASGSGWNRVENATKAAANGAGGALNAAANNKAGWFDWGPKKNKTEIAIVAKMNERVFKPPPPNPSHQPTSTTSSKPGQTQADQNSTFNTGEATGTLYETDVVDRT
MIKKLTILTATMFACFGVFSQQAIAQQVDASPIEQIENIVTPYNLTISQDGNNAIFSWNHGPIFFDDIESYDDFIFENIGDYILVDVDSSPTYGFGYGISFPNEGYTGSYIVINTLATTPPLSEEDWAAHSGNKFLGCFAAMSHNNNDWLVTPEVTVIPGMEFSFWARTSNYIYGYENFRVGISTGGTTPSDFTIISEGTHVNAPHTWTKFTYPLDEYVGQNIRAAINYIFYGHVFMVDDIYIGVPEKEKSRAFNGYTVYLDGEEVASGIMEETYTFQNVSVGEHTAGVKAVYTSGESEVVEIAFDHEPKFNVKFIAQRYIYGSPVKGVNIIVSNESITESKTTDINGIATFELPRGHYNWVATKLGFEDWEGTVVVTDHTEIIIIYKSIESETKVQGIKLYPNPATNTLTITRENTNNAMVEIYSNNGVIVNSFEMSETVKEISVSELNSGVYFIRVIEKEATKVQKFIKQ
MVYYYSSNLGYHFDYMKRLFGITLIILTWLFHAPISAQQHSTVFTEQVKKGKITGTLWYSDLFNSGADKKQVDSIYQDILNLPPHQNQLINIQLAYAEAVNRKGGNETAIELLDKLADTIELCSNLEKSDYYSVLGVISLASANPELALKYADKAIALMTDTTHHETYQKKLMRRGVVLNALELHEEALQQFLEAEQLNPYSKHERNKLYLKLNKAVCFLNMDKPEEAKEVFLEAVEITKTQKDHYALIRTYGNIADIYRSQDSLLKAEAYYFKAIDAAMLYEQHHDLFSFYQGLSNIYVKQGDFEKAFYAKVFSDSIDAIYNGSEVVDNITQLEVKNINYHNKLEQELQATKHKQEQLASQNEINTRNYLIIISVILTVSILLVLIIIFFRYRKTKEQNQLIAAQKRIIDKEKKATEDSINYAKEIQTQLLQKWNDFQDYFPKAELVYLPKDIVSGDFYWFNTDKEHTLIAVGDCTGHGVPGAFMTILCLNILAELEKQNLRSCTEIMNQFNEMLMQKLQGKNADNDKFGLELSLCRINRTKKELVFIGTKQRMLIVKKNGSTKELKGNKAAIGQLNQEFSEQKECIEDGDVLYIYTDGMPDQKGGINGKKLFYKPFNELLINTSKLPANERKNHLLNALEQWKGNQAQIDDITIVSIEI
MNRFREAGNTGWLSLNPLKAFCVCCKIWNHPDVLYEALQKENLASDQDLDLDDMTATGPTRCPTAPNQKPKPLENPSPIGGLSLNQLQEKANQVITYEWAKDIMCDYKPGILENSAKMVMLFHLMEESVRKGDKLLVFSQSLSTLTVIEDFLAKRPVPPSPNMSNRDQPNHNWVRNLNYYRLDGSTTPSERERLINQFNDPSNTSAWVFLLSTRAGCLGVNLIGANRVVVFDASWNPCHDAQAVCRVYRYGQRKPCHIYRLVCDFTLEKKIYDRQISKQGMSDRVVDDLNPVLTFTRREVESLLHFVEEEPDPSHVQLLPQDSMESILRKALHLYPHLVTKQPFPHESLLMDRRELKLSSAEKTAAKKGYEEEKRASVPYTRPSYAHYYPASDQSLTNIPAFSQRNWRPPPRTEEKTVANFRPVQSTPVPMMPRQVSTGSTPGHNSSGSSLGGFPVNCLQKAGVFVQKIVTTTDIVIPGTNSSTDVQARITAGESIHVIRGTKGTYIRTSDGRIFAIRAANKAKTAESTAPPKVSTNGSNTSLSLGSKQELPPKSVPRSLSLDCPEIINELGCYTGSTGAVATTGSTAQSERVVESKANNLPSPKLLQTNGSSRGSLPSANVSQLDVSVTNAIQPNDEITVAQDLRTGSKRKASSPSLDERPSKLPSGGKHSSAPLASQGFPFTGGYSLPPLGLNPSMLGGSLGHQLFAGAGSPYFQPPHTQLGDPGYMYGDLFASVKAASLVPGALPPFMLSPSMTGMAGMLPPGFPLSYSQSLASLYTGSMLPGGLPGPAATPGPAGASFLSQYPSTAASSSSSSSPSSFSPSASGSDDDNDVVEVRGQ
MRPLTPEDVPEIEELCAASFPIQFQEVVSGELISVGLFNDKKLVAMMVAEIKTILHCNPEDRDIIADTGAHVVYMLSLAVAYPYRRLGLASRLLRHLLDSVVDCPPYPKAVFLHVLSTNSPAINFYESHGFVHHTTLLKYYRLKSQYGDARTYVLYTNGSHPPFSLIDICCSIGAVLCFPIKAICRTIILMKKFLMFST
MTEEVPSSALSEVNLRLLCHDDIDTVKQLCGDWFPIEYPDSWYRDITSNKKFFSLAATYRGAIVGMIVAEIKSRTKVHKEDGDILASNFSVDTQVAYILSLGVVKEFRKHGIGSLLLESLKDHISTTAQDHCKAIYLHVLTTNNTAINFYENRDFKQHHYLPYYYSIRGVLKDGFTYVLYINGGHPPWTILYPSHLFHIGSALANLSPCSIPQRIYRQAQSLLCSLLPWSSISAKSGIEYSRAM
MNSIDCKILLALILLLLLFTYGFSLNKENIRSVTGNESHIDLISKKQITAAYKDLSLNQSNIKIRDVDFRDVSPKKQKIIIAWFTNN
MLLDFSADLRIPDGKRWTPLHSLFERKSTIVDEGTVQGPSHDLSRLLLSMHSVDGNTRDAGGSTPIFDYILSFHMVAWEKDTSLTFAFF
MSVPLGIEQSGPLAPLINLLFGGRTRRYSTRRYIRTEAESLKRRCESGTSG
MNEERLAAYVNLIQLLIDCPNGEEGTILQDHAELVDLELCLTMKMVAETLTENGQEQTAQWLLKIAEQLMMLLSSPSPDDEQSNQYSPQDYITLIQGLLEAEYEDNIEQLNALLADNRHLLNPQFAQFLKVVAAGYIEEQPEVTETIVALVGIISFRISEFSLGNPRYIQNIVIAGYEFVLGYHQEESELWAQTNYNQGNAYWKLAAIENTEENLKAAIACYHQALIVRQQQTYPQQWAMTNNNLGTAYWKLAAIENTEENLKAAIACYHQALIVYQQQTYPQEWAMTTNNLGLAYSDLAAIENTEENLKAAIACYQLALIVYQQQTYPQGWAMTNNNLGTAYSKLAAIENTEENLKAAIACYQLALIVYQQQTYPQDGATTNHNLGLTYSQLASEVQGEAQQNLYDQAVHCYRQALIAFQPKILPLDCVQTGRNFGDLGFKIGNWEWGVEGYEWAMKAVENSRSRAQTDQQRQEILAAAIGVYANAIQCYINLGNYQQALLTAERSRCRQLADLFASKDLYPNAEVPPELVAEYNSLKQKMNQLQQKPPSDTSENPSKTGQLSPETL
MKPENVEMLKNLIKETLEKMTFSDFTLGIGEEADPSGESLVFNISLRESDILIGQYGVNLRALQHILRAMARKKTEEKLKFSVDVNDYNKSKVGSLEDLAKNMAQQAINDKRPAVLRPMTAYERRIIHLALAENDEVQTESIGEGDERKVVVKPVGSLEEQSVFEQT
MKYFVGKSVEEALEKASEELNSPIEDITYEVVSETKSMLGLKKKVQIAAYTEAMVIEFVQNYLIDVIQALGLEVELSTKYEDGFIRIKISTNHNSILIGKNGRTLQALNQIVRCVANFVFKKRIRILLDINDYKEEKYAKLAALAKREAIKVSKTKITAVLDPMTADERRAIHNAIANFKNVKTVSEGEGKNRHITIVYEEN
MSDFVVDFVKTLFEKMGESPQIEAGKKFGGYYVNVKNLSDKGQYIGYDGTVLRAIQFIVNVYAHKVDKNFPTIILDIDGYKGKQYERLKTIAIEAALKAKRLREPVELRPMSAQARRIIHLTLKNYPDIWTHSVGKEPRRRVIVDIKK
MRLVGRGWIAGCIQAVGVDSMEETDGSGKAAGLDEKNRKQKINIRNRKRKKHWIRILNRVLPL
MSEHANELESLVGAMGLEREGLFEMSLAGIECVVRGLELRPLMAAALRRLAGQLSYLARQAEAHWPSSGFGALLCSAHSVRPRRVLPEEKERLREEPLHCVACGSREERCEVTLDLAGPCHAKWCDPSCFSRDWKTFCKSYARDGEPLANGLACTDMGTYPLGKTCTRKAQLSFQARTFLQECAYDIAMLVREEEARSETELDSESLYFSGPEAAAEQMVRFDQIKDAVAKDSPAPELFLDEAWWEHIDEARAEAAERAGADALAVLCDFAAVKLRSVRPQGSHPREEEESSSDSSGGSSDDETDEEEVEEEPDDRILHKRKGEKRRRRQVVEESDPEGDDETQGGTEQHQPTERACRKRGGAPTRRSARVRAREEGAEASSEPATAPAASAQKAQSRAAASEAAEWRSLPSATQAAATQRRPDAVLPARRSALSALTRLLSQLQEDGKDEDASCIAPSVSHLQQLISYVGPSQPLGGATPAPESVTRAGLVRMSHFMGRLIQQSRDEEAAVVSAALLTAQDMLCRAQQMRA
MAANRVGRRLGRASPARPAGLSPGGGRAAERTPSPGIQRRQARVTVKYDRRELQRRLDTEKWIDGRLEELYRGQEAEMPDEVNIDNLLEMAADEERAKKLQVGAGGCCPPPPRASQPGCSQGRELGSSFRGGGHCEKRTLERVGPL
MLSGAEIYCSWDGWLLMSKDMESIFFFNPFTGEQGEYPRHGMFSIAGMGFSTLPTSSDCTTLVICGHDDTGLSFIHSREMAWRYVYHINCRDFRAICNPVFLDTTFYVLGSNGNLGVFNLNIWEGHCDVLAKPARPCNSFSHGYLAE
MLTTQQKASNGTITWQHFIYEKLTDDAFKMTFEVSRDGNTWHMVDYLVFNRMSAG
SGQPRQPPQEPGRTSPQPCQPRLPLNQTAALMAGILPPGRLVELQGLPETLEAADLLGTTDGSDLAAYSPNGKKGKVVGQGEEGKIVVETFDAVVLEVTQDKLKEFTPPAVSEGGFDLAWPAEDEDMAGDFAVGAVQQLIEKGYCVVQMSVSE
MLTMGFTKTAFGSDMLFLPCPLGTFSNSSVPKHDKCTECPPGGFYSDTLAYVAEGCKQCPNGSYVPFEKKPGKSILECKACPLGTESDFFAGYRACPCLEGFYRTHLFAGCRKCEKSGGLVCQDEYASLKPGYWWKWRNESYKLRYQDFIKNLLASSPELDDSSVQYPHAIPAPYRCPVKESCKGGLDSPCEDGYEGPLCAVCSLGYYKQLESCAKCPSKTWIVAQFSIIFVIIILLVAFLLWKRKATLSKDRGQYLVDMFLSKLKILIGFYQVTHGLLTVFSYIEWPESLHVVSNYSGILQMNLLQITPIHCLSSGLRVNVFGELLLILTVNVTAIGVTGFGYIVFKTIIVKNHNLNDKEKSTKISETKRMFCKNLFFFLYVTYLGTFSKTVSVLPLACRKVCRDDEEELCNMYAKADYSLRCQGATYDYWLILAYISTAYVVALPVASLTVLWRQKRVIVSKIDNDGGFGMETIEGLRFLFENYKSEAWYWELVEMSRKVVLTSGVILVGQESRSYIGLTWVVAGMFGVLFCWVKPIKDASENLLMSASLAVTVVNLGIGAVSRIPAENVSNIVDKHKDVIAMKILILSANTLVIGLIIVQYLTFLYEYYKEWRQNPQWSVSCCLALLLPLDDLQGEVHGMAGDNLMKTQIDTGRTEKPSFAATVKDSGALSFTLCCEDVEGNDDEIRMECNEPNVEVVDYTINRCHRWTQTKVFVLPFVSNAELGSLEDKQQRYK
MCTLTLLSSIPATFWWALVSMTTVGYGDEVPVTPVGKFIASITMFAGMLILALPISVIGSNFQRVMKEVAQDTLKKNIEVVANLDVIRRMEMVDVLRGFEIIGDHIDIDPDELIALYDMNQSGALETEELDNFRHDLADLQRVIRTHQSHLVSPERAKRVERLSFGGSFKTADPSDQQLQLIEQMIETRLLESEVRMEAKITLLSKALLRLQGQLELMGD
FIDRGRIVLECSMEDFESRYVEVMVHPDHIAGAKSLKPIHERQVFGRSVLLFDGADRNQLAAIGDVRTPSIADLFVAVMSKNEGQPPSHEAMARQGSQSSEVRGEGNK
MHKASPIFDAPEFPPLRRVKPLPKRRRTSESIAHDHDSLTVLTQDAATRELIAQAASLSSRYYVPPIIGLGDEEAPSSGNDPPLDFGLGEGRGGGHDDDEQGDGDYVDHLQQPGNTKKRKEEESPDRVLGPESRSEADTPDLVPYASSPKSLEHKQKARMSRATLAGLQQKEVLKLRKRQLAPVLNSIVNGDPLSLDQALVSSYPFAKLGFGADGKLVKTPKVRLSRRGVTREARALRSLPKALPAPGTAPPPHLPDVDFTFNFRVASSDRQLVVEREVASLQKRFEAELKRQLAKAADAAKQAAAALADAARPKQPGRLQNRARLGITDGKIEQNASTADASLSGAGGAKKKKKKRSALANASNPHHLRNYVPSRLPSSGTPNNAQTNAQNYLGPPPLRFLSAMLPERAKSAAGTTPSPAPLTDPAGEWICASCEYNLFYGDDAAFRRALRQRKKILRRKRRARERAAAAASGNTRLRSERPPPEEELEAQFEPGYAEQVAAMQQQFKSKGERNKGEGRDGLEHAASGHPG
MYRGSSPIFDVPEFPSMRRVKPLPKRRRTSEIATHIENEVVLSSPGILNADAATEDLIAQADSLSTQLALQSYYMPILGGVQSLFGNDTDNRTIDFGYNLGGQEDDHGDGDYIDHLQQPGNTKKRKVPANTPLSPHGHDVGSGQSGEDEPVERGGPGDGRFDHDLMDSFSSAPSSGTSGQRRGKLTPATLAGLQHKEMLKHRKRQLAAVLGAISHGDTLALDQALTSNYPFAHMGLSADMKNEPPRVRLSRRRGPRLARAAKALINLNTSLPKSSSSISKKATFPVSDFFFVCHSATSDRLVATKEEVALLRSRFEAELARQAAKATEAAAEARRAALAAATSTRAKGNRAQQRALLGVKSRGSKKKKRSALAIASNPHHRRNYVPSRLPQSGQANPAQAALNAQNYLGPPPFRFLSAEIPSRRRKKSQAAAPSAQLTNPADEWICPFCEYELFYGNDADYRRAVRNRKKILRRRRRAERAAANVTAKVPERSVSAQDEEYDVGYEPSIADFASAAKQGRGKGDGDKGERGGDHLQSSFG
MSCRPEATAIGQTFNAITYGTVAGADAALEVLGLGAERAAGMLRYRGSELKLDVLLPGLHNLENATAAALIGLELGLDTVTVETLIARFPGVARRFERIGVTASGIRVVDDYAHNGEKLRAAITTAQAGASRVLAVFQPHGFGPARFLRPELRELLPSLLRSEDRFCYAEIFYAGGTVARDISSRALAEDIGCAYAPDHAAVRAWVAREARPGDTVLIMGARDPELPRLAREVFAALK
MISDLVESTTTSVEQLASVCWSEVSESELPVVLRRLEEVSRRVEAISAAGAAEAAGRAERAGRSVSSAATSLGKDLGLTAGQCRARIDAGRREGSPAMEAHRRGRITAGQERAIGEAVAELPEGTPDEAREDLSRHLTGLAQAGASVRALTAAAARELAKADPGRLERLEKMQQKRRELRAHRPGLDGLASMGITAEPRLQALLAAVIARFGGPGQCIAAPADPESGEQAGPEALAADDTRTAGQRAYDALCHVLALGLAVEPGASRGVAAIVVRLTPEQIDAVEAGGPGGLVGTDGGVQLSAGQAVSLAGRRSWFLSALRDGREELRRIDVDRGRVRRLASAIQRLVLYAAHGGCTHPGCEQPAAKCQGHHVTDWSRGGPTTVSNLALVCPVHHGWIGDGPGQWRTVPDPARPGMPRWIPPGTPARAA
MVISSTFSILFSHGIKILYGLVLLKLIAVYLGPDGLGELGNFMSLVTIFTVIAGGGVINGIIKYVAEYKNTPDKLYGFIYTSLVYSICFSIVVLILSIIFSNYISILIFGNDKYSSVIIFAALSQIILSLVNVIVGIVNGYRNTVLYAKITIFGYIISFPVVYFFIKYSGYVGAAKSLVVASALMILPAIIFCFRYFSLNEFKTHASLDSKYLKDISKFSLMLLVSVSTFPISEIIIRNIIIENVGLANAGIWQSLTKLSAVYMGFIGIFLNFYFMPLLSEKGNDISSINRIVIKFSCIILISFFCTSIFFYFLRSFIISLVFSKEFLVAGDFIIYQLIGDFFRVGSYIIGFIVVAKAATKLYIFAEILQCTLYVSIVYFSLKFSSGFELSTVFKSYIYVYMIYLLVCYLVYYFWYKNRRSINVINN
MAETVRSLFEYRDPHSLDSDGEGVKPAPAPRRGCGRKRKGTPMKVFVTPTEEESVSEHRFSPGVSFPPSPNCRIREVHCGNQVRLVVIAIRDITKGEEITVDYSLTEWGENLQGFRGTVSPAQHDCSSDTETNNIKKEEEPLSLTTQQQQRQQQQQEYVTPSWSLSPSSSPISHSDASDSDAGDEDNSSPRGRAIRRRKKRRGTPSKKKTPHRTPPGRPPQVSPSSGPHHNRLFPSSHSSAQSSPPCSSSSTSVSSAKPVFKPPGPLASNTTCSVTANVSRGGVSIDSMRQTCEYCGRHFRSLGRHLDKHHAHQPDVCSALVERYTQMPRLQAQNSNPASAHTHTQQRSKLSHATGQSRDSDLSPGSVQDLSMSPPTLMSGNQSPAPCSRNSTPPVLTPPRGQNAVPVSVLKRSPPPVALTQSKKGAMRKLKKERQVEEEEEGMDEDEEDVEVVEVKRPIDEDEVELVCPQSFSTKLAKEIQPPKEEEDEEEEEEEEENGSGRHHMLPLLSSLSSLVLYLRRLQHSAFLSLSRQLQSAEAWRLLCHSSLALLILYNRRRECEVSKLSISEYRARITPQCPVPVPPGAPPALTPLEASLSPFERMVLPHLPRVGVQGKRGRVQPLILPPHCEPCLELLLQTRQDVGVDPANPYVFARPYHSPATPLRGTDLLRSLARSSGTRNPRALTQTRVRRQVAILTQLLLLGEGEEPGQLGGSAVERLEHFLEREYHVTQNCAGIGQDPGLMGRVGRVVLCGERDGVLFRGMSLNHICLELDMSGNSADSYSEGESEGENVKEKPEVHAPAPALNPTPTLLYVRKGKNNGRVGRPKKLKNNQPPPPLPLDPPPANRRRGTGQPKSGKRGVLKRPWSEAERAAVEEHLTQNINELRVPAKADCERCLQQCPLLVNNHRDWRAIKFYCHNRIQLLKKNQRRESEPQPLTVC
MTEVPGTHTPDGLWAFSLAVYARPNVAPACLLLQDQLGLDVNLLLFCLYAGSRGRVLSVDECRRVDDAVRPWREHTVQPLRAVRRWLKTADMASEPGTAALRRRVQAIELDAERLQQAVIEAVLPLPPGRASAAVSAGNLHNYLASAGCHADEPQLQALARLWLGAHLDRSAADFVQPGTHHLPHPSAA
MGGIVWNEWARLMCLTSSFVIFVGGMMGVFQPLPAFSVLGVLGIMVWVMEYPLILSDYFNGPRSYMPKVAFYIPVAVLSLLEAQSLNGGVYLAIGTIAYMMAVRADFLKQASASGSFGRRMP
MVWVAAECVLSILNIQFMLWTLLLGISNGDCSTAKYSCVDAAECLNATQGAHTCKCLLGYEGSGYSNGTGCTGMISTLFKFRIAGSVFQSLPLRFPSLFDDENGCTDVDECSQEDLNEWIEPSREKHLPLERRWQSVIETPDGISYVHHEASHSISHRDIRLSNILLDDTFNLKVTDADFSISAPFFLWQRSNQFAEKYDAYIFGVVLVELLSGIKPSLSGQGNMYTLYDHFLSAINSGHLNEILNLRVMDAEDQVQMENVTNLAKVCLYEEGRARPSMREVVKELAWIRATSKHAGGWFHTGNT
MLHFIVFGSYLAFRNCLPNFHCIGGLLCNPSKIHQGVLVMMRQSALVILVVVMLVVVGVGAAKADVIYSNFNSGPGDLFDTSYNSLLVAPTQSIGMQFTVSGGDYYLSTIDLPLWYDLIYDPSHQITISIADNSQRHLDSETTYEVPGNVLESISLNEGAISGSHLVTLTSSSSPLLKNGSSYWVVATGVAATGGQANWNINNIGATGYAYTTSTWTNENCWNRYFNATSPALRVSGVAAAVPEPSSLIALFAGIGTMSGVIFHRRRRQ
MPAEKKQKPSRHGTLCENDTVDAVAVDTIQVLQHYTTEGTKDYFGEAGKLPPEAAQMHSPRYVVYHTFRDEKDDTDWIGLKLSSNSTKGSPPAVPLVPGEYKIELKLPKDLNRNVAYDTAGAIIGGISTLGAQDEEKANEAFARGLPTSYVDVQVIAGAKKYAVLSTRGILDLGLHSSFVRSFCVQPHDGDVTLQVKMHSHTVLKRLKVEAKLYRRDFHAKEMDAAEARRRATAKRGKAILHQALAAAADTPRQRLDPDVDIRHVVESFYGDMAPERLPNLDFVFRHFAGRDDDLINTIEAKYGVRFDRRGNYINR
MHPLLFTCKYVLFVKWMCFAIHTTRSVRELFFTTDYKQTIFSLAEIVGTGWRMLLTICRLLQLVVL
MNFAVESGYIQSNPVSRLSLRKPNRERGEHNTDEIIRYLTSQELDILYDLLKKSNARLETIVRLLHRSGARIGELLGLELAQIFQEQRKFQVVGKGNKKRWCFYSEDAEIALKNYIKYYRHPGSTALFTAQHPTTKVVCNTSKL
MAFQMSILACRFKIPSSIGALTHCRGISCIKEFGPKSNPNLNSNVSAANLAPKSVWNPSVRKPTCSVFTRRFYYPTLHSGVADSGTSAAKFSTNSSATRSFQAGSVGKSSRRKNWSVAKGCFVLVSALGLTTVVASLHSSPLCAMATGSDSINLDSSNTDWKEAKKFMLTASQQERQALYWTADFLSLDQVPIWTPSGQPTGDQSHPANEVLNPKISLFRGDITKLEVDAIVNAGKNDYHIVQSYW
MIFSLHKLGSAIAPVTAIAIGLTGLTLPAQAYPAWTTQDDYEFVRSFTASFQAQMGYAPSDSLVAWSLNCVKTNYTQSVVSGINPSTAVNTAIANCGRALQTVVGNGNGGTGRGSTIYNSSGDSSLSSDSNGCLYFSSGSFSNSTSYSTC
MTDRGPDSPGVVARVLGLVEAGRAVLCMGNHDEMLINAVLDAKGFVLWHSNGGDVQQWPDWAALAADAEWLKANALPWYIDDPVLFSQAMRPNEADPDAHLWGRPTDTPVYPLPEGVTVNVHGHTPMYDPFPIGMIDGTVLWFIDTGAVWTEKLCAFDCETLKPTVITLEAPAHPASEAVSTKPEKRGDRRQTRRQRKARRP
MAKKMVLNPNEKVVAAIRKRLEITGGYCPCISGQNEDTICPCKKFREKQECCCTLYVEEEI
MPLRAMGQVDYGENVKIGWRGEWGGLPEREGGEEGQRICNSKRGSLTPKEPLQELLRTFSTFDAGMASEEPISVARVQWWDDKTMQVLCPWCSESHHHGFTGIYEGQLRVAHCGVPRTETSPTPTYHVQFAISPPLLGYEIDKERFRFISGNGLVDQEDLVPSDQIERLRRSFRERVDKRAKWTSATEKVTIFEDFSIEVITRVVSEMVRGNVKYVRNYIETSSEAHIFLHGVESWVGLPSDLSVSDDDEAHFTQPDDCTSGETALHMAAAEQYPEMIRLLVTKGADVNAADVNGRTPLMEAAFWGRLENVQILLHHGADKSLQCICDGSLQLAADFAKPTKRNARARRMRAGGLTGEPIYKEDSYTRDLDREHIVRLLDDEAPSHQPPQLEGFAFEHGSRQSHLFVSLTTQFSLPSEWKTVASLHRGQGFTDVAAMSGWGHGETEVIHIAGREWTTEVLELCALLEFELRPHTYDHRVVGQWEACHAEKQLIAYFVNRHVFLETEIRGPGDKDLEITDLLEQFTMGALTEEMVAQRRLEEKQKEEKRLKFCIPCNLVVLIGQRLGRSGPPSPLPCSLESADWEAYQSTALLYKTAVI
MGVCHREVVFKNQRPSFWEIAQRVSLQTGIVAVYNEQDWSLTNSEDRKTAFGFIIGNQIMLTNWGPQTYLLGVTLHTLVKMGGNYDSKIAGWTARKWQDVAKKVKALPKHDHPD
MRLGYACMNTELKTVFRTLRLATVEAEGTAKIKELTLKNMQTTLEIIQWNIENNIFFYRASSSMIPLSTHPVNDWIWWEDPDFLAIAEQIRLLVEASQMRVSMHPGQYTVLNSPKPEVVKKSIEDLEYHDRLIQLLGGNDLILHTGGAYGDKESAKARFAENYLNLSNSIKRRLRLENDDKTFTVRDVLDVSKMCGVPVCFDIHHHNCNNDGKPVDFAEILATWEGFGIPKIHISTGKEGFTDLRHHELVSEADFNELLLLLGDTDADIMFEAKLKEQAVLPFVKQLAQK
MRSKAFTRLSVMVQRVSHHVVDFWRELCALLEGRHVYPIENAFRSNVDPNNVPLASLVAQEENVDVNFIKNNNFNNNAYRNNSGNNYRPYPSTNGNGYGNSYGNSYNNNRSVPSGLEAMLKEFISTQTAFNKSVEEKLDKIDTIASRVDRLASDVNLLKLKVMPNNDLDNKITTTANAIQSIFWASCPPSLNHTARTAVRKVAARKTLKRQNPTPAPENLHGYDLSSLLTFDPESIEPAASKASEESGPSATHGQLQHLTALLSSSIETLVEDTEEVKSILEDIQTHLPVTLQVKLWPVVTRRYRSRVKSARQRIGLHHAQLPLKADIEDNCQRLNEKKAALDAKTDTSVSTAELETLRKELEDLEERLVTGQDEDDEAEIAEVDRVRVTALRALEAFLR
MTIDQSQHQQSEYYDASSVIVSQSGYISISSNLKDHEIENAKAAKKIRRGSSLKNAMSSMSNWLPDLHLSKRHRSHSLPGGVRREDLDGPQEQQQQQQQQQQQQRPATDVNTTRGRGRGQAVRKKKKHTLVSTVSGILQKAKRRSHQSQSLSDPEQSETEWSSGRQSGLSEDEDSVMSDVNQEPPFFAKVKTASTKRKPISQPIPQQQQLPAQFTQQQKDYIQQQQHQQNLQQQQQLLQQQQQALQQQIQQHQEQLQQQALQDGWAKEKDQRKEIDHDVDQMGGFEEETSGKSTGSGSGGSSIFQTVGDIKRSTGSSDEAPNEKAPKLPPVTLMGGASMEFAVSRALGKYRQRQSSTVSDEQPPSEESLNDKKSEEGTPQTLETSFEYPEDMSEKSEKSEKSSSTRLPELVTSISEEAPPSEGSPSASSTRGLSTGTRFLPRHQQSLEIPWTGARAGELEEDNRSTHSYRSTSRVSSRRQSTEDSIDSEDEWYRYELRKLEEMERQSQIEVEMGEVLVEEPEEADHYQPDNAVKEKMSFVLKELKLKASTITNEAKQDREEVRSAKMNGTIAKDRRIDERDRYRDEKPIPKRKSAESIEQVFARVTDYNTWAQEEEIRQEKPPSSMEEGSSGETSGPDSPVQSMDEEEEDEMQKDIDEQQSRRSSSGSTLRHGEKIHPGSESVSREDSVSVPPSEVSVSIPGAWESESTVLEGLERDGAGSAETATTATLSLPKIKIDTSSCGSSDTTLKEGQVSPGPPGSKWKLLKALKERKAEEKLKEVEEASKETAIAQGPTAVSIMIK
MSVDKSKRTPFFSVFKYKCTFPGCNLEFRRKDRLDTHEFTHSGVKKFKCTEPNCHKEYVTNSHLQRHKRTAHVEGKKALYCPHESCAQIFGSKATVKEHCNLVHSEKPREFECETCNEKFRRKTQLKQHMFSHTGAYWYTCDKCGKGFLLLSRLRRHAESHRTRQCDHCDLTFDKWSLLMAHKHKAHLNSDLKCSVCHREFHSNRVLKQHCKTHTDLDERTVFPCPFEGCAQSFLQKRNMLAHYKSKHENRKFVCTYDGCTMELSTKQKLDFHIKVIHSGEAGQSNSKKKGRRAERKDKGMQKISTASKLFNIILPPTLEQVIISGQGKNIHIEYDQIEGEFDDNSHEKSGSLEMSPLINTNKITAEAVKC
MGRGTSESESEISNYGESFEFNGKNKIEIKRKFYESKKHKCDYEGCEKSFYRPSKLEAHRKLHKNERPFLCKSEGCSKAYTNSSHLKRHAQTCHSVVASSTSIRCPEPGCNMLLKTKQFLNRHIKRRHAEFPYKCSECSEKFKRSRNLKEHLFIHTGVHPYCCETCSRGFEKMYDLKRHQRSHRSYTCKLQSCSEIFTSMSQYRKHMAKIHKPDYVCKVCDKKFTTRGNLTTHLWVQHSSTTLKCRVEGCEQSFNHEKYLKQHVEHVHDRLHEYVCPVEGCDKILHWKRSLFTHVTHHNTTRIKKPRKKQKERKDKGKPKISVAAELTGIKLSSDSHQKLILKETVDTDTETLSIISASSEVLNTINPRENLDTLCAVDVPLNLSQC
HMAPKQRVLIDYYCHKATLPSQQSHHR
MNGNKLLSCYAGEKIDFSEAYLSYAPRSQLYIDKQIPLPTWGTFFVEIGRRIAECETGSNRLVIALAVPTRTCAAALAAFGVVLARADISHNQAEIREYFDKLCSLKKGTPIIYRESKKIRKGSYCGFYNENGQSLITVRLPTEHGDMICKVPPQKAHKIEPLASKDKNITPRITGRCKSFDFSGKAFLDACIGSVDSLNFYKKSRLDCVILGRLNLLKQEIKERPFGCCPSQAVYTEGKLQDVLRVREWLGEGQAYRSAVLSVDGRKSPQTQNSSVPYVTIFDGASGFLXKMARLLA
SSWRRVKVYWSVEACKTFPPCVKELSLKQVGVNSCEPFMSLADIYFPPQSSSPHISTSLGAYCTVKEIPSMKTTPIPLLTPAVSNDCYDTVTLEGERWK
MKKNKLFTALAAATLLISTGASIAQTTNSTVVQAATKTTKKTATTKRYTVTFKRKPAALYNLVISKDKKTSSVKKIKSKKIIKTIGFKKGSKSTVLNGIITTPNAKKPQYYWMGRIKYGKKNYLLCVKSSDVTVKSKKIKNLYTSSAIKNNIQIPVKTPTMQEYLDTQAKSFTATIKDDTQSLFTPTATNDGKTSFEQYKDASGNAVKWAKNKQFKIYAKINLDLSSKDNPSQTASVPFYVTIVIENNTFKYIFVPAELVDLQSGVQTADIPEFTTFASTLKDNFTKWGTDNINKQRTDYLNQLKAKQEQAKKEAAAKKANAKKSKKKSTKKTKKTTKKTTKAKKTAKK
MSERFDLAADLAAGVRDKDSAWTFIRRFAEAWMTPLRDGDGYTAEELNAVEQRLGLKLPDALREAYMLFGKRADLCGTLHFLQPPDELRVDEDSGLLLYQHENQGVWERYIRVADLDMDDPPTVQSSDCDHDEHQGL
MPGMTLDLARDLPAALTDRAAAWRLITDLAAFWGAPLRPGDGHTDAELDAAEARLGLRLPAALREAHRLLGNRPDLTGNHDTLLPPAELYVDRGHLVHRVENQGCAYWGVPLAALGQDDPPTAAWPDAADPETTPWTARFSTECLAVVLTELLLDQNAYTDAGDVDPADLTGWTALPPLYEPGVDTRFYARPNALLVVHDGGWLAMRAVSEEALDALCEELPVSWLDH
MPSKLITLPFRVYTLIGKRVVQIAFLFKLTIIYLDRFTSIITKKFMIWLFSRVRHYLTITLRSPADKRGFFYLNNDFIKIPTCLFSLMTCSITPSKAYNIKN
MILASRVTALSTAAATVPRRSISTLLSHQRPRALNQQFFCHCPPLLVVWGVCLFQNFAATAHAFSTMSVTERSNDGTIVVSPKNEADQSALVVISHGLGDTAEGFADVAEMLSKEMPY
MADLLSRNSVHGCSTKLVGPEGNVIGVDTTLEQIEFAKSYVEYHREAYGYNESNVHFIHGNIEFLDLLNLPFKR
MTIVGVTALIINYFAYKQASLKRSFFNLLFLLSFSYLVDFWYYLIPDITIDAYLIRLLLNVFGIVFIAAGVSIYLRLNVVLHPVDELLQVFRLQVFRGNVVKAQVTSLSIPLIGSLIFWWLSGNLYAVNVATLVSFFFMGWFIVFFDKYLFPSIKLNAKITTTPN
MVDSTNFVSGMKRQVGWFVMLGIGALILVVLIVSVRSNVFSKKFYLFVEPPSASSFYEGQSVKFQGFAIGHIDQIELQREGQVRISLRLLERYHHMIHQGAVIQFIKEGLLGEQVVELTRGDITKPMLESGAILDYETKASLEELLTDLKPAVGNANVLLRELASLSLWMNDPNSDLRVGMASLREMTSSIDGKTLQVAIQTFTQALEQLGNVAEGLDEQKVAXRLADTLSLTTDILKNIKPLSKSLGEHGPETMQKTNELLAHVNELSSALNLVAADLLEVTPELPGLARDSRATLKEMEALLKKLQDSWLLGSGSPKKQTQESFEMAPPVLDMKP
MIELLLSLTLECRLSAVYVRCHSTLPKISTNALGHNAIMFLSNFQSSSSYELKLSRYFFMTLMVKNNRTDNVMIEVCEIIQTTCFEHLTFPVKKKLLPYVSQTLFSCLTRKLPLNVRLNSMIRAFLSLINESESHINMRGKEKCC
MKLGSALKLVVDAATVKGSLSTLARSRDAPQLRLVPQRSGGTECQLTQPVQPPHPANDTGMDFYDAVVGCNPRTATTLQSGSRERLSWLPRLPPGCSPALLLQSLAEVNGPTMLTGAAMSAHTSLEATATTPWKNLGLVGDETLAVALNGLRNAMEPNWQSCDHHCAEQRVQQIQHWAPVATELASRDGGAGAGV
AYNNINGVDTIDDAKSFIEDLLCSYIKECPTLGTWSIMVDTNDGHTVELRWSCDSTEEYDEFMDTFVDNERIYDKNASNHLIEAFEVGEAKWTLASTTDEEYGDISKAWFSDHIERIIKRLPEEFTEEEKQRAISAKALLSEY
MKTTIDGVEFFSDRNDSRALVAYNTPEGAETLEDAKFIAEKRLESYIEQEPENGYWSVEIESKDGYRVEVTWSFEGCSSDGDEFITTYVNGERLCDKNLADNMRLAYRIGDAKELLSSIDDEEWQEIGIAWFNERIADFAARYPDRFTQEQRQEVKRISDVIKQA
MASCEGRYVYLISSQSTKEPDFIVTFFGKKRMSISRIERSADFKEYFVERIIRDELIHQRSYIGRRIMLHTCDMMRVDGFLKVHXXXXRMSISRIERSADFREYFVERFIRDELIHQRSYIGRRIMLHTCDMLREDGFLKRGGNESQSTNDRAIPTQHLHLPCTSLENRFLRALSTTGEALGAPVPQSSQSARRLGTLPCRDSRPPLFFFISQTSKRLAEDAPSPPKQNTLQLGIVCLCHATIDLIHALKPHSLI
MPKGIIAVAEGLTNVGVLLEREGYAVVGIDGNLGRVDAVVTSGVDTDMSGVSAIFTKAPVIDVAGKSPREVLQAVEETIAKKRL
MLVRINELPDDMLEFEARGEVTGADYRSVLIPAVEELLARQIKIRFLYHLGQEFTGFEAKAIWEDAKVGLQHLAAWERVAVVTDVGWIATAVKGFGFFMPGQVRIFSNDDLKHARRWLSE
MDLMERRGSGLRKILESYEHEHKYKKELKPEFISTQSSFVVILKNLNYDLGNEPQKNSKQDKFEKLKEIIVYEPHVTMAKISKMLGVSEATIKRDISQLRKMGEIEYVGSSRMGIS
MTDDTSETWFQPESSELPVEITICLDGSYNLGYLVLKEAVCYSQRVEKFEIFVKEGEIWNSIYTGTVIGYKKIISVKGQKAQKVKIVLHDFRVLPLLSFVGIYPESL
MEQGLMLVKDEKVDLTKGNPGLKNVNVGMGWDVSGGASSYDLDAFAFALTANFKLASNNDVLFFGNKSILGGKIKHSGDNLTGAGDGDDETINIKLSELPDNVEAIILGVNIYQAEQKRQRFGMVKNAFIRLYDADSKQELMRYDLSEDGGSATGFVLGKMYKHNGEWKFQSESFPSNGDINQIAAQYR
MAKHMAKDLRLAVEAAAETHAPSPMLAVLEPLYRKLAEAGLGEADFTAVVKALGCASP
MGILYEGWNALVATSEVKFLNPKATDGHSQSRLVKGAEGGEPFAEKLWRGLFRGAAKAVTVTAAYALMLITMTFNVGLFFAVVFGLAVGTALFSDIHRAALKHAVLNETNREELCC
MFTPLKCLAVGSTTTVASAKQFLERVKHLKLEPNESMVSFDVVSLFTSIPQQLAIGVVGQILSVRYEEIDKPLRSDHMLELLRHCLKTYFIFGGQMS
MAPLDERCPFINRTCTKKFRDSSVSGVCSVLTGAESEPVIICPNRLYGEDYAVLGHVANLAFGEGHRIIHPNEFTTVRHDGRNVVAFGHHFGKELRLPGQGRRGNDFVDWVLARISHSGDLSDFVAVEVQTIDTTGTYYPEVVELRQGNNRINRSKAGLNWRNVIKRILPQIIYKGHVLRREPLCSKGLFFICPSAVYSRIIENLGNNLLPYNNLQPGSITFMWYALSGPEEGAFKLHHEGTFSTTVDQIALAFTSPSNLPPQGVYEEAINAALGQL
MKKIKECIKWSARTATAAFVLSMSLSVTAGFAEQTRDDFPSAEPNLKDDLSKLLSIDHRITAAKASLEAARSGNEQAFSVFLPRVSLSSDAGKEVIDSPSTRSSGLDEQRETREKATLTISQNLFSGGANTASYDSSGKQVDLAELGLATTENSILLEGLIAYFSLERDKNLFDLASRAEAFTKKQLKLENEKVGKGKGIALDVLQAKGRLQLAAQRRIQFQGQLDVSTTQFTYLFRFRPVLPAGAKTEFEMPSIPSSLDEALKIALENSPALAAAQTTADVTKIGISSAEAGYWPKLDLEATVNYERDAGGVEGIRRDWSVLLKASWTLFDGFGTYHGVKSARSNHAASISQFDASKRQVKTELISSFQRFKIAEQNKDLMQNGLRIAEEIVSAQERMEKAGKETALNTLDAQSQLIDAKINYTSAFYDHLLGGLSVMTTMGILTPENLGVAKQ
SSTISMASFGKVAALLLLLLSFCGASMGNFWTDVDITWGGSRAAITNNGQQLQLSMDRTSGSGFQSKNEYLFGRFDMKIKLVPGNSAGTVTAYYVSRRFPRLILRCYVYLYCLFLIISFFCDIIEQLSSQGPTHDEIDMEFLGNETGQPYTLHTNVYTQGKGNREQQFRLWFDPTADFHTYTILWNPRLV
MLTRRLLLTATVLAPVARAAQSVGLVGGGAGVEPAAPWTSGPAAVATPAAATGSRATPAVQLRFPRPTGPFHVGTTELHLVDAGRTDPWVTGRARELMVSVWYPAVGVGERAVYLPRGTAEV
MFFYRLKSLDLVCMKKLDSKVNIIPIIAKADTISKTELQKFKSKIISELHSNGVEIYQFPTDDESVAETNTQMNSHVPFAVVGSTDFVRIGNKMMRSRQYPWGTVQVENESHCDFVKLREMLIRTNMEDMREKTHCRHYELYRKKRLEQMGFCDVDSDNKPVSFQQTFEAKRNNHMQELQHKEHEMRQMFLIRVKEKEAELKEKEKELHDKFDKLNRDHTDSKKKYEEGRKKFEDEIVEFNRRKTQFAQLGSSHHTLTLGKSKKNKQ
MAVLRPMNPTPMVFNPWSGPVRRAGSVSSGSQTPQPRYPGVRVLPPSGSSTPQPGMLDTETCPSCGNAYMPDAIFCRHCGQKRQVEIYSAFSGPVSPAHGVYVNDPPLYSTTFSGPGTAYAGEASVYNALYSGSVSPAAGTTVYANEAAPVYNATYSGSVTPVAGGTTVYANEAAPVYNATYSGSVTPVAGGTTVYANEAAPVYNATYSGSVTPVAGGATALASGPAVPVFPAAYSGSAICRVGATANAPCGGLRARGILEPTAYAAPSVSIPVSSCEVPPATAGLSASNGCTSMRLSSYTPLPMQATAHSLPGTVTPVPPVTPVPMPRASVGSLSVSSLPTSALAADIPTPVEPQLPASLTAGLPDPSSIERQKSSYARGLEEQLRHGTDVLAQQLKQQSDYLFAMGDQRKRQYALQVDQEIKQREMELAQQHNEQLLLLQQAAQQQKSALEHQANALLLEYNQKKAQEDLAYQQYQFQKRQYETQLQYNEEMKELQVQQNAAEQQVAHQRAYIAQQAVQATQQAAVTARQVRLSGPPGSFTAPSFARCMYEAHEALQRRMLRLSCQCRAHHPCQPHTGKLPYPDNEWAWAAQAACLSSWGNLGFQVAVDSQLCQLV
MAPKSPRRRAGPAVNVIQLLRPVTMKMNFTAEDDFVLRSKMTRELRASPCELLLLRCIEVVEIGHRRQSELLIPALIELAQIYEDLRLYNRSLLLVRRCLGILSVVYDYDHPWIIQLRRRADFIAECLDEDNKVNMATKIQATWKMYKAMRLLEGAIGRPVVRHVWSSTTYRNQANDTKFLNEFVNDLPEGTVLYGTGEPDEVLDTGDGVDEEKGVRGGERSVSDRPLPLTVLRSEGSRGPSTESKMERPLSSLRRSTRVMEGAPLPLAAIGGPYEEFPVAAEYLPTHGEGRIPANAARIPNGRTAGATRDMQTDTHLQRTAHGDVLTVRTTTVTRTAMEREVGSNVEYPIDKEEEYVAGHDARETEEGVGSPSRSNREFDLSK
VRRVVCKVAYGGRRVDALKAEATIYTEKLRHLQGMVVPTVYGFYVGKTNEGHTGILVLQ
MNRRLLVISISVASIAIFAFAAFYTRPADVPARGADQSGTDSPGAATLVRFHSPVIGQFDAPVTVVEFFDPSCEGCRAFYPYVKQILSKYPNEVRVVMRYVLFHKGSEETVRMLEAARRQGLFEPVLEAILKAQPEWHDDPEVAAAWRAAVQAGLDEKKARGDMHDPAISALIRADEADANAAGIKGTPTFFVNGTRLGKLTPQDLSAAIEHARQGSKK
MVPLDPWTVLHPATYHDGGVAFLPIAVLVIGVALIAFGAWQLYGAAPRSRADGGEDSTDAPAER
MRPLTVTTFLTLDGVAQAPGGPEEDTSGGFPYGGWLVPFADEAFGQQMDAWFRGAEDFLLGRTTYEIFAAHWPHVDPTGDPVAQRCRRRPSTWPRGR
MSTLTVIEYVSVDGVAQAPGHAGEDTDGGFAHGGWAGPQLADHREYGTTLYQNAGAFIFGRRTYELWQPHWSAVTDPGDRIAAALNDRPKHVVSTTLTEVT
MRIELALDAVADAAYDRSYFHKARGRIWRGLENDDTFSETHDSNHGVGFSYSNIFPWGQIEEGDRRYFRIASPRRGLLDTLIAHFGRERAFEIGQMRFTIDDITGHAPDVGEAGSTGVLETGTGVHCALGRQLAEEYNLDTSKIDAGESETELFWRPKHGMEPLQDAIRRSLQQTHELYGDDYYDGPGEVDEPLFDRIEPIKDDVVYPVRFQPATAVDRTVLLSKWRFGYRVRDETHRYHLNLALDSGIGQRREHGFGFLNLTDKTLPRASD
MKDVKNKVELVLCYILKTLVVDDASVFVAEENRLPNMVTATITKEGEKYKIIVTEIAPSHGYMMNIFHDGESQGIESFIVDYGSDLQIKENDLKFPLDFQEYCKKNDTSKACGMIYQKFSNKKLVMKAPKEVRSTEISEIRSSMGMSPPVVNPSHVKSNPNDMPKFDDEYEVGTDGRLGPIDRYPGLNLPEKHPSNYGNPDLYPMGQRDPFNFNDINTRGGMTFDPFGTNNQSNMQGDKNEQARRGPGWIPGSRYDDPFGKRNDDMNPGSGAGFGSGLGPGSGSGSGLGFGGGMFM
KNFIHYYTAEDNFKYDGKPLVTVRNKNRFYVPEILREELLLRYHKHPLLGSHFGYQKCAEYFQRIFYWPEMIKDMKSVWENCSECLANKEQPNRKIAVVKKHLERPNEIFKTLSIDYGVIEEGKYVLAVVDEFTKFAQVAITTNQGALTTTTELMKMFCNFGFPQTIRSDNGPTFKSTKFVAFLKELGIQHLTSSAHHHKGNSIAERFIRTIRERLRFYDVSNNTIYMCVFAHNNMKHTTTNMSPMSLILNTKPHPITGSPIHAKLSGMLDLFKTTTPQEQVTNANLLQEGEKVWKRIINTRTTKQKNTPQYDGPYIVVKHIYGDTYVIRKITGKGRMTGQELKVNAEMLKKESNSN
MNSSLKLIIITTIALITLSYLAPYIITAEASSLNTKEFIVVDIQWVTSKGEKAIAPGDVVSLTITLKYCGDSQVSGVIARLALPQHIVPYGGSEAIASYGGLISKSSPIVQLHYQLMVLSNTPIGVYHLTLTLDYDELNISSNSWMHKTETHDLVMFIVGRPKLNIAIVNKSLIAGSQKVTLSLVNIGEKTAWDVVVEVTSTSALILNDTIRINSIEPGDKEYVNVWLYVPSSLKGSTLT
MAANVVDKKSIDNAKAKIFAGSKTRGFIILGYAPGSMTNIVLQTQMEDGTVENLVSKLDDSQVQYALIRIPDKKDLTDTVRDVMVAWAGPKVKAVEKSKKKTHTGYMKEVLAPFHADLEAISKANFTEAVLKDRSNPLSGSHVID
MLAESIGVEEKDRSEETSPSGDAVEEAEPVEESTEEAVRAFRNQLLRQKTPGIKLELIRGMPPFCRSPSYETIETNI
MVCQFTDVDQTFHASGEANETTKIRDFGHDTGVHRTGRELTFGGVPRVFEEVTIRQTDLSGLLVDLVDFDFDLLTFFDHIARVFDPFPAQFADVDQTVESAEVDKRAEVPDAANGAFEDVSCFDLFELFLAADFAFAFQDRTPADDEASAFGVGFGDQADHFLIDKLREVLDAVLGHLTDRHETADRSDLAFQSAGVVPRHEHLDDGAFIDVIPIADFDRCAGQRAGIQPFFPVESANQNFHVGSRSRLFFGELFERDRTFVRPAKRDEHGVFFDFQNLRLHPRSFFERFASIGQTRRAAVERVQIRIGERRVEFRLQVIGQTVADVRHADLAGLGHFDLASLRHVLVRLTGEPWTRRLFLAAVFASRFPAGFRLRTLEIFRRNGSRRLRFGDAESACCQRAAADSRQRSL
MSIGEQKQGAPQGIRGKLPAIHIPATMNRYKIHVRMNMAVSPSPPPKTGRPWGLVLGDALALLLFVLIGRRSHALLVADPIALALTAGPFLAGWFAVSPWFGLFRPDVSRNWRRLLLRLPVASVVGGALALVLRAWLRGQAVSGIPVSFVVAGLGFSTLFLLVWRLGYVWWAGRQANRRQAA
MAAAKPVQITVPKNISIVRGIMTPPKSAPSSDRKRKFKTLVSPVGAKAGGFSLFSPPSSPSKILKSPTSSSPSRKKPNLTIQQGANQNNKIFRYLQPKRLLPAFDSQSEPVPVICNNNNNIEDKENASLELKVSLVRLDDNVLAKEHNYCIAHRSPKKFCCNAASEYVTQALEKIVINDTLDPCKRLGIAVPPCVLTQSCCHDKLQAADSSSSHLDNLLFTMIDADLEVAMIRACYRHLSAKVYPSPTVIHSVFKIMKEIPTKEVVCLGKSYLKRVLHLFPPCSPHLRKHYLSVLHSGDTKLAHQSWSARSTDFFQEGLNEVESLVESLLNPEQLKQKNNIPGIRRIVKPRRYRDSPSPVKRSTRRQSSMQDDEASSNSSSDDTERIKREMQNYSSVIVKKKQARIELLNSMSNEEKIDHVFSRFEILLDILEMDLILWLSRNADHTKISDREICPLVILALWRPESDTGFDSINCRRIFSMYASSWVVKLRPDHLKTLARLIGLIAEVTSASEPNSSNAHPYTGDCCANLSRKLSDALKNSDLSEVRDKAVKMLKPNWLQMQVSANLLGISPSLTSVDMKTLLEPMTDTTTHLWAHFLDAVMEFYHTKYAVVLLTQGRLGCFQEDSIRKNYINSKQCDINYKALLQEISAYCELYNHINLSCMNNALIRIFAL
MEIDKESKMIHFVNYMGKTYDYRYDLLLTAKLTDPNNKFEKKHGFFSIEVKYLVFDENDINIKTAQFNYLENAAELVSLISQGELSIGQIKDNLLPQA
MSTLRTTAFQLASLALFIGSATQAMAEDITFVSQGGAYQEAQSKAILEPAAKKLGLTLKQDSSPKAYPIIKTQVESGKVTWDVVDLPTGDCIRGEAEGLFEKLDLALIPNAAQIPDSLKDEYSVGYISYSTVLAYRTDAFKGADVPKTWADFWDTKKNGSCEILR
MVKSKKGIEHEVLNYGHPDDGKIRVAYIPPTQDEDEVFNVSRGEFKAADEYALVIYIGEENDIILSLRGIGLIFRQADYMKNWMN
KTPSEWSDITYLQVSEAGGQPILKQYKSLSSALSAVYPEYCWDNVPMRMVKNYWSKKENQRRFLEEFAKQNNIQSPSDWSSITTLKVIEAGGKSIFNQYPNLFTALQTLFP
LEKALEEVEGLERTLAGLEAQHGVVGHLAEVASGENRLRMSLERYVLAALLDEVLEAASRRLAVMSQGRYRLQRVLEPADRRQRTGGLDLEVYDAYTGTTRSTATLSGGEGFLASLSLALGLAEVVEARAGGVRLDTVFVDEGFGSLDPEALDLALRALVDLQQGGRLVGIISHVPELRERVEARLEVVRGREGSTARFVVG
MKVLSYLKIFVFILLLFGCFTGKGQSDSALKKKSDSLEMEIRQSRSINQEKKLIVELLKTNAYRNSKFSIQYAEKILKQNRGQVDSLYLTKVYLYYGVSLIADNQYEKADRVHKEGILFAEKIHNKEVLNDLVLLKVNEATLFGEMGYRSTQLQKMIDILPLIHQLKDDTIAYGMYTNFGLAYYELREYKRALEYLYLARPLINKNTVRYHERGYNEILLASVYKRLGQRDSVIKYTDLAEKQINESLTPGYLARFYTLKGLSFLYKNNPNEALKYINKGKEQALKINVSKEEQYATLAEIDYYTYSKNWNKAIYLLEMLLTNKNETLKEDALVKLGEVYEKSGNYKKSIETYRKLAAHLVDEKKGKESLHFQELDYIYKFKDKVLENERLKASNEKSLVTSQRNQLFIAVLLILLVIILIVIIFRNKTQLRERLMNEQKLKLMQANLEEEKQKYLIDEMTLLRKVEDKERNRIANDLHDSIGGLLSSIKILIYHFKEQNLLEPNTIKNADQILDYINESKQELNRIVYNLTPLVVERFGLIEAIKQYCKKIQSDRLKIQLQLVSFPPNLSTDSEITLYRVVQEAVQNIFKHADATEILIQIQTTRKGVVVITIEDNGIGMDVDKINLNTGLGIKSLYSRVHHLKGSIKFVSKPNGGTSLYIICKPN
MLLAVRLDNSDLLGGIFLQHAFYIAAVSIAGGSVVEPIIPLHAHPNVFWHYEQMHDAAAFAGDDFISERLGALCAFRAMVGEQYSFCG
MILEDSLDGVGGPPSVSSIGDTGPSLSTPASSKKSSKPGKKLVTGYILYSGDIRKGIASSNPDKGFGEVSRMVGNEWRKLPTSEKIAYEEKAARLNEETTAKFAEQEQQQQQLMLQQQGGGGMVGGVGAGGVGKVGGGETCAVFECCWDNCDHQFEDMVDCIEHAVADSSGHVQQFFAAIPPSDVEFQCQWKTCIRMKKQCAPFPSLHRLARHVKEVHIMKSTGRVIPIDQRSKNYVPSSRPPPTPNPTLVQQQQLTATTLAATAAVTTSSQPSIQTTVITAVGVPEVTLAGGLTSNLMGNHARSTPSPHSQNGHTFTQKPLEPLFVTVPPRPQRLLHSEAYIKYIENLSAESRSISNWEKQLRAQPQQMDQSPAIDAAKLPAHWLANGTANHGNVINALWTLRDYMFRDALGLSKMV
MADDKQEVLLLMAGEKQVVPFLLHGEKKVVPLLMDGKKQVVLLKMAGEKQLMLLLMAGRTVVMGWWKTVGTVIDGWLKTDGTGVTGWRKTGGEKQVVPLMDGKKQVVLLNMAGEKQLVLLLLAGEQQLVLLLMAGKKQVVLLLWAGGKQFKTTDNGGQYSYNIGICVNADPEKKFGENVGVVQNEIKDKDPRHWVIGCYNNSQLIEGTDWVILEYLDGKPYSTHCGKEPRKARIMITCDSSVKSGEETVKIFEEETDKSQDCYYLFEISTPDICIKEPPITISLSVGSILVIIFIVVVCLYILLGFLYNRLVLGAKGKEQIPNYSFWQDFGNLQADGCNYLCRTGIRSESKPYKGLGDDQLQEEDDRDDHLLPM
MGSVEHACDKGDAVNEYCKLGCASSVCGGLTTLQNSDATEIVNGQLHTAPTHVLISAPRAQLKQLKLPNKHIHEQ
MKKYFLIVITFIIIVSCGIDEKVGKKSFEVEKVFVLNNYISHNHMGVYNKKIYNFVIKKSDLFALQFYNLNGKVDRELEFSAGKGPSDLPNSTYGNLIDIADDKIYFFCQTTNEIKVFDIDGNLIGVYKVDFPERKKLFDIDPSFFVKNESLYLHNYKGYYILKMDNRGKIGDYIFKNRSINTKKYDLKGGEIFVNKNNIFIGYRDQPYRIKKYDAKLSLINTYNKEVNFDDFEVKRKKKGNFYSEGHITVNSIYISNSKLYSSIGGGWKFDHNKWQTNITENYIDVWDIKNNNYKYRLWNKNLKNIAAGYDILSFKNDRIILVTNTDKKDITKDDSILKDLPYKRNYFVIIGKM
MSNKLPRKNIFQQLKLDFPGSSISFDEARDLLLMDNHKISLLLDREELERVNVHSRNGRNYYQAIVQLIYSNYQLVPQKNSYADSMIAYREDYNQFRIGNLARDYGIGFLEDDKDVMAVKIDADFESPVMIEEDEDLQEKMRIERLKQELLEENELKTGDYGILLNLDEP
GAGAASRHSVGTGVMGGMLFATLFGIFFIPLFYYLLERKKEAHEND
SSQCSFSSGSSTNSASKTPIHDPVIFDETGSNIITVESRSACAGQNEKTLVCADTNTLVEKKGVPPSCIKYELSSMAVFDQLVVQRSCLGTIIRSIRNFP
IFVPLAEQTGLISQLTQLVMEKVFEDMGHWLQLHPDQHISINLAPADLTSGNLPPLLSQLLNKWQIHPRQIARELTERGFAAPKI
MKQVFLVGIAGLLGWSLAASAESCFVGVYRDTHTKEIVNLELKLEDSFSRSSVLSVSLVWGDVVSVNLACPLTTSQGLCVFEDDGGSFFLTKKGKEGVVINLKGPLVVARENGNIAGLEVDSKKIRNDTLTLSRSKECGTLSKSPKSSAQ
MRFQTAILLRQLFIFLYGLQCSCSAIHAYPLNLSLSRFDQSRKTAGRLLRLGGEECRMAPVSALETAIKAAMPQLTKSNKGLLSWYLLVNKSSEKTLKSLDLGKNVDTLLTNPKLSVLLHYTAMYNNKKPQKVTTTAEVLATKYGQAPVTKLHEKAKHRKRRRKRPRYWRKSCSLSSMWAG
MSNVVGSFSQGYGLGQRMFADYHANQDREHNIKRRNELEQREDQQYQHGLEDREHRISREDEAYQEHKEDRTRLLTQNKEDRARLKKNQEFQDWQNETTKSEYSYQNKERQRAQDDRLMQTYYQSYLSGGNLPDMDEETKAAFDRNPQVREPLFNAGSDNHRRAFMDAQEIAKGMANGDLPDTDDPKLLNAMNYMFMDLVNASDLPTQYGGKKVTSREISRVIPTEQGGYALEQKITFDDGSTRYAPVTKNRSDAEDDEIPVISPQYMAQRLKNMAQVTQAGHQDIQRLSNFYQLHWGGSGKKSGSSTSKGKGTGSNSSSDYTKDYMADVREVANKYDERIADVQKDTLMDETQKQKRIGELNNERDRQIDEVKQQWSQFTEVGELGGEQRKRKSAAGQLVNNIVSQMPSYEFSPQLQEELTGYILNGEITDPNELSQFIEFLISDGQVKQRKSKNLDDEANDNLKHWGVNANQSPKGQPKEQRQGVGLSDAMRMAKY
MINKIEGKKANWEQLRKGDTFKLGDCGENETFLMADDGQAVVIYSDDLSQIGSRYGKLAGELRLVDVMIQL
MSDKQHNEMLGPASEGQIMAGNSLLASRSGPPAILPQTRCLNSSDEAFAVVLNDILPADVAPVLLKQLESRRQPTTALSLLLLLGFLLIAGILLYIFFPGRKPLSEVPEYLLAPDTALPRQDPLYAIQRQARKALHQQDFQRCEQLLQPYLDGIIEAHQHRHYECLLFDYFHALQAGLPEPATMGQAKGALVKLCEQDPENINWKLFYLFFRREEYDYQLFDCSKYADTWQSKSISLQSLLNRVHEARKINRRWLSDDQARHARQKRQLDWIEAQILLLLWKLEGGQGTCRFPDDFADPGVARREKALAITGEYPRDRDFLLLRRSLVETIRSNTFIGNSYYFNGQVFWHTGPLSAEIRRINYLLLNPP
MALYLFIAQEKRIFADKDGEEPTAIQPEQQL
MSPKKPTKSADPMSEPRKKLEKWILDEDRIVTSSYLLRNTELDVVQVEELMQSFLSDNLAKNVIATYFIIGQIRDTEAEDDGVAAVYKSLVCNQQNLEKTKAMFEAISTCSLYSLQAKPVEDYAILYNVRHEIEGYPYVMPSKNKLLFWQKRTDKIHTRWEESRGDVEKLKDIKIKKMMQDEMMENAQKKVTIDNEESDEEPMERGENLIKETAEGMAVDEGTSAQGGMFGSNMEGIFSTGIDSSPEKDVQQGSKAKKSPPPKKRKSQGKTSGKSKQSMRRVIDSDEDDEEAGVEDRNKIMEEQDEQIEQEPIAPQQSQMTTSYRKEMVSESFVDDDGYTVTRMVPKLVEMEIESVPQNRPTAQGKSVLKPSNATNAKKPAKVGKTNPNQPKISNFFTKK
MRSTSGCARNVDGGRAYSRARAIFGSVRVRHRENVRHAGLRAEREVAETGVYAGRAAFACQRRTWQT
MLSYPEEQQLPISTKYANQGILIGCKSNRGTTMIISHCSELSAGAGGDTWKETGVSTAVEKSQVWLLICFRERQPDDHPADECKAAMRIGPRPATEHRVWRPGLWVFPAFLLPAALLLFLGPSGNASASAPLSFPEVRLVNGRNRCQGRVEILYNGSWGTVCDDDWDLVDANVVCRQLSCGHALTTPATLTFGHGRGPIFLDNVDCRGREVALSECGSRGWGIHNCYHYEDVAVTCNELSPTRASKGPTSRTATASLQSGKSDGSIRLVSGADPCRGRVEIFYQGTWGTVCDDDWGLSDASVVCRQAGCGRAVAHKSNAYFGYGTGRILLDNVNCEGSEPRLSACYSLGWGIHNCGHHEDAGVICTGLRVSTVAPSTTSAGRDYGESFTDTATVTDARDQPSPQTEVITAALLAAGKRSGSIRLVNGNNSCQGRVEVLYRGTWGTVCDDDWGFTNAQVVCRQMGCGVAVAATALGYFGYGTGPVLLDNVDCAGREADLANCFHLGWGQHNCGHHEDAGVICRVRLYQHLGTMWNMLWSNPVNPIAKRLQGPERKENLAPTKGCQRTRKLSPLPVGVSHYRTSGQFRKGTSGIQWLNVTWIDRKCSIPVVGKWALLEIYTEKHAAFPRYLAACFLHTQPASGAVISWSDQVSLEKGHWGGHGCPDSGVNVMPSKEGSQHFKPACSFWVPQPGDNQSISMNLSSLLKLRGDYLRITYQNIKQPIKTSLQVKADLEYSLNVSQAIYHKQHERGENVKRRPRLPGRRT
MAGSVQGTWICKALTCLVLALCLLSTMIQLVLGLYQYSAADLLRLRPRLTRSVMDVLLTFPDIFFQPRHRYIHRGSRRNFQQDTRAKITSYWSTSRPPLRPSGRASDSSVLASLATVASAPAHLSEDTISFAHLNIRSLTGKTHLIQDLLTDHNIDILSLNETWQQPQDFTLLNEATPPGFVYMMQPRNSGRGGGLAILYCETMRVTPLTVSSYGSFESPVCQLPGSIPIIVATIYPSPKFNKEFLNDFSAFIAYLSSLSSNIIIMGDFNIHLDNSKSLATRDFISCLDNFDFQQFMETPTHFKGHILDLICCTGLTPNDCTAEEFPSSDHFLFTFNMTLTVYTIKPQRVITFRNLKNINMDNFQLDIDAFVESLQTISPSDLVNFYNEGHLDILNLVAPLKTQSVSFTHTAPWFTPTLHILKSKGRQLERLYKKTGLNVHKEMYFSHMHHYRDSIRHAKAACFP
MENLYSLMAFILLSAIVLGSALLVVTLNNLVHSVLWLIVTFIGIAGVFLLLNADFVAAVQVLVYAGAVCIMVAFGVMLIQRTNMEESNPVNGRFKIAAPIVGALFILIGVFAAKAKC
MNSEFVTESSMVLEIVFWAISVISIISALGVVLLKSVFRAALSLIICFITIAAFFILLNAEFLAAVQILIYIGAISVLLIFAILFTRDIDIQNVSHKFNLITAIVVGVFCLISIYIFNDINIKDITKDEASYLYGSTVNEIGRLLVNNFVLPFEVVSILLLAALIGAFVLIRED
MRGACPVPAIGPQRRRRARPRPAPRPPPGQVQTPPRAPAARVAGVARGRGPGEAAPGAEQGAAGGAPRRDSAPPPPMRVPRGRASHGRRRRGAARPGEERGGADGDGRLRYRLGRGAGVRAGDRPARLRGHRPPHAQGGAGAGDPGGAGEPQPHQPRDGGAAPGARPPAPGADGPHREGAEAPEGMSERERQVMKKLKEVVDKQRDEIRAKDRELGLKNEDVEALQQQQNRLMKINHDLRHRITVVEAQGKALIEQKVELEAYLQTKEQEMGNLRAELGKLREKLQGEDSQNGEEEVKREPNNDDCLSESEKMAMDLKDPNRPRFTLQELRDVLHERNELKSKVFLLQEELLYYKSEETEEETRSPQPTPIIQSKPSTQPESGIKRLFSFFSRDKKRMQASQKNVHFQDTFGEWSNSNKDDSYTEQGQEALQHL
MTNVDYVLVGPGPSSDEVQEAVARITDSVLTPEGKLYPVIGRPTSFLRVLPDRESPGGTAIHVFFASQPVAERHELARSIHRGLSEATDWDLRLDCEA
MKVWLRISIALLLALLTVSVVSAHAKLVKSDPAPSSVLGAAPTQVQLWFDEALDLNFSEVQVLDSNKQRIDTGALQAAPDDPKSVIAPLKPGGDGTYNVVWKVLSATDGHITRGVFAYGVGNTANVGAAPIDTGSVTSPNELSPLSATLRWLSLLSLLALVGGFIFRFFLLERSFDHLETENENRSLKNVRKLALTRWQQLIAVAFVLFFVSNFGELVLQANLVADQVSFTSIVAVLSNSRYGTLWLLRVGLIAICAVLVILEVRRIRVPFYDYALVVLGNVALVTRSLISHSASAGNFSLPVFADWLHLLGAALWVGGLFSFAWLMPFVWRTLDSKSRGAWIAWIIPQFSIMALGATVVIALTGIYNSAQQIPALDVLTTRTLPTFDQLTQGTYNDALLIKVAFFVVMICFGALNLLFLSPRFRKFITEPEKSARLFSRFRITVGAEVLLGFSAIFLAGILTLTPPPRSEPTQETAPIVQAQPDQPVLLVGYPAEDVQVQLEIGPQPAAPTVFNARVTDRNGNALPDLQRVIFNFKYLNEDTGAQNINAEARGDNQYVVEGNNLPLEGMWRIQVVIRRQGMDDQAVAFPYYITPATANDKTSVMTAQLELTRAQEKMNSLTTLRSTQDLNDGTNGVAVSLYEYQAPDKTKFEIVGQGQSIAIGADQYIQNKDGQWTQRARVENFVFPNFEFATTAQGVRLGRQDKVGTTPAQVILFDTPNTSGDELIHYAYWVADDDKRVLQFQMVTSNHYMVQSYSDFDDPEIAITAPTNVLPAPTAAAVAEAGTSPLSTAVQGSPRPRGFITGDLEGDGALIMVVVGVVVLLIGSGGKRARNSRLIVLGIGAASVLLGIGLFIDAVNGTMASNQNVPVNSERASTGQQVYAQNCAVCHGEKGLGDGPGGTALPVKPFDLTTHVVLHDEQYLYATILNGRGYMPAFGSRLTQDQILDVIAYTRLLARNAQQGSSNATPRPGFTPQP
MIGENVTLLSHVTSLTFHAWKCNRRCKHILNCGKQHCKKTCCTELRHECLALWHPQMHSALSDAVSLFADLDATRVSWNQCTTYHQSTDDHCNMAFYTLCS
MDTDVTQQTNTVIEEPQNNSRSPKKNSKDGRNNVSKKDIIMSSSDDSDVEPDLVQTINHNVSTSFGTMKELVQEDETNTFLHRYFSNHSNSLPSKMTLASRRLSQCREEDEEDEKKEPSNMAAISGSDKSLSESSSGSKTSVIDTISGPTHKFVITKTKTVKETKNEEKQSKNEKDTRSDKSDKKTEKKELSEAAKIFARNKEYRMANTVLGVPSKDAVRPSLKSLFRSPLQSPHYDAKFFDSSLVSMKSLNSSSSTVDYIGSSEDIWVKRPPSEIKKELGSQPLPQITVEDDTHLPRPRSGTWGSKNDKHKSKDSESRRKKDKDSKSGSGSRSSSSERRGSVELSPKKFRNRSNSDAGKRKGSTFMASMKSAMVHTGLIHAKKPRDGSAHPVLDDESDTKYYHTVTAAASVSRSPMTKVMDIFRKPHAPSHTPPPEEEREREREKEKEKKEKKKEKYFNHSRHKAPRDGSAHPVRDGGSDTQYYHTVTAASSSRSPMTKVMDIFRNRSHVNVPPDDRKKKQQQLGAHLRQRSLDPERRRQSIGPIPNIHRASDAFLDPHHAAILFRDSRGLPAVDPFLDKVNISDLEEDESQIFVKFFKFHKCYDLIPTSAKLVVFDTQLLVKKAFFALVYNGVRAAPLWDSSKQEFVGMLTITDFIKILRMYYKSPDVAMDELEEHKLDTWRRVLKDKKPLINIGPDASLYDAIKTLIHNRIHRLPVIDPETGNVLYILTHKRILRFLFLYINELPKPSYMQKTLRELRIGSYDNIEMASEETTIILALKKFVERRVSALPVIDTEGRLVDIYAKFDVINLAAEKTYNDLDVSLKKANEHRNEWFEGVYKCKLDETLYTIMEKIVKAEVHRLVVVDDENKVLGIISLSDLLLYLVLRPCGEDGGTPDGAVSVRAQDTNSQTLSQSSDMPSTTEEDTSQPVIPEEEEHPDEDLVKSVADAETQVVNKENEQNGRATQENGEREESSTPSTPVDEPILEKQPLTDGAILKEVTVTGDTKQKK
MQLSPLQSALLYFSYFIYPEKKTRSFDLSDLVFIVMVFLVLALGLLMSGEISISYNEAKDFFYSSAWFVRIAQKSTEILGQNDLALRLPFLIAHTINMFLFYLIGRKILKKPKDALYVVLTYALLPGVNLFAILLAKSVLVLSLGLLISYLYIKTQKIPYLTLSACAFLDGAFIPLLLGVFTYALRRHYFK
MNRTARTIFRFSTVGTLTLILGISPASAGWLLHHMRCGSRANECCEPIVCCPPAPVCCPAPVVCCPPPVVGNSCDYGVVDMGVVDYGAVDRSMAVPSGEVIYDSQPLPSAPSQESEIPSNQQNEFESSSDSDSAPPSPFEEQAAQSETPADNTEMEASATEPDVDPETDSPSSPSTEEPAADDLFGGAEMEVEPEMESPSLDEPVAEPDNSDDLFGNPPADEMPESVMPETEMPEAEMPETEMPETEMPEAEMPEAEMPESVMPEPVMPEPAFPESEGDSGMDDLFGNPADNEAPANSGGSDDLFGDPPAGNEAPADGGGMDDLFGDPPAGNEAPADGGGMDDLFGDPPAGNEAPTDGGGMDDLFGDPPAGNEVPTDGGGMDDLFGDPPAGNEAPADGGGMDDLFGDPPAVEEVPTDGGGMDDLFGDPPAVEEVPTDGGGMDDLFGDPPAVEEVPTDGGGLDDLFGDPPAVEEAPADGGVDDLFGMPTSSDDSGDFDDLFGRSDREADSDQMTQRDSRRMGERLVVTEKQFPPHFSLQETSVRVWIDNTSTFRTEGRLIEIYDGFVRLRKTNGKTCSVPMSRMCDADRAYVESIKNQIETSRVAMLTSK
MPESAQRIVLHLPILPRTCFAILGFGLIFTFLFLIIFPHGLSSQFPSDLTQAVSGFWLSQPPSTGCGSHESLQGRGIVAPWNLPQPNADRGIYKLKDGFYFDVSRFQRVPFGQWKRLVPVMQKLAQGSLVKIMVFGGSFTLGGDCWQSASKRGIAGKDCAWPARWKQWLHLAFPSATVQVENIAQGGSPSAVILGGIGLYNFSGVDLILVDTLVNDAHNENKMLSAGSEKWRDWDGNLTISVAFEELLRSLNQLAPKSALLALEAGCPRCIASAQAHRRVLDFYRIPFLDVARAVATVPELWTWARTSAHPGFKTHQAVADMLALSFRKIWDHMLKMKVEDMDLSRDFSKAFHPAKYRNRVLRPDFATKRLACALEPLQVVRGAARCALWRMEAEARAAALEPALWSLGVGATAGCRWKALECPPAGVKYTRSSEKAPSVMGGSTGFEERPAVAQQLAIPVKPATTEFPNFRMKCFRNELTSTYIEYMGTKLQRKRFESTYVHIPSNLPRNKSNECLWSNESAKSTVAKYLNDLDYYKFCNDCLDSPHDLLAGFNTSNGLRDGNKTTLPRNFLLYGHVHMAQTRGSDLNGALALNYERVCGHKGYSYDAFQANERFWKNPASIDSVGHSFPTHSRLRVPYEIMDEIGYEDCDFVSQERDWTWWKTFSSWNVSVELHLPCPDPMDHLLSTCHFLNVSFSCEGDLAAEIEPCLVFSNRFHPSLNGSKEFPNFRMKCFRDELTSMYIEYMGTKLQRKRFESTYVHIPSNLPRNKSNESAKLTVAKYLNELDYYKFCSDCIGSTGVTAADQPISFRHNMSGKWDIKMMNQTKSSSWGASPISEVDGAMGCAGSTAPAQLSRHGHSTELEEDFHPDPLEQNDLDALDSSYDTWRWRHLPKGCVGQPTRRLHEKHLKRLKMMLQGVDENPWVLASDVKRRRDLEGWDMNGRICRVSL
MNIYKLINEFMTIKPEGYEKDIKIFIEFLKRKNIPIITMSFQGIRSKDVVESIDYYIRESNLTSVSPVKRYASAISEFFKYIISEGYIDNKSFYNQLLLPTIHKSSYWGMIYEYILKDKRLKEKDTFNIFEKHEVIELINTCNQTLDTYIDYVSTKKYYNKVVNALCIKLISLTGILYRSLREIKITDDINLFNKIYINGFKILLPEKYSLQIKKYLDIRKKILKKNNSESDYLFITFEGKQLSKQTSSISSFLASCIGRQDLNGLIKYSIREMIMVGINDSVINRLTNAGQDLIKQALENENSCDELYWNRYLDSRLRNIEIFDSI
MNKPTKNSHRFPGIFFKKACLLRFLFGTGVLISGFSGSADFKEIYQIQGKGDCSPFKGRTVFLKDNIVTAISKNGFFIQTPPQRSDNNPETSDGIFVYTKEKPKLKAGDIVDVKGRVKEFYNLTEISIHGKPVIKGRIKSLPEFTAIDLLATEKNNLEKFEGMLVSIQNLRLTAPSDRFGEAWISYTRKKTPKSSYSDVRIKIDPDGIGSKNLIFFSGTEIGSVKGVLSYSYGSYKILPVQISGIKKRAFSPVRKKRINEFTAASFNLYRMFDTEDAPDKKDPVYSGKKYQTFLRKRAGYIVKTLLCPDIIAVQEVENISTLEDLSKCIKVISPKNSYKPFLINGNDPSGMNIGFLAGSSVKVDSVVQYYKNSVFTWKSKKQILFDRPPVAVFCHPESRKKLNLAVICVHLRSRIGINSKDSLRIKLKRSKQLAYLLKLINNFQDNYRNINLIVTGDFNTVIHSSYFPEQGRNYIREHNHLLFNANSLIQNEKRYSFIYRGESTCFDYMFISKNIADCVSKVQFGRGNCDSPELLKNNPATNLRASDHDAVVMYLDLKCIGEGR
MSVSGRDTESHLSIINRHMCAIVYVCDMQTNRIVFSNGFANQELGIEKGQSCCEDVYAYSERCSCHSNQRLMDNPREVLHSQWYNPKVDRWYDRRLQAVPWTDKKLARLEILFDITHLMRFSDDMPHQSAYSRLILESIGDGVIVTDSTGIITMMNPQAQRLTGWLGSSGVGQELSQVFHIINTQTREVVENPVAKVLTSGKTVGLANHTSLISRSGVEYHISDSAAPVKDKDGNIHGVILVFRDISEDYRQQEALRRSEERFRTLTETAINAIALHEIILDDQGLPVDYRFLEVNRAFEELTGLSDRQLVEKTVLEVLPGTEKVWIDTYGEVALTGVPQEIEDFSQELEKYFTVRAYSPRRGQFVTVFEDITERKQMENRLREQVYRDSLTGLHNRRYLESQLPNLRGKEHLPISVIIGDVNGLKIINDSMGHQKGDEVVKRAAQAFLEVARPGDLVVRWGGDEFILVLPRTS
MDDQSREAASGTAVSDLKRHLADVKREAQQAVSAGWDAGADPDGDLYTLLRETRALLSVLFDGVSGTWRPGEIPAQSEDLATAFEELQLAEEELRVQNDELIEAHHALDAERRRYQELFDFAPDGSLVTDFSGVVQEANRAAALLLGVERAFLVGKPLALYVAEPDQERLFRNLQSLQRDEAGASLRWETSMRSLQGVPFEASLAVAPVCDEQGKRAGLRWLIRDVTEAKRMQEALRESEERYRSLFNSMSEGFALHEIVCDAKGRPYDYRFLAVNPAFEALTGFKGENVQGKRVLEVLPSIEPFWIETYGRVALTGEPAHFEQRSGILGRDYEVLAYCPAEKQFVSVVPATTERRRAAEMLRQQAEELQQLTESLEQRVQERTAELASAVAGLQTEMYEPKRAEAAVRAERQRFNDILEILPAYVALLTPDYHATVANRFFRERFGEAHGRRCYEFLFERDEPCEACETYSVLKTGQPHHWEWVGPDGHTYDVFDFPFTDS
MADGSYQSAPNRPAASRARWERACAVRARREQTL
DLNDKKYELSFLEVAKEQIFYSLCDKFKILDYSLHSKINFEAKDENDITIKYSDETKKLIEDYCIESYLEGKITPVDEEKLQDIFELDVEEMASKAEYIDGIREHIESSYYTLLEDIYKKLIPNFFAEYDKFLDKIEENYNGTLQDVSEDLFVLAEDYENFYYENDYELRKWLDDEAFQCGEDSSLGFVEKHLKVDLDDLIENNGIGFKRKLIEAFVYSYSCSAHELIKEHKEENIMTLGGR
MNHTTFEHDASALVQLGIDRFRLVRSTDGPTDKRIYNNESLQVSVRLGEDYELSGNQQSSFDVTVESHVWWKTGRGIKLPLRAINDVRILRGKICVQGQYGHNNESQFSANE
MKWNRTLAASALSISLAAAAFGQGWHQGKGRLEGTVAGPKGEPIAGATVAMRLEGAGPDLKTDKKGHWAILGINGGSWELDVSAPGYQSRKISVTVSEITRIPPMAIQLEAEEKAQAAAPQQEAPITVGGKAVSKEAAQALDKGNEAAKAKNYAEAQANYLKALAELPDNVSLLSNLALVYYFDNKPDEALKYARQTVEKDPANQAAWLMISELELQKGNLDAGQQALSKVPDERITSPAPYLNLGILFYNKKKPTEAEENFTKAIAKKPDLAEAYYYRGLARYQLKRLPEAKADLQKSLELDPSGKDADTAREILKAMK
MGRVNKILLRTFFTICIICILILFSALSGNAQKKRMSIGGASKGGTFYPLAVGMAEIINKFLPEYNAIALETGGALENIRLLAKGEIEIGLANARDATLGYTGQKPFSAPLKNLRVGFYLGDFILHIVTLEKTNIKRVEDLKGKIVNVGPPGSIVASTMEAILRLHNISIKDVKVRHLGYSESMEAMVDGLIDAAALYSLIPSPAINSIAVRQKIRLVTCDEKILESASLKENILPLFVPPGSYKGQSEGAYVWAVVSGTYFNEATDIEDVYKWTKAILEHKGELEKVHPMGKNARLLNKKELGISPIPMHQGVIKYAKEVGVTY
MSDEYGRQKAFNEEGLVDESLDTKNINPEGRTLDERYRNYPLEGDTTSSGGFSTTPEVWSDRAQRGNPYDATKRDDVSKSGNGAGLVDQSVNGGNLKEQVEDAKQGKDM
MTSSEPPSFLPHPLILPDTTTRGLEAVWTLGQNALSALICSLYLPQKVQAPPRSYGLELTPTGELDVEYDSENEEMARRLDSVKTSTSDTTRAAISTTAATKTITEYANCPSPSSTTKTREMKMHYSENEERARRHDSVKTSTSDTTRAAISTTAATKTITEYANCPSPSSTTKARETKTHYSENEERARRHDSVKTLTSKFALKADTTEATISTAAAAAMATTTDSTDYASPPPSTRKAKGKTNIHCFENEERWADHLDSCQTLFSKLTLKMETTSSVFSTATASTTMTTTCCTDCAPPYLSTGTDGEQESFRQKIHEGILASKKKFNSEHYSFCNLLDPSEVQDLNKSDADETGARCSEMAWALGQHVLSTPMCSPHLPQNARASKLEPNNVKGNNDAQAGCKGSALTSSPSSSATLKHGGTSVGSDQIEEVGEWEHFCASLPSLICLLPIAADIVLDGELIQVVVVIGLVAVIAAVASTSCNLKVSVEQQRLRS
TTTTTSNNNNKNVYKENSTTNKDADASNDKPPPFEEDVDDQDVVIVRQGPIAVRRDSLPPPPPPPFSHGFFYPTLPGPSLPLSYQPTVFNHQPTANPNYLPNQLPFPSPNRPFPFFLLQHPTGEVRYDGSPSMSYENVVRTDLEGSLRTSGSKIKSVSHELDPKVYDATDATPPSGYVTPSAASALSASWAVPPSPMVPLSSSSSSPPPPPLSYGGPFGFFSTPHPPGVANG
MRSQLGTIVAVVLAVSMSGCTGGTEGTQPVGGRHSAGGSSGAGKTGSVRATDPCAGISDCTEITQVDVDGDGAPDRVGITISRQAPPPQVVFGEATIGVLVATGSRVARIDVHSPGMLPGSNASPTPYVGAYRISRKSGADLVFHTQLGQGNSEQFVVVGWSAGQPTPVSQPAEASANLPEATVWYIGSSHGVHEWVTCADGAAVTMIRLSAPTAEGIPIPGGGIREENHFAFEAGAWSPTGSENVADSDFSYNFDPHTQTFQCRDQGAA
MNFSNYKIDKRIAKSLDKNGFKKPTKIQESVIPLALEGKDILAKSPTGSGKTLSYLLPVLSKILMNEKSLGIILVPTKELSHQVTQQAKALLTYCGSIKVLDLVDHESTSVQKPNLIVSTPSKALPHLLNNNINVREIESLVIDEADLVLSFGYKCDLDKVLSCIPSSNNCQMFLISATLNSDLTELKHLMLKNPAIVEIDETEKQEKLKQFAINCEDSEKYLITFSLFKLKLIKGTCIVFVKDVDGGLKLRLFLEQFGIKCCFLNSELPHKSRYHVIEEFNKGVYDFIIACDNIETSTNADDAGVSRGVDFKNVDIVLNFDFPSSFKSYQHRVGRTARAHASGLAISIVTPKDKKFLEKTNSTLKVYEKESTGNEIMPFSFDMGAIEGFKYRVEDTLKHITKKVIKEAKLKDIKKEILNSEALKSHFDEKPKDLQALRHDKPLQKISNSSHLKNIPGYLLPTKSLSDVALKTNKGKRMIIKKRKRTQDPLKPRKK
MSADKRIATDEEEEDEEEKPKNFHEMELDDRILKAVAKLGWLEPTLIQEKTIPLLLDGKDVLVRARTGSGKTAAFAIPIIQKILSGKQTQMRQEIKALILAPSKELCKQIHQVVTDLTNKCSREVKSVDISSPLELNAQKPLLAEKPDIVIATPGRLLQHLKANNVSVKKTLETLVIDEADLVFSFGYEDDVKSVLAYLPTVYQAVLASATLSEDVLSLKALVLHNPAVLKLEEPPLAPPSQLAHYTLAAEENDKAAILYALLKLHLVRGKTIIFVNTVDRCYKLKLFLEQFGIPTCVLNSELPASARCRAVTYFNSGTYDIIIASDEKALEEPLMVKDKKSKRRKDNESGVARGIDFQFVSNVINFDFPLDVTSYIHRAGRTARGKNQGTALSFVAMRERSLLDEVDTQLKEGYNRENIFKTYQFKLDEVEGFRYRAKDAWKAVTRIAVREARLKEIKQEVLNCEKLKGYFEENPRDLKSLRQDKALHTVRQQPHLKDVPEYIVPQTLKRIAGIGKRKRKFDREVAATGSSASKSKHLARASNPLISLSIPRKK
DNAVECLIHFQLANARRDALMPLLPWLSDPKWSSASDRLRLIQSVDKLDMRESVTGLIAVLNQPVDEADRAYAANSLVHFRDPSAIPDLRRGMPSIVDSHYRRMFIAALIAAGGLSDTEAASSVEAYAAMKSTKEGSETLERAEYSWPKVALDVPISIGQYLAEREAPSEGTMAILLSGATALESSDPQISDLLRDIVHRWPSTIGDRDIAQRIQSHSAPARSVAYALLRRDSFRKNCVNAIAVSASLSGAPGGIFAVLAGNQYREAQILKGSDDAAIQSLLASARLVREPLPFDQVERIYNSGDTRLEQVAGAYLTAEDSSRARQIFSSKAKGLVIVGARQAGGDPGHHSYTDFDKRESELLSLMSGKDAYDEAFALLSAGYWGDAGQIVIGSRGDTSTITFYDDPARRYRRTLRAEEVKGVTNFIKSEKVDDLGPLSQTVFDGMQYEYVHLTKNQGRRVFMNNPGESDSGGSVYDRLCGSFHKLLRDAPLTIEYPDLANLPGFEVLIADERFRVLNYWKEGTEERLRIYLTRNRGSAAVVISTPGRARAISRVPKPEGLKWVSIKNGAIETTRRPAVFPSEDPHSVVPDKFQKDNEDRQPPGLWALTQGPATYRAGEFRGKEGFWKFQAGKEPTLLAEDVFSPAISGDGKWAILAKRNGSSNNTFVIVRMNLGSGAMMPVDVPEADRLYAIVYIAEQKRFLVVRVKDPDTGSHKPVGPDKPEYWLVNAETGQANIASEEIRPFTHVGSRPLQSTGGLNQYWAAIPNELGNGTDIGRFDARSSQFTSLLHVPALQFNSQAIWVDAPAMSIYITYKSHLLRASLP
MAFDLLWGSDIISQYMKTVSRVVEDNEQLEEYNKTIDKNTGKLSILKIYNNQDGEFREINQYPGQLGEAGALFKWFKFKFIPGGELSSRPVMFVDGDESFTKTGIESVIGAEESMKRNNNYEFFEKFDAINRFALNNEVLLKGKAKIIGNNKDTGFISWLVTSETSKESLFVVANEKPPTEVTRNSAGEVVNVENNPIFSIETLVPKDFSVVSEYVFDREDLDFSGKTEINNLSDNKLCFEKLEPSEFHIYKVLAK
MPSQPEVHIRPHATHIYCCRKKMLKLLRKAATIRVTSLLSTVKTFYRSDRCNAHRAAISRIKRAKYVRQYYVTLLHPDGSTVGIRATEPMDLIQMPFNMDTLTDEERRHLEMKRHKIKKFTKKQETVKFNADEYIDLWRKNSKKP
MIQYKINISRADRFRMLTGQTDGSEVRVQELKPCVYHTVVVETKLGNNTEVYQNAQCGVVLLDAQAPLRKITVESLVGGTNQVLRWKRPYWRSAECYERYRVNVTEKGLPLRSLMFYSDGPHLIENLKPSTEYRYTIEPMWDTIAGPTGEIVSTTRGDTGRAKCLSPNNQSMLTYFSDHPATTIYNLRVRSEAQSLTVTWDVHAENRLNLTAFAVGIGDFWSRISSEQQRYSVKSIRECRIYKIMVYAIMRPRTNQPWEETFARPLAAGGM
MDNDPDPDTTDDDRDDRGHSGFLPGAGRKRKQSAASIQNMSQKRCKGAAQRQATSR
MNLTELPGAEFVLPGIDDLHNGETNTVGALLVAIAATRLTEAGLDIPKDRLAPEPELTLYARLQDERDDAYPYYNALLRRLSSFCNALELTIKTSK
MKSRLFFLVTNSVLLGFFATFSESKGTFYNNPYRYNLYTSGSTPHYHAGKPMSRHKNFCAYVVQKNISCTIQDGTATYVKAEYNKCAWGQKCSGNVMYRTYYKPKYKVGYKTVTELEWRCCPGFLGENCQEDPTGLPEMMPPFKGSMTPPGLKGHPRGHPRGRPEPHKPFPIGHIQPQKPFPGGHPEPQHPAPGSHMHPGNKKSYYARKFQGLIGERLDRIEEQLRQLSQSYDSLNGIVNGLEDKLRLALREDTTKMLGSLLSTPPRVSDSTIGFGVIPDGTPDGLEGGEGFPGFGDLSGRVTEVKDELRAKSEMLEEIHGMVLGHDGQLKHLIESAAGKPSPISSQQVIEQLLDSKLAGMRSDIFDGFEKRLTALENQCETRVGEVLLQCQQERISGQHEMEQSLDGRETGLRKEIGNLQTQIQGLTLTESCCTQVSSLSQRVLLLENSVKGLTESQLALQSSLDGQATQIETFLDGRLEEIEARLNASETSDPEIKMKGIVGTEIDGFKSLLDEKLKILEDRLFVAVEELSNATAPALLEGQAVPALESELVNLRKQIEGDLKGIQGRLTDFETVCSSACPHATGDIQKLQTEIEECLNEDKRMSGQLDIHADYLKKLNVTLMEILRKMSEEEEEGAIHGEITLLKINVNSVNRSLKGLQDSLKIYAQEVSHANSSWQQHELKIVDQVHGLQSLVEQQGSQVILSERRLQQIKGELQNLKRRLTGEVKGCKNVALGAQREVMLVDGRVTQVEGLCGNLGELAEDLEKIRGELEEYSNDYLARVNGTLTVHSNQLAQLQSGLKDCQNKTEAPGLTGDH
MKALLPTLTMSREVLRQMHRYCIRADWMRRKSGLLVQYHTASHHGTSQIPLQTLSIKYSSSMFHTGIDTRGAKGPQMHETQTTDDAHKLLVIQPEFKSGPVEKPYVPAALKLEEAVALVEAISGWEVKYQRIEAIREVHNKYLFGTGKIKELKSDVRSLRKSVTGVFINTPTLTPLQHRCLAAIFKSEVFDRFGIVLRIFKERAHTKEAKLQVQLAEIPYLRGKLSDGTEGHQRGAAGRMGGGGETSVMAMKASLSRRQKRIRTELDDIRSRQKAEREHRARHTGLPIVAVVGYTNTGKTTLIRTLTLDDSLSPEDKLFATLDSTVHAGRLPCGLKVLFVDTIGFISDLPHELVESFVSTLEDVINADVLVSVTDVCHPQSYAQRANVLKVLSQLNPRQRLLDDMVEVFNKADMLTMPDSSPDRLLISATSGNGIPELQERIETAVLSATHQKRWRIIVPANGAQLSWLHHTQQATVLDVKLQEGLEDMFEVAAILSDTVASKFRAKFGNSVQLHTHG
MNLEEDRAELIPVVTAGLRTWEDEEEFSRAMEELESLCEACDLLVAGTITQALPHPDNATWLGSGKALELMYLVRGTEAEHVVCLGNLSPAQMKNLQKVIEVPVWDRTNLILEIFSRRAKTREARLQVESAYLQYMLPRLSGMWKHLGRQSGGGGSRANKGIGEKQIELDRRQISHRINELRKELKQIERTRDVQRGGRRRDGFPHVALVGYTNAGKSSLMNRLLHMSDPASGNQENKKVFEKDMLFATLDTSVRKIDIPGRKPFLLSDTVGFIENIPHDLIKAFRSTLAEVKYADVILIVMDSSDPHHAMHKKVTEDTLRDLDVSGIPRIYVYNKADLRDEDLTVENHPGGDSYYISAHTGYGIDDLLDAMSGLFKSGSCMVEVCIPYTDGNMLSRIHKEGEVIEERFEEGGTYIRAVCPAPLADHLMRK
MISRLVHGQTRGLKASQRKALDKLATRRLRQDLPTQPEFAQALCALSRELNKAIGALVDRRGNIAALVVGSADRLYLPDLGRTRAGGTRFRGVRLIRTRLRGDELASDDLTDLSRLKLDLVVSIRAEDDGRAGDVVWAHLVPDNPERNLWAIHRERHVTDLHWTFSTFIAELESEFEAATDDTVRTGGEGAMLVYVRTRDDRHAEQNLSELRELCRTAGVEVLETYTQSRPQLDPKFAVGSGALEEIELRALQLGAGLLVFGVDLSPAQGRSINQRTQLRVIDRTQLILDIFAQRAQSRGGKLQVELAQLKYRLPRLSGRGTAMSRLAGGIGGRGPGETRLEIDRRRARDRIRMLEKAIDRLGADRELRRKGRRDNRVPVVAIVGYTNAGKSTLLNTLTQSTVLSEDKLFATLDPTSRRLRLPQEREVVLTDTVGFIRNLPAELREAFRATLEELGDADLLLHVLDASDPNWPSHAQSTDALLRELELGDLPALRVFNKIDRLEPVEQNDLALLEDGIQVSALDRQGVRPLVDALDRWLVERGRSELVPDDPRDRGHTEPDEPDSDAHVAHDAQGARDAHVAHQEASDDTDPVADDPAGPPVDG
MPRKYRPFHETARGELHVLRERAVLAGLLRRGDARGYEEPLSEMRSLVGTAGADIVGEVIQKKDRPNPARFFGRGKVEEIADLVKEMRADVVIVDNDLSPAQVRSLEEALKVKVIDRSELILDIFATHAKTRQAKLQVELAQLEYSQPRLKKMWTHLSRLAGAGGGGDPGIGLRGPGETQLETDRRIVNKRIADLKKKISKISERKKREVQTREKDFTIALVGYTNAGKSTLFNSLTGADVKVDDKLFATLDTRTRLWDIGRNYRVLLSDTVGFIRNLPHHLVASFNATLEEVSQANLLLHVVDGSRTDPSRLIEAVKSVLGEIGCAGKPNVLLANKIDLLPDPVELRYLQENYGDCIPISAKSGEGIDRLAGTITGHIDQRYQELVLAIHAGLGKLISFVEERANILERSYEGDSVVLKVLIETRHAERLRRNPDVEVIIP
DDDADDDDDDDARASSKDLSDFSVWDEEHELELEETLRLMSPVTQEHPVETVIFDSELTPRQARNLSRALDDRVAICDRTMLILDIFSQRARTAEGQLQVEMASLEYQLPRLTRMWTHLERQAGGAGGGAQVKGMGEKQIEIDKRLLRDRIVFLKKKLDKVSTHRDLYRGRRKEAPVPVVSLVGYTNAGKSSLLNALTFQAGSFATNGRKTVLAEDKLFATLDPTTRRISLPDGKTALATDTVGFIQRLPTNLVAAFRATLEEIKESSLLLHVVDVSSPLATAQIKAVDAVLDELGVRDIPKLVVWNKCDALDDTNAMEDDGFGFWDDDDDDDGSSGSGGKKVSFPGAELGDDGSGDLVVDDDDCEWEEDSDAEDEENDEETETNEERRIQSGGFGKDENHASIPAWIKSAARRRGAVATSARTGAGVKALAREIQRQLVRHSMVPVSVLLPYEEGKLLGEIRRVGVVETEAYGDDGVLVAAHVPPPTARRLRRFGHAEAFPRDADTNENASEQVAWSAEEEAELAELMLEEEALEAQTRQAS
MDARPFMTRNAETSVRTRLSRSSHTVLSRTAPLLRKDISMPAHPTVSPRAAILLSLGRSAVPDSHLTTELSSLVHGLGYQVIGEVRQRRPETTSALPIGPGKLEELRELIRSAKESGHAQITIACALDLPSGQQRNLEKALDEPVIDRTEIILRIFEARAQTPLAKLEVERARLVHSLPRIRDLEASRRQEGGGGRAAKGHTNTELAKQAAARRIAELGRRIEIIRREQERRMQRRRDLPRVALLGYTNVGKSSWMEQLTAKSASVKDELFHTLGTSIHALRGPGRRILVADTVGFLEALPHTLVESFRSTLAEALDADLRLHVADASNPRLESELAVTRELLTRVDCPPASELLVLNKVDKLTPQEAQELAERYPDALLVSSRSEEDRRRLIEKIRTLIDQACPPRSGTGGTFSPDETSPPEAVTVSALSGATRAAGSATSTGGSRTGAATSTASSPRARPSRRTATNSVEATLSAGGFAHPSLADRAKWIAREPDLFGLFL
MYLNPALNRDQNRFVTKMLRGLPKPMAASLWPLAQAAKDNPTPENNRAFRKAASKKRQQYGRKMTYLQQFKIPAKVINQKDRTKKLADDLALQCFQDMITAAEGLTGDEDHETRVHAVYDIVCNQSLNRLPHVTPPLWGMETDTLAKECALLRLQCPKWWRRKLLRLRQQHLEILEIIQGNVGKGVSAYASKRAVAEFVRDKAAQRRWAESLTLINECGDELALINAIEASTANPENARAELMKRIRGIEEYAEEIGFAAVFITVTAPSKFHANSANWNGSSPKETNAYMVDTWAKARAKLKRMDAKYFGVRVSEPHQDGTPHWHMMLFAPAKDLKAICRCIRWYFCQEEIGELMARFKKRKSLRKAYRKARQLWGYEKSQGKKVSAPRKFHYPFQPRFDAEYIDPSKGSAAAYIAKYISKNINGFEVADLIDSETGKTLGDGVMNVKTWASVWNIRQFQFQGCDPITSYREIRRVREAFTEEHQNELEQLRLAADSNDFVNFIRALRTMTTEIKYEVTPYGNEYGEAVKRITGIEAGKGFALTRPHKWEMRRNALKSGAARQSWTSGVNCTGSHEAGNHDQTPSLPPKSHLHGIGPEAIALLKRGYTVNIDGQRWKMRYGSLQQVPEPQHPSQRVNFVVRRRKTKPDLVAPGADTNRPNNKLSSEVKAIIAAMVASR
SLYLNKLYSQARAKLDRLEIPYFGIRVAEPHADGCTHWHMLLWMPARYYDQVNHLLRRYFTRDDREVFFQRFKNRKALRARYTKARRIWGLNKSKKVYTRAPIKNYFPSSPRYTAIKMLPATVDKNGKKSGGAAAYVAKYVSKNIDGFALANEFDAETGEKLTQAVNPVKAWASTWGIRQFQFQKSPSITIWRELRRVREEVQGNEQLEQIRQAADKGDFKTFVTLMGGFGIGRDARFKPAYQHTEYGNEYAEFTKRLKGVEDTTGLCTLVTRLHTWSKQTIGTAANDNTAAIDGQDANNVGAADLPWTSGNNRTPLAVGHTDELLLDMIGFTKKQIASVKKDLIAGKRVKNNGHIYLIKDGHLVVLDEAAQQKEHRQLSIDEIARTEAKKHTPAVDEQTPKPCDDLLNMFTGAQMKQLNQGGNVIRANRVYYLQERELHSFEKLDMAKPKKPITLAPTEKHYAYARELYDLAHMYAELDGRNTPSNTQFSKGNADIIGDLDLARLVLNGEATAISDNDWWAIDLMA
MRNPLHHKTFCMASPVSEAHWSTVTSGQRLRKKGNGVACGSVSLGAICLLCEFSPYGLNVLMGVCPLLGEYFFRGVLYILVGFLPLGIDTLGLWGGILMIISGTLNILIHALLPVHPYNFYSRPTSDPEDEEDGAFTDEPDAEGGGALR
MNQGIRKRIYIPFVIVMLLIACAMLASMMFMQSCQKKADTLYTKIHPGALEAIFYASHQTGVPWREIAVALSYDNTALADAVETQRAVDTAKKLQDAGGLMALITDENDRKEAQRRMDTLADVDALLVDRGFPLDRGSAYDYSDSWGDARTYGGTRKHEGVDLFAPEGTPVYACASGEVTKQGWLELGGWRLGVTDEHEVYYYYAHLSSYAEGITQGAHVEKGQLIGYVGSSGYGPEGTTGQFVPHLHFGLYNPLGEAFDPYPYLRAWEGSWERKKAPEPVPTDYTHPKDAA
MMMFFLEKNMKKWKIGISVMLVLCIFMLTACGSKKSVDNKSTQNAGDCITVSIPESFESLSGMNADNLMDYLKTNGDGNYEELKIADGLVKISVTEEQANYWKNYAKDKVDAQLSTLTNVSSKYSASCSDSFDVINVYYDTIISFKEAFAYVGKTAIYCALYQLFNGQKDYTITLDVYNVDTGKLVAGGNLEKDDVSYGDTEWKASYILDDKEAGELESKYEDEGEVIDIKSSFIDGMSVINILQAAAGNDYQYIYIDSDGTVQLKVTESQKNTLIENMNQYLSSVSEQFKNLGDGYDISWNTDFSEISYKFDSALSKQDQSNYFTYTETIGMLNQLLKGDSNSYYIDLSIYNSSSGELVSKGNTKDGITWNIGE
MRCTIISTDLTAQDDLAPSCKITAESYLFVLQAATAKQVNFSYMIDCVLWIRSCILAEYFGLIFMDCVDR
MFGSEYACELFEPSPRFPPPTFGPCRRTVPSQQRNYMPSPSITLTNSYSSRFHSVSASKKGKNGEWFSDIPDIQNYEKRFLIRHRKRT
MWKDIAQCHRRGTRSQRLPNTNQMDLHAFRTQKENILKAYRAFWAMHLGVTLPNDDVGCYATQEIANEYRHPREDNLFVKNVSLPISTFEVKYPPLTESNCAVMIKGRLFLSVNIDNNVATAIVVLNFENLSVYDVILLKHTFYKRCHISIYEDRILQNVDTFQEYVTLKLRAIYPYLKDDIDSRARYMLLEVEEPIANLQDEAQRDSIIYAMLTSDEGWEHAENIRCSLGRNRSTRDSYKLYYNSKNAIIVSSCMEYSQYLQEKACFWERIIHAPNHIEKPTFTEYRNIAGIDKHLYAKYLKTVEIDYLITNALTNEISDKISKSLLNPIKLGYRAYKLWKILNELDLNLYHIDNTMLCSFGVTKRINEIRQEYNEIVGVLTNYLLLLVAVLTLFATIVTILK
MDLKSPLFPPSLLLLSKRKAISEIVAAIIVFVVTLTVSSISIAFLSQRANLSSNIVLQESKKAMLECLASLKIVDVGRTEQNETTLILYNPSDVVFRIVAVIVGNEIQRVNVVLEPISIIVLSINLPEDLPFNQLRFLTIEGVMISARS
MCGQPTEKKKKIKSNSGSLRSWVLRARKSGRRAAFAFAFALFSLPWVDATEICQRLGAVGSR
MVRSCVLAADMAASPHETLKAIASLNPRLISQADASKRMITDLTAGSYAEHMRRSPQP
MESGSSSDNGRHLHTLLPAPVGATLQQPTHVPTNTKASRAPRCNGQRPTCDKCAVAENQCVYVETESREARRRYIELRDRRNAHEDMIELLKSIPETDALDVLRRLKSGGDVQQVLDQTREGNLLMQLSSSQRRDGRYALPFIADLPEASAAQETESPESSRGTRRESESVASTASTAGTDLQTMSGFGRTEQNCPG
CGSGGFRGVGQGRFRGVGREGSEVWVRRFRGVGQGRFRGVGQGGFRGVGQEGSEVWVREGSEVWVRRFRGVGPGGFRGVWVRGGFRGVGQGRVQRCGSGRVQRCGSGGSEVWVREGSEGVGQGGFRVWVREGSEAWVREGSEVWVWVGSEVWVRVGSEVWVREGSEACALESVAIHHPDHQVHLALTSSHVSGTDAIIHTLTTYSNIHISTLDVDDALEGSGRLSKWLRGMEWARSEWAGINLSDALRLGV
LDDAPPPTVLSAHGTDYHLFRPLSYFHGRQFEDDEDLKSGLQNFFNEKSSEFYQKGNVLQFHSAGYEFRHPFIY
MADKIEASQDSLKSYRDFKNVMDTARKEGLEEGLKEGKKSAMITLAKTMKQASEPIEKIAQYTGLSTREIENLNQD
MARELAKSDKDVINLAVGEPDFPTPENIRLAAICAINEGFTKYTPAEGCPNFLKP
MEDPLLTLPTTTTTTSAKAAAAADADAYAATTPRIVIRMLFVAAVAAVSLWAHREASRSFGISVVVADPRSTPGRRFALAFASNGRAERLVHRAGRLAHRALYPDPGAFPPKPVRHVTLHVAPHAAPSVGPGERPQEYVIYLDPLALVALTPAEADAAVSRAVRRAVARVLMWDPPEEVADAVADYVASAAAAAEPSEPDVGGSAGASCWSEGFVRYCEARREGFVARLNREARARWSERAVESALGEPLERACAAYRRRRRRGGSPQPVESGARSTSASQHATSAL
MSDTLDAALRLVRAQAALVRRFDSRLSGLHGVSLADFTLLLRLSEAPGGRMRRVDLAEALGLTASGVTRGLAPLERIGLVTREADARDARVAYASLTATGRQRLKEMRATAEETAAEVFAAPAWKAEDTGLLSTLLTRLGGTGLAGH
MMKEKRTEQRQTRDERSAGKLKYWEEQIESWRKSGLSQEKFCTAGGLSYSSFKYWFPRVGRRLFEGSSVGRFVATAVVGHESPETLDLFESPALQAEARQAPVPLEIRFMSGERIEIVPGFDGITLQRVIAILRSCHV
MKIKSIYPARNSSQLREVLGKYSPGELLYAPIDVAKYNHKACAVNFFGDILTPAFEFSNNAHGVKFFISKITEAARNAKAKKIFIGLEATGHYHQNLTLHLKSLGYEVAVINPFDSWKERLNKSSKTDKIDLGSIAKSLISRKFSSSTVPEGVYYNLQRATRTRRKFVNRRTSSKNIITGLLDNLFPGLWDKEDTIFSDRWGKASLSVLEHYPTPQMILRLGEKRLTRFLKKGTTKLGEKTARKIISAAKVALTRSPYEQTMDILALRSHLQAYRLYEEIIFHLEKEIAYLLVQTPGTYLLSVPGISVTYAAEVTAEIGDISRFAYANQIISLAGTCSKKDQTGEHDPQNLPISKKGNKFLRTVLNQAGLSLNTWCPDFNAYYSRKVEEKKGRCGIARTATGNKFARLAFALMKHESLYHPHSFNTLVQDPGNYYLLSLWRNTEEVKGFLFREDPSSE
MPLYLRRIEPTSVGRKLVLPENVEVDPLMDSHEQACNRMLVNIIRQLSSLSHHSKTVFGPLTVEGDLLVQRIGCLTKRVETIQKKVEDTEKNPSFLSEFGANRPDDLINHIQFSGKEEAWHSAVTLENNFFSAQSRPKAVEDLYKQCEPIPRLCDLQHFRDDAKDCIKFYSDPKMFFEVWSQQKFEEFTKEKMERQRNRKTRKEGSKIGRRNIKIRKIEIRAEEIRRKEALAGNITINDPPKDLESSNQVPDYETEHIYESVDAADGKIAKKSKKSMKKRSIRISEPIAIDMGLKQNAIPISEMEASNRESLFFPPPPEELLNEDLPTPSLDFVDLPPPPPGLDDDSFEENEPIAIPTVQSLGISDPAPPPPPPPPPPPSAPLNSNLNTPMAPPLPNGSSLRLPPSNKEKSGSCQSLLLQQIQEGKQLKKVIPVSTPVDERTDLLQQIRQGKQLRKVKIDEAKEKKDPQRAPQTVGEILALVIDQRFEAFHASDESDSEFGDADSLFEENDFD
MSGQRERSFNIGGPRAPEGNPEARIRTDQAQAVAAQVQGQGSALGAIGSALSGFFGTAAKTAQQISEIEHHEDLVEIERQNQARAQQGLADQAQGKTRDTELSKYQAYKGAYDTAVADSTANALAQDLAVKLRDVPNDGSVDPKQFATDYLKDQIGPGTGDAAVDGRMVWAAKQRADALVAQKREIVAQTAESNIAQTITNDITGKMLSQKGVTTGQTDAWHGQFLSLAKGNILAADKMFEAALGNAIQNDGHAMSTLAALRESGYAQRNPDSYLRLTEKAFHQTNRIKSFKAGEEVQGLNGSYTARAAEYHNAGLVMPAEEYLGFMHKAKVIDSNHGVGQDAFPWLKNGAFKEAMQKKAKVNTILNTLLGQHATPDLRQSVNEAGVEVSAEIKGNYVAASAEWVKMNQSRFPNLNRTATAAGLPQPLADVESAKEYGRMLASPKEQQAFAYQVDDTTKGIITAGLMGSDVGETIKAVHLLNEVSNGPNADLMLKGLLGDKEQARFDVIRRMAATRDIETAVRATVSDKDVEDEMAKEQSSGYVNFPKLLKDPERKSTEIDNDIQARAGERLKERIGRDDWFGELNTNISGAALKSLNLSIADHLREQARTLKGGTKPDLNAAIDFAVKKTAQSFVPLAIQGNTMSLVRDPYGGRGRTPDNPVARHNGVAVYSGARMIVGGKIEDPLETFMKTDRKAIHVALPGFLGDHVTGPGDWDRFTGNAESIYLLPPDAKTGLHPVMKPGGEPLMLMPGTKVVFRDSKGKAEEVEIPRDRKAAADLLSAKLPKGFFPLEGAGGVFRVQYGYRLRVSGEELFAALLKDREDAIANIKTPTRPVQFETKPIVRERWKGLEKR
MSYRLHRPMPISGECIRGYLLRLTEENFIGHQSWLRDSPEELRIIRLNIGKKLHGRLGNLFQTKGEEQTSSASLSSSYFMRANNRCCPDCFRDMSYWRVEWEHRFYVACHIHKKYLIDLCPVCSAKLPWKRHSLGACMCGSRISDWSAMEGSKGALLISEFLALALAKETHRNIEGLDNFLISKFGNLTSNSFSALIHLFGTFAINPLTRRKSGSHECTDMEGSLALVNAASNILDGWPQNFEGFLKAIGGYQEGRGIELMPSRHFYGFANALHKKFSTPELRFVLRRYRSFVLTHWPRVLNQRNRWAGQKEIEEQPYVSVILAAKWLHISSQRVVELFDAGVLRGYARISTQGRKIVIIEKKSLSNADKYIADVVTLNGAAELLGLPRSRLDELVVAKIIRPRHDGPKDGVNRLFSRAEISRFIKKITSGQHEPSEEEDLISGPVILKAHLGKGEFVRLILDILERKLPLLCARTTDFGFRDLIFSRKGFFTWRKSIRNARDMTCTVAEAASKLGLKQEVAYHLVKKGLLKSRTQLVGKRVTAVVGKKHIQQFEISYLSASNMARQCETSPRSLIAKLASKNVVPVTGPGIDHGRQYFFKTEDISKAVKLLGHKN
MYWQKHFDEPSPDEEIKKEIKDIVDKHKGNYGYRQLIWSDVRGLIVNHKITLALLVNRTHVSLVNLVLTKERSVRLRRTLSTEIPYKHSASKIDN
MPPNISFSAWNIHGLASKVLGDKTKNKDFVDRAVKNDFLFLNETWSNTEINVPGFKDFVSDTAVPITNKACRISGGITLLVKIKFEKYVTIVKKSKNFLWCNISKDILKTDLDLFLCGVYIPPEKSAYFDEEIFDELENDIVSFASKGNTMILGDFNARTCKLEDFVSKEDNNFINDTSENCLQPKVKQSFDNNINNHGKKLINLCKNTDLRILNGRTIGDSLGRPTFHGINGTSVVDYIICDQNIFKNINYFVVKPPTYLSDHSQIITWIDICKTTENEENNQSQSPTHKLPLQFVWSMASKNDFRQRLKSHEIQTKLNQFVNSEFTNDINGVNKCLSEFEDIMIEASKKSLKIRKKKIRKNITNVANKKWFDKDCRIKRHQVRKLANQEHRDPIKLEVRNAYHAALKTYKETLHLKKAKFHKEKIEELATASGKDPNLFWKTLNNATDDLRSNNNSSNSPKPDQYLKHFENLHSNHKLSNDHKQIIEQLKMKENNKDQLDQLDTEITDHEILNTANLIKMKKATYSDRISNEMIKSSVDILMKGFIKVFNTILNSGNFPSLWCEGLITPIFKAGNKLDPNNYRGICVSSCVGKFFCSILNKRLLSFTNQNKIIHPSQIGFIPGNRTADHILTLKNTA
MSQSGSKLRKTSIRDRTRLKTENINELKSRLSNVALDQTRLMRQKNGVTGEGSDDASPASLEQPRGTAHRQRQRAVVAITNLARFVASRLARLHNLRSDRLSDNPDG
MASQTQGIQQLLSAEKKAAEKVAEARKRKNRRLKQAKEEAQAEIEAFKVQRDKNFKEHEARFIGSKDDIVQRIDVDTKSKIEGMRASMNVNKEKVMDGLISAICDIKPSVHQNYRSG
RGEAVFTPFVDERVVYYPSSSDVNYVTQMTFIRNRYPDLFEVDAKIWPMSGDRNGTWEPEIFHDGKVAEIKTKKGLTNNITGGTQLESFYVIDELANVEASWNGMLTNWNGVLGNPVTMPDSTVLTRFRPAEYSAGSGFNSAWPPSLISEASYSMMATHGARISNIVSRTFISDLPNIDVPGSSKANQPGSIFIVGTNSLNLANARIRGEGGIYLETKHLVSSENVSLDCQNFSFKLGSTNGLLVIEKMLPDYVERLGGTIETFSAAWGGGTYDPPGDDTDSINLHNSAVAVDANLSLTNEVKVYEATLTATNVILRDNMKIVDKLLVDSETLTVDGTLNFTVRDINDSPYNTGQYFWNKSVTPRLTHLTN
MGCGCSLRSFVAVGAAFAQVSRKVHGVLAIASHIVVTTAASFLRSRAALPLIAASNKKQGHPRRAKLPKKMRM
MLRRTRRAPTACSWCQYRKVRCDASILGSPCTRCRQDGRKECILRCKNPQRSHSSTILTSYPVQTDGSHNSSSGEDTGDFTLANKAVSKHPASDHAAHTECDFVDTIQLSSLSGEDTSFLASEGCFDLPNSGAMDEFLQQYFTRVHPLVPVIDEVEFWTLYRKKAPTNYTISLFVLQSMLFASSSFVSKMTLKQCGFADKRDARRKLYNRAKLLFELGTEKKFHAKSQGAVMLTHYTSADDPRCGSLWVSIAIENAMLIGTHLSPPLEDISIPLKKRLWWSILLRDRSLCIGLRRQPQVTSVVSHGWCGWLTVEDFAEEMHHSEVYSYEAKMQLIVALQQQCELAVLVTDLASLVFTHPRHSRLHLSMVDFEKLISSITSIKESLDNWQSPQLVPDTRKNQRPEAIATLNYLTHMYYHAARVDLAQFEAFILEDNKFYAANTYQQSIINVSEDLRDAIEGLSKVMEHFSMHGQGVSFPLSVLGYVSMPLVLAAIDLKLSPSHSAMKTREKRLNSLSLIIKHSETVYDVTDFVAVGTNHILELAYSITQNLFFDRYSPDTPIDGAPTEREVPKSPSGDVRSSSTTSLKLQRPGSWREAFVRCPRAYLLVSMSVDYSLAVGRLPSARDLPDIVRELPALGMIPRFPWTSDIPPSLYDGSVQFPGHDDTGNWVFTPSRRYPESSGTFKRYNQTHPHSPAIPSISSTAATFPIDDQSECFEGKTIQTFRSLKNVNLDYMDFSNFESHAQGENIIDLSDSSSPLGLEVETDAWAHQTTFKRHGEPVTCSPGLIDSHLFKSFYREAFEQKWLDG
DERAELKTQLATDVANDPAYPADTYFGGKALYRDAQLWSIATGLGAEAEATTLRDRIVEAMDNWMDPTRCSTEPAFCFYYDKTNHGMVGNTVTFDSDLYNDHHFHYGYYLYAAGVLAAEDSALAAKWAPVMDLLAADIASPEASEYFPQWRNFDVYSSHAWASGTSPFADGNNQESASEAVNAWAGLTLWARAAGNDDLATQASWMHALEGQAARAYWTDFDRTDPVYAGFGHQITSLLWGGKRDYATWFSAEPAAMLAILVIPASPSSGHLAGDPERIRANVAEATVTAGFDQTYGDYLLMYAALAGDTDRATALATARTLSDAAIDDGDSRTYLLAFLMAGLPS
MRKDRLAQFRKRLVEKQRQLTEEVGRTALYGKDQEDDSIKDLGDQANTAYTREFFFELGNGDRRLLRDVVSALQKLDDGAFGSCERCNEPISETRL
MPTSTARVPKRGKRELEKYRRLLEQKKSELSDELAKARDAEEETTEESTQDIADKAVSSYTREFLYSLTDGERTVLLRIDEALNRIDDGTYGYCLNCNVQMSDKRLAAVPWTPHCVDCQELAEKGLLEG
MTFKFYDDGRLTIIDNESESIVTPNDLRGDSRDFYVRKRIAFIKKDLQIKVQKYA
LHERIADLDWAGLGMPPVTFSIGFACHPDAQARTDRLLALADAALYRAKAMGRNQTRFASEPAG
MLLSLLGILRRHRLPVSTGEWLDLLSALNAGVVFSNLDAFYYLARLCLVKDERYFDRFDQAFRVFIAGLDAAPLDSSDAILVGAITDLLASHEALELDEARLRRLLQ
MVNPLGTEIGGNLWISTINMNAGLSQSVINNPIYWGKDGNPYQYDGVFTSTYLHLQQNFKTGHFHLDNHIHFQVFNTNLYPLPKLYSTHQLYYTGAWFQKVMNVTLGIDAKLIPDYQGPGFQPLYGQFHLTDSSLPFFPAANLYLQARVSSFRAMLLMENFSQYFFSNTNFDVVGQPQFDPKFRFGIQWLLKD
MGLEQQRDRFEAGDGLAYADPDYARAMGEFGEPIALPASGGWLLAREVPGGKGARDLTAAYPLFDCRDWSGLEADLARLRAERAGASVVLVAEPLGAPDGELLARLFPDCARPFKRHFLVDLSRDPELQRSAHHEKSLRRAERFADFERIAPAEGLDEWCALYGELAARFGLTGPSAFSHVAFARHFALDGLSVYRASENGRTTGMSLWLRRGERCWYHLAATDDAGRRHEASYGLVALALGDHATRGCTVADLGGGAGLDDDESSGLAAFKAGWGEERCEAWLCGAVLDPDLYERLCAERWITPEGTDFFPDYRAPAAVGSKS
MMELPLRQTDRTGRPYLVRYYDPADRAALEAMYADFEPKRAAQGLPPDTAERLRAWLDRVLPRGIHLLVEVGGRVLGHVMLIPMENATAELANFLHQSIRNRGIGTAMNRLAADIAREAGFRRLWLSVEPSNRPAIRSYQNAGFRQLPGSLWAPEIDMALDLEPRTTPASSSPSA
MWLYISSSGDLEENERSHRKRTFNCEFYFQTHHTKNEKCWVNEKAEAAYNNFERTKQELSASQSASTEVGDTNSASQLSEMDIWVQSVGGKKKGRVAGLGSLGRSVKALKHSTSSLPGQIDEMIKSQVHASNANLYAQLQEERRKNRKMRKELDLLKKYVNFNASSSNELSSQEDN
MKINKQTITRCLCLILAGFCLSSCGADSDEAFTFSGPDKGGLRLQLPALDPSEPIVISKAADFTLIDPADFVIEIYKKGEDNYYKRFETFTDMQAEGTPLELPVGEYTVKAFSCDPDPVMDMPYFCGSTDLRIEAHSISQATVECRYESLGVEIILTDAFRNFFADIYQITVEQDTGISAVLIKHSPSRIYFTNDCLYLKVTIECTPKNGDASTARVYYFNREGEDPDFNGDGPFKGEYFMITIDTEKIVGKSL
MDEHVESMLKYLDIQAHGVRYVGIYGIGGSGKTTLAKTLFNQLVAQFEACSFLADIRESSKHGLEHVQRRLICDLLPKHSRAISDTNDGIKVLKETCRNKKVLIVLDDVDKKEQIESLAGSSGWYSSGSRIIITTRDISVLGVEQEQLKEGSGKKSAELWTLEMTEMNFEQALQLFSSHAFKMDYPPIDFLDVAKGVISVIGRFPLALEVIGSHLYGKQKEVWEDNRNFGERSRLWDQEEAWDILKGKEGTAKIEVLPPLLNSARRNNGHVITVRAEINDLVGDSLAVLDLSSCKISRTPNLSKYTNLERLILEDCQKLEKIDGTIGNLKRLKHLNLNDCYRLQDLPEEIGYLKALQELFLRGCAYFSTLPASIGDLQSLAILELSRVSIVRLPDTIGRLQKLERLSLKYSNIKRLPETLGDVQSLVELDLTQSKLAQLPDSIGRLTKLESLGLGGCLKIQKLPDSLGNLGSLSQLDISFTGFLELPDSIGNLKELKMLDVSNCRERKLPRSIGTMKKLEISDARNSDLQGEIPTEIGRLSRLRRLDLSSTSICLLPWTISQLSLLEALWLVNCNKLEELPRLPASLTGLRCASVILKRIPDLSNIRNLTNVAILNTGEDPTKILGLGITPGTDVIPWVDYVCTRNLAVWSPCWDPSMLEECIMVCIQILRPYRHI
MSQELGTDFKDKTQLISEIDQVSGFFRPEFGPGNYTMTIDYPNPQIREGHAKSTVSFSEVIELND
TSSSLVSSSSLMICSSAASLVASFASRTAFRLALAVLLISGSNCKEPAEVPSINTNNNTK
MGVGVGVASLMTLVIVSCTLLISVGVWLPFLVVARNGRRLLATGLPAQAVVESMADTGMSVNHRPVVSFVLQVRLPSGETYRVTHRQSLPRIPMGVVATGTVLPVKVDPQRYERLRIDWFAWRPAHHA
MKNKQRAALQRGSSASLPADTHQRKQQQQQQQQQQQQQQQQQQQRERKANNPRVLPPLPHETLKAIDEPRRQQLYLLRETEKRENPAAAAAAAAAAPLLLLLTPIAANAAGGRVLTRPSNSTHLLLPPQLLPGTGAAAAAAAAAAAAEKPVWPLSSSSSITTHASCSSLATLGSSSSSNSNNNNSSSSSGSCPTLASVNSLVSLSAVEPLLQQQQQLLQQQIEILQQRQELQQQQLQQQQLQQQQLQQQQQQQLQQQRIHEVVAQQHSELLRSLGETRVSPRQRLQQQQQLQQQQLQQQQLQQQQLQQQQQLQQQQQLLQQKQQQEHQQPQGLHVPQQQGQQQQQPQVLLLQQQQQQQQQKQHQKQLAATPVAATQCCSPQAAAQQTQRPAWLRRPALQQQQQQPQQQQQPQQQQPQQQQQPQQQQHPQLQEQPQNAPTPSPQHKQEQQQQQQQQQQQQQATFLWGPVLQQRRCQPWIDHRMQAAALLQNSSSFMSQQQQQQQQQQQHVQTARGSPLVLRKQQQQPLLLQQRAAAALPVHLNEAASCCSASHVAACEQQQQQQQQQQQQRRALLCQEEPCGLHGALTADLGHCCLLQQQQQQQQQQQQQPFQHEECLCTPTLQLQQQQQQQQQQQQQAIRSSFCLNDGNEQQPFPLLSWPSQACCLAAEHAAAAAAAAAAAAAEEAAYTPQETGACPCCSRPAAAAAAGQQQLVLLQYKDMLRQQRRIIIEQQEKIMLQQQQDWLLQQRLALLEQQQQQQQQQQQQQQVQRAACGCGYFDSVTEGYPCGCFCSSCCCSSSNSSSRVKTCCCNEELPKQQQQQQQQLSSTTSLTASLPHASPTQQQQQQQQQQQQQTHQQQQDRLRSGAWRRQQQRQQRQQRQQQQRRQQQQQQEPPQERSPQQPPAVAYRREQQQLPQKNRQQQQQQQQQQQQQQQQQQQQEGSAKGDS
MNDVRPVVGLVACAVGGVESIRESFVLPALDRGWRVAVTLTPTAGTWLTESGELGKLEAATGLPVRALPRQPGERSPHPPVDCYVVAPASANTVAKLALGIADNQALTTVGEALGNPATPVVVFPRVNAAHARHPAWAGHLAALRGVGTRLVYGPQVWELHEPRQAPSGRELPWDAILSAAADVV
MKHFVRNLFAAVVLVFSVAIMSNMGPAQAAGTVKSVTIKSPTSSSSYKTDLLYKSTGTVKASISVSGSVSKSVYYKSSDPSIVTVGKTTGSMVYKKDGTATITAYAKANPSKKDTIKVRVYDRGVQKVAITKPTTGSTYTMLRYSTADSTKQLSQSVTRKSGVSGAVTYSSSKSSVVSVDSKGVMTAKTPGTAVITVKSKLNSSMRDTLTVTVVQRISKLQIGVDGSFAKDDTPTYYTYPGMSMTTKVTYVPSNATTKKVTYNSSKPEVATINSSGVITAKALGTTTITVTAADGSKKTETFNVTVKKKSAVKVSSISITGTPSYLNAGDTKKLTADVKPSNASNKTLAWSSSKPSTLKVDTAGKITAVAPGKATITARATDGSGKSAVTTEINVYAPTTKLTLDKTSLALSETNTYQLKATLAPANTDDTYTFISDNLAVASVSSTGLVKAEAPGEATITCAVTDKRTGQITKTATCTVKVDYLYKDVSFNVNVPNLVTAVNKGVINPNFLGNMTYESGEDLFVQIQNVVAEIGKFQVDGVYFPYDVTFTAENQATGVKNVYCASVDASNQFTMTKNNVLMTSEQFKADFDQAGQQKVLLTYEGRTDETQMAAWINNLPAVIGKMTNLGIDITASGTMGTFSGSIGNIRVENGQICLDGTMTGSATVYPITISLANDESGAARFTVNYPLALQANVAALFSRWANFMENIEPAFSPNVATMSDIEETFAPEVSAEAQKATEEELKAEETPEVVETPETEVEAPKADVEAPKTDVEAPETDVEAPETDVEAPETDVEAPETDEKVQDVKEEPAEAAQTLDITEEAE
MNEQAAIRAKRAEAYREGKAKAVAERMATATYVLAITPVVHTMSVLPTTLADIPVPAAAPIVHAEPAIAQTTAMTPVVETASSVSATIPAIQAEPCVVQATTMHLAAQVAVLVPATIPFVQVKPRIARLPALPKQDKYIRRSQLVYGNGSEAVQVQFRVKWREDNARRKSQLEAQPEDKKAAYKSKWVKANMLWRNQWRANASAADKEREWYRQVEAAKRSSHQGKDGSSTST
LCGCCSVLAGLPCFVMGTPCGIGSRTGSLPGTCAGGCAYDKAWTNEWCSRVPLPPPPYHQPILMEKAVVGLPRNVRFYHQKKEEWQARFKDPPPLKYMKHYREQVAACLNHDFVNERAPTDPVRITIVNRHYEAGRSLLNAETIKDHILSLPSVREAGPERVVVEVVYLKGTLREQAAVVWNSSVYIWAHGAAMAHVFFLPRGAHAVELVQWAVKDPHDQHVWVQGIRKAFDIDIQLGVVTNDDRTKVFFNYDVIRAPNSQYHRFNDSEKVALLENLTCPEQPYANGGECFGWFHWGMSLVLKFDLLRPKVVAALKALRP
MNGLLCCRFVRYGLKHGNNETFTFMLGAYGLMLTFAFPRSAAGTRLTNIAFGLTSRETEILYTLSEGLSIKEIAFRFGITEATVKSHVFRLYGKLQVKRRGQAIARARELQLME
MTTIKELGNEQATLTNKVLSTDGMEATISKAIQSVKGVTVAEQLGWEASDILSPESITVVAWFKTDTEIDEIGISELNMFAKFIKEAGADSYESYNIPEYIYMYERILAAIQG
ALILEGKSYLTWLTNQLTSRKVQFFHRKIKSFQELTAEGVDVVVNCTGIRAGELQADPELHPGRGQIIKVEAPWAKHFIITHDVDAGIYREPYIIPGSRTVTLGGIFQLGNWSTENSPEDRRKIWEGCCKLMPSLQVDRLSQ
MENKEKYIQRIKKLLAMARNNSSAEEAALALSRAQRLMETHKLTEADADLMDINEASTQKAPLMLRRCRNIWQYWQRWSRAFLA
MQYGQIATLAIYLTALLVAVFLGRWLGRLPQQQLMNVLGVFAALSVLVLLGLAAVILPSPAT
MCDFTIHILQGMTISTKYLFVEFVISNRGRRHMRLGGFSFYAEKVFPEKSKVRWRCTRRTCRAYAHTLHDKIFALNEFVRLSVGPGGRPRLWVRGRSFYAAHTMRSGVVRWRCTMGGCGCKAYTQKGTLHKLVGEHNHVNRGGRRAAKQSNPMINARASSPPTPLLLSRIRHLDIDNFDPNAWMVRN
DVLLQVIAEEAVARAARDFDARWSGALVVDVYSGAIVPDATSQAEKDDKPDKDKGRQRGLKLSRLDVPFLAKEDVQTSRPPSMQQPGHLAKASSRVPDVKGKTVRNAVELFARAGIVPELKGTGTRVVRQSPPPGTAWPKEGENVTYILWLSER
MIERIRLNLYNAVVPTNGSLGWEQHAFLWPPAPAFDFANKIIENLAVVGQHEGCEVGGRPGLISLLRIAGPVPHCAATCSNRECAVHQRLVITADNNLLTYDDFARACRVALYHEIGLLLLLDADPFLSEIGQDDTLVQEICAEIREEKIRLKWAG
MLKIRQPVSIVFLMLGHECNLSCVYCMQAGTLRKKSKGSIPQMQGQPDKIIPFLRALAEDQKETFTVQFYGGEPLLYFATIRSVVEALEDLPNVAFSTISNGTLISPAIVDFLNEKNFHVAISWDGLNVEETRGVDVLRVNPDILDIKSLGISVVLSARAYPLDVLKPLEVHDREYALRHDGRNIGVNFDTIFDFGGLPRELLEVDLHRLSGEMCMLAAEYGKSLAGVEHNAQMARLAAKYVNQIRNTLGFCEGIQEYRRGSCACGNGYKILNLDLDGNLYRCHNTGEKVGTIDNSYGKILDTVIALDPTRDNCKVCKDCPVVTICECGCPLIGQAARQAGYCDIKRAAYLPFVEWLLRAGEVA
MPILSLCSGYGGLDLAVEALTGDKTAYVAEVDEAAAKVLAHRFPHAPNIGDITTYDWTQLVGQVDIIAAGFPCQDISNAGKREGIHGKRSGIYVNVIEAIRVLRPRLVFLENVAAIRSRGLWQVVADLAAIGYDLRWTCLRASDESVGLAHHRDRWFAVAMPSDAHCG
MAVDPPAAARIGSLCTGYGGLDLAVELVLGGRLTWYAETDRHATTILNRHWPDVPNLGDIRTIDWNTVAPVDIVTAGFPCQDISNAGRRAGITGIHSSLWTTIAAAVRVLRPQLVFVENVAALLRRGLDVVHADLAEIGYDTRWLCLRASDIGAPHRRDRLFLLATPTERGGTDAAHPVRP
MISLFSGYGGLDLAVQRALGGARVVAVSDIDMGPNAVLAHRYPGAPNLKDITRIDWHALGHVDIMAGGFCCQSVSTAGRRAGLKRGTRTGLWFNYAEGIRICRPGLVVAENVGGLLSAPSVCDEDDRRWPRRAGLLEKAGLCHCRTPDIHVDGFMPPDQSREAADTPAAAYLKYDGDGPDPADLTCAACGLHVYEMADGRPVADDARLGGRLTEPTMRALGRVLADLSSLGYDAVWRGMEAADVGAPHHRLRVFVVAWPRRTGRMDPPGQP
MNELALFAGAGGGILGGKLLGWCTKCAVEIDPHCQKALLQRQKDGILEPFPVWDDVKTFDGKPWRGSIDIVTGGFPCQDISCAGKGKGIGGERSGLWKDMARIISEVRPDYAMVENSPLLALNGLDVVLADLASLGYDARWGVVSASDTGAHHKRARIWVVARNTNCDDKENIKGISRGKVADSGRVYRKCTESNGEEMADPKDKGLQGDECRRGADSERRKEPDGSITERGTVWWSRDPGDR
MRVLDLFSGIGGFSLAAHWAGMETAAFCEIETFCQKVLRKNFPGVPIYDDVRSVTKEQLERDGVINGDRTIELVCGGFPCQPFSVAGKQRGKEDDRHLWPEMFRIIKELRPAWVVGENVTGLIKLALDDVIADLESEGYTVRAFIIPAAAVGAPHRRDRVWIVGDSNRQRCNSGSSDWQERQVHNYKERDYQKTCANRKQLQSQPWPNGKILANTDSAGWEKRHLATVTEGTGFSTRGADPRRAEAQSRLGRVPDGRRDGQTSMASRIRATATPLGAAESSRGSKTQKRPIESTRQRNCPTSRLSDITCHHGGRKS
MKELSLFTGIGGGVYGSQILGWQTIAYVEKNDFCQQVIKQRIADGIFEQGEIYGDIADFNKYHAHKYAGEIDVLTGGFPCQPFSLAGKQKGTSDNRYLFDEIIKTIKIIQPKRIFFENVRGLLNSNAIVQIFQKLANLGYDCKPPLLLGSSDCGNVHQRKRLWIYGELTNITDTTSERCKLWLEPTHIKTSTKTRAKQSSNDVAGQTDFRSTQRKYQIKQYRDYFTRADVPEPLLCRVDNESTERCERIKAIGNGQDPVVMATAYYILTNML
MIAVKEKISIEEFDGKNYQIKLVEPYDKALITHYLHNYRNGVSKHYKKDAVKVLNNFVEYKQVEEQINIVAEDALQQLLFEVENVPFPTPNNYTFKFIDLFAGIGGFRLAMQNVGGKCVFTSEWETSAQKTYRENFGEIPFGDITKERVKNYIPQEFDVLCAGFPCQAFSIAGNQKGFADTRGTLFFDIEQIVVKHKPKVVFLENVKNLVSHDNGNTFKTIISVLEDKLGYKVFTKILNSMTHANIPQNRERIFIVAFDPNQVENYADFKFVA
MIHGSLFSGIGGFDLAAQWMKWQNRFQVEIDPFCRKVLDHHFPQTEKFTDIKNLKGDKFYGAVNIVSAGFPCQPFSVAGKRAGKGDDRYLWPEALRVITKIRPNWIVLENVTGLLSIMDPIGAGNLEIAKTGVLFDHQLEKNSTEIQKRIIATILEQLQQAGYVLPQLTDGTPVIMCIPACSQGAPHRRDRIWIVAHSDDNGSTTPKIRQSNHQGNDSGKAGKESVIQPQRHDRTSEISSAAHTGGHTEPAQTRNRTDQADGQAGQQVWRKSGTIDDGTAAPYPHSNVRSQGRMHATRPETTERLAGPFHPRNSWNPWENFPTQSPVCGGDDGLSFGLADISFSKWRKESIRSLGNAIVPQVAFQIFQSIQQAEYDLGEGKNK
MMRTLSLFSGIGGFELASTAINCFKVEQFVEIDEDAQYVLRQHYPHIPIHSDIRTFSAAPGQYDCIWGGFPCTGTSNAGKREGLAHPDSSLWWQMLRIILEARPSFVVVENPEGLLVRGGREVVASLRMAGYCCEDPLLLSAKEVGAGHQRNRVFIIAFLQNFAQQQYKHQFKSCGADEMRAIAQEARHNFPIPLFVRDGNGVDIWIPPGLDGLPCHQNITISDFGVKSKTKGRIRARYTFAKTVMPGQAYIALKRVQHLAKAFGIID
MRAWLDSQKVWDVADSLSKMTAISLFSGVGGLELGLSQFFHTLEYVENSPFCTKVLLARMADGCLPSGKVIPDVKDYSPSPEVARNTDAVLAGFPCQGVSQAGCQAGMADERSALVSYVFKTWDKLLPGRRKLLLLENVSALLSRNPGCRGLMNYVIQECNRRGLKLTWCSIRLSNCGLAAGRKRIFLIARAAGVNHFPAGLKEIPFSAWQTPWNPTSTVPMELWLKDELTPEERLRLKSMGNIVVPKQAALGACVLAKMQDV
MIRRQHQQFGSSLVAAAEDNPVHPIKQGVLAGQRSLRQRLQNPGRPEGRRAFDFDLQRPVKPR
MKKNVSILLMFVYLLSIPGLAYSLHFCGEKLTSYTFKSAEKKSCVCNQAKTQQENPPAASDCCDDQQVDLSTDNKQASSFDFKLGAPAYTLLPLFFLQLISGLLYSYEAAFFPDTTHTSSLKVPIYLLNRYFRI
MARCHILNLDVNDKNRVTFNEITKDTVKDAFKNPRTIDMKLVNAQQARRVIDRLVGYSISPLLWKKVKKKG
MTFLLAFSASSIPTKAGVANSLDSRASVNARFCESLNAYSPNLGQLEAACTAARLKIHHINRKGTRSLKLKTNIHMDTFLKIMASCNTITGPLSVVVNSVTSSSTGVFGTLLLPTLTKLTTHLDASVNIVSNLAHCMDAKVCETIYLRFGTFATSQRKILAVITARGSLWADLNFKNDLHGLLVHLKQSLDVYVNHLFNYISKDLDVLNLRLSLFANLQAAIAATV
MNNNLAKTLNALFKLGFDPETGKSPILDISKIESLSGVRQTSNGSRIIRRDSPIFKLLNIKWVYEGKQLKAIKFDGFKKKEDLIKDVEEDTIRLRQKIVSLESLIGTNNNLKQNLLDSEDFEGYNSNDLLMAMGMVE
MSPGRTRRDVIKYGGIAGGASLLAGCTGNADQTPEPGDDPTETPTESATPTEDPSYTVELSPVGEVTFEEVPSNVMAYSPQYIDMLAALGHADSLNSMGFLGYAETMDYFFTHLDGVDIPPTDDLTQLFSNGSFDKELLYELDSDVHLMDPAWLSGFEGWSKADTEEIRDTVGPFFANRYSRQHTQPPEGWREGYRYYTLWELTGKVSQVFQEPERFEALKAEYESLYGTIRANLPPKDERPQVGLLSYYDGQFYPYKISGPGFGKAHTRPMGAIDAFADSDRTYDENYNAAYDFEGLLEIDPDVILHNFAVTPFYDWTKVQEEVTDNDVGKELTAVKNDRFYASGQSFQGPLQNLLQVEMTAKQLYPEQFGEWPRFEEGDTYPDFDEDEQLFDHARVAAIARGEL
MAAGLHRLERALTVAEAARRPARWDSVPEVRGAVVGGRVGRVVVGRAEVGRAVVEQAVVEQAVVGRAVVGRAVVGRAVVEQAEVEQAEVEQAEVEQAVVGRAEMATAVTATVATATAVMVAVVMEMAAMATAVMVTVVMEMEVMAMAVMAAVVMVTVVMEMAAMATVATAMEVMAMAVMAAVAMVMAATAMAVMVTVVMAAVAMAMAVTATAVMEAVAMEMVAKVTVATATVATAMEVMATVAMETVARATVATATVAKATAAKAAAARAMAGVSVEASVAAMVAVTAAATETATNASCNP
MPLPRYRSLVTSLPPRLRTPQGQLFTLRYVGRRTIFRSGAALPAELSPRVGTIFLGLIGLGFISTMIGVYEFYSSFAIWPEEVRADLRAGVKAKQQGNLALSRKLLTQSLQTALSLPPDRFSPSPYLKLSGIAITLCEVLEQDNKSNEAYEGYTSALEHLRKNWSVLTNEEKLRAISLGQKLGEMADTYQLGEAEEERWLTWSVEEVLKLAKAIGSSKPGSKSEENDLVLSNLELPKWVSVTDIGAPLETLGAFYARSGKSTFAVPLYLHTISLLVPPLTSPRKATTEELCRGAQLMSNLSELFMRSTPTPAALHQSEAWARQALSLLQKTQENASRSDDSRLCEDALAVVLFNLGSLREMNGDSKSARQLFEQSFEQSKKLKSQEGLIQARRAIRRLDIKQYDVPQQPSPRLDTSTQPGSLPNE
APDVASGAAWTTAGEAASGRPASGWGTPAGTGWDAPRPQPTSAANPRPGLGDRESTTDDAGPETPARP
MNFEERKIAKQEFEKKLTLLLSDIRSALGEGAAKEVLQANFAEELKLQHTKKAGSPLAIDEKGLKSWLIKHLSPDFYLYSEVSGTHLITENQVYADFVAYPRKHLIDAGFVPKPFGIEVKHIDPELQFVKKTSRLLWQTVTYNQSEFTLSRKGKTRVFRLPYSVIFTNLSFDQDFSFVSKIDHNLVSHSEAWRGMKHLANHANVAELNVRGNLREPRLFFIHFASGTYFSWGYDNTGKRFYKVTNSDLAKKVRVGSF
WLARYSAAGATSSARQERAIGWRASEVARGASGSAWRPKLRWSSGVSIAPCRMAFTRTPSGAKS
MLCLAGVVPGRGTDLADNTHLALAAIEAAAQAADRSGRDEGAARVFLASSAAVYGAGSGLLREEEPVRPTHAYGQAKRDMELQALARGRSWACRSVPCASAISPGWMLFWAAGDRDSLWIVFPTARARDAVISECAHWHRFWQPCCGPASAAHRQSGAAGDDRHGDLLRAAGREFALQPASERAIPEVAFDLTLLQKALSQKICLPQRMPLVWQGNGPY
IFQLTVIAVLLFHGPKIFGIDDGISDIEDVHAPPSTHFTMIFNSFVFMTLFNEINARKIHNQHNIAEG
MSNYIFLGFISIYISLIPSLGETQPIVLFIIGLIFFFDGLINKKNSYYALLFAILNFFLLYSVAFVFFADGDSGTLIKYLVFIFVTIAMCNLKSEYLSFNNYTIIAFFFIIIALDQKFFGNNLSFVFDVVTPRVNSSLEAGGRGVPIIAPEQSYMSLILASLIIMCNSTYIYSENKISKKTFIFTVVLLYILLILTGSLLSFLFLIGFLFIQFFKINHLPYLMIFLFVFILIVSENERVVDLVITITNIKDFTWKDFLVLMTIVEPSGSTRLILASLAVMYSMDNFIFGGLGAIKNNWFLVAHENGWDFIAYHSVLGLQYKSSMPTEPQTIFYSLISDLGWFMSLIIILFLIVFIFIKIKFDRLTLMVFYFLSFILFFQSSITSPYIGVCVSLLLTSCRYERR
HLHYDREVAPDSLRNSAREVESFHAQTPRFADWPNLRDPERRLRIGYVSADFRMHSVGYFLSAIFLNHDAASVETFCYSGCT
MEPSNFITWVLETYKTVWEKGYERGEEEKRDKGHEDVQKLDLESIIQEFKSRLIEEYKASKNPKKKAGE
MARDAINLWSATIIGQVCLSLRGFRTDFSELLRQFMPAGATALATSLATARAGTAVTEPITSPTPDLQRVAPRVVFIYDRRRQTVKLGKAPPPVSVGSEILERYKSYS
DESGYRWSRDDHSASRQSDYRDMRDGFRRRSFYSSHYTRDRSPHTRELPFFRESPVGRKDSPHSRSGSSVSSRSYSPDRSKAYSFHQSQHRSKERPVPSMKTSRDTSPSSSPAGPSSKALDKPSRLTEKELAEAASKWAAEKLEKSEETNVPGISEFQVGPTTQLFIENPEETDTNATDSTELFEDSQLSSRSKAIALKTKEIEQVYRQDCETFGMVVKMLIEKDPSLEKSIQFALRQNLHEIGERCVEELKHFIAEYDTSTQDFGEPF
MPFVPLEHPKCPICGISVYAAEEMLDAGAKWNKTCFKCALCNKRLDSTNATAHGGEL
MPKCPRCQKEVYFAEKVTSLGKDWHRPCLRCEKCNKTLTSGGHAEHDGKPYCNHPCYAALFGPKGKPGRGGSKEHFLGHRD
MAELAQAIEQANAKAEQRFDTFKTQFESRLADADQRQTRRLDEVTAGMAGMVAAEARPILNDVREENEAIVRRVEGLDTNLRKFDEQAARMVTYFNDATSQMEARQDELAEKIELDMSTKVDDLKRLVEENDSSVRKFQNEVGQSVTQRLNDAEDRFNNRLLAAESRIQEESGQKIADIDLHISRVSGNLDESLAVMND
MDILSPETRAQRAIQSYKLGHFKSLKAAALANNATVSTTYYRTSGRPSNSQKSQKQLALYVNKEKVLIDWIFQLHRLGVPTTPSRLRDIANYMY
MARKGTKKKLDDEERVLAAVKAYRSGKFKNIRDAAMAHDITYGKLRNRLAGVQSKTHSHIDQQALTPAEEKAIVAWIVQLDIWGFPPRMKYVKDLATNYVRSHGVKNPNLGVNWTTRFLTRHPELESKFAIRLDKQRGFANNPKVIKDFFKKVKL
MPVIENDSERIVKDLRAQAVDEVSRTILHEFAPLIGSLRLVAEVEIDSYETSRTKHCVERLNGLLEAVGNLKKAASTPSYSEFDLSELVAECVAVLNISSEEIVLRIAGIKPYMVQADRDSLGLAIDNGIRNAVEAVREFSKLDPAEILINWGPAGSENWLAILDCGAGFSGNPDEAFKLGVSNKGEHFGYGLTIAQLSMRSMEGDVFVSNKAEGGARFELRWYRDYADTIR
MINQELLNILENGLSSEKLVTIANISNLVSRDDLVNLRRLSENEDDIFVKNAINRIISKISSPEDNEVEIINTELNNNDFNKKVKSEAIEWVSGTIIHELSKYVAQIQLEAENEIMDYYNSKTKNKIDKFIEVFDAISDLKKATNLNNFINFDLHEYILNFIEQEYSGFSDNINLIGSKPFNVNSSKGLITLVFKNGLKNAIEAVSFKNIEDQRISIVWGRNNSEYWLSIIDNGYGLSDKSKSQLFTIGTTTKESHIGFGLAIAEQAIQTLDGKIELNNSDKGGAEFKMSWRSI
MSKAKKWIGSALGLLMIFSVSGYHIWHSICTFLINAAIILLVPQSHCHLVSFGFSFLYLLFFRTTIYFGIPYPPAHTNMIQMILTLKLAGLGFEVNTAYLNRKKGSPEESSGEDDATFFDVFHYTFNYIGLLTGPYYRFRTFKDFFNRPFGNYAACNEETMKKLALVPLFVLIFLGATHFWPLAYAQSDAFYTDHSWLYRLWYTWPTFLIFRMRLYIAMTLSECICTMAGLGAYPQLCAAKPGQGPTENLKEMDAIASNPDRRKTEQYDFETIHNINPYESDFCTTFRNGIKNWNICIQYWFAFNIYKRFPNKKTENRCDNGIISVLARSIHRSLCVHRFGRVLSRHRGRLHQIIFKGEFGEVIKNMGVDNMVLKNASFFLFVNGICLALSAKHSQVLCVMLLRRVYQRCDNVWGRFPAIDEQTKRQG
MVSSESFNKFMEDLAHQIEDVDKANKQKVEDKLKAISENYRQRVSERLAIEMTSAEECRKIGDQKGERHHLVLAEIYRSLFSVQTTT
MDTKASTNFWWMKNPSAVSQDSENNLVVPKLDLRSPSSIVDLLEKDGSSNAGEDVDVGSIIDEINRVAAQSPLGPYDKNQGERSIEDLMKEAERIYMESSKSFEQLSQRSKTSQNISDLLSSLSKDSTPTPKSISPLPMDPDPQHSNDSDDYTEDFSEESKIESNQSSNGKHESDYVDDKDSSESSNSHIKSLKANIQVKDSSMSISKSKSVSSMREILKIKPNIMMMKTSMSVSSIKQSQDSGNVIPEKDEVASNIFSEKDSSSTEIPNTNNYKVVPTVPKVKADDEKLIKLSEVIELKNNLIKSLEEDNRSLKMNVQEVRIELQKTNALLEQTKAALSTRTVSSPEINLELEKALEDLKDSREAKTALQLQLDTINKTHQLLKNSYEELSNSNKHLERRVVELDTTLDKYKGELLNLQQTKDKLLQNEMNLNKLLEIEKLQTKSLKLQNEKDSRCIQDLNRQIKEMERIIARKHPDSVSALIVAAKENTTDSSITARKILEDRIKALEQEQFNRESQNSKVFMEIQEKFNQMKAKYENHIEDLELHVSDLKNQLKMKSDTYDVYTQTVIEEKIPQKETFTVFTQTDPVRKVVPSKKQENGKEETHLLATIRGLQADLANKEKVTT
MRSKRLYPRRRKVGRGLCSERSLRRSVADLVRIGTAGSRNIGRAVEASLAEPRHEGGGIDREKARGGDPPGDALIF
MAHQEEKCPYVVGNTIKLRLGAPYDQQEITTKIARVFEPFTLSCAMVVLLDHPALGLTGHLVLKLFDRRFAARLRKDHKLNLWTPEIELQYHDFILDGSASEFITRLNTDSKMAEEEGDTWNDPQNEAYLHDHMQDLCGTEVEAYQTLKDITSLSYLRASLCLAPLHRRNLRSLKAWQSIFEDAIQIVNLLGDVKTRNFIAQENQGSSRCL
MAECPYAVGNTIKLELGVPYESLTLTVTHIFEPFTLSCTMIGQLDHPPPGLNNSMVLKIFDRRFATQLRKDWKINAWCPDTEREYLQFIKDGKAPAFLDRLRSDKNIVSEEGDGWSIAQNEAFLHHNMQHLYNTETEVYETLKDVQGTDTPRLLASFKVSLPGLSCHYTEINGIFLQYIRGFPLNDLGKQAPPVAWQSTCEQALRLVHVLTEYGILNKDVKTRNFIVQQVTEDKQAPRFHVFMIDFALCRFRREYENENEWWRAKAHQDEEGAVGQMMQRKYLKGGFTYRPSALAEWLGDQYMREE
MKFLLELVTCYGSSSTDSEVRQPAEESRSLVASGARRRVGGKRGKVVGVKSAGFNKNLKRKVGSKYQDPSYCDDSRRASISATVPAFSPTAFLF
MERVYTEKSVRELLALSKLMMLYYPDNYLSGGLVSALWIRLDEDDEVYGFIKSWYLWEESEDYPRRQMGPTPIKNPDILEDADFFLGIETRFMDGTDTITFLLSLTLLKIKILLDLKDLHQARKAAGPKVPQEVLDEILAKIPRSSSIKANRRIMSSPDLSTEISKLETQVDAFYNKINRTNSYWWRTLAEQPFDLVQTVVARSQNACRPESGSPLEAAMWITQRSQRFCWTETPGALDFLREKNANVPLPRLSETYRVYGRRAEDLVSALYKSQ
MPDSSGFAWIAMSATLVGGLTEQLVRGRSLGLAIVSTVVWLGFILAMSRKGGDFNRRLAAALALLSIAIQVLLILSTWIPVIEWPVAIWSGVAVMHLLSQANNSGAGAWR
MHVKDLKTFPLFSEELGIALNGHDDRVLFKWLLASVLWQADVAPEIAYRGFRTFERHHLLEPNRIVLAGAAFILHPVLHEAGYLRYDGFFARNLVDMCQTLQEFYQGSLVRLHDMASGPVDLECRLNALRGFSRPGVNLFLRELRTIWSKADPEPLPEVWQMAEKFSLDLRKVPRRSLEFVRLEAGLLRLHRGRFLRRRAQ
ADTAEEVFGGPRGILAWGPDLPGAGRTVAAAGGYRLTGRWGFATGSRHASWLGCHVPVFEPDGSPRLLPNGRQQVRTMVFRKSEAKIIDNWHVLGLRATGSDSYELTDHFVPQRYTAGRDNFDELREKGPLYQFTSGMIYAMSFSHVSMGIAKGAYDSFIEIARDKVPRGAKGTLRQNNVIQSQIAQCEARLKSARAYLRGVIGEMWEEARETGKISPEHHPQLRLAATWAIHQARDIVATVYHAAGASAIFEANPLERRMRDIHAGTQQGQGRAVHFETVGQMLLGLPPEGRMFR
MGTGEATVKAAVIDRVRALESVANDHRAEFDQLRRMPPAVMQAIIDNDLLRLWAPASVGGAALSAHELVDVIIAASEIEGAIGWMLTNSAAASRLAAFLPPHFAHAWFASPRSLTTVSTAASGRALPADGGYRISGRWPFCSSSQEATRFCGLCEIVTPENSTDTPELIFVHLDIDSVKIEDNWQVSGLRGTGSCDVVVDDVFVEANGVHPFVNPEPREAGLLYRLPTLSIFPLTVSIVPLGLARAALKEFRSLATKRSRAGSSLPLAEREWIQFEIGRAEAHQRGAHAFILKALDELTSSIDSAPERWIEARVNFRLALTYSANACIEAVNIIAACAGTSAIQETHRIERIGRDMAAATKHVAMSANNYLVAGRVALGQPPGVSRF
MPNSTTSVVRSADDLLTGLDEIAPQLAADLDADEDAGQISQKAFNLLEAGGYTNMLAASEFGGLDLGPLDGLRVMERLSEIDVATAWTVMILNTHLKDLHYLDPAAVVQLYTDGPPRIAGQGAPMGKAEKVVGGYRVTGRWSYASALPFADFVVTLAVVVKDGTPVKLPSGAPDVIIFITPKDNVSDDGNWKVLGLRASGSNDYSMNDVFVPEEMTRSNVYQADFQWGGRSALLTMTGWLLWDHTSIELGLGRRLLDELAAYARQASTRRGRLADSPVFNSEFAQAEASFRSARAWVYRVWEDVQSAIDADRPLGRAQLTETRAAMLHIHDVNERNASFVFRQAGGASLRSGTLQRLCRDAMATGQHILLSTSSYVDCGRDFLGEAEGQQWSYYNLV
MTTFNPLDAVQSLIEEVARRGNEIEAARRLPPDLAGKMASAGLFRMLLPKTMAGHETPPTELALAIETMAQGDASTGWCLMIGATTAFMAARMELDAAREVFGAPKTIAAGVFAPMGKATDDGDHWKVSGRWQWGSGAQNADWIAGGAVLTGPDGKPQLDADGQPRHRMMIFKASEVELIDTWRTSGLCGTGSLDFAVKEVRVPKARSVALHEDAPTLKGPLYKFPAFGMLALGVAAVALGNARGALMIAGGMAQQKKQQGSQRTLAERNTTQTDFARQVAALSAARAHYFECIGVLWAALEAGQDPTLEQRNRLRLACAHAAHVSADVARFAYDMMGGGAVFLENPLQRRFRDAHVITHHAMVAPSIFELTGRILLGQPTRDALL
MHSFGFRANALLTFSVSNINRFQKSKGNDEIVLTDFCPQTVIYAEKKRLGVADFSLGFRWVEEIRVKIEARIRVVILGFRDEMMMAVVVMEHISLYVIIFFVLCCWFDFKCYHFLQVSMTLNVSANLQSLFTWNTKQVFVFLAAEYESPKNSLNQVSFCLSSIWQHAMLRPGPGSLFRTDLQDWSSRMVDPIVVKALKVRLGVGLEALDPRPNKAQVVHLTGGRARHLKETQHRRFSCDCASGVLSRAQVALDCIHAFVFFSVVSLWDAIIPSKEQAQFLIQTKNKYRFTDQGTNLRGKEYNLTLHWHVMPKTGKMFADKIVMTGFRLPEEYR
MLVHVPPQELYNQSGFPSIFLHGWDFYFFDTCTPGSAFHLQKMLQIVSSPKKQFKEPSKYILCDLNVLFDDCLWDFCTRIQIFLPQLPREMFTDENAYDFYRNQTSTTKRVECLKKILQRLTQLQEQMMNIYHEQLSKKKNSSQSPYNIIYQMSKDILCGKRLIGLVDALQLQIRASLTNFVSNLLKYLANDYGLETLSKLSAKQNNVGSTLNLIDYTSFALDVNINEKSPSPTQGIFQLVTHYELDCKAADELCIRHLLVNLMAMIIKGGAKSFLWTFAFQPLAIENTFVKKLIPNKISITQIALYNTGVITLNDRNCSWIDQILRASLMLGKNYFPKLDTSFNFDFAYIQSYIIRTYLLLCHINYQHIAQKYQLYEPRKMTPVVLMYDDETFDLDENYSVPLEDDWTHLNDMLMDKLYHGYNLLKRIASIIKNESTDSSSVSVYELIQSIPQNESLMQQLVQYDVRDFKLCHLNLVGKLYRTLIKTFEYSFANIPDLLRIPIDADLSNTLQQIFETKLLNVTYEENIDDLQSHIQHINQFLYDLKSIEDILLQQSNETLRFTCLYRISTDYLSFIGEKKTNVFHPMIKWQHIEVWRKLVLPEIESSCVYWNRDNESINDENQSIASLEIPVTNVDGISQDQTMHIIFSFGIATQHIRTLKSMTIARLLNNRYWSNLDMHTSLNDCCLSLSETMDKILSEVDLQKSVGAYQCNDSETIHFRVLVRLNILINDDQQKMEIFLKDSTTTIEQLLQQIQM
LLRDNSPFLKRINSYPWINLLSWIVLGFILFLSGLGHQSILSSAVRNGLFIAIGFIFSQTLSKVYCLFIRQNESIILILIIAVTLSYIAGVLTGLILNPITFIGFRGGLGNEPLRALFAGVLNFGLVYACWSIAYLLFQIKLEDTQGIPKPEYVKRISVKDRQSIFLIDITEILYFEAYGDYIRVHTATKRYLIRERISELIHKLDPSFFFRVHRSIVINSNYLESLQSLQNGDYKLTMNNGSVVKMSRNYRSVLQQLTGE
MTPRIITFFLGLSFILLVSCKDDDKSYPSVTGKWQGTLLTAEITVYGVTLPNKQTDDTFDAVVEFKSDGTAILTDDNQTSTGTWSQNNDKLTLSVTFGTEFIDLSGTYTIQEITDKKLTLYIEKDGSYTDPDTKVEVQGTVKATLNFDKKS
MVIMVNPELKKYSGQGSIITDYVHDGQAVPGSMTFSLYDEALVRALYASEPSDDLQSALTDINGKILDFLRTHQTQMP
MRFHPCTSGCNSTESFPTTTLIYVTEAALGVGLSIHSQLKCCNSTYL
MTARKFWKQRLIRLQAMVMLGGAIGAGDKGADGPAVRIARGFGGLSFPLYITRFPLI
MGWLFANVKDTDGSNHLESSQDSGVRRSRTHNATLKVASARPAASQAASRSPPRPTPRDVACHRQARCPPVLDGGSPRDRLPYNSPPPCHSEPSTKQLAVSPSSSCHPPVPSHPSARRKQRPPRKPHQPPAAQNPSRPSDLLMVMEQQIRGASGTGSEVPVVNKPEDAVPVVLPLGPIDREEALLPATQEAVAHQVVKEVDDSGTNNYGTSKNTKDNNTIHKRKFEALETNVATNTNTEHGDGQSPTEDIQASVQMDKNRTENNSKSRNIPQDIIVIPDVDPVINDQALKSQKGKVTEKSDGITCNMYFQRYATLRLLQKMRDDTLHEHHVLGDRNAEYEMDIQTLLTETEMTPKLTSILKKHENIWKTMEAANPISSGEGCQTMNIKRKKLKEALLLRNNCQELDDICHESNWILPRYSVLPSVTGDMYQASVHLTGPDFNLSADGDMKVTPHDARDSAASNMLSQLQQKAREDLENSMSAHNP
MALGGSRSSDVSVQESSNKSVALPSEPYVLQQIAYSEAELKTGIKRANLRFLEEHRVYSLSQKGTATMMFVLQYEQNISNKLKETPLEDLVNRMSGPIFSSDPCLGTTSVVDCYHLLPYKEVLLNILNRAWPLDSSLSAPKERLFQNGNPSSHSEIDESLKEQEANSKFKLKKINTNVSTPKKNKQVVKAVSDSGTNNCTTSKNKKNSNTNSKRKSETFKAALLTYPEHGDGESPAKETDSLAAPDVEILKFVSAKHANATNGGSVDLQARVQMDKDRTEKHSKSRNTIQDIILAPYVDPVINNHALESQKEKVIVKSGGITDNMNVQKYATLQLLQKMRDDTLREHCMLGDRSAQYEMEIQTILTEGDMTPKVTSILKKYENNWNMIDAANPIPTCSGKGCQNMNLKRKKLKEAILLRNKCQELDDICRESNWILPRYKVLPSVAGDMYQASVYLMGPDFNLSADGDRKITPHEVRDSAASNMLHQLQLKAKEN
MTKIKVIDSRKKDDFENKVNEYLKELQNHEIIDIKYSASVVNSLGLPLIYSAMIIYK
MSELIKIVPSISAKQMLEALKLKDFKENIPCESNIRRMIKKMKDEVAGDQKKAEVCVKEEEEKVNDKKEAKKVNDKEKEEINKEIVEHCFDFTALNIIDNGRIVLNLHSTRDKKMIFLKDDKHFKNNELKSVRGKIYVGDYSTKNGFTYSCKKCRNIYDIQSSAFKKNQNNNEPSEKCPKIIGRVVLDDKNILNESLSSFHSNKCESTALLSVIIQQIERKFYGMMEEHTLKRYDANVQFYEFAAKIALSNNLSIFEITSSVDFPDYSTFSKNADYHKRKINYKCVSNDPSFLNTIDNRPFIRVIQNDMILCVSNIGLEMMAYANIVSSDGTFEKSSSDHQQIYNFQAMVAGRFYLSVFVLMKNKNKNSYRIVFQLIKDMVEHEYEEKKDGFIPFSKKILFIDKEMAVINVASTIWGSKVRLCCFHTISAFQKKLRNLNLKKYYSSIQRYNFRNISSTDHLEFYDIIQAIYTLPYFPYEHMIKFWKDELKLSLNKLKIHPTRIKQFVDYIDSNWMEKKGCYHPEQMSIYGLDIRTNNACENFHSVMKKCSLFLKKGRVNKVCGALKLIEIKYSSEYLKT
MESLSPPGRRAAVVMAGLMAISGLFLAVVILLPALANAPSAGLGFGTELISLQAGEPAAFELDPGEHNLYYIYDNAVYGAAYSVAADPPEVTCSVVGESGAVALGEPRRYAAFDFQGVAGTAVAAFDIRQPGEYVITCDYAGAAPQPPPFFMVGRLTPLTVVGAVVSVLARGVLALLAVALLGAPALGLYLSRA
MISIYCLKNSVNFIFREVKLAVVAYFIQNLLWPYCEIVIPKYSVCLLFCN
MSSYKYFDELFEKLVELHEQLDTASMKEKNIIIDELRNVQTQGDDIYKQWLILQEYIDILDQEYNLSMKQEKAAETEYKTNKEVAPNGDTSNLKIDTKENELPDNEQKTKQIFWVADEEAVTTFRKGIGYFELLMFENSIEYFKETVNNDPNFMMARVYLGLCYLSLGKFNEAEEELKKSLLFAEEGNPYITLANHALGCVYAQLKKYELAQQYFQEVIHIDENFKNIYFNLGASYYNQQNYAKAKSIFLKGIKLNPKDWELYFLLGKCNMFLMDFNQAIKNLKIAFQLSNRIEVGLTLGKAYEITNQTSMASGVYENLLELFPKEAELYHRMGWIHLYNNEHNKAVIAIKRAIVLDRKNESYLFSLGWVYLHANKKTQANICFKQIEKCNIKNIYSKIGFAKLANESDSYNNAKEYLLKAIKDTYTKHELGVLAYHLGYYWLQRKNYNKSLNSFKASLRIAPYLTESNFYIQVLDKITNKEKQPIT
MSDASDKAINEFFDEIMKVPWGSMSKTQLETLVFILLVDTGKISFKTANAKNLSNYELAMLLQITPMKAKTLRYRFEQQKLKDRKLDFSVVIEKADINFEPDDKNHAFVQIENGLYADFLRNRLKEHASVTWTYPGSDVLKVNRNALKEVLEEESLKFANNKKSNKSQNTRDTYVKMLDGLFDQERIDQKKETPADFIRKNINKSNLAKASKEVSRKVAIASAGELLSMALKGLITFA
MTVTLAASVAGRVDRVAAAHDTDRFTTLTAVFTLLAGRWAGAADVCVGVAVGPDPQDPSALHNRREDTRTFGQFLSEVRDGLRDLAERRHEHDDSPRTAPFRLMTAMRDGHPAPVSYTHLTLRTIGLL
MSCGAAGHAGAGAEGRAVEGDVAEGRPVEGDVAEGRAVEGDVAEGRAVEGDVAEGRAVEGGVAGGRPVEGDIAGGRAVEGDAAIQGESSSMIAPATTPPQQRTSAPVTPATARSTRPGVQG
MRGYRAWKRHVTLAMVGYALPAVAAARGRGPRMPSRAHVINYPQHGRRGHQPVICLAPVVLGGAGIPLGLGLAGSVTAIVVFGYDALRAE
MSYHVNPFTLLGGESNINHINLTSHHHGSKRFMSPILSEIFISGCMINSTFRRRTHLVQSFSVVFLYWFFICGFLMASSSGTSSGSSLIQNSGSEENLQALLDLRKRKRMISNRESARRSRMRKQKHLDELVAQVAQLKKENHQIITSISITTQHYLNVESENSILRAKVSELSHRLESLNEIISLLNSNYGVFGDSSIYNEPPADSFLNPLNMIHLNQPISASADMFQY
MAGSSSTATGSSSGYSDQEAGRVDVKVVDQRKRKRMLSNRESARRSRMRKQQHMDELVSQVGELKKDNSQIINSLNITNQMYLNLEAENSVLRAQMGELTHRLQSLNEIIDCINSSTTTTTETTTSPYPFDHHHHHHHHNNQIFDDQDSQMMMMMINPWNSLPLNQPIMAASADYQMYMY
MPQILSELFRSEFMINSGYWRRTHLVQSGTATTSSGGSYPIQNSGSHEDLKRLMDQRKRKRMVSNRESARRSRMRKQKHLDDLMIQLSQLRKENNQIISSVSITTQHYMGVEEENSELYGGGGGGTEFVDEFMSYVYANQPILASADMIQY
MDLISLPTPLPSSPSGGAALPWREALPGLRILRRGLEPVRAIQIYGQRCSGTNALARLVERNLGPDLFFERYGFKHWFVPDQVLFGADVLVVVIARDAFDWLRSLHRQPWHVHPDIKRLDFSGFIRSEWHSVWDDHVGGIDERHPMRAREMLHERDPLTGSRFAGPLAKRRAKLAHWAGLEERAPNLALLSYETLRRAPEQVVRHLGVAAGLEPAVPFQPVDSYKGQEIRPFRPTPYDPFRDEDLAWIEARLDPDLEGLYGLPHVFAGRPS
MLLGFGSELQVVHDLSPGMDLTQGIGEQRARIGTRSSGTGTRSSGTGIRSSGTGIRSPGTVTRSSGTGTRSPATGTRSSGTVTRSSGTVTRSPGTGTRSSGTVTRSPGTVTRSPATGTRSSGTVTRSPGTETRSSGTVTRSPGTVTRSPATGTRSPGTRSPATGTRSSGTVTRSSGTVTRSPGTGTRSSGTVTRSPGTVTRSSGTGTRSPATGTLSSGTVTRSPGTETRSPATGTRSPATGTRSPATGTHSPATGTRSPATGTRSPATGTRSPATGTRSPATGTHSPETGTRSPGTGTRSPATGTRSPATGTRSPATGTRSPATGTRSPATGTRSPATGTRSPATRTRSPATGTRSQQQEHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHRQQEHVHRQKEHVHQQQEHVQQEHVHQQQEHVHQQQEHVHQQRFKQQQQFTNRNTFTSNRNTFTSNRNTFTSNRNTFTSNRNTFTATGTRSPATGTRSPATTRSPATTRSPATGTRSPATGTRSQQQEHVHQQTGNTFTSNRNTFTSNRNTFTSNRKHVHQQQEHVHQQQEHVHSNRNTFTSNRNTFTSNRNTFTSNRNTFTSNRNTFTSNRNTFTSNRNTFTSNRNTFTSNRNTFTSNREHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHRQQEHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHQEQEHVHQQQEHVHQQTGTRSPATGTRSPATGNRTSPATGNTFTSNRNTFTSNRNRSPATGTRSPATRTRSPATGTRSPATGTRSPATGTRSTATGHRFISNRNTVTSNRNTFISNRNTFTSNRTRSPATGTRSTSNRNTFTSNRNTFIATGTRSPATGHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHQQQEHVHQQKNTVSSATGTRSPATGTRSATATGTRSPATGTRSPATGT
MSARRDTTASPTSYRTSSRTSSRTSTGAPSRLPALVASLAATVVVLLGSLTSSVTDASAGQVLLTAAAVGVLTAVLASTAQRVVQPLPVRTHPSGVSGAVPASTAYWCALDAPSCPQRPRAPGRH
MSKGIQKGKLRINIFIKRKSSERPVVFKSDGKRFPQPFTIKLNQDETYEMRLVLQPVFPVEAFLLHEEKIKLEPQKNASKYQDEDSQITYQGEWTPRSFERSARKTRHQLKLCVEFKEFGMILGIIQCKFYPVEETSHSSWGESLAAVQFECDVSPETKSITINKHQLL
MARHLYTLIYSLFLPIIVARLWWRGRANPGYRQRVAERFGFLPHRPRPGGLWVHAVSVGETLAAAQFVKQFMVQNPDTPVIITSTTPTGSEQVKRIFGERVFHMYLPYDLPSFINRFIKSIRPGALVIVETELWPNLLACCDQHDLPVVLANARMSERSARGYGKVPALTRPMLHSLNIVAVQNPTDGQRFIELGLPTGRLKVTGSVKFDVTLPEGCHQNGTDLREQWGVSRPVLALASSHPTEDEQLLDIYPALEEAVPGLLLLLIPRHPERFEPVTNAARSRHLRVHRRTNGPASNDTQIYVADTMGEMLNMLAAADVVVMGGSLYSGGGGHNPIEPAALGKATLIGADHINFTSIVTELTDAGAMAVCESLPALQEEAIRLLKDSTAREAMGQKGLGVVETNRGAVSQLLELITEKLRKN
MLRLFYTVSLYFLTPLILLRTLFRAIRTGQHGDRWYQRFGFIPEILSHRQVIWIHCVSVGETIAAVPLVKRIQADYPDKILVITTTTLTGSERVKSIFSDDVYHFYAPYDLPYSVKRFLKKIHPNLLIIMETELWPNMIHYCSSANISVLIANARMSNKSTRGYQKFPRFTEKMLKQISYVVAQYENDGKNFLKLGLPESKLIVAGNMKFDSSLEDELPDKASKLKDDWSFMGKRKIFLAASTHAGEDEIILEAYKQIKKIYPELLLILVPRHPERFNNVARLCSKNKLNFIRRSDNKKITINDQILLGDTMGELKLFYGLCDVAFVGGSLFPIGGHSLIEPAIWGVPIISGPHLHNFLDVSKLLEDVEGLLICVDSKSMAEIVCGILKDHNKAKQMGNAAQLIAYRHRGALEKTISIINSEIS
MRWWLYNVLFAVAYAVMLPQFLLRMKRRGGYRSRMGDRFGRYPADVLARLQQMEGTVWIHAVSVGEVYVAGQVMRAMRAREPGLRFVFSTTSSTGWREAEKQVGPSDVLVYNPLDFPLCVRRALAAIRPRAFILTESEIWPTLIRACHRRGVPLFLVNARVSDRSAPGYRRLRFWFGPVLRMFTAILAQSETDKQRLVDAGADPERIEVTGSFKFDVAIRNPVKEQEVAAFLSRLDCGPTRTVLLGGSTWPGEDAALLRIYRRLRPRYPDLRLVLVPRHFEKADAVQAGIERAGFTCVRKSKEMSDEPSGRDTASMGVHHSPPDIGPASPPVILADTTGELMGFYGNAHIVFVGKSLCEHGAQNMIEPCLCGAATLVGPYTENFRPVMSDLLDSGALVQVTDEAMLTREIERLAGDAEARRALGARGTEAVLRRKGVVERSAEALLGAIQRIESHPEGGVRS
MSKKAASGKLLGLYRLVTRAATPLAGMLVAWRTRRGKEDPTRRNERFGFASVDRPKGPLLWAHAASVGESVSILPLIRKLHDTFPHLNILVTTTTLTSARFVQRNLPERAIHQFVPIDMPVFVNRFLGHWRPELIFFAESELWPNMLTTAARAGAQMALVNARMSQRSFSRWQYFPQTIRSLLSCFKVCLTQSPGDADRLRDLGAPHVMHTGNIKFDVPAPPADPSELALFRAAFAARPRWAAVSTHPGEEDIVEEAHVELARTRNRLVTIIAPRHPERGPEIANMLSARGHTVQLRSQQRQPQRDSAFYIFDTIGELGFVFRTVPACFMGGSMVRHGGQNPIEPTKLGCAVVHGPHVGNFTEVYGALDLGGGAENVRGSIQLAQAMGQLIDNRQKAQLRANAAQAALAPYSGALDATLAALEPLLSAALMPNRQKPPTA
MALFIYRLLKVLLFPVIFTVLMWRGFRGREDRDNFAERRGFASQERPAGPLLWIHGASVGEVISTQPVLRALRHRQPNLNLLLTSGTMTGRRMLMKISAGLPGTGTTCVQYVPLDTQAATTRFLAHWKPSVSVFTESDFWPELLSKAPNPVLLNGRISDRSWPKYKRYSWFFRPLISRFTLVLAQRKTDAERIAALGAKNVVVAGNLKFDADPLPVDAAQLEKFIAALQERPVLVAGSTHPGEEAQLAQMHMNLKPAIPNLLTIIVPRHPHRGTQAANEVARFTKAIKRRGLGEMPVLGGNRHTDIYIADSLGELGLWYRLASVAIIGGSLIKHGGHNPLEPLKLGVPTLTGPHMFNFQDMLPALVEAKLVKIEPDLATLTGTVRKLLTSQPELDAAQNHIMTVMPSFAGPTQTTCEQLINMLPMA
MRIQAACLSYLARSVYSALFCLATPLLLLRLRYKAKTNPAYLNRWQERLGVYSLTPAITCKIWFHGVSVGEIETLFPLIDLVQHHHSEPILVTTTTPTGSMRVSQVLGQRVQHVYLPYDLPGATQRFIQHFQPKLAVIVETEIWPNLYAACQNSDIPLLIINGRLSEKSVKGYQKLPWLTHPALAAMTQILAQTEVDRARFIAIGADSQKVSTLGNMKFDNLITVEQISQGQQLKAHYFAKRWVWLCASTHEGEEAYCLAAYQALKPVIPEL
KPKLGIIMETELWPNLLHACHQKKIPVCLINARLSVKSAAGYARIASMTRVMLQQLDWIAAHGEADANRFIALGASTDRMLVTGNIKFDLELPPQLMEKSEALRTQLGASRFIWIAASTHEGEETIVLEAHQRIRVKNPEAILILVPRHPDRFDSIYQLCAQQFVTTRRSNARANQAEAAVYLGDTMGELLLMFGAADVAFIGGSLIPRGGHNMLEPGALGKPILTGPHLFNFAEISNMFVAAGALTKVSDSQSLADQVLMLMQHPEERARMGEHARQVVEANRGALAKQMALLTTYLEPSRAGKEYDFFAASHEKS
VSTGTETGQDTAKSIIPEAKTFFLPLDFPEFVRRVVQRIRPDVFVLMETELWPNLLHYLKASGADIVLANGRISDRSYPRYRFMRPFFADTLAHIDLFLMASELDAQRIEKIGARRDRILVTGNTKFDAVRSDIESSAREHIARLVNLEPGARVLVAGSTHAGEEEIVLDAYKELLEEFPDLVLVLAPRHVERSEDVANLIAQEKGLPAPFLRSMADRGATREKGQIVVWDRTGELKDVYSAATVVFVGGSLVPKGGQNIIEPAIWEKTVMFGPSMEDFRDARDILLRCGAGIEVQGASDIAVAVRRVFNEPDKHAHAARKGREALLSNQGSARRDALIIADRVRARGTR
MKAGPPSRPPLGWLGLYNGLQLAALPAAGPAALAYGLLRRKYRAHLAQRLGRAPAPPPRRAGGGPRIWIHALSVGEVNAAVPLVRALAARWPGAVLVVTASTATGLETARRRLGGLARVVAALPFDLAPLARRAVRRFDPDAFVLVETDLWPNLLWTLARRGVPAVLVNGSVSARAARRLSRVPGLVRLLYGPFRRLAMQSGDDRDRLAALDVDPGRLLTPGNLKFDLAVPEMTEADRAALRSLMGFPPEAPVWVAGSTHPGEEAAVLAAYAEARAAAPDLRLVVAPRDPGRGADVAAMAAAAGLRVRRRSEAAGTAGPGGTDVFVLDTLGELARSYGIADVAFVGGSLVPVGGHNLLEPAAFGIPVLFGPETESCREVAGALARSGGGVEVADAGALARAVESYLADPPKRREAGRRARELVERHRGAVDRTVALLSEILED
MGPAQDTPASRRPSAAGTLLFDAAYLLILPFLTVHALWSMAVRGRHRRSFPGKWGVGLARATRGVTRPSIWVHAVSVGEVRAAKPLVAELARRFPSHSVVLTTTTETGLDTARELYPGSLVWWFPFDLSVNVRRWFRRLRPVIVIIVELDLWPNVVRLAARRGVPVAAVNAKLSDRSCRRYQSLLRGPLAPLIHAMWRPLRLVCVQTDLDRERMAPLVPETAAIHVTGNIKLDFPSPQISAEDRAAWRRRLRVREDQALIVAGSTHAGEEALLLSAVAAVRQAHPGVHLLLVPRHPERFAEVAGMADASGLGAQRWTSPASGAVSVTVVDTMGVLLGLYSLATVAVVCGSFVPVGGHNILEPAQFGVPVVFGPHTHAQRGLVKLLLEAGGGHQVTADKLAETLTRLLADPSECLRLGQAGREAVLRNQGSTARCLDLISPLIR
MAWLGSLSVSDIINYTIPYQIAALSVGLLVVLILLIDHADILLRSRHVKDLYCVTSQTLFKTRFRKKLSGTELENALRYAYNNYTKQGKPFATKADLESWIILLPPKAMKEWCNLPYSHLNFTRYLQDVRYLPNYLFGLLLNTRLIINTS
MVRRIGALLAVALPPWAIACLLAVVASPLFGDGTAAARQMFRDLFLTPNALIPIVVFVACLAVIAIVERGRFFSASQHWAVGGLLALAATTAVAILGLVWGGAYVCYWLLFWSVCSGYWALVWLAGAYAWRSLAVARLEKSPVQAYGNSR
MMGNQNLREAPAKSRPDPSGRPYAWPTYHPLSSVSKVGWTSLSGTFWASMGRPDRQTVDGDCVQDGSASTEQNQPVMIRAFVPQFWRLTISRDLQAKVDLRLGAVCREADGVRREKFVSCYTRVLRIRGYPKQHGADHIGGPCARLRSTCVDGPLFMDSRGRVYRVGTTFAFL
MGPAGLRVDARRGLPLPLPPLLPPLLLLLLLLPPPAPGVTCPPPSAIEHADIQVRSHRQGSRERYACYSGFKRKAGTSSLTECVYNETTRTARWTRPTLQCIRDPSLAPRQPPSTSTAAPAAVTAELQSPSPTGKGTFTHQRQSAWIRARPDLAIGSADIRG
MAGLRKWRATSGHPGVDVGPSQVGDDDQPQRNLRVAIQHKVWEQMAAVRTSKSFVDDAFPPGPRAVDGRSVVPQQSAVGNADFFRATMSELGKASRPAKGDNAEGVLCLCGQPAQLRTVEKRGPTFG
MDSANHRLILTSSYLTKRYSDQQIMDKLFLSKAQYYRTKREALIAFAEICPLVEIEMRPL
MANTNCNNAKNDIKDKYGDLKINAPNPVNVNPQFMGDYPPPKPTTSKVPELPPSIEAVTESPAINRPPKSPGSSLGPYFQFISTDLNKMLWMGSVLIFRHVSFDQPKIEFICNAKVDYNWEILYDNIFDLCAYRVNIFIELRPGEGDDKIIWKIDWGDEKTDGSFLIARLDQKWRV
MDYKSLSLDSLRKVTLCCLDMNQEAANFILASEKDNLKDTELLDLVKDYLESSKHIMNFCSSLEYCLDRARSSQSIILVALQNFQNEAGATTPMLGHTKLCHLLGKLVVLSKQRPLSISRILRWRTEEKPEPVDTFRNASDHAVVRSFLYLDDGEKSKKYIKNLVYYDDEVPDPNIDALKREFGDTCQFRVKMELASSSRHEHNHDEDQVQDQDQDLRGMSKDMCNHCGRGQSVIVNTLTSIENELVSIGKLLEKKPKKGVLNVFLLQLGPVGRRSERHCNLPMLSKPKVMSTSVTFKLVSRNLYGVLSISVLFTSVNFDPVNALDGSPLSHPDYVDDAHDGLSSPFPDQVDDAKCKILPLTDLVHVHNDDGPSIHLPDPVDNASCKTLPSEVVDSAVDSGDRSPDGRITDVLAQIMERMTLKSLKMLLKMAMRLPMKKLFEQLFKFLLE
MYAKLFHKKGKAPRSQTLPKHTPWIVVANEAGTSYLSPSGKNEMQSVKYMDAFLVIKRKGDWLRVIQYDPAILKNGKLKEWKQAKYCGWINQNDLLLTRSGFTDIVTGFKNKQVVMLNDSVALATPKTYFANDSVKLFKNTDLTQEAGKIPFYSVVYPYQISEDKGCTLVADKPQLDADSIGHAVIGWIDERLLTAPEQQLHIDHNLAAGQHACFKDRERRRHLAAIIQRPEAETATFGQPACYPLQPGTVLPEQ
MPRLTFCMTIGLDALSLWVSSFHTNNASMLSRGEMDVTGARRVLDVLDRFNIKSTFLVPGHTALAYPNLIKEIRDRGHEFAYHGWMHEDARDFDVAGQRLIIERGLEALHIVAGVRPRGHVSPAWNMSEQAVELMEEFGFEFDGSRMATDHLPVYVRKGDKWPANGAFQFGELTDIIGIPVAWVMDDVPIFEFAWGQISGLAPSTNAAEMWHGELDFALKNCPGGVFNLTVHPQVIARGSRLNVLEQLLTRAAENSDIKFLRQSEYVDLWRAQNSRDQWRKANPELAGDGSIRALPSMVDKG
LREFLDREGLAALPHEAQVLLERGYSKLIERAPVHIRWSGDLVAFGDVDEVDHFAGTGDPDLFCGPVDHLKMRWVIASGVLGITKELESLDVLEARQHKQRTLELSTKLSESSDPIMGDWKHLYSSEDALRYNLLMAAELQWALRQNLDLSEVPFPDPLGEPLNVIDDGERMTFDMFANQNIYELSIKH
MVATGLPTRFVPSTGGGWTLADGWVLHGKSFDQRDGTEGYDPDSDPSSIADGSGEDDSSTIPLGDNLSDTGDVGGSASNSTNVGAIDSAVASVAASATAVLSPTTTSVSAASGTGVVAGHSNVGASTSTSTSSTPSYSPTDLPTGWEPGPSRTAYYEVPLIISMALLLTVFIVGTIAACVWRNQKKKKKLARARAAKLADDGGSMDGEIWPDLERLRRAAVKDLEKKRRKEERESLPRMRAWLGAESKWRARLMLRKRGGRRRRTGGEEREKDADSAVSTSIDGSRPSGTFVRSAAPSPSPHMSNADAAASAPTDTTISGASHLPHTSARFPPSASSSRAPSPSPSTSPARPPSYLAMSDEHDELIASTSTAPIRQMYPDLPPSPPTPPPGLRTMVIPSRAHIATDDKRVLARMGRPTFPRSSMDEAGPVPDVPEWTDEEPPFATDLSHHPPPHPDEREENYVPQPPRSIAPEDEMFAFSGSNLPAPPPAFQHAVDLDPAVPFDPGLAYGSHSGEPVASAPYEEWDEYGDASAPPLEDEDDLPHDTLEQERDTTEGREAAVRR
MVFEFSPDERFDFLAAFAQHIGTALLDHTVTLPHWLGVGSIRRVRLAPGFSLLIHQYTLADELILRRMAADNTADRVNLLFQASDHGGEQTDAKDPASTTHRPDYSVRVTSPDINSELYFPTGRPIVFLVLSMNRAALHDLLRLSRVNGVVEQILRGTQAFLFYETLSADAHQLLRTLVAVDTREDLAELRIWLQVQTLICWLFERLLTRDTRHQRPIHRADADGLSQVRAAVVADLSVPPQLTQLAQSVGMSTSKLTALFRQVFGESIYDYYQQARMAEAGRLLRQAGYSVSETGHRLGFSNLSHFGRLFEKHYGAKPKRFALDR
MGCDIHAYLERHNGQRWEYVADAFDVRQYGLFGWLADVRNYSAVPPISPPCGLPGDVTRRVRRDYEEWGFDAHTASWHLLEGLLAFDYDATFEDRRVRLGNDYGHTAEPGGGKVVTYREFLGGWYFAELERLKLLADGAPARLVFWFDN
MGEPSLRQSVDVAFVGWQTLPAVWPHFGRRIGGLETAMWTLARNLAAETNLHVALLTSAPRRGAPSRIDGVTLWVEVDRWRQIRQRVGDAIDWRRRRLRQWTWDLLWQVPLLALTHPFRPRDVAPTAADPRVLRRQARLWVAFGASDDAARVIVAARRLGRPSVLFLQSNLDVDPRLLTDDPPSSPYGDSAEGRRMAIQQATAIVCQSQWQMDVLEQHFHRRGTLIRNPIDRSAWRLPKDMTEPYVLWIGRFDTFHKRPALLIDVARRCPEIPFLMVANDFDDQVRRELTAQLPPNISLRSYVPFDEMPSVFQRAGAFVSTGDPAYEGFPNVLLQAAASHTPIFSMQDYDEFLNHSGSGVV
MPLKECRITRWRPFRFACAAEPRRTGLDERVFRSPRPAHVGIGCGRGWAAT
EKAAADKAAADKATTDKAAADKAAADKAAADKAAADKAATEKAATEKAAADKAAADKAAAEKAAAEKAAADKAAADKAASDKAAAEKAAADKAAAEKATAEKAAADKAAADKAAADKAATEKAAAEKAAADKAAADKAAADKAAAEKAAANKAIANKTPNEKARIRALQYRAVQ
ADLAILDPIWTTAYVTRNHGFLVFDTLFGIDAEFRPQLQMLESAGAEADGTIWRLRLRDGLKFHDGEPVLARDCVASIQRWGKRDAFGSALLEASDEISAADDRTIVFRLKQPFPLLPDALGKAASNMPAMMPERLAKTDPFTAVTEMVGSGPYRFKTSERVPG
MENLVGHTREVGHLLDHLKRMEKGTGHHTVIESPPGMGRTTLLDRLAEEAESRGMRVLSGRGTRLDRCVQWSTLMRLFQHPLGQGISFLTDHGTGHVFDLALRMGNRLTEYASRQPVLVTLDDAHWSDEMTALLLPMLVEHTRELPILWLIGCGPLRNGGPVSQALELLTEEQGHLLRLGPLLDEEVTSLYQETVGEPPRGHLRLLEKCRGVPSLVVWMVNALRESRSTDPTRLCEVADLPDAFHHQVVSRLDKLDPRINLVLQVNAVLDRPFTLHEAARLLDSTPTNLLPVIDEALANDILKAREGRLESTDDLTRESVYLTMSPHTRHAFHREAAAMLEERPSGTRAEIIRHLVVCSESDRELTDNLCHAIESGDMSADMLAETTHLLLASGRTARARSVVLAVLERISYPSLLYTALAGFQETSSQHSSFVDVSPHVERALRTVEPGSEARAGLLAIRAGCLLEQGRPDQALDSVEEAVTLGLATRQTTAIVSAEVVRLRIAFGRGDLDFARDHAGEVLQRIPGSATATKAHRTTRLILAVALASQDRFVEASSTIDMALWEEVAADASAAPFWEAHRALLLLWRGELDRAEQACLRVDCGVDPSGNLARDVRSVLGHIHLLRGEEHVARQYFHRILAEDRSLSCPRQQEATLGLARATGGLPAAEIYGSLEGNATLLLRSPESAAHLVRLALKANRPEQAERVVHTSTRLARENPGTASLLGAARHASGLFMNDPGLLQAAVEAYEDSPRPFPRALALEDAGRAAARKGDTARSRPRLSRAHEIYEGCGARGPADRVARALRDLTRRSEGTPAAATPAPDRAWNELSDSERRVALLVTDGLTNREVADRIFLSPHTVDSHLRSSFRKLEVRNRVELARLMISRIS
MRDFRDAKAMAQTLRESLTTKAVPLSHSESLELVSRMLGVADWNTLSAMLQAGRRDAAAPIVKLKSSTAIYPAVPLRDFVPFPNATFPLFVGRENTALALNHAFESAREIVCAIQRDATVDEPRFADVYEVGLLAQLVELERLSDGSIRVLDAWNSSRRASQLRGDEQRLSVRGFRIAREPGCGRA
MDCLVAKIACPYVHIYPPTVNHPVWECFEGSEESVEITIQESTRNSSFSK
MTNSYSVRAYMVSHTLNLFPSLVREELLSDSKLLEELELVTDVTISFGDKEVAFSRTALFKAIRSTFDNVEEESSLEDINRNVWFLRNIPEESSAFSLTKGDVQILNNSFWPLLGDIDRRLSIFETVAKKSCLSKEEFEYWRAVLSMEAVSDDDVSDLLLDLDLSPAHIKGVLKNEFQGPSNSVSTLVPNEIRYYERLVGKYSGSKNIEEFCNNELKQYLEGRIEGGVTENNLLLCMHKSISKVISTK
MRPFFMLLLLSLVFPVMAQIYRYVDADGNTGYSNQPPDGVEAHPIELPQLNRAESQLSGEPQPSARASSPEQPRTTYEVLKITGLPTTEALRANDGTFTVNVLIKPRLQGAHRLRLWLDDQPYGQSGNVPVLQLVNIDRGQHRLAVQVIDGDEVVQQSPTVTFTVQRVHKR
MESPRPKEKRKTKEHITQRNGNRHEKDEQELDGIRKGGPGQINVNPTDIADGKPAIVLGLIWVIILYFQIEEQEELLLKILDLPPGSLKARGSAKRALQTWVQEIFAGFDAKR
MKYRLALALLAISATVAWAQTSGKVILDGTISEGEYKTVEVKNEFTIAARLSEDKTTLYAAIKGPTTGWIALGLGTLKMNGSFMTLGYVADGKPSISFQQGKGYTHAPAPAPGAEAFVSETDGVTTLELSLPTPSYLKDGILQVIGASGTKDDFKTKHTKRVALELKL
MKKGGLRSPLFATSFLTPNIHGRSTEVQALFAYVPCSLNIRAKNALLDRR
MKCTRLVLLTALAVAAMAASQADAQNLLGNPGFEDPVVSAPGPFAGRWEPFSGGAGSSSVGDGLMPRTGAGDLHLGITATNNTFAGAFQDVLGLAPGQLVTFGGWHKSSSNPADYVTEFRIEWRDATTEITRTPNLSPIPTSDYTPFSRNETVPAGATTARVVYAIQTFSDAGGGNTGEVFLDDMSFTRAIPEPATVGLAGMAGLALVAMRRRRR
MFYQSPGALHMHTFYSDGTGSVRDLALAAKECGLEWIWITDHDTMQGKPEEGIIEGVRTLVGYEITPHRNHFLVGDVDEIISRDLSPAEYVAEVARRGGVGIVAHPDERATNEVTEPYRWDDWAQRGFTGIELWNYMSDWVEQYTPVRKYFHFFFPSVALRGPTSDTLRWWDELQVEGARPTGVFGVDVHAKKVARMGREWEVFPYTHCFNRLVDYLQLEAPLSSTFKEAEQQIWDAIRRGRIIMANQGRGSAAGTTFLALSPGSAPATCGDEVARVQGLTLEFVCPRPAEIRLFQNGTLVARVSNGQKLRFDCHEPGHYRVEAWRRTLWIMTNHIHVI
MSLRNRPARGDRSGKNVEQAYSYAMHRDVRTMFYALCNGRRFTSWHVLHYEPLIDVELKDIGKVWVPLLDMVGCRSV
MTLHNEHDKFRGGNYSGNGDGSGVREDFKTGHKQKWASLMIPIFDGEDAFGWTSRVERYFELKGVGDNDKIQAAMIAMEGKALTYQWWEFSAKNLI
MGPIRGFKRRKKAEKKVDQNVFDAASASALSSLQPQSQRPLDWWDDDFSKRITAFHISIYSLVYQGAVYGFAVQSQGVSWVLAFWRLGLSAFGPSSESNNSKKFESFFKISRKTFNYICSLVKEDLKARQSNFTGSNGKPLSVTDQVAVALRRLSSGESLSNIGDLLGINQSTVSQITWRFVEAMEERGLHHLCWPSTEAEMEEIKSNFEKICGLPNCCGSIDTTHIVMTLPTVDRSNDVWIDREKNHSMLLQAIVDPDMRFRDVIVGYPGSLSDALVLQNSSFFKLSEEGKRLNGKKIELQEGMELGEYIIGDSGFPLLSWLLTPYQNALSDHQAEFNKRHSETQVVAQIALARLKEMWRIIHGVMWLPDKNRLPRIIFVCCLLHNIVIDMEDKGVDELPSSHQHDTDYRQQICETVNKTGIATELDALCLICLTKALVNPVMFIPIGLLFCRRQNSLNCKPSLGAPESVWILLSCVERDNKSEKTRTPTPTTNPLPPPTRIKTPEEPLGFKLFGKMINKLEAKKLLKDKRLWFASFLIAWAAALQGHMMWLQRQDSFKQKFGTLNEDNSDVAQE
MSNPRDDDRWFEREQQMRRARVLADLLVAAEQAEIPPLRWSVSTAAGSCLHGETDDPFDTNPQATFDAWTRFLGLRVNKHGDRASGTWSHTVIGIYNPRHSSTTKD
IANGGKLVKPYLIASPDEVIETQPRVISPKTASQLTAMLVSSVENGYAKRAKIPGYYIAGKTGTSQIPFSNLGINKSGYSDKTWQSFIGFAPAFDPEFLILVKLDNPKAQVAGVSTTLVAHDLIKYIIDYYQIPPDYESD
MGNQFWGAWGKGLPLIGVQAVIFGVVVGMLKADGLPPAVGAAMGYFLVSTVFRAFDLRASHKRKAR
MADTCSTGVYVFTPLYSKIVATFILEFYPGLTLLTLYSRDFRHTLAKWALLFWFISLAFGLKSALIGLRLRMPSRMRSRIYIGTHNLRRWQYHRALVYLLWFFGMDLYGAPNMAQLIFAFRVSVCALFGGLVTTFHAVDTRGWVAIAVDVAVAFGFLLLLRVPSFPVLP
MGALAAGSLGALTARALGGAGDLVVVALVAVVVLGAYQATLHLLRAPERRVAGDVLSRLRRR
MNPTFSLQGEIPEGGKIGFYLWKPNIPRMCAKWVRWELGRKHALSIYGPKIFNAIFTFGLRTKHVEV
MKRDPQQHAPAGSFLRPAWLFLFLIGVCIAAFYRVPFASYLAWDDYSYLLHNKLYDEPFAAFLHHIMTPGAIAGERLFIPLAYLSFLPDKLLGWNATVSHGINLCFHLINGALLFLLLWRLKIPRNWAIWAVALLLVHPYSVETVAWVFARRDLIAICCILAAALCLPRGFWDCSLLEHPDFRGRWLVMRLAAAEVIFLLGLLAKPNAAFLFPLFVCWYIWRFRRRLPVTCLGWFDLTFYWGGILWLAILTLNLRTGAAMGGESGSGLIPWEMYQIRLLQMPAVIMQLAKAFFMVTSPQLFYLYRHLTPEMIQTLPWILFLSVSGVCCLAVIHSHRFRWLFGACVALIIPCVALYLAQDRVFYFGSRYMYPMLPLLGMLAAWSIHSVNARNEFSTILLVCVVAIAAVRTHEQTKAWHDNATLGQSALVHDPGNTLAANLLAIGLWDRGRKEQAVGVLREILGVRPDYVTGWNNLASFLQHMSSPDWKVCEQRSKIAKWGYEYVLVLQIYRLGRQNPETLRRFVARMQRNGYWPPIHWQCPRLAFVMGNFAQRAGALGLADRCYRRAVTLAPTFAPAWYNWAILARRTGAAALAAKRFRIAARLEGRNSSTR
MRHGALPNPPRPERLIMTLPSIPVDSQQDYQHEYAQILVEIQQAAKALFGQEINQQEADFEFRAAARILMGRVTCFNLQHIKEVAIELLGA
MSRWAPAPALNRDKNDPLLREFQAIPATGTGLDLTSRQLALLARATPAERHVRASKMYSKLGLPFHRFRPDRPNLNINYEFDRIADADFRHCGITRAQLPRFASGGKKSVITGSIDEEGYTEDIPVLWSQALDTPRFRNHIATVESDHKLPFIVQPGFQLGTMSVKVKGVLGYKNFVETVKDTVESSQEVEDLNNSLENASAHPHKVAFYYREPGNPTLRTFVIQPKRVNDSLKRAIGIMRRLQVDRYYVQVGMGLRR
MIRSARALRKIVYDIGSLYVSDIPVYYPGHVFRPHGTHSNTSTSTWKNIPPDLSALLYYGQYVSPDSVDNHPEASNYESTEELRKLQSSKEQEVDEYFLRSFRSLRHLGLRIRFLYCFACGLGHGYNLELRDQTWSLADYLPPHLESLRIYDYDRDRRLEQNSPMFWLHVHIAKLMQEKEAKLLSLRVVEGFDEHIPLS
MALKRIANSNTSFLRDDIKCKKVLKEANLVICLLKLESDIFASGIDDGTIRIWSIKNFESIKALTEHTDSVFCLLKLENDKFASGSWDRTIRIWSTKNFECNEILKGHMDSVLCLSNLDSQTFASGSVDKTIRIWSTINFECLKVIEEHSDLVICLLKIDSDTFASGSWDRTIRIWSTNIFECNKVLKGHKDSVFCLIKLDDDTFASGSRDKKIRIWSTKNFECIKVLEGHADWVNSLQKLDSFTFASGSRDKKIRIWSTDNFKCNKVLEGHANSVNCLLKLDKDIFASGSDDKSIRIWN
MGQETPPPSSSYSMLLSNNNVITSLMQQQNMNKTNALTKSLWPSNEQSILDKHQSSSSSTPPISNDLFTLFQPNRTMINDQQQLLGKNILPSSSSSSLTMATTLESLENYLVTTDVHNNYHNGLNRLTTTAATSQAIPIKNNHDDMSHCLKSFLLNNEQQRNTTTTTTESIDGSNNKNGVIDNISSSLSSSESESSQYTPPSALVSDIIQETVTFEHTEDFIRSLQIPLDVLDDLASRFVLNMPQEEKYDPIRICFQMENAFWHYLDFCCECNSRLPKFRFKRFAQIMFTYVPRLRQFLDVFDDVVNQWIQYKFSIPCSGAIMLDETMDYILLVQGYGSRTWGFPKGKVNHDESLMNCAVREVFEETGYNCMGNIFEEQYLERKIFESRLRLYLIRNVELNYDFKPQARNEIRSIKWFALKDFPQNRQGQQQQQQQIMTVINGDNDGGDIVMMPQNASKFVSVMPFIQAIRKWVENEKRKLKFARKRERRQQMKLAKQQQRKASESVDNVAGTNSTTIPATNIGERDGGSNSSSPTSTIEDLNDNKTLVTVADDDSSDDPLPNQSTTAFVDVDLNTILGFREMYNYKNDQRNLLTREQRNHEQQQQQTWQQQISNGGSSGGGGDGRQQQQQKSLPQAGHLEDIERALMENAFNKNDNSASSSILFGSKGGSCTEKLNILFGLANQSSSSSSNKMISLNNQRPFSSMSVNLSNGNNNDNDMESAINKMIKPSLAPIGTKRSSSISGAGYFDNQRKNFSGQTVISTNNNNDNDNGSHCNNSSTNNMPKLQTNESSIETMLNDLIVNTTNHQNSKLSIQQQQQHQQSPNTATTTTTCRRTEKMKNGHYNGLESETVMSVHPKIEQLLQRLKTVYMA
MVFVGREEKIMRSSHKVDDLGLLYRLLWGKLGFGPDELDSEPGAWKWRVNREKATELRLGILARYLLFKEKEDADGSTIDMTDKYLMYGA
MRRPSFSKTSLGLTSYLLLLVGNFSVTSASAGWTITQLTDNDYPDRGPHVSGASVVWSGDWNLDPNDPSTDSEIFLYDGSMVSQLTDNDYSDFEPQVSGANVVWTGSGNLEPTDTTIDDEIFLYDGSTITQLTANNYHDGVPKISGSNLAWISSLTSTAGVFNEELFFYDGSTVSRLTENSVNDWFHEISGSNVVWGRETSPWQVVLYDGLTVTRLSDAGESAWYPDVSGSNVVWQQDNTIFLYDGNATRPLTDGTYNASKPRIDGSNVVWESNTGIYYYNGADQPELLYTWSFPLPLAQGLQISGSKVVWILPPTLTTSGERTVFAYDGSKVTELASSGDPGGTQISGSYVVWSQNGNLDPNDLSTDSEIFVAYFEESLAGDFNGDGRCDGADFLLWQRETNVGSLADWQANYGLPFTPETTTVPEPGRFTLAFAVVSFALSGRRR
MRIEGIFLQAEKVNRNRRLYPKNVLEEAVNDYIRTQVNTRQALGEMNHPPRPNVDPRNACILIEKLWWKGNDVWGRAVVVEGDGGDGDKLAALIRAGWVPGVSSRGLGKLSDSGKGYGVVQKGFKLAVGVDVVWGPSAPDAYVKPIVENQQSKPLVENNSADDAFLALSERLKGL
MNKDVYGILGDLTAEILLEIIAECMDDYLYVIDLQNNKMEISQSALDRFMILRHM
MTNRANETNRVFHSMEQLKVELFPNMPVEIDEDAPQKGEVDEAESLAEKLINELMRKPKDED
MFQRTFLAAVATVLIITSPAFAGEGSFTGASGHKTSGTVSVTNENGTITIKLDESFSLDSAPDAYVALGNASKPTDGGILEKLESWTGEQSYSAPATAALEEASEVIIWCKKYAVPLGVAKIRQ
MLLLLQQARIKNERPTDASFLPLSLALACLLRQPPAGLAPVAGGLSLSQVDRLPQQILEHRLAATLLDEPLRVFAFVCALVKYVKVARELLGICHEHMSGRKTTSEATQDVVQAVVAALPLAADVEVEDEQEEEVAVFTTLTEVAKVDVKAVADNPRAGDPAGIMRRAVGRDVGDGGAVLETMKKYPTDDRIQTHGVRALKGVARAAAEGGEERTDTRISTSDEGQQQGDRPRRVVQMVIDKMKQFPEALGLQRDGLLCLAEYANQADDHVATITSSGGIISIIDAMVALPDDVPANMAALSVLAHPKIADESVVRVNPESRRLVLSAMERFPLNEQVQGLGCLALANLSLRQGDAPVASGYLSFLRAMAVIVTEDEMKTACLEAGALPACEKARLRFLEDPKVQQHAVQAIQTMLPGE
MADNASGGRIPFAFLEDIHQRFVKTYGGAIQSALPYAMNEEFSRVMSQQMDRFSSDPIAGGEDDFNAGELNSVQKPISSLQEYYVVESLQDNGDIDTLAFLDHRLCHRRIDTK
MKIKMILIALTALLSLASCGDDDSGIQLTQDEIIGDINTGKKIVITSLTTYTESSSKVNVNGAKGKISATSSDESIAKVSCSTNEAEKEIYVSGVSVGNTTITITDSDGNTAVLKVEVKDWTTLWELSRTMYVVDRKCFVEGVSSEDSATIAADAIEKDSYNKYYTIRTRVYIPSGSYATKRLTITDDKGNVRMDGILKIQPNADNSEVWHLFPIGNYTEVVLATFYYDQKSIVKDVTGYYKTAYPKIKKVELHAICAIEELEPDK
MKINDAKAIPLSLVVENLGARFARKGRRGELWYHSPFRPEERTASFKIDEITNRWHDFGLTNNARNSGGDIIDLWCDYHNIDRKSGVKEALTDLERFSNSVQSSYSKNTHQVTRLKKQLPSPTTEPRFKILKLHNGLYFDSIKTEVARRKISLPLAAKYLKQVYLSDADKPDRKMNGFAFKNDKGGYEISIPNPHTGKSFKTSTTPKWFTSIPGKDESVVCVFEGFWDFLTWMEMEKVITPPNTAYVLNSVSFAGQFAQEVLARVSHPKTLKLYMDNDNAGRMAAVQIAEALDGSGITIGTMEQRYSDYRDLSDLWMSRS
MDPEEQQQREKKTGKCVRSLAANHDNGAASRNANAEQGQRKALALSTEPFKQRPPAKVANTDEQPGKYVRLLDLVHNSRAVISPVPTVKQRRAKLMEDLMIALEKNNTLRERSVDLSIDPSFDCVTETSRSTMSEDSGTPRSEMLLVTSLNNWTLSNLNIPECTPSDGATEIDKRAFDYWKDIFLTSIQMFNTCDEQTKFGLFKIKAGPKLRDIFNTTVSCHGMPDEATAPFSNAMERCNDYFSSRTNLIAQRSKLMSLCQSTSETSMQFVLRVSSAAKLCNYTAEEEMEAVVRVISKGALDSRVRISAHRNWAKQGSMKDLINLVRDRELEIANEEEYQRTHGNREVAKIAAVSQHTYEPPWRNQGNFRPNYNGYWRSEEEELVVPVGAVVVVSGAVEVLRFVLMGAIKDLSPLIIAGDVEVSSIFQTDVR
MAAILTLPGVKGVFAAGLSWRHEDSVPKAKELRALSLEKGRWGLVRKTMTGSVQVGFCEPIPGVSAPSKLKSLATIVAEQRPQPWMGLYKLDDDRYWYIAVRDGQEVIPEGDQVGKLEDLLRVREQHLTLGDWEEAEGTVDDLAEMALSTSKHLVLRDLQSRPWVPIAVTCSFMAALGAAGLAAWMYHEREVEEQRQAALARQRAIAAALQARHDAQSRILPWTQEPMPSAGLDVCRQAWSTQQLAVAGWMLSGWHCAIQMHGVSVSADWKRAGGSAEQAPGMLSADADHAVSTRDFPLTFAQPDTRAQVEQAARRAIWTLAQTYGLDLTLSTTPPKPMLPGDNAAKPAPDPWSTWPAKLVLKTPPWMGMGTAFDAIPGLRVESLDWNASTQLWAASATLYALPAGAQAIAAEAIHRPNAVNSRGAL
MKSRIQPVGIGVYRQQQQKHGKLFVHPLFCLILSPFVSFCLLLSPPVSTVSFRLLLPRSVSFFRRRCTCRGRKAAAAAAAAAAALVLLLLPFVSVFPVNSSSISSSSSSFSSRKTAAAENSSSREQQQQHSSNSSISNSNVAAAAATQQQQQH
MENTVYKRYENFLDGGDAVDFLIKLMELQKFREPIKSHEDSFSILAGIIIFRHLDRSNQIRINKEIINLIHTPEYYNLGQKLRDIATLSAVQPYWFTWSLSDQELRDYYELNDSFKEALSYIGFDTPHLTVAGLAGIIYQLLKKKSPKGSMQAAEKIIKERIGYKTGKEVAGIVGKKLSPQLIRKGGLAGATVYILGAVMLASTKNSSEQAKKELLLRGLLKIDEV
MKMYINLRSDFYKLGSFLIALSLILNCGSIWSTVGNFSIFLFSILIIGIILCFFSIKPNDIYFSKQNIFFISFFSIYLLIYILQPQNILNLKYGIEYFISFFSLLFYVIIFERKENTLLSIKYYINIMAIIGCISLLFWTFGSFFKVISPTGSIISYWSTENGTPCPSYYGIYFETQSLNNIIRNTAIFTEAPMASLNFVIAFLFQDLFNKGDRSHNIKEIILILCILSTISTTGYIALILIFVLKMLLMSYRNKNILLPVGVVVGIISIFLVHFLLSLKINDGSGQTRVDDFRAGLQAWKLHPFMGSGLDSSVYRQFMANWRSYNLGFSNTIMDVLSSGGIYIFILYFISVIVSLFKSLKDNNISNIIFIILISYLFITTIFSNTYILFLVFILISVNSSIYRKRIETLL
MKPLFSDHCAVSFPLGDRKNSGQKSFKFFNHIAEHPDFIGVVRKGWRGMAELEEVRAATNAFDPIKWLYLSLDRLTLFT
MEDHCFATQIIGWDGVLDIPKFDEFTKHVKLNDPYCVVSILGPQSSGKSTLLNHLFGTNFQEMNIEQRSQTTKGVWLAKAKNIGPCTLVMDLEGTDGMERADRDDTAFENQTALFALAVSDVVLINMSCKDIGREQGGSRPLLKTIFQVLMKLFDPRKKTMLFVIRDKSKTPFESLVSSLQTDILKIWECVPKPQDQRSTNINDFFNLQFESLSNYEHQEDSFKEEVSGLRSRFQKSIGHAGPSGNFPASDFSVSTKEMWKLIKEDKDLDLPSHKVMVARVRCWQIGSAKVSSFTADEEWKHCEEAVQNDCVPGFGKKISGLFLRCLSEYDKESIYYDEGVRTLERQQLVSRISQLVHPAYISLMKHFLNGTLKEFKESFHEALKIEEFRVAAHACAQSFLHKFRKGCEDATIQQVKWDPATFEDKLKHAIDAHVESVRVLKRKVADICGRSQGRLTRALAEPVKEFLRSARYNTWEVIKTLLVSETIAAAADLKNDLSDLELDDGTVKELLSRLRNHGRSLVESKAKEEAKTISIRMKDRLTILFNADMSRVRNGKVDIQAIAESARDETIAAVADLKYGLSDLGLDEATVKELLSRLENHGRSVVESQATKEAATVSIRMKDRFTKLFNADMSRVRNGKVDIQAITESARDELPQHPVKLQNREVEIDAWAQPHDIRRAAKHPGGPPPLLQRGDATVKEIRANCPCCEPGKPEPEDSGGGNLQLGVVRRQSAPVATAHYPGLAQVDGKDGFWLTTKALTPSVSPAGTMKELISRLENHGKTVVESKAKEEAATILIRMKDRFKILFNADMSRVWNGEVEIQAIVESAQDEAGTLISPDKCKSLWGQFMVEVDSIVTPARDAQIGDTDSEERVHPNGNHLALKEIDLKARNDLEREENEFDRGYTMIVRLKEQQGVVRILKVHETTRDKVWNNTADVAAVLSLMNHG
MNILPSQLLNKHLKLGQCCYYMLVLVLISSSLAITAGTIKLYFSNAVKNKIITNFVKHLEFPAITICNQLIAKRVPTCEIPASGFYWRLFSLLPKLEYGIWTKKTTDEHVFPALKSFLCGAGAVPLLANVRFSKFCPCPNNYEKQSMEQMFQSFNSANFYKILKEYCPSIDDQFLSCRYCHLFHFFHRWEQYI
MWRTSTERFFQWIGGFRPSELLHVVMPYLQPLTNDQIREVANLQLSSQYSEDSLSQGINKLKMALAERIATKEILEALQGFVNQGFDFPNDNTRHRRLRRFEWGDNHPKFPFGSVVKLYAKLGLHRYNLLEGTNLQLDSLKSFTVSITGGPSSYYVSLVARVPDGGLQHFQVLVRERGLGFLDLGCPIARPLVTEPFLRPHSDPPPPPDGLFFSDELPDWPSEIAFNDRKRFHLVKESELRDNDWVRLYLELALVFHDRTLTEGTNLQLDSLKSFTVSITGGPSSYYVSLVARVPDGGLQHFQVLVRERGLGFLDLGCPIARPLVTEPFLRPHSDPPPPPDGLFFSDELPDWPSEIAFNDRKRFHLVKESELRDNDWVRLYLELALVFHDRTLTERYLSLLEKKLWTTSSVLKGLSVV
MNLPSELLAAASRSADALFADLAHARAVVIATEDGMEVVSVIRNELDAARFSAITSSMSAIGEVVSSETGLGSVRCVMVEADGGYLVMRGCRSGGIGLVVAALVGRDALLGLAIHRVGQAAREFSA
GSDGGCYDDSQRCDGHWDCVDGRDEAGCGQCPQEQFPCAKPSLGSGAGTSCYGRAERCNYQTQCPDGSDERHCLSCQPGTWHCHNDRCIFESWVCDGQDDCGDGSDEKECPLVVPRRVITAATIGGLVCGLLLVIALGCSCKLYTLRSRQYRWFDSPLTRLDAELLCREPPPSYSQLIAQGLVPPVEDFPVHTHGQASVLESLRLAMRTQLGLSVAVGGAHSRHSHRRGRLWRRLRRLLRGSSRSSRSGSSRRHHGAGSSGRGGDSGAGAGVGSGSRLQLLPSDDDDSDAECQDGAEVRGADAGPPGLSSPLGLLHKQPPTHFVEAANAGTAAAAAAVA
MTHNLTTLLLMQPVVEGFTRGSRGEWLGGYAKNLGVYRAFVAELRGVLEGLRYACRMSFTRVELDVDSQAVAQVIWKGSIHGSSGLALAKKIWNILALDWIVEIAHSDRKANTCANAMANIWCSIEEEIIFLGWITMRGILSLERAALVRQNSEKLGLGVRLFSTQGASTASTLQPPPPPPPPEKTHFGGIKDEDRIFTNLYGLHDPLVKGAMKWGDWHHTKDLVIKVLIGLLMK
MDSLAHLSWFPASIAALLALVFLYNLIWRSPKTTPNGKMIRNAPAAAGAWPVLGHLHLFGTGELPHKMLGAMAEKYGPAFTMKFGKHTTLVVSDTRIVKECFTTYDTLFANRPSTKAFDLMTYSNDSVAFTPYSPYWRELRKISTLKLLSNHRLQSIKEVRVSEVDVCFRELQDICKSKNDGAPVLVDMKKWFEEVSNNIVMRVIVGKQNFGSKIVQGEEEAVDYKNVMDELLRLASLSILSDFAPVLGWLDLFQGHLS
MFFFIFSRRINNGLGARTPEEAAGSWPIIGHLHLLASSKVTHRLLGSMADMFGPVFTLKLGVHRVLVVSNSEMAKECLTTNDRVFASRPKALASELMGYNYANFGFAPYGPYWRHIRKIIVHELVSQHRLQVLAHIRVSEVKSSIIDIYRSWVRNKESSETVIVDIKEWFGNLTVNMVARMLFGDHFFFSSGEQNRDEFLKAIRRFVDLFGAFVPSDAIPWLRWLDLEGYEKKMKKTALEIDIVVNGWLEDHKKKMSSTSTMQEDESRHQAVFLVALLSHVKEEVKEEVYGFSTAFKLIFNEVSINFHVFCAIVLYRVF
RNYDVPSSGIVVVFALAALFLQILNQRRTRSGNGKKKPPHPPSWPIIGHLHLLQQKRPIHRILSSLSQTYGPIIHLQLGFRPILVISSSDLAKQCFTTSDKAFASRPRLSGAKYLGYNYKIFGLAPYGSYWRNLRKMCTLHIFSAIRIDSFKHVRVEEISALNSFIT
MVSRNDWWIERYLLVSDSEDEALDRLVSTMKWRKQFGVRQLTGRSFPEEMYRIGAYVSFGRDREGRPMLWAQSHRHRKCDAWSLLVKQFLVYQFELMDRQTSHQGWLLVADSHQLSMANLDVDHSMFLIDCLQNHYPMGVRYILNIDLPWFMTAVINMIIGFMDDQLRGTYKSVSSDDDLQQYISTDYIPIGLGGNYAKPLITVPNGVRPLATFGHNFSSKQIADIYETYDDILNEVQKFLTIANNQSSXELFDRKDTEMVSRKDWWIERYLLVSDSEDEALDRLVSTMKWRKQFGVNQLIDKSFPEEM
LSGIDSISTVISGSDTLSGIDSISTVISGSDTVVLGFDTGFPVVESVLSGIDSISTVISGSDTVVFGFDTGFPVVESVLSGVDSISTVISGSDTFSGVDSISTVISGSDLSGIDSISTVISGSDTVVFGFDTGFPVVESVLSGIDSISTVISGSDSVFSGVDSISTVISGSDLSGIDSISTVISGSD
MLQEYYERYVEPMPMMRKPLGVEQSIKGSFRVGYYEFVIRGQYDRLDYVDDGLELIDYNLHSAGSTLF
MKAPEQPPRWGSGPPFPRTGKKLSYLSVCSGIEAASVAWEPFGFQPVAFSEIEPFPSAVLARHYPDVPNMGDMTQYATWNIPAIDILAGGTPCQSFSVAGKRGGLSDERGNLCLTFCQMADHFDPAWVLWENVPGVLSSVDNAFGCLLGKLCGFGSPVQPAAGRKHQPCGVVAGPRRTVAWRIMDAQWHGVPQRRRRVFVLASRGAGNWAAADALLPLGDRLPRHLEARRKARQKASSGSRTRPEGSHWDGGPHPTLGAIATGIGMSDQELFAQRGANLVPGISLVKDKSVSPTICFGLYENQRAEMRLYEGVQPTISCGGGKAGQGSNVVLLEALEEEGSTFLYENHPQDSRVTGPRDVSPACTAQWGTGGGNTPLVLQSEAPETFLSQSSNTVQSCWCMPIDMRSLCRRDSAPNAGHGLGDDGDPSCTLTAQGHTPGVCYAIQGEMADGRRLSQNGLGISENLSYTLATSSPPAVSWTGAVRRLIPVECERLQGFPDGWTAIEKSPGKPYADSHRYKAVGNSMAVPVMCWLGRRILEVTADRELRQEEEDALRALQS
MEELRASDLETPLTHADAARLRDAILERLPRSLRLEIYGAVLQFDLTVSEEWGGYCYMSGPAELVLSAVQQQLKFTVDWVVVADFSAFKFTARMGGLRWSSDGEDAGVSTRLITQREIRTAFEAAYPAAAHGFNASRSLHRVVNSITDEYELYDDKEAAAVNGALLQYSMTVSPEFRHLSPLALAAVEQLAPTWEAGPAALALAVEAAAN
MPEMPDEPRAEESAAVALSPAAEAARVRYEARRADYNKLVNNARLLTMILENVDFKIQPEALSADKSEMKRTIVPKTEIMSTGLEDGTCVANIIWEVSFKLRNKTVVRCSASYIISYGGVADCSADGVTVFLENVGKVATYAYFRALYSQLDWSANLGSQPLPVIQFLPNFKLRSEDPAEAAQDVDDKAAET
MCYLLHIMVGFSCGHIYLERRQKVECHSKTCAVSASHERQEHECEEKCTQRLGNAQELVRESLNYICDRCRLGA
YGFGALKTAFSQSLELANAEVMQQGRWKIIITMLAVTWLNPHVYLDTFVVLGSLGGQLAALAPLSCGSLAWRC
MKTTDRRILILYAKFGDGHYQAAQALKRGFEETGLARAVLFDPFGEAYPTLNRWCQAVYYFSTSHLPAAYGFGYSLTDRIPSSSPVGEWLHSLGSAKLAGMLNRLQPDAVVQTFPLLAMSHLRRRTGLRLPTFTVLTDYVPHSRWIHEETDRYFVATEELGRQLADAGAREEAIRVSGIPIRRAFIGGALRDRIKEREAAERAGKFVLVMAGAYGVSGEAGMLTRELLKREDCSVLLICGKNAKLEQQMRARFARESRVRVFGFVERIDRLMAKASCMITKAGGITLSEAASIGLPVVVYRPIPGQEHGNALYWERQGKLTIARDPDELLEQTARWLAASGEDAPGRASGRGLPSATEVIADEILAYLERSAAPSLELIPRPGRRNWLKALLHPNGV
ALVFRNGESGLRPPGSGAGRVSKGRPRPQGGRHDKEELFQNVLSQVAEQFSRAFKINELKTEVTNRLAMLEKRVECKLPPPPQ
MRDKTHTLSRWLSATFLVVIAVFGIVLIGHAPKWPIYVTIVAWVGFVFYLANRK
MTNSNDKSGELLGLYQDSNTYPDSSPTTPFSMATFSKREKIVPDQKINAWNRDGTTGVFSYSNGRFS
GQDNAYLDAESYLLDFTEPDMAKLGRWQRQLEQNPKNITLMRELYRLASKYNLQPLQEKLQKAYPDQFNQKDMMWFEHGKTITSSKNATTPAQQEKSFEELTALLAKINPEHPLYQQALQDRFVMGVRLNKFDEIKDDFNTLQAQSNVPAYLEEAFGDYWAAKGSPHKALAIYQSIEQQASNNKLTVSDGLLHKLSLTASDAGKFELAQQYLERMNSNVYINDYTRTSKILNPGYDSRYFGLARLALWRGNSKLAQQLIDDRLFNKTPGDPWVMLQKAELERNRGNYDDAKLWAEKAGYFLSKNDQQEARNNLAETALSQNDLPTVSKTLDAMNEEQRQSAQSLIKHYEQARSGKVVGSVGLQHRTSPISYSNESSQDYAIYTPKTANGYALYAHYLETRSPYDKESLISRRIGVGTELNFYPLQLKMEGGKGIKLNDKAYFSTEANYTLNQHWSFNLNANINGSGTPVKAINKGVYTKDIGFSTTYSYSDIFQAGLGGGVMKFDDGNLRKEANFWLNLNTFKHDRWTLTNNFRVDYSKNKTIDSAEYYNPSKATSLELGADLSYYQPLNYGLILNHHLKASVGAYKQAELSREKMWSISYGHQWRVGKKFGVSYEIGRKKNIYDGNAEFNNFGNLNFSIYY
MLYDHIVTLITEVDLIWSRSWSFVKLLFISHRYFGLLCVIIEVIAFFNDEVTDSVSTFWFGWELMGFSTAILTSELVLFLWIYVLYDGSRRITGFLGGCFLAEAIAMYTMLGKSFEDIHVASHIIPSHAFCSLLNPPPFLFHYWIPILAYNAIILLLIGYKGYEILASPRARQQNAALVGVYTKSTINFVIMFSAYLLCCIFWIYAEFALGQIPVVLALSLSITNASNLLLHIRQAYYSLRYEPEIRVERMTIYVDSRYSSNSGEWMYELRELKWKKR
MKKKREENVELADIQAVRERMTQWAIRLWLLLIPVIVGIILSTQGESTARAGNFIVRIAFLLIDGCGLCGVSSEFGKKILGKVPANPPDGQSAD
MGLRRNIVANYLGAGWNALMNVAFISAYIHHLGPAGYGLIGVFTLLLGLMALLDLGMAPMVSRELARLGAIEPGGSAARLLLRGAELVAVVLGVVVAVTMIVASPWLASHWLDTRGLRQEEVIFALRIMALLVGLRILENVYRSALIGLQRQVVLNAVLAASGTLRNGGAFVVVAWIAPEIRAFFLWQLATGAATVVAFAMAVHISLRTNMRPGRFSLAPLRATWRFAVGTMVVASLSIILGNLDKILLTRLLPLNAFGYYALAVVVAQAPLGLITPIAQAFYPRFIQLHAADDERLSSLYHSATQGVAILIGTATAFLVLLGRPVLQLWLHDPMTVDRVVGLVAVLAVGSMFNGIMTLPYFLQLAAGWTALTIRVNLLAVCLVAPALALLVPTYGAMAAAWIWLCLNAGYVLVAVPLMHRRLLRGELARWYVHDVIPPVLAATVVGATWRWLPLPLPAGILGWALLTLEGVVMLVVAGLATPVLRHRLGQWRTRGTP
GIHVIRYVLRGNMKKVLLLIILMSLPVFAASDKFWDRQFFKTIGYSDLVTQDNRETIKPIFRKIDGKLDSREWSDQEKLNVLVNVIAFYLAEIASEQDLSFEVTLTKFKNGQLNSNIAAMYADESTANIVNQEILKVVSQRKDEVIQGQISKQERLNLFKCIIDNMKDLPENMKDMVASYCKMKQKG
MKNRRLVFLTLLFYGQVSLAATIGKVTAEMTLNALAGVKVSLVRGTDIEPVYSDSYGLFNIKAMAGEELLFEKQGFAPLSVTVDASRIIYAQLELEFIDTVAPLDTLLSTAGRTKQKISQIPASVVVVTKQQIASFGYASIEEILRHVPGLYAIEEYDWIAAGSNIGVRGFLTSGINNSMMIMVNGINQYEDYWGSYPLSRINVPVETIERIEIVRGPMSVVYGSGALMGAINIITRSDNTATTSTKNSAVTLMSMSQGLKKVSIKLVSDGVLKQTIIASFEQGNEADFNLRALNYTDDSRIDAQDALHYNKLYLSISGNYKDRLTFDANISKADKGNLLVFDRTLVNRQQTLMQGINFNVAQQSFWLDHAIRTKSSISYVSHTSAQDYSSGHALYGFTTYRSSAYQLEFDTFVDGNLLWQQPLELSFGYMQRLAVDLHTTFDLPAISNNDNRYIRLHPKDTMRLQSVYGQVDYQWQDNLLLIAGVRIEKGHDYRIIFGSGQYSTEDAAAPEEFDKQYTNPGITYIPRFALLYSLNQNNVAKLLYSKGLRQPPLGQVSDALASEDGSLKHEKINAVELIYLNQYQNEDTDLALNSQISLFHNQLKGLVFRYSDDDYHSDTKSGSTTGIEVSVELSYQNSGASFAFSHQHSKAENMKGAVPLSPKSLAYIKFSQQVSNAVAFSFSLRYIDKIYNDAECSDGGKCTYIDNGSVHTNSIWLLDSMISSKHWLNWPVDIQFGIKNLFDSQYRYPITLNNKSLKQGFPASGRQLQLSLTHQF
AAVAALGPVIAPVLRSMTSKVVPESER
MLRHFADRRWLIVPLAVLAVVAVQSYGRWRDASNHGGQKYVEPFRIAGNLYYVGANDIASFLVTGPEGHVLIDGGYPGTPPMIMASIAQLGFNIRDVRVLLNTEPHIDHAGGLAELQKASGAELWSSEPDAGALANGGAGASNLGLAKFLSYLWILRYPPPRVDHRFKDGTIVRLGPIELTAHVTPGHTAGCTTWTFPIRDGNRVLDVVYRCSLSPPLALSLGKQPEIRADFERSIRTMRSLPVDIWLTAHAREFGRWRKFQARAGAVDPVAPFIDPAGYCRSIDSAEARIRQTGPAAATQSSSTRAPITSDAPPIAVRAGRCPAKSGA
MEIRKLGLGQCAFAALAILVSSLYAQGPTDASQGPGPRISVAGHTYTQQELFQRNVGTPDDQNTQFPPHRIIGNVYYVGTVSLAAFLIVTPQGNILINSMYERNVPVIQKSVEQLGFKFSDIKILLGSHAHADHMEGDALVKQLTGAQVMAMAEDVPLLGQMKPAGKAHPIDKVLHDGDQVVLGGTTLVAHLTPGHTPGDTTWTMKAQEGGKTYDVVIIGSLGVNPGMKLVNNAANPQIVEEFTRAFQVSRSLPCDVPLGSHPGMYNMKEKYSKLHPGGPNPYIDPAGCKTEFDIDEAMFHAVLQQQQAALSSASPAIHPPANPPVSSAAAPRSAGGSSAVGQQSALIAKGKERFSAYKCYDCHGENGEGTPDAPDLTHSKLTAEQVSKFLQKPSADAQNKGMPDIPADDPDHQPLVAYVMSLRAK
AFEAWRDRQSFFSRRAGYLPNFETNGWACDAREIWQEGARWVRLSSCAREGDEIGDAYADGRRFVRNQARETYSGDEIEDALSRLAAQHNLSEEQRQEATDKLAAAEKRLKRARAKRAEAGGGGEPTPTDSAGVILCLTKVFAQVVWPAERSGERLTILTQAFLTVPGACNSYFSWRRFEFEPLY
MNTTSMSVSVILEWENATHASQDRPRQTLAALRTQASECFSDTPSFPSNVDSRVRLPTPLDLIIPYDSNEVDESEVRRVVSEFITESESLHVRMIPVGDGTYCRQKNAGAAVATGEIIILLDSDVTPEPNWLASFMRAFSDPRVQVAVGNTYVDISPGDAYSRSMALTWMFPLRDTEGGLRPSDWFYANNVAFRREILLSHPFPHTPGLKHHPATLLVRQLEQEGITLWHVGDARGHHPTPNGIVHFCQRAIAAGRARAFTHDSVALSSVADWIRRDALEVGWYVKRIVREGAKVGMHWWQMPAAMGVTLAYHLLRSGGSLLTAAAPGLMRNRFEL
MKKLILILAAAMLLVGCKKEEEPEKDATYSFVNNLSQYYYVDTYIMECAENGDVIKSYNIDRAITGKVYSYTAQKMTVKVKIFLDMTYGTREYHKWINQVFYLTKGDNTNIELNPDTILSTDKP
MEALLKDESHLRTTAKSNLKSFSQHCLRIEWCFDGAEAFEEGETPAHGSNDGLKVRRRRKAGRHLQTGPRGDKGAPASKSGETPADGSNDGIRVRRRLEVVKRLQTGPTMG
MTALDLEAETWTCPHCETSFTTTSPIVVGVHRVRCPDAPDIEQADLEDFVGGASA
MQQTAKIIKHSGMSDASAAEPLLNKRFIVAGDEFNVFKVYDAMNAGPPQIEIDFNKLLGIKNPKHEADIEGSARLNNLVYWIGSHARNGAGELKWKRHQFFATEIIDDGENVEVRQYGTAYTGLIVELLRYHWFQKLTLERLDPQPDPKLAAKCLGSINIEGLAAFEDGLLLGFRNPIPDNQALLIPFINPHEVIRSGAHPQFEKPIRLDLGGRGIRSIDYSETRNQYIITSGSFDTVSDFEFFSWSGPGHEPTKLNIETGLNPEAIVIFDDLAEFLVISDDGGEQAPGENSPFKDIPEDEQEFRTEWCQLPL
MIEQERVPMLKYAMHYGAILGLFWIVKYLFIIIGGFAGDVFIYLSSLLSVGTFLLVYVFYFKYRNSDPDKPKGIIKCILFVAAMAFFASIFEGAIMYMHYEVIHPEFFDLKVAGKFVEATNNMENVWNFPNFDEVKQEMYTLAHSKFIYIASQFMSNIMTLTFVGLVIGLLGDKRKVQ
MAGMIEMSIPVFSWKTKEWMITSHLVRSRQVDDLSHPIKQPVIRSSSGSYRSSDRGQDLFNLGILGEMTATTRTPSPTITKRLLVISSLCVFFLGQETGGKDLSPTTTTVLRRHKPKELIFSDEFFDFDTSIWYHEHYPPGHKIFVNFLWISSSPPPLDTSASLFLPDSNVNSGRPKLTGVNPVQQLKLASFTLSVALALLRKEIGGSGGGDLFEVSKMVKFTCCVCDSKSGVRKSMRSANEDSLWGILRGAVLDIGKIVRPDDLVCGGCFAFLHVNSKRGAVNLQLRLDQVAKSRPRSFSKPTPCEDPVASMELDTDVLPLPPNTDQNVPTSPRIQHNIPPPHQVVPVPVGVPRIPVVDVPIPSVISTQSHCFVCKSPVRTRIKKRAIIEVFIRRGILIPENNRCCREHLHGEMLKEDVLTSLVSARDSSSLTGEQVSKWMVTLRGIITEKKLPIDFSKDSRLTNSDYEMLLGVSIDNFDVLLAHCQGSVRNTANRSVRNSLAIFLMKLRLNLSQRVIAFLFGISHQSTISETISSVLKSLLASFAPLYTGYGHKTGQELFDQHMRPFFYIYPWRPS
MAAPPRATAVLAGSGEDGQLGMGGNEEKDWAHCVEALGSYAVTAVVAGSRNSLAICEDGRLFTWGWNQRGTLGHPPKTKTESSPGPVHALAGLKIVQAAIGGWHCLAVDDNGHAYAWGGNEYGQCGEEPERKEDGTRALRRDIPTPQRCAPKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKQISTPVRVQGLEKVRVIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSHPIRVEGLSDLSLVDIAAGEENTGGLDLEMIRVVAWSP
MKLSRTIEMLIVVFGFSWLALGQTINGAGLILPAVAAGRQHSLALTTNGSVWAWGRNDYGQVGDGSFVMRATPVPVTNLSGVALICSGHFHNLAVQTNGTARAWGANGYGQLGTNVSGSSSVPVAVPGLSGVVAVAVGDYHSLAVKADGTVWAWGYNGNGGLGDGTIANRTSAGLVTNLSGAVGVAGGYAHSLAVKSNGTVWAWGY
MLTIQQVVAGPQRSVLITSEGVFAWGNLEVLRTLPEGVDAAEVCAPDATRIGHRSFSQPVPQRLSPRGTRWEGLVDAGHSLLAWADGQAYAVAPQVRDAAGAVPRPLALGRQDAGVRRIWASEQQAYVQDREGALWGWSDAMPGAQRVQGLPSVRSVSVGMGHALALDARGQVWSWGANAAGQLGQGDLVQRSRAQRVVLPQGAAAVVAVAAGATHSLALDEKGRLWGWGSNHQGQLDQGLQAAYSPGPVRIAVPGRLSSLAAGLHFSAATTQGGQVLAWGWNGLGQLGQGDAAPRAGFHAVPGLAAVQQISVGGTHVLALERGGRCWAWGDNRHGACQGQDGAQAQSRPHALALHALNDLTETNAIAGTAKEAL
MSVAVAERYARPHAKRLMAWGAGIYGEMGRGNYDGQKTPVEVPVEDGTPVRIACGWANSMAILDDGSTVVWGWPNDYKTSISAAIFYKRVPWLMRRLQRVEFLGFLAFRSGQLWTERVDGLSYVERISSGAGWSAAVTRNGKLFTFGDGFYGQLGLGPKTLTSEPREVQGDFDDVGVRDVACGFTHTLVLANDGDVYSCGRLDCFAVGIGHPRDHYAKEILNYCTVPRSLSREYRRMDRTNEPFMGPVAQIAAGRNISAFVTESGQLWTCGKNETGALGTGDFESHPIPVRIEAPFGTERVRKVACGTYHMIALTDSGRVFTWGVGNHGQCGRSPRTGRLNPKSYGNRRSHESFTIYSFHPEQILPWVNARDVFAGGHYSAVITDDGQCLVLGGSADDPDAFVPKMPFGADAVESIGFGLMHGLAIVQPATSPEGNQRAQAALDEIQRQIGPQHTIAGAFDHLVL
MASVHLARRMLEYVASCTHSTSSKNTLYSYGDGYLGTLGHGNYDAVDAPKALAAFEGLDLVQASTGWSHTGVVDVQGKAYVFGRSHHFKNVIRAINMHRFAPWFLDFANKLGGARSVEAFLPVEVLLPEKVAEVACGSTLSLFRTESGVLYANGGNYYGQCGVGHENSSVWEAERVKLPPVAQVAAGYQHVLALTTEGQVYSWGKGERGQLG
GIAKALDTSDCNMTSTGMIVGSPHYMSPEQALARDIDTRSDIYSLGVVFYEVLVGRPLYDANSSVAAAIKHISEPIPQLPQTFAYLQPILERMVAKAPADRFQSALEVAQALEPYLRTAPDNWQSVVLQDFESETEGGTRIMVRSTGQHGTSVATPAPMPSSRAYSASAPTLHGAGQSSQRLTRLSDVAVQWQHRMGDALKHGRDWVQRERGKAAAIAAGVVLLAGAVAVVDMQDKATASAPVAERGSAAPATKTAAAQTIPEVALQQAPEPARGGAETAVDDSVSVAAPAPANDVLNDYLVQLEQPASDLESDPEYDPESDVASDAAFSAAADADTAQASAVTEDADGPLPEAEPAAAEAEQQAGGMQIIASAEAADSIENAQDQAVQVALLQTVSEQPLAENPSAGESMEDYTGTAEVEALVARADGALRRDRLMVPLKNSAYSLYQQVLALDPDHPAAQRGLDRVVAKYLSMASRQLNAGDLQQAQGFVDRAASVAELDAVSDGKKADVQSMLKAVERVRYLESMEKLETWIAVLRQKENVTLDDLNKAYASYMSVLNNHYNDPKVSVAHDVYANAFFAAGKRYFKQENLEVSRELIAKGLEINPQHEKLQDLNARWQRKKDGDEYFMDRFY
MADVLSQNEIDSLLSEMSTGTVDVDDILQGKGMRRSDVGAYDFRRPNRISKNQVRTLQNVHENFAEIFGYYLVSKLQTIVSISVTSVDQLFYSEFILSISNPSCIYVFDLEGTDGTGIMEISPQLALSIVERLLGGNTETTRKPRTITPIEQAVMRGIIEHALADLQTAWRSIAELNFQYSRLETEADFVQIARFQLFQFKLHTVCARTWLL
MKLGVRRHVWRSPPSGAGEESMPDILSQDEIDALLSATGSDDDASEASESTGEVRPTSNRPIVTYDFKHPSRVSKDQTRTLENLHSNLARMMASSFSTMQRSVVDCDIAFVDQTTYAEFIMSLSNPSCSYTFTIEPLGGPAIIDFSLPVAYSFIDRQFGGSGGNPPSEARPLTPIERTVMTKVVTRTLADLEATWEALLKIQVSDAELETNPEFMKVAAPSDTVVLIAFEVNSQHASGLVNLCYPYFTLEPVMAYLNVQTWASRERGRRESQQEDRLTQLDRIDAPVKVQLGKTAVPLGTVLGLQEGDVLKLDTTLNDDAVVFVGDRPKYLARPGLKGKRRAVQLTDRIVKADESRYMTEVEKTETQKL
MQDGDLRTLTFLRSFAADIMTPVDRSERVNVDYLPSQVVTEFVRDFNFDRGPIDGVAYSSTVSRTGWNVALFFGPADLGLASPTHAEESARLSFEKDVWARTR
LYVPTTQHPYSAMTYEQQQHYQQFEQYSPNTNYAQTQQHTQYPLQMQGSHYPTQYQQTTQYDQQPHPQQQYSSPTLYSAPNYYNQGVYPPDMGSRPMQQPNGPFATNNIMKSRYMYNKRSVPSYGEYSMQHTQQASTQQEYKSSSDSRSNGELYQEGL
MRDATSPSTSLQLIALVSLASACTPRGPAPTLGSSPAQGLPEAAEAPPIDPVPEAPTEPEPKQPELELELDLELDPAHAVPGFVVYTVEQSALIPFDGSPPLVAEGLWIQDDRSSPPALRHTTVLSHDRARTLDLPPCPCLTADDACTYGSLTTRELAPEAKDARPCGCLYPEDRSGYPPPDPEWVDGEVYEPCEGEGEDAPVAIVGGRLQFLGWDWNGACYGALSIYDAFDSSADLIHEPPSLSAFVDGQLGGIECFDLEPAAITLPWPYLDMEEMPNLCEPDYYGEADIFVLRRGSLHRVEDNIHHAGGTRGVRRMRVRPDRCPSVNDPCGEPDPFKPHAQLARQSREYWIATDGSTGLFARGTRYELWRPGTKEPAHRFKLSGFDATFDVLGVRVHADLRPLQDAVAANPKLGREPSQVSPPTVALDQCPGGSFDRAQGLSARDWGNLCVQHMRADRHASAEAACLQGLRVADDPRTRGALLFNLGRISEAIGALAQAQAHYAASLDARPDNATTQKRLRVVTKQLEAAAESSD
MHWFFLYPGFCGLRRNGTFLGRCHRFSLGYCLWVMSEGLFFLPGFSKLRQVGVTGGCFS
QIAVTQGLPGCFKTQKPQQFSKSPTPKSKPKTLTPKSLCSESMAIPVVSPSLEKVKAFWRSQVYDEEKWALNMKLLRAAGIFGGSILLMRNYGDLMAI
MDKIKQEILKTATNAFVKKGIRSVSVDDICDDLRISKKTFYSYYRQKEALVMAVMETFTTHHQEYHCMLQNQEFTNVIDEILWMKTLIKNGKEEKKHERFFNDLLKYYPSIYNTFSVEKRQYTEQMFLIQIEKGIAQGVFREDIHVQFTAQSLTVSLQYFINMSIKHPRKYTKLGIIDFMVDSYLRMVCNEKGLLYYTSLLT
MMQQSHQLHASIRSLIESYFSCLRRPVRKNLARLTGAFLHLAWSIRFGYGGLHLTSIARVLPEGKKFKSSYKWLSRFLKCKYFDASSLAECMLALILGNFPNKGSPERRARHICSSVLNWAIEFPEKRKAAVQLNVSDLITPETCMRTAAQRETIERTLSALETGSALCGLPPGFATATMAAMQEQQWNSSRNSRSSAKSSLSRLFKSSGGRGDEIVVRPNKRVTRSRTHVRSLGRSGLLDLMAGRREGHWLPANGKYSGISSKKIAARSTICQIWRNEIDISATSSAC
MAFKGMLVFLLLAGVITLVASENTKSQNKKRGEEGRFLFTTDENQSITIGTASLTTLALLGVVAVLAAAAAIILLPQDDASSGYASATSAYGATAIHRSLDDAAAKYEQTDTPVDRHAR
MAMKIWHQSFTTLARVPEYNEKLRAHIRKVVRPDTEVVVHGTHAGTHGHKKGDGPSTDVGYAYFQALHSHQFAYAAMLAEEGGSDGFAMATLPEPGIREMRSLVSIPVVGYGEASMTMALHLGQKFGVLLFIKEMISTIEANIRRMGLQDRCAGIRYVGFPSGAVLPTKQAPQEVLDIFHENARALIAEGADVIIPGEAPLSLLLHGAGIARVDDVPVIESVAAVLKTVETLVDMKRQLGTWRSTRGYWQAIPPRERVKELADLYGVSRLFSSQE
MAPQAPRGIDIWAVEGIARSIFFYLDLSTFDAVLHFIQATPELREYLKDSTLWGQLSKKHFGGSRVAELAVEMIPVESRGWDWTSRERTCVQLQEFLQSVDDRTRFDEVVTVVAGDIQSIKDIDGQPLDGIAFPTNPHLTNHHVGAAAAVFERAGPGLKAFVSDPSFRGVRPVGSAVVTPAFGAGVDKLIHCVGPSINMRNCYELLHTTYKRALEAMLREGLQCVVMASVSTGSLGVPPEEGAATTPTSTTPVSQNFHFHKNKMVPIRSSILLTATSALALQGHANATNCDADNYSKVAAAVQTLHSNCASWATYLSSGGVWTCDSTCHDAVSNMVDTLPDCTFGGPYGQNYKEVVEGMVKTCGGQVATTSPSSTTAAPSTTTTTPIATTLASNNKSNGTEAASVTDAPTAAPASPTTTTKGYKNDTAEAGTSASASADAGDTPAPGVSTTSGASTQTASSIAALVVIAAGTLLH
MHAKVFSLSNHYLQLIFLQGLLPSFHPFAIHEEVALEDLVRWVSDTLTKNSVEEGEAMKIAASLTSEIAAETSAESSLEAASEAASEVSAEAVAELGAEAASEAAAENAAESAAESAAENVAEAASAKA
MVQVLKEELREAILKSAGEVFAEQGFKDARLSDIAARAGTSTSNLYKYAKDKAALFDQVVPKALAERYAGILERRVSEFSGRPDWQALTHTGSRDAADLLHFWIAHRHVAVILMSRGGGTAYEAFGARMVSMMVESTLANSGAADNGPHVRMLLEQIFTNTLTTLSSILMRFEEEADITAAVSMFWRFQLAGLTALHEDATKSKQPR
MTTGSTITPEMTIDEVIQKHPKAQTVFTRYGVDTCCGGFRQLKDGVKVSGANLEQVLAELNAL
PQEMLPYQHEIALAFHSFGNGLEPRYIIGAESLDQ
MRAVVPCQWRRIIGSSQTLTTPLLKKTFNRLFFAQNRATALVFRAFQSHTDVKRAKVAQSQA
MIKKIKLDIETIKLEVHCKLLRMIVSYIEEQKSVMKNLTNLINNVNTEASCIAIKMYEIMKYVQRLADYLDKNSVAVSDSSSSNEKFDNSNNSLLISSTDTSTTAVDSSLSFFFNLPTSVDSLTMSSTETLDILSEKFSEINVDVNMAENDDNDIEMI
MDMSFFTGDGFMAFLKSTTIGGGLGLLALARQAEMERKAEERPEDLLFWPEPENRASVGAGGKWRSSGKAGSGHQKAGKA
MRRLAIAAIVLVGLALRIGYAITIYEPSLVIYHGGDYELYRQGAADILRGDLAFTNDLYLLRPPLFPLLIALLRMQPAAILAVNILLSSLIIPLAYILARQLQN
MATSSILGGDYPPMEPKGTDVDSLGPSDTSDSGSDVRTSRERTAIPEDAAEGAIPIAHDSSTDAEGTGERASADTPPSAPDADILPDRIGIVPASPDDPYAGRPDQLADAEIEEDETEDAGDEPD
MATSSILGPDQTPVPVSGRDTAALGPSDTTDSGSDIAGLEDDLNPGGTLDSIAEPDSLRPMSGPEALSADSDSQGTGERRSAGSDAGNEAADIAPDSIQTVGDIDTLVDGDFNGDASAGMSPEEARAREDAAADMVNASTLEDDDDLEEDLDEEEEADANVEDDEGLDADDSGDVPDLGDAGEDDEEGDER
MLTVSIVIPAWNEEERIADCLLNATRQTVRPHEVIVVNNRSTDNTAAIVEQFIRDHPDDNVILLDQNDEQGLIPTRNYGLNHATGDILGRFDADCMIKPDWVEVVTGIFTEDPSAMGATGPVMYYDMPSRRFGLKGDNSIRKRIYRADGGQPLLFGSNMAVRASAWRQIADEVCRDKADVMHEDIDVSLHLIGKGLKTVYSPRMIAGMSARRMDTSLGSFRNYMRRFKNTFDAHPQHWRKHKPEILFTAMYPVMHMFYPVWQKVLDTADINPAEAAWINEQMELAEKEGRELYDETPTDEEYDEKHE
MKRAKNNLSQRKRAVIVPYLLILLALAAFYVYLVKKPMPQRNEQKIEFKGRVKVALLNGCGYPGIAAQVKDYLIDNYSVNIDVLDWRNVDRNMFIYEKSILVVKKDNKEKLQFLQQLTGIKRYIYALNSDVNEEFQIILGKDFHKYFR
MTASGRRFRTTFEMGLREYARTPVLLGLVLFLPAYFVGIFIHVLPTSSLPIYVPGSGRMTVQTVEIYGALLVPLMGALIGGIAGLFVMLSATDADARLSIAGVGPVSLLAARFTLIVVAGLAAVGVSLAVLATAFVPEAIVPFVLASLVLAVTYGLLGTLAGLIVSRLAGVYLMLIAPMLDVFFFQNPMIDDGHWLSTLLPGHFPTAVTVDAGFSASVAWNQFGPILAWLGVAGGVAALAYYRALRT
MKFFRYVTMSLLTVAFSASADSFPIRPMDRNLAAQSVKVLWGRPASAIEAADLDGDGFTDIAAMSVSSNEPTADIDLFIAWGSAKTPWTKVTQFPMGHFLRVYSATRDTSSRVIAIGDWDGNGLPDVLTSRGVLMNQGKRKFTMVAMATPTSDILLPVALAKFAGPRPSLVRGRVDGRIEICETPTKCQVLTATGIETYYVKDMVVADFDRDGKPDILAGLRHDDHMNSYLWLSSNRWIKPSVIANMGPVDYQVGDVNKDGLLDIVAQRTESISDFPSYTDLWLGDGRGNFAVGQTIYNHDNHNDAAYLADYNKDGCLDYLQIGVDIPSVGLRLGNCRYLGDHDPTRPSDAGWQQVPATGGIGVQCLDLNRNGSCDLIVRSVGGYEVGLTVILR
MSKSIEIGTVHITEKYEERERAFQTASWWRDNDVAPQSVALMGEFSGGQLIDWWYTLDTVITADYFASSGFGITLDYDTAQNAGKPNKITHRTYCYILAELLAVKKGELKHGFIVLDPNLKFRISTFEGSGHRMSRLHNPVCMDCAAPIRIATEYERWAGVDRLKNPHAFPAREYMHVTDTDGEPHNGRPTKESWLDYTIPNVQYRLHLVEDGAEIKENQA
MFLIALLFWARLYTLSFTYCLPKPFDSPKWILFSALTVFEVVSAICGAAASSSMFIVGRAIAGLDSAGMMNGTIVVMRAVVPRKNNPLLQRLIGAVFGVVDVCFYIDLPLRTVVAAILLMVLHLPERELDQAATVENKSIPGILSSLDPISLATGFLVTQILRLKTATSPMRILTQRSIALSFLYTFSSQATMPVGTHYIPLFFQAVKSCSPLASGLATLPFLLSLVIGSIISGGLVQLIGYPVPFMIASAILGSISAGLISTWHVNIHKSMFSGFQVLFGFGTGIGTQQLSMMAQIVLPKADQPTGVALMFFRKNLGGITFVGVTQNVFANDLASKLSSIPGLRLDKVVIVQMGATSIKDMVAQQYLAQLIGTWLVTLSMVGATLVEWRSTIGNSTEDT
IVIFPNLQAFSYKSFFLYRFLTKNVVFREICQGMMRLFDKAPIHRDAVGILDFSYHDDAVKATDAVDVGQWLHIGA
IILGKDKDTGYWALKKAVMNLFRAEWGYKKVNEKGNVVVAYERFTQSAKYIQNEGIVKFKFADAIVPFLVELEKHFTVC
LDVLAIFLIFAILSVLREKTSLTLIYITWFIILIFYSPSIDPLSSPDALKYYKEAFLDGYYKTKGFYDIINGHLPVIETTAGIIKLISFSFSSNSLVLIVSLNVIILIESSYIFVNLLRLKFKISNLAYYSSLLALCFSPAILNLSFILQKDIYVYFLSITFLYLFDRLINKKNISTFILIAITVLIGTLIRLYYPLIIVCYLSLLFYNSKVIIRFAVTLFILYFLFFILFLKGSIVDIFFGIASITSTPNFLRSINWEEHPLMTIESCLISFFIALSLLKVIQYRRFLFTFIFIMLFAGATLVGVSQNRVISDSNFTQTSSLLSDNMTRKKVPYVPLLITFIVISFSITNQRTKNHG
DAKRESFWALLLNQKHRLLKSEKISEGSLTMTLVHPREAFLPAVRESAAAVIFVHNHPSGDPEPSVDDYNLTVRLIECGDLLGIRVVDHIVLGDHSYVSLRDRGAFRQK
ACECIGKGGWTGTVADLRVLFQRALIWRAPAIVVAHNHPSGRLVPSAEDRELTNRMVHAGKFLDIVLLDHLIIGRRDYVSFADRGWLSPSPEKV
MECPGGQRGDLDDNAIDACRYIALPRLGSAVSDASPLLAYLFELARDRSDEFVHAFFINRAGQLAWSETFANGRYSCAQVEFRSLICTALRTDATGIILAHNHPSGRAMPSPKDVTATLDLQRVCAKIGIELIDHVIIAGGSYFSFKSECLLQAKP
MASRIPVVRVVRDGGVVSETRCSDAAIVAAAVRELVKGDDREHAYAVLLDGGNRIKAISLVSLGAGDATLMGAREVFRAAVVYAASEVVLAHNHPSGNPEPSREDVAVAMRLRAVGDFLGIPMVDFVVVGETGHVSLAERENW
MRFIVKDFKAVQVETSPRLTFDSADTPEGIYRFYTGNITNDPAYEADKERVIVVTYNTRLQITGWNLVSLGGLAEATCHPREVFRPVIVRAAHGFVLVHNHPSGDPSPSCADTRITRAIKDAADLLKINLLDHVIIGAPAPGRTPYYSFREGGMI
MNQKNQKEPCYEEMKPYEKFLATGPESLSEAELLAIIIRTGTRNMGSLQLAGQILSLPKGRDKGLLGLQHLSVADLCRVNGIGQVKAVKIKCIIELSRRLSMADTRDRLQFTKPETVADYYMKRMRYLETEEVILVMTDNKNRMICDRVLSKGTVNCSLVSPREVFRVALEYHAVHILLIHNHPSGDASPSRQDIEITKHLAELCGMMQLPLIDHIIVGDNCYVSFRESGLLK
METLQFRELLTVAEVSLSYRPVINAGQRPIIKCSAEAYEILVEVWNMDKISLCEQFWMIMLNNRGRVLGVVELSSGGFTGTVADVRMIYGVALKACACSIIVAHNHPSGDLTPSSQDIKMTNQLVAAGKLLDLPLNDHLILRADGFRSLADDGYI
MVLTPIFEVVRIKQEIREIVEPFAAFQIRNPEDARELAAAQIANEDREVFLVMMLNTKNHVVGLHRAHVGSLNASVVHPRDVLKCAILNNAASLIVSHQHRRKDMFLFY
MTNSSSSLTSLKEVVVELDFVSDSLSSGSIRRSGRTKMLHIPVVSPYHDFANLDSALWTLSQKDPDLKRILREQELIYFNSILSFTFLAFDAGKQAWNPVDPTSAIKIPEKTYLKVQLDHRPKNQTPPSLPLPVDMNPCRLIAKKLEGCQSSSSRHDVTASQDPPVSADTPTPSVPVKIDPPHTTDTSEQDRQSAFEKLFFIDDDEEAEKQLSLAAEAHQERIQLGSSQNHADSDSDEDDRLMIDEDREEVEETSTSVQPSKTADVMDLDNDDVDLDEWKQMLGIGDDKPDTEKSSSSSTNSNRASGSSPGLPRFPSEPVQVRPVAEVIPFQREPDVPVVIIDSKSESDTSDPLVASQVTNVSEITTELKGPAKTAAPEITTELKGPAKTAPVDDLDVSKVDKEKSQREIEVIDLEDGEILSENEEHGQDMEPEGSKAKEETKLDSPESPVPPRKRSKKKKSRRRRDDTDSDASSDSGSTRRLTNRKHSKRKSRRRSRDRDRSRSPQPYLADCPSEPDLTQQNNLPHPKPCVPPTAFQPGFYGPNSEPNFGGPYFGGPNPDGPNFDPNFVGPCFGGPNFDGPNFNRPNFGGPSFDGPNFGGPNFGPIGNQFRPPRPWAAGFGPHGRHPHPRLRFHDSGGPFNGCQPRASRPFGPSNLFPQVRSPHPGMSLPRPYGMRPTPHIRPAFMNDPAMVTPSGSYQYQAEKYHKKSKAVASSGKKNQQDEAITPNQSHPTEGDIYASTLGLNFKNPVQGLHEYCTKKGWKMPIFSVKETCKVDGLAQWKMKVMVNGQTYFADKFYDSKKNTKKAAAKAALRACGIKLR
MAFYDQYRTAPGWGTSGYQFVSPQMPSYQPQPNWGGLDYYNAHSGGQTDPYVYDYARNRVRNYPGAGVGLEEAKIWHRRAYGGLGDIRRMLPSDIGAAAAYEVWRNWKHHYGVYGQPLAGDPERQQDALMGLAVSEAKRLWDYTGHPPNAYGRLEAVEAAAGTASRILNQVWALEEGASYGGFAGAGRLSRRASAVSLRGRSLSRAASPFMPDGYAGSTFGDGYGGVPGGSVYGGGGGSVYGGVGGSTYGGAYDDYDGAATAGALARRRSFSGAPGAYTPSVPGAGYTTPMAPSYGMPMTGSYSTYGGGGGRPRVRMAATGTDIVDIARARAIGQIDITIAIAAAATVRRTGPTMSRIRFRTDIDPAFFLHSLLFAEYLLY
MTLQEEKIPMWKEKEECLKKSSFDLAIGTLGTNKRFVGDKGKTEKKRKLGKVKVKLVASK
PYYMAIVKNQLSASSASIPVLSVKLFFGDQEDKKKIVTILYLMAEICNKHVNNFKLEFALHLAGSGVTCNAINTLSSAGISVIHQTIYNYKKKNANEHSIRDNNLNDNDKQEDTKDDDSEEMIIDQLNRQETLELDLFHRINKIDNW
FTSVSGNKTTDEMFNRCGHESMFYPISLKLVAMTFGGLQKYSGMLKALISVVLKFAKNTDSLIASVIISCVGTNLSCSKQYISIIVPSRMYVETFIDKG
MAPQLQPGSCALLLQGMNTKVDVVVQVIGKERVAIRRIPLEAEEWRLHISDGQYSLLVMLDSNMNHVLADLVENGFLSVKEVVRREMSGRRITLLTRVYIVPTVGEQEKIGVPVPLNILVTAVMAQGPGIATPVAALPSSAARGWPAPNLSRPSIAPAPNAGRGSIPPTPHAGRGSIPPTPHAGRGSIPPTPHARRGSIPPSASRGADAARGEARSPSSAQRQRDATRTEEGAGEVAASLSKLSVAPKRPLMGLSKKSTSKVPGASTSKPSAFQTVNSLLTKTFGRRTEYPTGIAEDNLRHVMLDVLSPGMEPDWFVKVRVVEHSGRNRWESAESSGIKLQLSVVDKDENKMRVVTFDPSIIDLFVREVRVGQLMYFWCVKIIATNPTFQHVGFCMNEMILKPGAGYELLESDDRDIPGVVWTFTNVKNIQALGPDSRVDLIAVILHVGKYESIRDEDGSERNRREVQLVDKTNYVIRLVIWEERARAFSGKDNDVIALKNVVTTKYGGASLNVFVETSYLLNPKGVPGFDVLKKCAGSVMDPNVLPRSFALSIDVVRKGDFGKGARVDTVNVIATIRHANKDCIYQACTHAGCNRKLNERYVCPMPAHNPQNPKHKRFCYLFNLRIGSETWKEQVKILAFDGAADMLLGMTANKAARAGWRRQCDTAVDELIGTTWWFTVQCRTKVLYGNNNPQWSVTVIGAARLDVDGTVIVADPYERTSDDELQRVDSPEEDEDED
MHRGEFSTFDPGMLSTFDPGALPTFGPGTLPTLDPSTLPTLDPSALPTLDPGTLPTFGPGTLPTIEPGTLSAFDPGALLAGI
MNLNNMRYLNVLLTLIVTCVFTISCSKEYCSINAEVNGIKLIKFPQETIGVMAGNTEQYNSFSRSLSFLKDSLWPGATGHTLIYETDEITSLQGLERYIYLGSLLKGGSLETQRYQVLTNRVEPITISYSFPAKFVVDEIGRPSLSAMRQSIVNTMNNNGMSGKQLVSFSYDINQFTYYDELKLTFASNINVASILNITVDAAKGKIAHKTGLIAKFIQKNFTVDMDIPLDGNLLLDNDAINLMEGFSPVYISSITYGRMGVITMESNYGYNEVRLAVKAAFDAKIT
MPSNYIPFQVVEVKFKGARKEFFLNTENIYLEIGELVAVEGPTGGYDVGHISLTGELVRMQMKRRKTPLDQVTRKIYRKATEADVEKWKLAKGLEWETMHKARTLALDLRLSMKISDVDYQGDKTKATFFYTAEGRVDFRELIKKMAETFRIRIEMRQIGMRQEAGRLGGIGSCGRELCCSTWLTNFKTVSTAAARYQNLSLNTLKLAGQCGKLKCCLNYELDTYLDALKDIPDRIENLQTEVGVARHQKTDIFKKLMWFSYPNMEDWIPLKVDRVKEIMAMNKKGLKPNNLKEEAVELVSNTVIEKTPDYENVVGQDSLTRLDDKPRNKNNRNNNNNRNNAPRTGEQKANNNPNRNDKTARPEKQNRPGQPTRPRPERSEGNKNVPAAGGQNAQPKGQVPVGNANAKALGGNPNAHPKAPRPAQNVAGESGPTVKAQQPGGNAGQQKGQNKGPAGNAKAQGGNPNVQPKAPRPAPNAAGESGLTAEGAPAADGTTPPAKSRNNRNRNNNRRKKPTDKPANE
MEILKKNWFDKHKRWNGFFAKILRFENDNELALQYIKNEFNILDFGCGDCTTFDIIRRKYQEAHLTGFEIDKRAVNIAKNKGYNVYTSLKDINHIENKFDCILMLQVIEHLKKHQIYEFFDFTKKHLKKDGIIIVSSVNTREFFSLRDFWDNIDHKYPISLKAINQLCQIYNYKQLKIIKKCPRLNPFKILINIMLGDDIYTGWFVVLKKEK
MFLHDALTEAMVAYLTAQVPGYQRRIPNDLALLKERLRPGDVVLVEGDTRISQVIKYLTQSSWSHAAIYVGDALLRWGGPHAERALERYGSEAAHLIVESDLKEGVVVVPLSKYLESNLRICRPQGLRPGSLDRVMAELFDHLGVRYDQRNILDLARYLLPFHLLPRRFRPRSLYLGSSTSREVICSALIAKAFYRAGLAVQPPVARTARGGSFAVRHPSYIMPRDFDLSASFQILKILPPAPAFAAPVPWD
MEVRKYRPGLPEWVLISAVAGIAAGAFFGDYARYLEPVGKAYAQLLAICVYPYVIASVLLGLGKLPPATAWRLFKHAWPAYVIGWGVALLAMILLGVAFRQAGAPAVLDSQAGLSGVDFISLLIPGNPFLDLTRNAVPAIVVFAFLYGMAIQRVENKTSWLEILLVIKSASVTIWNWVVFVAPLGVFALLASTAGTMQGQDIAGLFLYTALYLFGAFLLAFWLIPSFLAAVLPVGYREVLHELRGAFVLALVTTLSVAAIPGIIKATENLLDKCKVRDEMASDIIGTNVSVAYPMAQLGNLGVILFFYFCALYFKEPLSRGEQLLLPLLTLLSTIGSPSTTVDAVPFLATVFHMPESTLNLWVAAMPFTRYAQIALSVAGFALATLWPTLAFYGKTRVQPMRIAGVFVMGFAILGGSVVALRAIHPELLAPQRVSYMTYTLGPDVTEGVDVTVYSKGEDVPERPQALLSLDGLARIRSGGVLRVGYDPIVIPFCYRNNKNQLVGYDVALMYRLAKDMNVRLEFIPITHSTMTPMLRSGEVDIVANGVFVTAERMRMLSISRPYLKSPMALLARSAVADKLLDRKSIGKQTELKVACMVSPALLPLARALFPHQKVLPVQNYDALLTDPTLQVGLWSFFEARAFAMTHAGFTAVVPQGFSPFLVAYAMSPKAGSLLRYVDHWIEIQQDDGFAQKQYRYWIDGIPGDKQKRRWSVIRNILHWVE
MTPQILILALTIGLALSVPSSNRCPQPTATNPTPAAFLYGSPDDCQKFFMCNYGNPIRMSCPATTTFNELYQTCVNRNSEFDTCTRPSVPVPSSNRCPQPTEANPNPNHFLYGSPDDCQTFFNCDHGNPIRMSCPALTTFNERLQVCVHKGSIFDTCTR
MDYASQPFNVVATNVHVDTTSEASHVPHVSAPASASTSVVVAGDSQYSTATNSTTANLSASLFESPSNLLQQLRTKSMPEMSSVLRLDPFVLLDRDDQAAAEVQKVCPNPQGYHVCLYCHRTFSSASLYANHINRPVARVIYRCHLCSTQLDTGPTPTVVSQQTSSPPSSLTISTSTTVASSSNPVSMMSASSTLPSPCNLLAPNLCALYVHMAQCHANEPVTAWRLVPSRLTITAIPWLVSGAKVEGANGASRSTPIQPPLPPSLPPTTYVEGQELIDLGNDLDRRLTNLLRAGEFRFSSARVGGIAEEGTFLRAPDVRSMTSISTRFVPTPLQPTGLSVDGLPRSLASPISHLLFRLAEASIWHGYFFLAGWYPSFTGISIQETSLPLPCAASVKQIEQAYNALLRDSQTGARGCSREGGGRGVLRCLLCGEFCTNTGANLRTHLNGRVSGADVSLAKCALCALSVVHNRKDMVLCSIKAHLLFHLDIFLMCPQCGFTPPPDLTPSLAEICLRLHLRFVCFHFNLVKVLLCSRASCRERIFLTMESFVQHWFEVHTARKYACQLCGSLGHQRKIEVDAADDAVVRLNGLIYDFSDLASVCIHLQERHSLSATSAPTFSQVAYKCSECTFVSSVPVEFADHFSSAHCRLGEVSTITAAAGAAAPQSRQSPCEAQCFYRCFGDCSQFLHDIGELRTHMRTCSFAISTLKRAFGEQFLNSTQAASGSVSASAAVTGEGKGNPLCLCLYCDISRRKTDPFVSGNDEGEANSSLASRAFDDLRRLHAHEHIVHVTGGAAAVAVSGQVGCPWCGEKLTLMPTPAPASIITPSAAPSNHTEDLINHLRGHATANP
PVSLVTPITGIPVVTARVVVVATAVVVATAMVFTTTSPV
MDSLLIRMAKEEDLDEIFAIEDSVEDAWSYELVKQDLLENKYSVYIVGVLDEKVVGFISIMNIASEVHINNVAVDESHRGKGIGEKLLSYGMNFYPEKEIIGITLEVRVDNYPAIALYEKMGFVTVGIRTGYYKNNKDAYVMWKMTEEN
MRLKLFRTISDEKIAVNSSYIIINHDKQPDNLYQFLFDRLDRGRVDSDDPVMMNNSPDKFISRILSGHLGDKKSIRIYEALDIDQSVGVLVGLNDHEDNRLFHIYSLVVFKEYRRNGIGRDLLGCCLNDLIETTYREVTIDVYDKNWGAVNLYKQLGFFEKKSS
MISEIEIVKAKANDFKKIIEIEELSYEDPWPREIFMVDYLFNASSDYFVAKLQGKVVGFVGVWYEGKKLHIINVAVHPNERGKGIGTSLLVFAINLAKELGHEVVYLEARKSNVSAQRLYKKLGFIEKEELKSYYQDGEDGIRMELTVSKEDEDK
MLPRGVLSGVTTTVSGTEFAIRDAERSDLLAVFRIEKASFPQPWPFQAFERFLDAPGFLVAERGGTIVGYVVADSVPNHGRAIGHVKDFAVHPDHRGVGIGTSLLQRTLAELAMQGVHIVKLEVRETNDAAISLYRQLGFTHRRTVPRYYANGEDALIMVYEA
MNAVLNQAQLNLRPMVETDIGDVLQIEHAAYAFPWNRNIFKGCLREDYCCRVVELDGEVAGYAIMSMGADEAHLLNLCVDPGHHRTGLGDVLLNTMLDYARKLEAVTTFLEVRPSNKAARRFYEKRGFVEVGLRANYYPARFGREDAIIMAREMV
MRNYRAQDRDAVVNLLAQLIATEGKPVKPRLLKLFLMKIRKSGGIILVSELDGKIVGTAGGYVVRPTSNVDGEHFGFIEFLIVDPSHRRLGIGEALLKELIMRLAFKGVNEICLEVDPKNEAAVKLYSKLGFATSYAVMQLTVNPSDVESKPYRPTLVASPKLQSRSFKD
MSQLIIRSAQEDDVQEMAGLDRVCFADPWSEESFAYELKLNQRAFYIAAEIEGRLVGYAGLWAILDEGHITNVAVAPEYRRKGIGRALVTSLMEVAEENGLTSFTLEVRESNLPAQKLYTELDFKPAGIRKGYYHDNGENAVIMWRGRE
MSTIGLPRRLAPGDAAEAARLHAAAMTEPWGADAWQSLLADPAVTGFGVGESWRLAGALLLRSVLDEAEILMLCVAPEARRRGLGRALVAAALETAARNGARRVYLEVAVDNAAALSLYGSIGFREAGRRKGYYRRPAGRVDALLLARPGDLEQARRGG
MASATRWLPRGSGLGPSARQPDRRAIDLTASLHTMRNSTPIFRIRPMEGRDIPTIVGLDRRIFRDPWPESAYVQEVYFNPHAHYFVLELLDLRYTRAWFDRRRSRAERLLGFVGMRVEQARGHISTLALRPEWRGQHLGETLLLTAIDQAIRDDAEVIGLEVRVSNTVAQRLYTKCKFVVRSVLHRYYADGEDAYYMQVQVTEDPHFAPWVQGRLQQLLADLRIEKVDPSR
MRRGRRHPLPGAAGIAGDPDSTGRRSAARSARAIPCARGRRVRPGRRSRSHLRSGTGRRSGAVVNLELRALDADDLDDVERIERESYPTPWSRSMFVAELAKPSSLCLAAFMADGELAGYLIVSRYVDAWHVMNLAVALEFRRRGIAGALLERLFEVTTDDAQRGYTLEVRVSNTEAIRLYERLGFEARGVRRGYYTDNREDALIMWKDHVVSSGNDYLGQAG
MTAVRPAIRRATRDDVPRVVEIERSSFSDPWTPGAFRSAADEERLDFLVAEHEGRVVGYSVSWGVVDQAELANLAVAADARGLGIGGQLLDAAMALAREAGCESMHLEVRESNVAARALYGSRGFAEVGRRRRYYRAPVEDALVLRAEIVAG
MTTSKSGKKYPARLYEKGKCPLQSGSINHNCHLANFQMVEEVVGLDAWESIKTSSVGVILRLKELNYTWSAKAVHHLLTNQLVVNNIHEIWSAIEGQPIRFSLYEFGDITGLNCEPFNINEEVEIDHKPFWEELGVSPSHGPMLSELQLLFTKIRNWSFEKRRMIGLLCVLSIGVLGISPGSRIPLDQAKRVLDIEAFDRYPWGRVGFSSLVKLFHMKEGTSIHFADAYMHSSSGCMSRYLVLGMNMGIILRVTKFLFSPGMDLVAV
MSLFYLININFAMRTTRIFSSFLKIMENGNLNAQERTQKEEKFRVLLLFLKCNSKLIFDVFVFTTSIQDILQVLFIDLINRNLKIFSKNQLNNLNSFKRFQFFKKTKYEGYISSSKKHSNFFVIAAKNNNSTSAFISIVCRYTQFIHLFLLCLKLFSVFDLNTFQFIKHDTLPTYNKISYHCFISKSENGQVQEMMKTNQKYKQNYQMLLFCAKIGLSIEYDEDNNNFQFHKLSVRHDIALFKYVYVCINDIILFFGELDYPNTSKSVFNPLFDCVAILNEEDNYIHIIGGYTYMKTKVRGWDPSQLSKNEIKLIIKYWTRTLKIKLGWINDFDKIIMKQYIK
MLTLLGAELDGALFRANQQISSEICHAVKDSVSLRTTWPTGLEAARICRRAIELNTHQSAVLRDEADEDERIEMESDTESAWGGDTSSHSDHQDGAEEEDFQQGDYGESQDEEDIISWEDKYSTIVRASLNLARLLRSTALLGDRRDKETQALQCSNVVRCFAQDYGIA
MRSTFKVLFFLKRDKKKDMYHIADIPYYR
MENNKYKYKRILWLCPPNFSGGLESLLKKNLAQVGLSDCHITTVCLTQHCLIKKSKDKYTWNQKLYPKFAAAIAKFQPDFIIINDKAALGFITQEYISLAQTRSSIYLYNNINCLVIDDIKKTKIINEGQWILRQDLLKLKRWLTGTQRHQPKFAYTVTQTRAQLDEAVEAAEDAVCISTDIETSGNGKHVLITCTDYTILSRSGKLHSYVIPFIDTTQEDGRYWRDFADEVYAFEAIKKIHQTKAPKVMQNGSYDATHYITYHLPLYNYTVDTLHLFHSIWPEAPKRLDFISSISLDFYRFWKDESSKEDKEDSKLSQLPKTEFGLKSYWRYNALDGYYTLLDAMYLLMIYSAPQMQWAVNNYVKEFRLQIGPCLSASMRGVKWNQKLQSKMNMDLLMQADAADIDLKLMTGNPNFNPKSSAQVSKLVYDQLNAEEIPRKKRTTVEPVFKLVATQHPLYKRIIQQIWDTKKPANNASKYGELKLLYNRMMYKLSAAGTESWRLASKSSDFWYGTNVQNVPEPMRVLYEPDDGYILFDFDYSQADSYYTAFESEDKQYMENMLSPHDVHLLHAAHFFKIPFEKLVEAKKNHEDWCTHKLTGVRSITKRVVYGANYLMTGYTLFITMGQDAVVAAAKHLGYADAGSWNYQQLVKLCDKFIESYFQMYPTLRPWLEEKKVEAAQNSNLVTAFGGATRLFFGDLLNNKASLRELASFYGQAGTAGNINNFMDDFHYPNSPFKTAKTTIHDCSDIMIFFQVHDSVVGQVKKDKLHLLKNLKDQMERECTIHGRTFVVPVEGQVGLGWGKRMIDWSPEITLAQVEEHDKQWWSKQNEK
MRRLRFSWFLNGDGVARSVIKIETRLTANNETNNKRDVNFQPMLTYNGCNGVTTETDNGSPAESFLSAEGELAEEPGDSPGTPRDTEEEATLSDEFYSHDTDEEEEQGRRRRDRANSLDGIPSSGNGQLGSPTLRIGNVGVRKLFTNSRERWRQQNVSGAFAELRKLVPTHPPDKKLSKNEILRMAIRYIRLLSNVLEWQNAQDRNGVVHTQDGVRIKCEGHFNNNNNNNNHNNNNNNNNGIQKTNSYQTKCPINLTKQEKQQINENALQSYRVHYLGQQQRQHPVGHHGACDKNGNNLLMIVPAVSLNELSASSVDKHVEPSNVISQGHHHSVNHSLGSNLIRSSVNNFSKSQQINYNVSSTNGSLVTNGNSSSVGQKRLLKVERQDDEQSGIGRECRSSSITEHPVQVRKRVKVTFAKDTTGSFRQDFRHVERK
MGKHWNRRSKRIYLVVFCCLAFLAGSVLVFAGHKNIEQERISWERMLTEEAESAFNHIEQQISAGIQSCNSVFLSRWYSHFRNVAGVYAAEFEDGLKRMEIISDLNSRCAALPVVTNIVIATPALDTVISRDGWFTLDLWNQVYASTPIDASGGDTVAPTMQTTDEDTFAIALQDPTSRRDKSAIFLLISRKEAQEMVVEALSEQATEVRLLYAGQEVFAYGARGEGNVARECHATGMNLVLEAAFWSYAQAGLPSALFAYGILFLALLLGAILLAFPLTIVIVRPLNEMILRFGGASDDLDAPFRFIYAYVDAFARKHERLNQENDSLRESRERILALMHNEIFLGMLTNPEYDFEAEYVRIGFPWVAQEKPFLLAVCQEQRDLPHFDLPGALGCAQAPMDGQIYLLYWFAEEGQAQEAREELCDCLAQAGRFHAISPVQSDARALHGAYSALREELAKQRRQYLELPVALQAKLVTGIRAGKREESGLLLAEALERYNPMAVLHLLLRVADECEFDLGVDMAYFAGLREDERRERLGPLLEASVKALCQYFADERRSMNNGEGKEICEFIRENYCSEDMSVNLLAGRFNMHRTLVSKLVKAETGETFSNYLSRLRMERAAELLRAGNCTAAYVGEQVGIPNYPTFKRTFIRHYGCAPREWAAENRNS
MASSSSCFLRSILFSSPTNLPSRSDSLSPFFPKNLTCSSPSTPSPLLSVSSIGSGSIRRVGDSRRKLSEVRSMATTASQTGKEENKKRVEIFDTEENLAIDLAKYTADLSDKFCKERGAFTVVVSGGSLIKSLRYVSDLCVLPAFDSKPRAFRFALVVMAKSRT
MEVRMSRTRSAWNWGAGIAVASILLAFVAAPAAAQEPPDPNPGSLTLTASMDFLNAYMFRGIRQDDTEVIMWPAADLGIAVYSGDGGVKSVGVNVGTWNSLHTGAAGSDGPSGKLWYEGDFYATLGLGLGGGVGVSTTYTAYTSPNNLFSTVKEIAFKLGVDDSVYLGAAALKPYALLARELDTAPGLGQADAGLNAGTYLEFGVAPGWAGEAASLAFPLKVGLSAGDYYELAGADHTFGYFSVAGIATVPLGGTTSFGAWNLHGGVEFQALGETTEAINGDGHKVIGSIGIGLAY
VGHAQSFSWQRGPYHLKAAVANPKPSGLIAKQCKARDENTGENARNPKLALAAGRTAPYSSALR
MLKYVFLLVIHLSASALIAQSLPKNFAAGEREAMPQYLANTIKEAKTGRSGKLDFPVRSMAEWEELSGLIISWDNGFKDIQAEIVRNTIPQCKIIIACTNASTVKSFLESKGISDSVNVQYVIGKYNSIWVRDYGPNTVYARDVDSFYLVDWIYNRPRYQDDTLARQLSRSLQLPLIETNEAPLDLVHTGGNYMSDGLGTAFSSLLVMDENGPNSDFGFSDHTEAEVDTIMHDFMGTKVYPKMNTLPYDAIHHIDMHMKILDEQTILVGKYPNDVADGKQINANIDYVLSNFTNTFGKPYRIVYMPMPPGPNGKYPDQNGNYRTYTNSVFVNKTVLVPFYAEQYDTIARRIYEEALPGYNIVGIDCNKIIPSLGAIHCITKEVGVNDPLMIAVDAILPIIDAKVTVERDVHAIVKNRTGIEKVMLYYQLEEVTELWDSIEMNIENNLTSLYIANIPEFKNTARYFIKGFAKSGKTITRPMTAPSGFYTSKTLQTSSSDEINSTNHIEVYPNPATSMTLVDVHLSSRDRIHIGLYDAAGKMVKTLFNGNYQPNQQKLFFDASAFLPGVYFIKAEGKNQLNIVPLVVN
MSNPPHPKLPPQQQEENKLQQITSHYSSLKSAQARFIQSQSTLSTLTPSTLSKPVMVPLTQSLYVPATILDPSKVMVELGTGFYCEKSPMEAGKFMERKVALVGKNADNLYNVVVR
MPPKERQAIDLNTLDAQQLSSIREQLEEEIQSFVRSSVTLQKAAGEFGSSGRAVEALSEQKEGQPMMLPLTSSLYVAGTLASTDSVLIDIGTGYYMEKSTEEGVDYCKRKVMYLKEQLDQIGTAIKDKQRILTEVNGALGRKVQQTQQT
MVGRCQPNTAAVALALWATIAALVGPSKAIADIECNLPVANGCHCSAVIINCTNTAYTDVGIFERIHQSYPSLNTLLMVGNRFKLLRGYLFGYRTADLPLAQLDLRNNSIWTIETNALMGLENLETLILNDNALRVVADVEKMQSVFWPLSNLRILQLNRAFDQRSSSKERLRWVTVMLNHSALANLEYLHLEGNQLPYLPADIFCPLPSLQFLYLGNNSLSYFLPNAACIRNLRQLNLSANRFARIGSPFVQLVTGLPNLQALYLGQNPWHCSCELANFVAWLKSSAQVADRNGLLCRTAIPGQLIGRSLVNVDEKDLRCSEGHVGHAYGIYVVCSLALIGLLLLLACVFFANRYLIFKGASRMPSLRMSDYQPLKEPTAEPVMV
MTFFKKLLFLILTCIFFCNVAFGADKIVYLNVDKVMGLSKAGKYLKSQLDKIHKSNIESFKKIEEKLKKDEKDLIAKKNILSKDDFQKEINTLRSQANEYKQKRSKDIKDVNIKRVNASKKIIDLMNPLLAKYADENGIAIIISKKNIIMGQNQLDITEDIIKLVDSEIKPFKLK
MGSLPTTSPVPNTQTMADMKNVAAVDFAGQSYLFFVDGSQISFYVGPAASESKGSYNRYSFNLPKVQTHPDFYKIAAVSWKTSNGAELRLYFANTDGELVELTRSSGPNGVSDWGWGKLQAEDYKLDPASSGLSAVVNDTMTRLYYTPPKGKTVWVASSPTVDVDWSTKVMVKLNLP
MGLEKLLLERERRRRESRAPNSDGMYPVNPLLERERSVTRPKVHRTPTQLHGDASDSDHVAKERVGSAVTADFKARRERPSELSPDEREKQRVRIRWRNVK
MIEINLLPSTQKKRKKEMRLPPTMFIAIGGGLLLLLFLTTVGLAVGVRMQKGSLRKTKESIEQIKLESEKIAIRKKEEEKLKKRMAIIKKLMDNRILWAKKLNRLSNLVLPRIWLTSLSIEGKSEQDKSGNRETTQKTTKKITNKTTNKTTGKFLVIKGVAASTTGETGGLKLVGDFMDKLKNDPSFYGDFTSIQQYGSLRWRQSGGVEAMYFELRCRFKEGR
MFTFLKKLFKKDTYINPTTKVELNQRTIKDLEFFDDVWIKDDGVIYRGWIWDISRRCITVVYYGGLRDFKFQIPRPLTLTEIEQDNKVLYCNKPKEYEDN
AVEHIQRAVGVHIDAGFYLGEICMGLQSMELSVTSQFSRTYVTSNNDDVTTDGIVKGKNAVETRMIENHMIRQAQNKKIIDTAAATRGYMMSAQMGHVLAQHRLAHLQKRGLGGSFNCKETARLFKAVAERGPWMEQLAEAHAMYTEGNNLRAMYLFAQLAAVGVESAQYNAAYLVSKCTLCPPMDKEASLIGLGGAHSQSSASGSDPLETQHRLKQQSAETNFRLLLKDHEDREKSEKINNEEARAPNAEPAHRHAPNVQTNQRPSAPVAHEGSFAYYTPSNAAFLPSKEWRGFVMMYNTFHLSEQSDQELEDSLPVDHIESMKDSCRVMRKQLCETRALTLFDLSAQQGNAEAYVQIGDMYYYQRAGLTYPGRKKEAAEFYQRAADLHHTQAIFNLGIMHEVGDGVRQDFHLAKRFYDQAAEVDSKARAPRDIALLMLEGHKTYHALFGAEATTHLLALKVPLLTQSFLSSTGWTVQMYTLWQVIEKIVALETELRGLIDRWVMRYHLHVLHPVRDVLDLLTAPLQRFQPLQAWKHEAHNAPQDNAAPALKVEHSFRGYAKLVSTFPEYAKSLYDKAFDAVYLLLYYLIRGKPHPALSDRHLSTHDIHALREELLLLILLCMVFCMVLSFKVVRRRLNEAAQEQRPPNQR
MRPAVNLARQPAGTAAPGPGISSESARGVSEVTCREVVELMTDYLDGALSASDRAKFDQHMQGCDGCRAYLAQLRIARRLMGRIASEPVPDPLKVELINAFRTWKAG
MIYTLKLISSIQLSLTSGIADCHTDYGNEENESADDAESIGARHREVAAEVNV
MIIIAGLLIGAIWGVIYVRRRNGRGFDIAQYAAVWGLIGAILGVVATIGVERLL
MAAIADKDGNVAHRVNRPAAKKNRNTLFFPFDTPANPLYKPAPPTATNERNGSNEASDGRMGA
MPIYEFRCGACRRQTNALVMNRDRTGEVRCRHCGSDRLERLVSRFATPRGDDARMEALAASASASASAEGVDQNDPKSAAAFMNEDEP
MAAPDVEYRCFVGGLAWATDDRSLEAAFSTFGEILESKIINDRETGRSRGFGFVTFSNEQAMRDAIEGMNGKELDGRNITXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAATPTGTGGTEWWGPRGQLSCYRCLPSHHHHPIASYPF
MNFSFKKNTKGQIGIAFQENGVTIGQCSWKQGMYLHPEVKHIEINPHEMTAECWRDNVSKSSMIGSDCVLSLPPSLVHHQVLRLPNMSIEELKEAAAWEMVDRLGVERPELQLDAIPIGSGGDVLAIAINKSSLSELLDPLYCAGLRPTSIEPHCVSVARTLSLLHRRQSDQSIVRSVFDFGLHDSAFMVLAGDSMVFYKHLEHSGTQLIESIASQTDVTIEQAKKMLLASQENREDGDISKAVRGATRSIHEAIATDAMKCIRHYGVTNRGPLSTQLIVTGSSGWNTHLANALTAACGQEVVIESSVTHIQPLPSSVTNEKNWHIALGASLASMLQQRQRRDSDCAIKDAA
MDVFRTSGLIPDVLDEVPPAALKIKFGNAELRPGDTQTPTNVKDVPSVTIEQDASEFFTLICHDPDAPSREDPKFGEFQHWLVMNITNNDINTGEELTTYIGSGAPKGTGLHRYIFLLFKQTNGKIETKDLPRVPNNTADGRRLQKARDIAKRFNLQLVAGNFYLAEWDDYVPVLHAQLGFGK
MDLLSISYPSGVDVNLGNILTPTQVKDRPNVTWTGDDCDLFTLLMTDTDLPSRQMRMGEFRHWLVINIQGNDLNSGTTAVNFLGSGTPPFSGLHRYTFLLFKQPLGKMNFDLSCFHNNVAMARQSQVTRNFITKYSLEIVAGNFYQCEYDETVPLILKQLAGQCLHK
MSEIPPETLKVFYKEFNKKVDLGNILTPTQVRKEPELSWTAKDGQYYTVLMVDPDAPSRADPKLGQWRHWLVGNVLGNDISKGDVLTAYVGAGPPRKTSLHRYIFMIYEQSKHIAFDEVVVPNTSTNTRPNFCARRFADKYSLGSPVAANFFQAKYDDYVPTLYKQLKD
MPLITATSATEDALKEHGVIPDVIDSIQATTILAVSYSKGNNIAEVALGNTLSIEETQAAPEIFFMADSPNDKYTLILTDPDAPSRKEPTNREFRHWVVSNIPGGDPSDPQSFAPKNLSTQGTELTAYMGPAPPSGSGPHRYVFLLYKQGSNAPAALSTPLQADRKKFNAQSFASEGDLTLVGANFFFAESQ
MTCLNLDELRGLGGAERAFLEDAAKKIETEIENANNSALKFGLDLELMQSGLLSTLIVTTASFAALHNKSRDKEALAFSVMRCMAEALSDVLRASS
EYETEGSVARTDLLATDLQSSVLHALSSEGHQPLGYSPYGHRLPGGPFSGFNGERADPVTGHYLLGNGYALSTRC
MEFDTQETKKLYYYLCNKYHIHFTIYKPNNEKITSDEILESTSKFLKQVQGVDLYADLQE
MAVVIPTTGFLLERFTPRQIFLASLTLFSTGTVVAALAPSFGFLLAGRVVQATGTAVMLPLLMTSVMRLVPETRARRDDGHHHHRHRGRARVGPTIGGAVLASLGWRWMFWIVLPLALTALALGALWLHLDSPTRRLPLDLVSVVLSA
MAPLKFEDNIREKLEERAIEPTVSSWEKLASQLDVHEAKKRKKDNKILWYSIAAVFVGVLVITAVWRNKSLSEEPNKIEIVDRSDEILKKDQTEITLNEQKEKEIIPVEEKKDETVAIEKKKSEAISVKKQLAVDNKVAEAAKNNGTKVKDTFEKVDRVDLNKQFDTKEAVAGTLNEKEVLPVDANVIEEKIADVLAQVDELQKDQNEVTEEEINQLLRNAQREITSQRILNSNTVSASALLQDVEEEIDETFKQRVFEALKTGFKKVRTAVAEREN
MFNASNFKPILIKEKCHEKNPFTLSLSRCLLHAEDDGFYMSAGYQIGEAAQMVKNTKG
MRGKRGIRKKTLGKQRVRRKKRSRVWVMTARVLGSTILKIGLGFAVVVLVSLVFIYSYHYVLTSPFFGLKQLNITGLDSKEQERLVKVCCLREGQNILALRLGELRKKIEADPWIRSARVERKLPGTLSISVVKEEPWAIVVSEDFYFMNRWGEIFKKVEGQDPVNLPVVTGLSDLGSEAGEELRQVASLLSILSAEKGAIGLMNLLEIHINDSRNFSLYFKGIPAEIQMGAMGLRIQVAKLRRLLRHLKDTGRMQEARRIDLQYAGGAVVSFYPPRRINGRTGKNAGNA
MGHARYNDIEVSLSNQKGNTPLKNHYMITSFEADDKVLRELDTIAPLSNDYRDGEVASQTF
MNRRRSYATRVLIAVGMIVCAWGLCADPSYGTPPDPWDDLDFGWIWSVDETTTGTLRRRALGPFLERQEAGPLTFRAVRPWYSCTDNQESGRILHEYLWPVGMRRSRGEDAFARYLFFFQHDFQQSSPTGRYRSVLFPLACWGRSVQGQSYGGVFPLGGRVREFLGMDQIDFFLFPLYGHVRDVGIESHHVLWPIYARVRGPGVRRDRVFPLYGKSWREDQWTKRFVLWPIWSSVRYEYPDQTGNGFVLFPLVGRVNLNDQKGWMVLPPFFRWSRSDTQVDVNAPWPFIRYGTGDVNRFHVWPLFGWRETALEKSAFFVWPIGYAQTQQKARDVVEHRRLFPLIYHKTVRPRVESGVTEPDPTECFEQLWPLASYERRGEERRIRAPVLWPLPCPGGLERNWAPLWTLYDRTWTTNQLDESWLWGVYRRRASGNSRSWSIFPLYRSRQSDEQRAWSFLLGLAGYEREGLRRTYRFLYLFRHTRMSKPAGPEIPEDVLFKVEFSSRRIPTGVGGVAP
MTSRLLAGWWTRRGTHAALVAAIAVVTLGALLVTGERGRPALLAPLLALAAGVVPSAGLALGAARRHEAALLRLHGRDGVGWALALAPEPLLSAGLGGAVGVAAAAGPGAGRWGLVALVWGLSTVVVLVSMLVALRGPLVDLLHGQNGVDRLRGSGALASTGPVLVLVAALVALVRGVGSGADEPDAVTYAAPAVVGIAVGLLAVHAVRLLARWLSSRHDLASALAGRRLSLARSSTGVPLLVGSAVLLGLGANTVLALRAWEADTRLVTASAPLVVPFDGSAEEVLEATREADPDGRWLMAAVRVFQDDRAVSRRVYVDTARYARVVGDRLDGTAAAAGSAAVVELGSAAADTPAEPTTTGQRMEAQLTLGGDQPRAVLVDVLTSGVSGSGRQTVFARLTPGRPVTLPRRLSRCEPGCRITGLEVAVGPECPARIWARPVCRRPSLDITRLSVGGLDLLERTWTLAEPDDRPPGEVESTPGRLRVRPSSAGTSYVATDRSAWAAPVLATQRVDWPDGPEAPTPGGLARPTRVLDTVPALPLVGAGGSVLDLPSSLLPGAQTVADAEPMVLARADTPQAVLGRIGESVPGSTLAEDAVSRSGARPLSAQVAVVVGGGALLGLVGVLLPTARRRDELAREHAVLRLIGVPQRVRRGTGRLQVWIVVVVATLAAAGGTLLVTKVFGDVVALLDTGPAQLPLDTGPRVGSVLLAALLALLGTAVTTGWAQRVADSASRPERLREDVRG
MHSDTALLCNANNSSDGLGGVPICTGGSDLTFQLCLLIAFLCVRFSNWTDYSAFHYFEVVTICFMILIIVLYVVNLFRIYRMCTCVSWPLLELLHYGICTFLLFVGSIVAAVKSYGLSGLVAGSVR
MTTSNRLEHYQQSLGNIPPAISTMFAMDEGFANDYTDIRERIYTQHVDGLPLAMKELLLVMFDLAVSNAGGAINHLRAAKRAGLTAEQLRESLQIAFLVLGVSGWGKVGYKLWAAWENDFKEEGTSTNGHHAS
MNGKVAKPVGNIALSFSKEDEPRLTNHVMAHIALEYMEKMGLRNTQFFIAHHFDKEHPHVHIVFNRIGNDGRTLSDRNDRLRSTRICKELTLKYGLHMADGKENVKLNRLKEPDRTKYRLYDILKTEVGRCGNWNVLVANLNR
MKRIIITLIIATLLLFGGCISQKSVNFGFARPKFDKVPAEIMAKIVNAGDAFTYPDANSIIIETVDSNIYNEDGSLVAYAYAISKPLTPQGLKNESKVELGYDSQMMDVEILYAGVIHPDSTIEFVPDSEIIDQVASEGMAEMDIYWTNLRKKIVQFPQLYPGDAVVSAYKYTFKKPYFEGVISGSAGFQSSEPIHHNRSVHLIPKSRASQIRYKIMNDSKGWIKFDEYDWQDYHIMVWEADSTPALVPEIGMPSPNQFIPLVLFSNVSWKELSRKAWEVTEPPMLISDPAVTDMVNSLVETCKTEMDSIKTIGLWVAQDVRYIGISLGDKEGITPHDVNETFSARAGVCKDKSALAVAMLREAGFEAYNVLTNPISHIIYDIATNQFNHQIIMARTRSGEEYFLDVTVDLDAQLPEYYSKKGYLVLSEQGEDLKYFPLYGPQKSMGTITAQSRIDPAGNLNSTVKISGNGVYELAIRQIGQFLEKEDRERLFRRLVNQIAPNAHLLNFSIEPENIKDLSIPAEITIEYEVPDYAIPAGDYLLLSVPCAQNTFNITAGVLEEYTKLEERKYPLQFMYTIGANTTETIELPSSYGSKSIPDKLEINNPYFNYNMEYKIEENIVKYRSTLKLMDIDIPLRHYADFRKAYTKYKNAEKGMLFLTREAQ
MHEAAEERDRESPTDPAATAGVPVRVEPDAAVVAVDPWVPPDRRRESPAAFRPFAAARALGGRLRGLLGGRLRGLLGGGRSAERRRGTEK
MLPDNALERIGYVVAPAVFDATETASIAKTIEAVTIASAGTRNLLELGWCRALVDRIRSTLGIQGVAVQCTLFDKTPECNWLVAFHQDLSIPVRERVEHSELRTWSLKEGQHFVQPPVALLEQLTAVRIHIDDCDLENGPLRLVPASHRHGRLDAPGAKRLRDVAGEVPLPVGSGGALVLKPLLLHASSKASSPRHRRVLHFLFGPASIDYGLQWSHAV
MIHHWTAELEEQGVVLLNGAIRDDILTQVSDEANRLIASPEALDRGKGGYALRHLLKRSPAIGEFANSPSLLNIAMYLLGEGARPVKGILFDKGEAANWSVRWHQDTTISVKQKIETPGFEAWSHKDDVPHVRPPVEIMERIVAFRIHLDDCGEENGPLKVLAGSHRRGYLSREEVLRLMKEEPPVPCTARKGDVLLMRPLIVHSSSRSRSPAHRRVIHIEYSAENLPNGLEWFES
MPSISAGQERSRVLVIGGTGYIGRFIVASSCREGHPTSVLVRDSALADPAKAALLQGFRDTGVTLVKGDLYNHESLVVAIKSADIVISAVGYTQLPDQIHIISAIKEAGNVKRFLPSEYGNDVDHVHAVEPAKSIFAVKARIRRAIEAEGIPYTYVSSNFFAGRFLPCLGQVGVSVLPTDKVLILGDGNVKAIFAAEEDVGTYAIKAVDDLRTLNKVLYLRPSSNILSHDELVSLWEKKTGKTFERVYIPEDEVLKKIQESPVPLNIKMSISHSVWVKGDHTNFEIDPSFGVEATELYSDVKYTTVDEYLNKLL
MVSSGQRQTQKSADQHPQTYTYCKTKSYVFNQRKIKQTYYINKLITQEPLFKSPPLNLKITNASNARSLIYCVCPRIYDQLNAAKKAHNQ
MNNVAPAASQFDSSTTNNNEQPELLIGFDNGEIQSNAPTVKKEELVIPTQPNAHHKFFKLKTSLQKTIESNESINQLDDDARRALILEAKDANENWNERNENGTIRVHTIEQDSTNTFMTDLGNDTTEIEQKKEEEKEVENADYDQVPIEEFGMAVLRGMG
MAMSSTLYEKTNICDGLSVTTAENVFRSVYSLMGYLTVNKKNVDMLGFDSETLLNATAAVVTIIAVSIFIFSVELGISYASQILIVATFLTGVFGLAQRAEDQQLVFFAYGVIVISLLILFGKTVGTFDLGSEVTVVGLLAIAAILFASRSALNSDDRFITGRQAVYGLVVFALITTIVLTTDVATGGPRYDLQLEDTIAIPEGGDNEVRVGSLVATNPTPLPERVDKPQYEVCTAGDWSEYRPDDNEDGRIPVRSDLTIESTSDDHVLSYSSKSYPVELGLIGQDIAGESLVIERTSECPDTNTGDPYLAVFEQQPRGQSNRITSD
MPKLSMIAVICGRGLSATLMKLFGKRLGKSL
MKSIPGVGEAVVWVDETSENLSLLYACVNVMAGVTLSTRDLRMECTQLATWLRPARYLIVPQNEWPMNTTGKTDRAELKRRIYEK
MQEDTLVLVDSCDVQIGTGKKQDVHVKGLLHRAFSVFVFRRTNSGIELFMQKRSVKKYHCGGLWTNTCCSHPRPNESIISAAIRRLNEELMLTNLEVKPAGSFVYKAKFDNGLIEHEYDHVLVGWMNEDHKIYDANEIDDVAWFTIDYLKNDINESPNKYTPWFSKALSLALSYIDMDVIERHDI
MVCRERYKFAPEKVDPAAFTFERILDYEPRQDGETDTPREYHARLLDGKDPDKLRWIGDELPPGRERLLRQLDRQNPGAHFLIIYRSVTDVAGFAGDGRSVDECFEAAVKSWNRTVSGIREYFENTDEPNGLIVALPGSPEDEEGEAALLSHFLEVEPGDGVCFDLRERKPEPAARPMSEERTAYVDARKNHADEAWLLRRIERQREEPGLYKRSPGEARGRRRLAAATAALNAPDKDLVRLQMLDKDLKDRVKSVERTAERLGQTNLNLRAELAALQDSSSWRVARGLTSVLRRIRGGR
MLTITKDQKRIDASINAMISLFAQNWIFEFVKANPGAKYFDMVKYVREMKLEHTHGITLNLKELENKGMIRKDGVQYFAK
MCKSSNLHSFLTELPKCEHHLHLEGCLTPTLLFKLAAKNGITLPKDDPSYASPEALEKRYEHFDNLEDFLQCHYRAMAALLGVHRTAHGGEEGDPTYISGALDGLHAERIDHGIRLIEDKALMSRVVAEGVLLTICPLSNVCLQVVQDVSQLPLREFIKSGVRFSLNSDDPAYFRGFVLNNYCAVQDAFNFSISEWQTIAENSIHGSWVGKKRKSELMWEVDQCVQKYTNVP
MEINVTFRHMTGTDVIRRGVEERVAALQQHLPRTGTSAEVTLSTEGGEFIAEVALAAGDSRYYATERNHDMYQSIDRVVSTLESKITSGTKTTRTVGRINVPGLG
MNRATRINITTIGVIFGLSGITHGCSEMLHGNRPTNGFFINAIAAGSPWTRWAEGGEGAFTLVPNFLITGMLAMLVGLAIMIWSLGFVHKPRGPLVYLLLFVLLFLVGGGIGQVPFFMAAWAAATRIHKPLLWWRRRLPPALRRWLANAWPWLLVIAALLILTALVIAIWGYVPGIDNMARLLNITLAMVGDSFLLFLLAYVAGFARDIEQAHATTAGATPTLVERRTNSVLVAYATQAGSTQEVAEAVAARLREDGLTVDLQPMRAVQSVAGYRAVVLGAPLYMFRWHKDAKPFLARHRAGLAERPVAVFALGPFEDKAEDWQGVRAQLDKELTKFPWLTPVDITIFGGKFDPAKLGFPYTLIPALRRIPVSDIRDWVVIRSWADALAAKFQPLLAP
MACDRKYGMHDCKVPFRFILLQLLIRFRLPAAWVLSSEGDSCDTACTGLGKTCDASRMNLVTSEYQMTYAIAQGGLTACDFYTVDADSYATAQPYKLVIDSNSQLPTFCFPGTATSDCTIGVQYLSRLCCCIAGGDDSAVVCPVPTTTSTTQTTTSQTTTSQTVTTSTATTVSITTTTLTTTTISTTTVTTETDTTTTQTQTTQTTTSETVSTTTFETSTVTVTTQTTTSATFTSSTATTITTTSSTVTTTLLASAVLVADVARGDTVIVIDSAVGFSLNDIAQLKGAFGVTDYVEIISKGTTTIGSRRLAVYDTLTVSPPVENDYVAGDAVVNRGPAAFFTGGDPVTYFGGQKWKFWMPLHEELLLLATPDVRLYGSVFPGPELGQQWFGDFLVAFPDDTPIAKVRIRAASNRTSPARRCGSPRFEALEIYLGKDTMPLKDMRHLEYTAGKSIRFEINCRNQAARTEYMYFETPSLVFVITSSHAGVEFPENPELAFKYMHLDFIVMEAIRSQTFSGILPEIWDVRPRSPFVSAMLQPPEPPMVCEADWLTPELRMVESADLGMHKAAVGG
MASAPPPSSSSSSSPSPLPLPAVLRGPVGYLLLASAAGGVLLDRVYAGAQPKSVLTESNNDVNGTRTRALWRSSVWASVAPALATAHDEEAVVARVHAPPDGASGETGFDALESTGDVMPLWSVVAMRLDGVALIAAGPEPGTELFLEDALRALGKLLRSFFPKRVTETELAQNYTKLCVVVDEAILEGHVDTTDAERVRRSMKMK
MYHHVDLLFRNVARLFFMEISKRDRILLRLLEDKRRSSSNSISSIKIPLDFCLVIFGSFFTGIVIFLSFLK
KVKARRGGRAPPLPCLPSCPSCGLESPSMRRPEQGVLRALREHDSPHYSKESFVKRDLEHHKPNPYNKDQPTDAPPTANQKKFRSRNWQSIAPLPPQRDMSGFPKLVEPNWKTSPSTMGLSPVSCPPPPHPPVPPARQLKLSRSIDSVMSHPSPTQRPLDLPSNFKVVTQNEASSRFGYMPSPAVPSALVHRASSRDSSSIPVSSEMQPVRERSEIFTMNSLAILETIRAKPFSCPACRERFDNEKDLELHVSTAGHLNQKMHLTLDELKALECPECNRAFTQTYKLKRHFLVHTGEKPFTCRFCRKGFTQKGNLNQHIRVHTGEKPYKCKTCGKSFGQSSNLTQHQRVHLKRKPQIKSSNDRRTEYEKFKRPRPKCTRGLLMSKPKNYIRNLVALDLIVSGFDGLERAMEWENKLPNFYEGCHLKTEAGF
MSTICWNILSFEKIVYIALLHFPDDDLHIAEVQDIPFPAVTYLAPGS
MNSPVENERKGGSTG
MYQIRRIMMALCGVILCGLCVGMLQKAKLGVDPVHLLRHGDRQPLQLFLLHLLPDPDRQPASGGLGFTPALYWNCNHF
MGIKLLNRLLKENCSHGITKMSLLFLKNKTIVIDANNYMYRFKAKDHFITSVYEFCSILKYYAINPIFVFDGCPPNDKKEVIEKRRKEKETAQLEYKNLLKTVSRNKSTYSNNIETQLQELKKKSLHLKVKDILEIKNLLTYYGIYWIQAPQEADDICVSMVLNNEAYACMSEDMDMLLHGCPRVLRYLSILHHQVVLYDLDVILKDLNITKNNFQYCCIMAGTDYHRTDMNIYDAFKLYYQYKIDDIKEEFLYWLKMKGHINESLYSDIKKNYHLYNEIKYLKNDVTKNINNFNELEYKIVKKQYNPSVCHYELNDNLKNLLCKHNFIFVE
MGIKNLNKLLKSKCNQGIRNISIEKLRKKYIGIDTSIYLYKYTYMGNMLECFLKQINHLLSYEITPIYFFDGKPSEEKKKLIEKRNSQYKKSLDKIEEMKKELEQLEKIEEPSDDIILQIHLKEEQIRKKNKSTIRINKNELGELKKILKNLGIYYYECNGEADIYMKSFSQKKLLDYVITEDLDFLTHGCENILYNYNYNSEKLKLYNLNQILNDLGMNYKSFVDFCIMLGCDYSCKIPGFGPKTGYKLIKEYKSYFELKDKKEIKIPENFQYQESLKMFIEGPEILINKKMLKLQKDNINKQELEEMNLNIRIIEKIIHKIENIKYEFNILDFLHKE
MNYQALNSQEYEKISSRLELLFEVLWHCSQCKEVNRSVFTVNERILINQERGALMSQQNLLLEIYNEDVRYYQVHENIEKKIQLIKSKL
MLGILIIFFLIELSENQVCQDNKKIGNPNLFPKPFVGNGKLAAGARSLVIINEPPWKIDCCGVVKKWLIANEKVDLVRFQIWRESGNADKFQLIGQNTEVVADSPLNADDEYVVDFESKPNQQIPIKPGDVYGWLPDTGPTVYHSNICTHCGSDYYQVPWTAVTNPVVGMQFNASSGLAADGPLDYYIRLLVGPGALPEFTNLRELTIYDNETTGSLLHTLRWKDDNSLETLTLNVTSVPTAKININLTTGEIVVMANLLGSSGVYNYDLSLSDGCNHVQSNLTITVLSSQSTENPTTATTETVSSTDVTRKRTTKATEGATNSKVETTKNPNFLSTTEGKLILGLVIGCAAVLALLLIILIVMACYINNMKISPKSTTPVERIELNRMNNYEEKALDERSIDLSSDRSYTTSRDGAKSINTTVGKSSNETPLRDLIYWESSRLKNI
MLIGTRDAAIATPLERQTRYGQLIALPEATNAEPVCEALKASITTLPVQLRHSLTWEQGKEMAEHRRFSVETGVEVYFCDPRSPWQRGSHENTNGLLRQYLPKAKSLAGVTQTELDESPASSNDRPRQTLGFRTPGEKLTELIDRPQRAPPSADLACGPRPSLDNARTGMRRCGTSKGGALTG
MSSVNRGGRPAGMIFYQDIRHDEKEYIVGTVFSNGEPVQFVIDKEDEDKIVGRSWHKCANHYISSAENVNGVRKELFLHNLVSNRLDFPGKGSKETVDHINRNGFDNRKENLRILSQSEQNMNQCARGRYITLPSDSGIDSSEIPRHIWYIKAHGAHGDRFAIEFKTEGFTWKTTSSKKVSLRDKLNQAKDMLNDLYIQFPHLNPFRQEKLDKEKELQRSFDEIIALSNQTS
MTQKQKGYVGLGVMVVLAGATVFLSNPLYNAIDTMAAKSISYTPGSYMGSADGFGGKVTATVTISENGIDAIVLAGNDETPELGGAALEKLAPKFMEAQSSQVDAVSGCTITSNAAMEAVQNALDQASGKIDVVPVEETEAPKATEAETEKETEAAKAEETRTFQAGTYTGTAKGFGGDVTATVVITDSGIESVELVGNDETEELGGAALKKLSKKFVEAQTSTVDAVSGCTITSDAAMAAVEQALEQAAGGAADAGSTAVEAVSYAAGTYTGTAKGFGGDVNATVVITDSGIESVELVGNDETEELGGAALKKLSKKFVEAQSSKVDAVSGCTITSDAAMAAVAQALEQAVSEEAAPAGAEAAEAESYKAGTYTGTAKGFGGDVTATVVITDSGIESVELVGNDETEELGGAALKKLSKKFVEAQSSKVDAVSGCTITSDAAMEAVKQALDQAK
MPTDGSKENRRAYMGEQGIDSLISAPLMAASKANAMMLIGQARFLLENCFTVEADGRRKPVMIEMVIQSGSLKTDEQNGSYSIASSELTFRVPLISLLPFNNLGVEQIKMDFGLDITSMRRGHTREEHAKQGKLSGVLKSDTILNGRISSHDRNGKGKRKRDHNHEAHLRVSVEMKSFPLPKGTQTLLDLYDRAIAPTQK
MDAVFQMEAKLLSGTKSQILSDAASRISCGPEAHAGSSTFQTPPREMSDGPLSLQTPPVSAVAAHTLLSLQIPTCWPKASISSTSHPGTPADPAQNCPACLGTVPQCRFCRNSVNSCLSSAGSQTSQENPGKLSPQKQELLRSSLSEHAPFHCVIPDCQ
MRVMPDITVTVALSTKTPSPLRLASTHSPKKSLIRQTGPGTGLVSASEHPASPSNPTTKSRAGRRTPLMPGQAAAFGNRPA
MSKETRSDRKSQPIHINNSTIRFFFFFFFFFFFFFSLFLFFFLHFFSFFFFLHFFSFSFFFFFFFFFLHFFSFFFFSSSSCTSFPPSCTSFPSSSCISFLSSSSCTSSSCTSFPSSSCTSLPSSSSCTSFPSPSPSFSFSSSSSSFSCSSFSSFFSNISYIHLLYCSLFVFVHVVSLPLLLAIFLLFFLLFLVLALLFLPLLVLALLSFLISLLYYPTIMFSFSCCTFSLPPSSY
MWGLSAVSEEDRLDRVQTLKVLRRAYSMARSQRRLILSSLAFVLVGTLVTLAGPTLVRFAIDNGIKVKDGSALNRVVVLYVIVTVIGYISGRLQYITINRAGEGFLRELRINVFDKLQKQSMSYFDREKAGVLVSRMTADIESMAELIQFGLLQFVSAFLLLCLATILLFVMSWQLSLVALLVLPVLIIASIRFQRTSNHAYLDVREKVGNNLSTLQEGITGVRVIQAYGQEANRSERFRQSNRALFDSHMYSVKISTWYFGLVEFAGIAATGAIIGFGGWLVHRGSVSIGTVTAFVLLLANLFDPVQQLSQLYNTVQSSTAALHKLIGIIDAVPDVAEVDEPTQLPERGVIVVDNVTFGYAGSER
MQLEQQGQMEQRAQLEQQGQMEQQVQLEQLEQTEQRVQRDQTGQLDRLVPMGQQGRQVRRAQREQTVRQARQEQQVQLEQQEQTVQRGLRERMGQLDRLVPMGQQGRQVRRAQREQTVRQARQEQQVQLEQQEQTEQQVLRERMGQRVRQAQREQTVRQARQEQQVQLEQT
MQQLTPSLITALFGFAIGIFLVLSLIEKPVWKLMWQPRAVDVPDGMVRTIHSQLKRVIHLLPPTMITTVISLICLLVLQVFQSGFAALALAVLLIFAGQQILIMLALKQGIEGVDLVASDAGIGRVRDGLGALALLHHRGLLSMASGLAAQMCFLVL
MVRYLSVLLCQHHCRKCGYVVCSGCSTKRYLLPQIASRPIRVCDNCLDTLNVGQSMDDSDQSYQDRDSSGEDDDDEDDEDEESHKAEEEEVVADTASSIKCVHIHWLLLIINISRLGHQN
MDHAVNENDIHRQIAGFNFDKPIKVTCIIMDDETDNAKNPLDPFKPVKNAASQVINNTNSDTLLLNTSARHENDVNFNEKRANIGHEPHKVADEAMQYDRNVKNTKNVPNYYEPKSLTTLRKQINMVSSMTNHIHFTPDEKKLYLSSIDSVSKNLINVTKYYHFYNMRYMENHSVKEKYIDYKYRYYSLSYNIVVSIILPQEHNQTFIDNFILRTIELGNREGWYNLMNVEALGALVKDMIKWAKRRKMYQEEHEHYLRHLMCGQPVPPVATVSSSNNMYDVNTQQQLPRLPQVSASSCPPALTRVSPTLPIPSSSNRNINVNTPYPMTRAPAELLPAPPTPPVPSISNNISHAYKNQQINSLPTVSPTHQLNRQPSVSPSQQLSRPPPVSPTKQLNRRPQVPSIQQLNRLPTISPTQQLYRPPPAYPIQQLSRPPDSTALSVQKSHQQERAPPEGHKNNICLFTTGPPGSAISISIDPSVAKTVSAEKVRKNAQNPESKKRKTHDNPRNGDILVTNDTWYPPMQNHLPHNNYSANYASRTSTADVMNTAIEPVNNQSQFTDEQIDNELDNDKCQPLLSRSVSRDSGFGSPVLCSLLTNNDYNMAYPSCTDNQDFTPNIAHVTSLNPGALHIASGSCKICGSTTKNVCIVCYNEYYCGYVCQNIDWPVHKEICRGQ
MLRNQKGQAIIELAVLGSLVIMAFSIVIGLSESYNRRQSYMQQTFRATLYKAKAANESGGVSAMDFRRMPNVTNPMEVGAFQSFSSGNNLIWADGRDYAKEAKQYFLHGRSYYDPIPVTYSGVAPDSVSTSVSSYNATLDSHSRYDKNEHANNIGTTKSLGATGSASGKATVGSIDVTTGGSLSSGGLYYGDEGDEFLRNTTNTRKWYE
MGVLSHKSGEIANPVWGAIGLIAVAIAIGALTWSMTLSKTSKQNLELSESMLMDAESFDSEMNEIIKTMQNLTQALQDYRKNIEICDAYINEYNATIHRILLTEGDDFEVYKPSSKAHIERASVTVSAIIPHLNIAIMTTENKTADQLLQAIDDTKKLVQDLIEEKPISTM
MAQYDSILHQYDFNEQYNYSLLLNCLLGIIIMPKEQFLSHIPNQRITSKLKKEMGLSDSSLIMIMERLQSLSLMNY
MFRNQLEKELENWRSQQLRIAASNQQPRIATSNICMQPTTMEEAYSSRTDLKDVPDWEVYADESSFVQDGKHATGVLA
MSKKSKEQLLQLDKRLYQEISEIEASNWKGGTLSVHELGHALGRPHINQSTPLIAKALVNNEN
MALVWLVKCTKDLMCITSILHHPHRCFGIARSHLIVVVWNSSQNIVIRSLSFECDGDVAILIETDRIETRAFVFVVCDSFDSC
MVTTLVLCVQLYCLRTEKQANWIADKTQPVVQAFNLTRDNALADGKQSNVAVVTLTDPYGNALSGYTVTLALPSQVKVANGENVATTDAKGEARFSLTSSTPGTYEVTLNVGNISSSLSVTFASAMEGATLSLTAKDNGAITDIAANGRDGATLEIMLNNTNASVEGQKVELIVTPQGLVYPDKITTNKEGYATVTLTTVKAGHYTVNARVTDGQHSVESSSVDLEFVPDINSAVLNLAAPAESIVANASATHEIQVQVVDGENNPFSGNVHLTSTPASGLKLGQSELTLDEKGMASTTFVATEAGHYQLQATFIKEGKRVTAGKAIEVVSDLQNAALTIEPSTTSAVVSDVDNVAFTLHLSDTSGNSVSNRKLKITATGPSPRDPLVIDNTLVTTNASGTATVNVHGQKAGRYTLTATLAEHGSNVSANASLMLNADAQNPVLTLEQDFGYVVANLEPMGFMARLRDKFGNPLNGSVEFSAGSRAKPDSGTFTMTPDKTNFKLGNAYSELRTDTAGESWVKVKATTGDKTLEKELTVWVVENHQPNS
MTNKAVFEHWTDWAEAYGTALRATTKTPTVKFLELDAFTRHFQSILGERKADILEVGCGVGLNVLPLAKHFPDLSFDGVDAAAEMIASAQETLGSQDAAVRDRVRFFHGEGVALDTIPGLRDSYDIVFTDRFLINVKPLENQQRIISKMAAKLKPGGYLLMIENSINTFNQQNQ
MLGEDHPLCLRTVPVEKITESLLGRKTPKAYSRYKFFAAYGFHPDDPQRLVDAIHRHPDTGLVHPLPADEYGQRWNVVGPIITPDGRNPVIRTGWIEDTPAPPRFVTAIPKAEQSRSCPA
MNPSEPLGLPNERVVDTRPSDATLSPEAGLNRSGALPRELLSLPVVVWAGVGLLFLALQAYVFSRWAADGGYRLIETAGQGQGGSKDTGTTDVVYPVISVVCITAAAAWLFRRCRVERRLLFDALLFLGLLFASWQSPLMNWFHSVLVSNASVWGAVGSWGPYVPGWQGAGPGAEAEMPLASASVCMSALIVTVLCSKALGWIKARRPAWRTWRLVLAVFFIGIVLGLSEPLPSASGISVWARALPEVTLWSGEWYQFPVYQAVGSGLVCCMLGSLRFFRDERDESWVERGAWRLPQRAANWARFLAVVGGVNAVMFLYTCFHILLSLVGGQPPDQLPDSFQAPAAY
MTLAEVRRQVEAVCSSYQEPVYLRVVPPGEERQAPADRDKLMWELMIVGRPEAVHVTQRVGGRTLLERTTTFPHYRIFRPNGPRCAPECKLARVEWTIP
MLILMVRSWSRPICSRKPEILNFTVSALPFYVIQRDWLVMSAPSVVAPKATFSTYSEVWVALENKCNSKWQSGKIIRTMLNFTVPGGNKFWRSQTPLKDEYAHLLMREQFDWCEDVENDIVMKDKSIAMDTDIPTVNTENLTVSSTDHGTATEPDGEYLQLPINHHCLENTKDDAASTCSATNDQYPQFPVDRNRSNCANDDIAVDITSTGPTTGHHVGDEYAQLPVHRDSDEEKSYLAVHNELPNANNGPVTAAKLDDSCVDLPHPEAFELVDTVTNGPVTAVELGDSHTHLPHPDEFDLVDNDENDLDMDVEDLEIDHKRHNTTDGPVAAGELVFIPTPSSTTSSRSCILTVVSPYRNMQTLSSFTARHSIFAEDTNPTDPLNHEPEMSITTKSSHDIGDTPQPVERIQGLDPQTPASISESVQASEPDTPPFSEEFINEYEYRSIVGSQDESIHHWNWFGCPVYNRSSTPPAVSLAVVQATPKVPKGRDELRVSSMMNRAIRYIDPVIVRLDSENEAILQVHGSEMVEACGQITYTHYSLHGNWMTDDFSMRRRIVPDVGTPSDGHLPLVMGFLRMGLSFLGASGCGGELNWLLPARALAASPGS
MRRVQLEKVYLANVILYSDSLETVKKFIQINKKCDDVRKMIRKAPNLFRKTINREEYCFNKWDGIFIMKRTINEYLAQVAGEVFPNINTLILEGGEVPRYINQLDSVDRITLYDPPFDLTLMEKIEDCIVEFIWNYSPVSLVNIGKMKLLKRCKIDIGRQKFNINSVFSNKQQHLKILRLSNIGDYKEIEEFKEYKSIERIIIEIDDDTKEEYIEQMSKYAILVSDQWHSQLNKKVIVMMDKKFHLQIKEKPNIETLEFIQQNYLPYKMVIDADYYDGIQNQFKLFDNIMKLTLNIEIDPQEEVDQDDIMQRRMDRINGIERSDDDDFVEETEEQKEERERLHKLEIERRKHSIILPQHLTSLTINDMTSYFHQTLSITLKELTTSNIPIDFIYSLTSLTKINISNTNITQSLKGLEKLIDITFNLCVDKCSECICPHSVKCLKLYNCRNWKFQELNHLQLLDKLMIYDARPNVLSGLQLNEATSVKKLYLNNVITASMPTSLTCLIIGSVGNKAIDMTKYPQLKDVCIENSNQVRVKLPLSIKSLYLYCSSITIFNKNDIQLKELHLEDCEDINFESFNLNYVTKLSLLPFDEKYLDYLEQFPVLEERNFN
MSAPTPARTSTRKRLAWVFWVMLIGFVVWDFRTSAPIDLRDEPPLIAAGSGRSSTGGHCSMSK
NPYNISLSFILERSVFYTDNIKCNHIGIIAESRGKKEDDQLYNQYQLILSNGTHYVTSTRFKEKIKFFRFVGKNENNIGTQISDLIAYPIATKIIYPERVNLAFEVLESKIYRQFPGSDYLGYGLKIFQPLFSPYHK
MSEFSNYIVYVDESGDSNLTKIDVGYPIFILAFCIFYKKNYAKNLVPRIQNFKFKYFGHDMIILHEREVRKKITPFTFKNKKIEEEFILELSDILDESKFVLIATAIKKDKLSLGKSNQNAYHIALKFCLEQLYSFLSEKNQHNRKTFVVFEARGEKEDKELELEFRRICSGINRFNVVFPFEVLIKSKQTNSTGLQIADLVARPIGRKLIDNQMVENRAFRILEKKFYCKDSCNLGCHYYDYGLKVYP
MEVPWVLLAAALLPFLPPGRAAGPLPTLAPPLSMVVAGQHRQLVVCVVSDLAPSSGHAVWISGGNSSALQSFAYGSSQEDGGTICTVSVLPIDPPAEGDLACHVGPNRTSPAHSSSPIRITGITLLPPPLPSLPAPAQPSGPPRQGPAGPLEPVGSWCTVPWGSIAVLSSSQPAGTGRGLRLALLHTWHRAATTSPAALSSYRQRGGGRTVSGQHGR
MKFKYALTSLALSVAILSSVPSTAFAIGGASGAKVDYQVQGKIGEVVMNPYDIAPLTAVIRNGGYQLRDVHVRIVPKENGQEIAYKVNNKYLLTYGGIPVFGLYPDYVNTVEVEYTRIQGSKTENVKESYKMYAPPAYIESAGTKEEQSALFTIDVKKVSPEFKDRLYLLNNTKDKSGNGTRTVWNNPTGGALEWNFTTANAIIDTSGDIRWFMNPSSIYDLKSIYRAGVMMGFKQNQDGALSWGYGQRYVKYDIMGREIFNRRLPDNYNDFSHSMDNAANGHYFLRVASSNYKRPDGKNVRTVRDVIAEVDQNGVVVDEWRLFDILDPYRDVIMKTLDQGAVCLNIDASQSGHTLSEEDLAALDSSDKFGDIVGSGAGRNWARISSRHQSA
MDNAQTTTSMGAGLVTSVFLHAAVAVVLWQHGDALLSGSRADASGVVIPLTPPPVQATPDDPESDPVRLGIAEGIAETPTWLGYKDPTRHAATPATIDQAAFTIATGPINDGIVGGETEAPAQGEGERTIPPEPLQSAAVPTPSTPSPEDLPPPMADPANVEPTGQSARPIVDSGSAAATYPVPQSTPITEQSDSDEALSIVPGQTPEAHPLEKHDSPGTNAKKDAISDEQDRPAAQTQDDEPPRDQEDRSSERDLRTSPAPDFSRPGTPDSQTAPAVSPPQPPSAPPARRGISTSIGARPGTSGRPGERTDQHSDATSTLREVIDVVPGRPAAARGLKIRTTPPRWSVTTTLVRAVRNPIVSITFGRSGKVIRAGFLRGYNAGSPDVDGPLLDAIHEWRAEGELLRTIPDGPDAGITVTMRITLRGV
MKRIAGIALMTLTAGAALGLAAAPASAGGHDGYGYDHERPALVQGHEGYGYDLERPAQVQGHGHGHGHEGYPGYGDMRPALLQGHSGYGYY
MTEEQEVVSLEGFLKREDSKESSLFIDQRSRLVFGFVGLIYCLTCHEWAVSGGLMKRIAFLSVLVVAGMVGCAPTETQNAAKEAKETWPLQLRSEVPVVHQVNSLTYLSVPIDPLVLDAGLAMTIKKDLDAIAEEKCKSKPDQRRVDYFLCVQDMNFSSEKFGEYQHLFQIENRGGKETQIRILSVQAAKGRKLYPVEGKPTVFESTSFDKPQLYFLVGVQQNNTQPEQTYDYRLKYQKNFFDFKLKITKPSS
MTSSLFSLRWGLALAQCWLLFINHYSRDITGALENEIEQGFGVSRAQYSAVNSIYFVPNLFVPLLAGMLAQRIGALKVVTLIIAASAAGHLVVGIGTSAESFLLFSLGRLLLGVCYETIDMMPLPLLNPLFQDNWAFLVGVFNGFLRLGSVLNFVASPWIYEHYGLAAAFWTSSALGLSGLAAVAAMWRFHRRMRFASAEGSGGVSLVEATQAAEANGTCRQDLQLPPPMPPKTGFSALPVQFWAFTVCGLCMYAAIVPFWFFGSRYLQDHFGCSLLFADSMMLLPEGMIALLSPLVGVLVDRSNWGLRLKLQVLSASLLGFPISYGLLMMANVPPLLSMVLLGSCWAWSNCLFWANSAKVMPENMLSLGSGIIGTSLNLGASVVPIIMSHMTDQGAFPRLFSSWLLSVILKKQDVTVCFYVKHSPERVLSYMLNR
MSNLPQKYQVVRNLGSRRGGQNKGILIVQHRQTGHRCIKKKLSSTQVRQGRAQKEIEMLERFKQCENIVTILDNFISPLRLGASIFTEYHHLRNSLGHDRETQK
MAVQIAQGLAHLHKKRIVHGDLACRNCNVWSFGVTLWELITRCLPPYPHTQPKDMLNYLTAGHRLGKPTNCPDQLFSIMASCWSPCPRPGLE
MKGPSPPEAIQGRRFSQASDVWSWGVTVWEVWSGGAEPWSGLSSDAVLAELRAGHRLAWPRTTCPRRLYQLLLATWRYSPVHRPSFEYLVERLDKVCSDANRLKQPELLYYDILF
VEETGTFIIQGDIPEPETNCPEFYKLCNNNRLMTAHQGNLLVANPFNNGMLTYGVIFKKPEEWNNGKGLDFKDTKSVSEFLTNRFSNWSNEYKELIRSTTFFVGLTIKIFPLDKKPWKSNRPLPITLIGDTAHLMPPLAGQGVNIGLMDALILSENLTNGKFGTIQSAIDDYEQRMFVYATEAQADSTKNEIEMRNPSFTFQQLMNV
MPVLERFFPAAEPGRRRRTGEEPMPFPMGSLPGYQQGTLAWDLPEMIKMVKLVWKSKGDLRGAKHRGVLESEDALGGSAEFSSSFAYQTNLIREQKYLEKLFEQLFSYVQPQKREKDYNILELGLLDKVSR
MADSQRPDTPGNLEASMLNVIDEVDSCLERILTRRSSITNTARNRNSGNMDSLSPVNETNAEQVHDGILSDNSSDATIELDDDYAAGQLSTSGVVSGSPEDEVMSVDETQSVILPERVPLPRQLDGAVTVGAHEVVPVEEVGTQPVIQPENVPLPRQLRSQEVIDLSDSLTTYSPRAATRTHRSADADVIELSSDEEGPSTSRSTANTAAQPLMHISYSTCTSHPSSQSTNILWICQFSVNVQSRIDIHIGFYGEHKSSECAK
MEFAVRRKYYNRCKSLESLAPEDERNLDIDGFGPHRVRGTNWTDRLGNAIELSDQQG
MQKSSDQSAVKPTLRQLVQNMLAAGLGVQSRRHREAAFQSTSATPFIIGGLVFTAGFVGTLALIVAIVLR
MDQIPFLMDQIPFLMDQIPFLMDQIPFLMDQIPFFCKNLY
MGNKNNKQIENNSPSPSTNSSLSRRLLNNESIDQFILIWLDHNALENSLDSLRTKTLIRELNNNHCLFFNDVNLFLVEIERLRNNDKQILLIVSGKFADKIPLHNIDIIATIIIFCGNSNRYKHLKETNRKIIDICTEHETLKNSIQRELPSLKLNLFTDRKSNSLRSLIASQNTGIDDSAYYFYMLFTDFLRQIPQRKEAKDTMLDKCKICYRNNKTQLKCIELFGNTYTQDKAIDWYTEDSFVYRLVNLAFRTEDITLWYLFRYYITDLCKQLEDTHKQQNCQSVLKLYRGQTHLPKEELENLKSNKGNLIST
MKKYICCFLVLVSLISCSDFLEEDPKGKIGISYLTTEQGMNDLVNSVYGSSRSVIQYLCDLGEMGTDLWTYAGSGEKDLTWYNTNQLPSKGYIKDFWSYLYESINNINFALSNINSIPFSNANKQRVMIGELHFFRAWYYYYIVETWGEGAHYTVDALPIGTYTTIGVQKHISDFYKLILSDIDVAMENLPEPAEVTEYGRVHIAAAKALKSRVLLALAGYDDYAGQSVLSDLADNRYASVKDVYQEVLTLSEDLIENYNFRLLENLDDIFDVYNERNDEIIFAVQFTTNTAFNTGLSSDNQTYPHKYWVSPHTKSAVDLSLVPDSRGHTCMYGREWMRNMPTRWYLSRFGKYDKRFASTFVWAWCKLKSDDDVSINYLNPSKVTVKCDTILVRLPYSYEGDRNLKTYVINDINDMYDEEGFPKPNGRSCYNTLKKFLDPTRLAPKDEKSYKDVIMFRLGEIYLNAAEAAWRMGDNGTSAYYIRSLRERAIVAGYEDKLAVNESDIDLDFILDERALEMGGEFIRWFDLKRTRKLVERTLKYNPDARSAADGNLYGNGQLSDIHYVRPIPQYEMDNIMNKDEFVQNPGYPSK
MGMLEDLIAMRQADLRLAEAWVDLVNALSDHAEALEKDGVRLEVKPWKGKLSLDLRLVGPPKALPVPAPAPEPGPVPDGDPVPAPAPEPGPSAAPKTGDWTEDEDRELLALDAQGHPVKAVIAPRLGRSWQAVGLRLKKLKEERAEAPAPDGDPAPDVEPEPAPAPEPEPAPPPASAPAASSGSAFRYALDLDALPTAREKAAERRLRAIGYAKPFTPASDLKLVQALGAGKSIDAASRSTGLSPAQARGRWRALLPEVTLENQAALAAVLNKRVELAKQ
LELVSNTVRMTGDFNVEMGTFPKHGLTGLAATVGFMGQQVFNPPSVEGWHTGKEWIDSGALVQRVNFAAEQVGDANMPGVRLMLDRLADNTASMSPVGFVDGCLRLMGDLELEADTHLALVQHVAGSGALRADTAADRNRFDARATEMMQLIVATPEYQSE
MRSRGGRPPAVRLQLLALDWPPTSPTGPLGCFLLLLFSGARLSGAALFGLSFSSDFWYKCLSRMFLASVVITAIPGRDSVRSSL
GYRWLQIQVSAKVIVIVVNPWFQGSRWRPLRMKTVAATVLSGLLPVIHTARIYPFAQLSQQASGLAVILGAVFDATYFTESWVPKKFDIWGASH
MVEEYPKLAETLKNELKEALLGYEHIAEKKAFVALVDRGSLIKALELLNKISGGEVHLTSINGADLGEREMELTYFIWLLPQKIRVLVKTRLPKDDLKIQSAVKVMPAAILYEREVHEMLGVVFEGHPRLERLFLPEDWPEGVYPLRRD
MIVQQVKIIISDLDFWQLKELLNVEVFATSLIMTSESSFTFFNRLGGNQNITVTLDADSSVCRAVLKQDDYEYINMLVVYFQMEERRSLRQQLVEIFGCMCGLEKELLSQLLCSVLPTELAIEIMNKKHDVLMQCHCALLLTMIFSTGESVPFTLYDQVNDSFMDFLIDIIESTGGGEHGEELVDAFVPLILAFNQHFVDFQSNIVMRVLSSRRTAKTLSEKIMLLINREEDPTSMFQYPRACPDSVLKFLADIFSSQDTAGFFYTSDMMILIEIVLRQLADLSPGAGMRTEYISLLHQILLNSNYSEHKHQANELLICLKRISNEEDEESEQDRLIVQEILKHSTKYF
MNDQPQGQLREIPTSELKQRIERIREQMRARDIDVLLVAGLQDIFNRGHIRYISNMGGGGMIVLPLEGNLTHFIHPVLADSPKIDKPGDIREFIDIRPFGDGAYGFKNDSVGLIQQMNVKGKVGYVGGQAIGVGVYKALVDAVGEDRLVDASDIFYSLRAIKSENEIALMRRSAAIADDCYDMLVDLVAPGVSDYTIYAETKRFLFERESPYSLEVIDADGPYLNFDRYPTGDHLSSGGTLFMEITPAFGGYYAQLPVSMPVDELSPQLMDLARAWNEGFRLGESMLRPGTKVSDVSKAMRDRIAEMGATNPFSHGHAIGLDVADGWVLGDQNEMELQPGMTLAVHPAALTEIAGPGFTAGYTYLITEDGAERLSRHDFYYGW
MPSFVPLRLVFFLYLRCLLFPVQESKRPAWHRLRHNFIAAVLLIKLPRGPLSFFSGSYEYEHANFPVSAFVLSVFGHCLASHQAGAMTSAANSIQRDVEPGCKTGLYYITIANLPFGTSWHELKDWLRYTCIVEHVEIFNSSTSGWVRVKGEDNFRRALGRLNQCEFGGRCIIADGRNATERIKIKELIGDPSSSAPSIASPHVRGMSVMTSPSYGVAVKPPATAGPGHHGKWSARTPSTGVPYSPYSSVELPAPYHAETPRTPYYSSSNSTTGYTDAAGGYPYEMEPALGGRVRQSSYDYRAQQYAMYEGPGYGDEGSSAATGEHYSSSVSSAGLLVTEQRKIIVKHLSSSSSEDQLRKLIKASLKVVTADKHQLQHIEFPRDNDGRLRGHIFATFKTPEVACGIVEELNGQPFQRKILEVRLTNEGVIRGLEQQQQHHHEDWDSNAIRHKDKSKKDXKFTIFDLAASRSIEINNPKFHHASSAPRGFSFRPVTSHLALLTRVAGNDVISIHNPVSREVERSWSPETVDAQSLQWSPDGRWLVLWESPFQQHKVLFYTPDGHLFKEWSGPSGFTSDVKDHELGAGVKLCHLSSDASRAAICDYTRNVYILDLAAVTDALRLQHPTLIVPKDTVQIWQEQITFSETGLPAHSFVRATQTVTAPTRSVNSTSGSSQEAKTGCAAVSFDSSTVLAATKTEDSPSTLWIWDLSSSELRAVLIFHGDVSTCSWHPNIRELLVVSCEGEGYGGLLFTWDPLSDGPRAVDFASRLPPTSKSVSKPRVAWVTWPGETPVVLFSDSTHTVLASLGDSDEHPAPWQDAHAGDVTFDSSRLDTPSGFAHIQGLEVTSSVVTNEDLSAMDDTFSFRKI
MSSNISRDEFIQDVVGGGHATPQGEADPSSSSITYDGPGSATPTQSADPEPSPRIRKHASWSAFRFRKIQSDQPMARLPTSKELLGILPLDEKMRDLSPVVDTERPPAYKPLIRRYSAPKRTAEEQEPPPYTAPEHPPSVFSRPRVLVLRKLAPETTGRDIIEALVEAADAGKLPRRASRLSDVRVMARFGLNTAIAARLEFMHPEGAKRLHELVARGGFKVRDTVPFASLVETAAAAKEASDHAIGAASPGEDRREILTSFAKRKLRNKTWEGFAIDDWNNERMDMPYESRWHGGRDRP
SSFCEDNWVGPRLQYSDAYSAPDGDMMVGWDYQIYGDDAYTDVTTTVTIHDANNNVVFTQSISELDSGYNSDSGTVSVLEEGDYCLTVSMTGDGASTPFATEVDCTSIVQSTEGPSDRVEAVFGALADSGLESVLEAFGENLQDRLETVQPFEEFPYNDGMWAPLWSNEHAAMVGVGVYVMDDNGAYTLTGPQTQGYANEAPAKLSIRYLTGVAANAQTNGMADATTINDIVEVDNHNLADITADLEEAGIDVSNLTLPQTTPTNNQTSGDDNPTPPTAEDLAEDAGLLPFLSPVSMAAVIALAGVVAGSRKDRTNKDEE
MEYDFHKVEIESRVGWVELNRPPVNATSWDMLHEHLAVFERVTADPAVRVVVVASALERYFSAGADLEVFRGIGADGMREWLGVCHRIVRVLRGSEKPLLAAIP
ERECEPQLGSGQQNNESAAVILYDLAGGRRARRFRTGAGKGLMSDEHYCLPYLNIPGEWITNISLRSLSLNALSGLIIKGEYLRGIICGFYTNPV
MLVQHEKFEVATNGKGTYEITNAVQDKIDKSDVRNGIVTIFVQHTSCSLTAMENAAPGARRDVERFFEKLVPEDADYEHDDEGPDDMPSHIRMLRNDYHQQKRISSQAIILL
MAKLTWKQEIASILRYSNVSDAEKLEKIRQFVEGYDGSRYGIHNLVSVAKKLTHKNDYGARLSHFITKGGRKYWTNTRVIIAVSPELNTDKYSNPYLDLDAVKHLESGKYIEYYINSPADPAHFISVSRDDVRKFCEAEGVTKGVNGIEHPYRIAKGVWVNAFDFYQVSRLQKDSILTIGLAGEGRIVKIIGNDYTAVLTIMTRSWLEKYHPEEL
MSAPGPAVPTTTDRAVTLADNAEPSVAAGRYTIDLAQEISGKDGTGKDFRTPFRAPQQAFHVHGPRFALDPSVVHTGFPNPGSTGDFSFVLPHVVLTDPTLPWQRTMKEGERFPWMALLVLHESELPDSALTPDGARPQPAATLVLDKEGKRTYSESGTALPDLPYEPIPEGVTNCRTVDLPAAVFVDLTPRLGELAPLAHVQRTITPSLAPAMADPEVPTRGAVLLSNRLPRSDGRYIAHLVSLEGFTTRLDGRKPPSSTGQPEAERVRLISLWSSSFVSRKAAKATFATVAKQIADNSSGRFSLRLPHTVSGSGTAQAHAQRRIDDGFVPVNHHLPDGSTTVGWYRGPCVPATPRPLPDDPRSYGRESDALVHLPEHGCFDVSYASAFALGRVLALADPAVAKGLLDLCHDGLDLAHALARHPDALKPLPGDGEPTGAELAEALGTLRRGNGADEEFRRGLVPHVSPALLSADSSAPAPPLPDSPSEAVKAVLDAPADSVAGALVRALAADRARDLDEAGLGFASLIRALPFDHVVPDARMLPPDSARVFVLDPRWFDAVAAGAVATGTRGAPGGELGALLLERLREKVKLPEAGMFVRSALVRDWPGLAISATRTETKNGDPVDVMFGPPLSLAPDLLLLTFTRMPTDVVLREPGHALSFGPDGTSNGRDFLNLRYLKAEGGKRVGETIDKARLEYGRELLRTRGESGRAREVLRIGTADAKEDDTLVKALRDRLHKAGQCAKDDALPTSAVGLQLLNSPCQLVFSPKGVPAPVSQPKESE
MAALLMKAPMSGKTISKTAGIATGSVGVSAAQRYLTSEALKSAGYDKQAEMFKAFDPEAVAQDILLSGVFGIMGYRARTGAENAFNFQKKRALESLNKMKEVLPIEAMDAIDLVNQREQMITDMPFEMGKPNAIPSHLDALEKAIGDLSEGKRVDVSEPLQNLKRELPESFLAGDFEQKLKDNNIPDDQIKGTMALLDARAKAEGLSTDDFIQKYIADVQHGEGLTEGDFNLEQQHQATSTGKPITKGAVKFLDDGRAVIYAFKSADITTVVHDLGYVFRRQVKGDDLKSLETWAGVRDSEWKTAHEEKFAKGFERYIAEGKAPTEELKGVFEKLKTWMVDIYRKLIGEDLWRATLTPEVRKVMDRLFIEEELPIRKDVSPEAIEAQAIAAKAITEVKAEIPQEITVTEDWGTGETITLSKKGERISRMQQQKTSPASLGKKSIISWIETKGKINYQKEQFKGEIDTLIEGNARVKNIIDKKGRGLTLDELALRAKEEGYIKEATPEELLKAIEENRLHMNYAEDQIDRQMQAEQKRRQSNPIVDRVETYLEENGDMTSGGRVTGVDQKGEPKYSGARKALEEAKAEIEKTSSTRDIYERMANCIRYEG
MLASVDLSWLWSPKDYFYAIVSAVIGALLGILWAKLEFAAQQKKEAEKVRLGIIDTLKFNKERAEQANEQLKNGGMPNYPLDGARLSSLILPAHGLLSDELLLRVDWHRYQLDHITSKLAVVNGFFLSASVSTPDAKRAYDEWIAMLRQSLIEHYQKVINGTDALVADVEKKGKR
MVEPRHLKAFAKLCNKSDYGNAVGVEDSMSTILLDLPSITGAFMVAHAIGDIITGDVVEPEVVVNSLTGSIGISSISSRAKPVAAPVASSTPSGIAATGTVTSDAHKTDSRPLDKDALRIFISSSMPFDLPPADLKQPAWKPYQYKGRQRILFSVHETIHAALYDRDEIPDSDCPDVSFPLIGLNADHIEVLSFLPCAQVPEQGVDKQAVMFSPPLGNFVLMRYQAVCGLDHPYKNFTSCLWSLKTKVISYSNCD
MIQISIRVRYQIRTVDSKPLGFFDFNVITDYVTDLAKYGRNKTETLVFEVKICLQAKLFMSNSEVMSDSTLRYEVLIGGWGNAISAIRRSDGIETNDVSEKFNTAGLLDCNQYRLLWASWEDGTIKLGTGGIIGDNLQCSWTDPNPFEVRSAGIYSRNNDGEWIIEINVADESTGFFSNCNKNDNKASLVNLDVVFTGKVQCIAICAKMAECVGINYSSQISRCELLSFDPGLVTAIPQSLTPGWTYYTKCFRQKEVCIGCFF
MSPWTLAQRLAAFVTLPAMILLGVGWFWLDDPALFLKEGHTVETVSVLLLLQGVLCWFAVHGREGWREWQIPALLVLFAAREMDFDKRLTDSGLLKLRTYTGDAPLDDKLLGAGAILFSLLVIWRILRRNAPGWWRALRQGQPYALAILLAAALTVAGKTLDGLGRKLLDFGITLAPHLDARAGQAEEWLELAAWWLLGLSIALLPAARAQGPRAAPTRD
MDNDYQGLINIERLNLIERTLTDRDKIKLKKKLDRLFNKSSSETKSLLNLFSIFDKKANTTPKNNYTIGDRVLVVVKNQVLLNKAIKDIKKSSKNLHLNVARSKNRYP
MFVYPLDDSYIHLAEAHTLAVDHIWGVRPGEFASASSSPIWTILLAAIERVVGFHLGTPIVLNLVFILGIFLVVEYGLRRIAPDTPLWARYLLNLTMILIAPMSNLIMLGMEHVAQTFSILALVILATEVLKADDGAAGQPILLCAVAALAGALRYEAAFAVLPVILLLLARRRGWLALAVGACAAMGPVGFGLYFHHVSGFWMPYSVIAKSQQAGGVLGSFRMQVTNIVSWKVGLPILFTLLPLWLLRLKARGFWEGSQVLLFLTLLISVIHILLGPVLHGLMRYDSYLFSLRLFALFTAFAAEVWQYGTRRESIAELPVQRKILAGITFAGLLLSVPVFGHRVQAGLLNPVQAAVDRYHEHIQMVRFIRTYYDHDTVVVNDIGALALFTHAHFLDVLGLASVEPLLPRAREGRSFGPEDVAAWAKSKNAPIALLSSGEATTARLIPKEWTVVQTWQLPRNVAYWSYFLTLYAVEPAAMPRLCASLKEFQLTPADKVIYDACPRGDAALPR
MKPKRFRKQVPRTYLWCDDSVEKMFMLRYKSALAPRFESKNNYGKREAYVLAKMKSEWSLSKPSLASPTGNATKPPQPIHFDAMLEYWGDKIGYKRESLMSTDDISEGDSLQNSTGTSMPQQPQVQPALGTTLDNGLIAIKSQSDTMTQLLSHLVAEKGNKNE
MRKNAGSAKRRNLTITDADYARLREVGKGNASEGLRTLASAPQLDSMGLMVVAAFRYSLTRNTYMSSACASWLAAEWDRLPERDRIIVEREVSEALERGYIAECDRAEWLALIDQTNGTRQPA
MRNVVAAPATNDVQGRRYLHFSTPTSAKDYYKILGVTKDAKDSDIKKAYYQLAKKYHPDVNKEPGSSEKFQELSEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXINLYKNFRFSDPQRQR
MHCSRCSHRSWPIRLAALCALLVATLVGPFAVPQRALAAATAFAQWTFNSPTPDSATSTGTSSPATGSGTLTMVGGATNPSFNSGSGSADPAASDNSGFQTTTYPAQGSGNETAGIQFNVSTAGQQNIVVSWDQRHSNTSSRYVRFQYSTDGTTFTNLGTLFEANAGDTWFNTRSVDLSAITGVNDNPSFAFRIVAAFAPGTSAYAASNSAKTYGSDGTWRFDMVTVSGEPAGPTLIAPSITAQPTSVTISAGATASLTVAASGSSPLSYQWYQGSVGDTSAPVGTDSASFTSPALSASTSYWVRVRNSVGSVDSSAATVTLLAACAASDTPIGTVQGSSDITPFAGQTVTIQGVVVGDYELPAGSNQIR
FAVLPGGTNAIPPSITAQPQSQTVAQGSTATFSVTVTGTAPLIYQWRFNGTNTSGATGSAYSRTNVLPADAGDYSVVVTNSAGSATSSNATLTVNSSPVILTQPQSQAVSAGQDATFTVTASGAAPLSYQWRFNATNLLAGATASSFTRTNAQSSDAGNYSVIITNSAGSVTSVEASLTVVVAQPSIIAQWNFNSLTSDTNSATGTLNPSLGSGTASYVGGTTAAGSGEFATGSGTDSNTTDNSGWNTSTYPTQGTGNKTAGARFNVSTAGRQNISIRWDQRESGTGSKYARLQYTTNGTTFVDFPTAVSVASTSFEPKTNNLAGIAAVNNNPNFAFRIVAEFESTAANTANSNYVGASSTYGIGGTIRFDMVTVSGTVIPTDNPPPSPATLSAAVFSPGQQFQFTVTGQAGSNYVVLASTNLSVTNWISLATNVSPFTFTDTNAAAFVQRFYRAWSLP
HFSSMDYRIVPMASPIPQNSPIYNLFILLLRVGQLIGLFPLHFSRERGTPGVRFQWISYKTVYSGGVVILLIFVTVSCYITGWLVDDGWTFRHILRTVFYTSSMFTTIALLRSTRRIPILMQKWMDIDYLLGAKYGYPPHAIRYTKCAIIVTFLCCLGQILLFSLYTLQHVKVKAVSRNITYHERLYFIAMFPQIFEHLPYNLLLGLLCQCCYMLSIHGMAYLDLLISLIGLFFSLRYQQITKKLRAVVWKVNQPEPNPTFWKDIREDYNRICVLGCDIENIITNLIFVSYACNLLLSLVYLRYITVIPGFTTEKVYNIVCFAVYATKMFLTSVYLSKVNVTSLEASIPLNSVSTRQYNVEIQRLLQQINGNCVAFTGNKVFRVTRHIVLHIFAIMLTYELVLVQFSQLNFHIH
MQPHKISSDQKPKFDLKMFISTRRSLMHFKDKAINIKRLTIFGVSSRGDSNDPRQKFITWQVRCAQKIGEKDLIHLVVESKNESFEYEEKFACDIIYDPNDVNNVEIVNYYEKLDGLEKELQGSRAALIIYWLKPENSADIGIRNFSKNDKQNLITMTKDLRREWEEYKVEKETNIVSKYLEKSVVNYSFKSVRICEILTGTFNKYALKCILDRIINEKFKKKNVKGFIRVGKSDDSGSPSVHYVGFYVDRKEKENIIYLLGSRSFLFQYKPMRGLDHESTTSFLAWYVLDQVVKTDKNEVIANLAVVGAGYEEPKK
MATDQTKTVRALMDRALEAASPSALLALAAEMTKPKSSWKGQRKLSREQALAATRAVTSMLNQFELLPTKVLVGAGRASHPSATGRFYLTPIEMSGGKVTKRLATGIGAHVDHVRAIARHARAAGRPVDEAVLFDELAAAVGAFLEPFRREPELEPDAELAEDINRIGEHLSNPRRTFELARFFAEARRQALGFDEKAGEMVFHGPAAHLHDDGNTPAIPLLTRMVAYAEAAISRGEWEEDTGSILSFARPKLTPLGRTHCVICQEVGLGVVADPDRRGSLRMVFTLDPVTYVGEPGPPAAGKDWDKAGYSRFLRFGAYPTPADVDRLADGGYHFEAGMPERYECEDFRTFYAQVVADDDPGDHERLWMRRHLPVTPETCRLLLVDDDRTAFDLWRTFAEIPERTVLPRDAEDDAGVVSRSVRARFSALLYADASPTVAGLLGTEAKRRTDALEEFVSKAATGLKRRKLQFRARMRR
MRHLSTSPFAFCVAAQLLCLTLASSSHPSQSFLGNLDAQVADNNPFHPSFDSFVDSLMKTWHIPGLAIAVVHENKTWSKGFGHANLPDVPFTPYTLFQAASTTKSFTASLTALLVQDQDEYAHIGWDTPLHDIAGNDFVLEDDYLTTHVTFLDAMSHRTGIPGHDALWYDRGLTVRTQTYLMRHMTSSASFRTVFQYCNLFFTAVSHVLQSVTGKPQADLLREWIFEPLGMNQSYYSRQDAAHCQTLNPHCVLADSYAWNQDTQSYQKWALNVADPANGAGGIISNVVDYSKWIHTLMHEAGPVSKDGHAKLKYPFSIQGVDMAPYTGPVWYGLGLDGGIYRNERVFSHTGGISGYASSFKFLPDRKFGLVMSQNSMNTAFEAIGWRLIDEFLGGPEDEFYDMNKTQSYLTKKREEQLKALPSKLYPNVPSQRVQPQLPLQNYTGTYTNAAYVGILSSTHLTSHILRSTPKLDHQTFVQGQEQKTRAATKMVKDTAYYDALEVPPTATDVEIKKAYRKLAIRLHPDKNPGDETASAKFQEIGEAYQVLSDEKLRKQYDDYGKEGAMPNTGFEDPSEMFNEIFGGHAFADWIGEISMVRDMEKSMEITMRHEEENAALQAEAEAAAANIEEKKASMDKTVHESAAQSHAGAAADLKDGVEKMNLSEEDSTPPPPSYPQDRPKGVPTRLALTERAEEEARMEAAGVTEAEKTLRAKEKKKGGLTKEQKEELAAFEAERDRAREERIEDLSKKLINRVSVWTETDKGKDVTTSFRKKMEQEVENLKMESFGLEILHAIGQTYTQKAATFIKSQKPIIGGVTGFFSRLKDKGSLVKETWGTVSTAISAQMEIEEMARAEERGGDDWTDEKKADFERRVTGKILAAAWRGSKFEIQSVLRDVCDKVLYDKTVKVDKRIERAHALLVIGDVFIKAARSADEEGDHLAFEQLMAEAAQKKEKKKKDKEPKEPKA
MFARTLVNRFFVLVTNKPRQSTFQTSDVPLPPYQTDDLPGLVNTIHNSTAHPTAMDGLDTSVTTLLACKSISTSQDSLLDPSTETLSHTPISSHQTLSFSLPPSSEIVEPPEIIKPPENTVTATQMSFEKISSTPLTPTASTMQNMMKNEFAKLHARIDQAISTQIVPNKHLSLPERPEWVTHFADRLEDVYRLLKTNSKNIAKLEARLDQRTPDVVAQPREDDQQAPTLLQELKESITKANRDATERLESLERQVQLLHSREAILTVPASGIPIPTVQVERP
MKGRDETIDSLLEAALAGDFSRGRQLIDEMIIEKGLSGTDILEGLTEALIDSGETDEDVARLIVKISETDASLIDATSERIQLEKLISTFS
MSIKYCCSILFFMIGILGYGQENQDNAGDFQFGVRLNQNINLPQYEEGFGIGVQAKVNVLDNLNIEIYSNIETLDLGNVGNLKQNEFGFSAVVPFLNHKLSPFIFLGANYSNIKVTPVSYLFSNRESESIALNSFGLHAGIGAQYNLNDRLSIALSYRFLYRFDQPIYEVQSSDFGLYLNTAVLPNTEIESKDPMYLQFSLNYRIMKLWN
MAPTAKLLILLATALFYDRSLIPPTLPPSLGEQKRAETHGRHTIGWYESLAIPIAPPWMRMVYWTFTITEVIVILAGSRDTAPLHSAVTGFLGTSGAETRITATFGFGAFLIVTGAMIRFRCFKEMGRHFTFSLSLRDGHALITSGPYAIVRHPGYTSGHMTLWGAALTVMSKGSWWFGGGYATGWGMILGLNFICSWCLMARSFLRGAKEDAYLKSSFGEQWRLFCDTGSV
MTLLKLPFLISTTWGINFTMTPPQSPPPQKERVPPTGLELVAPWFPIVAKVGGHYVVQASFWVLAVCEASIILTDCIDHPFSSEVRHLLTGHPNSSGRIYISPLFLSGWFMNLVGTLLRRHCYRMLDSLFTFELSIRKNHHLVTTGPYSVVRHPSYTGAILSGVGVALCHLSRGSWLLECSGILPDSWLIFWSILGFGAFVVTMALGSRMKKEDTILKERFGNQWVQWATRVRYKLFPGVI
MIWGFWLVSVVYGHHIRTKQFNESLFIKNDFKPKKAKAQQCPCIWINNFLSCIFQ
MAEKMPQDPRKKKLTREEEYFAEQELTKRASLREKLNQEREESRQRQEKEAHWMKCPKCGGELQEKQFEHVMIDQCPSCQGIWLDAGEMELLLHAHQSVVSSIGESLRKILK
MSSDNENEWFKKHEILLISTAKREREKRLQQEKAKSEAQEAARLRELHWMHCPKCGHKLEEIGEQGIKIDRCNHCHGVYLDAGELEEILVAQDQKRKSVFRSLARMVTGGKLTD
MDSSTGWIVAGVVLVVCVVAGWLIYRRLHKPTPKSSTVIGAVRNVRIYSTPSSAGLFTGSPSFAFVWDPPNSGAGPGYDIAYAYTITDPSGAKTSAQAQKSAIAPLPTPLKDGTYSISVTVSNQFGSGPSATGSADLSLRPGAVTGIALVPATSDQPQNYFKWNRAADGLDSDAPVSYTVKLTDPKGVSTAGQTQGPAWPIPQPSMNGTYAIQITASNKYGQGPSATGQGAVVVFSITGTSANWVANSSAPGAYTLQLSADVTGYESGDRITVEPQYPDGSPVIMQGGCSALPLSTTCTSTGSGTSRCVAATAPTYGVDPSKVPACSAFPTTDRASRGKAFNLVFRALSPTAGSSQPTPPTVASKFPGSSTPASVSNIRMSQ
MLKERIQEVFEFTKSESPYRKANKGWINQDIFLDLSPSTDRSKQTSEVAKVTFFWSPLNSLLSNIFLIIILGSILVFISVSFAKGRFDFNLFNNSDINGIVRVDKNKALDITILKDIDSMNSTIQKNIETKDLAKSTKISSLDDNLKKADEKIINKKIDKQDNAIKESEGNKDIKSLQNKKPKSNFI
MARLTDTVVTNALRFKWPVLAVTLLITGVFAYFATTIEINNDPTKTVPRNLQARIDYEKLQETFASPRRVLFIAQFDTATSFAARIDSMRSWGERFARIEGVVDVTHIGTMRIPVAGGFFGITSSYLVPRKGDLSIDKVRARIADSRELTGMFVSDDELTLSMNMGIDESENQVRVVAEVDAVQKEIREAAPARIYVTGAPMYAYFIDRSMKHNFAVLLPVCILVVSILLYVVFRRVSHVIASLAIIAVALVWTFGLLGISGLPFSVVTSIIPVILFPIGVATAIHVFRTHARIRAGRADGGEGAIRETFRELIKPILLSAITTFVGFASFAFSDVIWTRIFGIFTSIGVALALLLSIVLLPIVLSFDRLSPAVSRPDRGPDGGEARLWRPYKRFIFSPYWWLVPVAVIVAVGVLGFLRVRVEGNPIAMFPKDSDIRRSDAIVQKHLGGTRFLFILLQDTSGPMLDAEQWREVGQIVSYAESQDMVGTTGSLLPLIHKVSMMLSDTSLSDPALAMLLKGKGLLGKKLESSLRDWVSPDRRSTRIVLVCKNVEGTEYQDLARTLTDHITAHHPRFTVLVAGPPLLNDAMTYVLIDTQKQSLSLAFAAVFLVLCLLFRSLKVGLFSIIPIVLSTLFVYALLGFFGVAINVVTVIIVNTCIGIGIDYAIHFTAG
MDFSDQITVSHCHIHDHKGMAAGAAGTDGIHFYRSKNINAFNNLIHDIGDDALSAGSFDINYPTKNVIFKNNTIYSTKGGIKFYSFVQDAIVQANRLVGCREGGVYLTDDKNSPDNSLVENIVIKNNSFNFIGVFGKSDEAGALRIRFWPKVNIGSKVKNIVFSNNEVLNSRLG
MTYTIYHNPKCSKCRATLEILNSKGVEPKIIEYLKNPPTKDELKEIIDKLKIQPLKLIRFKERKAVELGISVTDDLTLDQWLDIMTENPVLIERPIVISANGAVIWSASGKCAGFA
MGNNTYTVYHNNRCSKSRCTLAYLDNKKSDYEVIEYLKNPLSADQLKELLVQLGIPAAELIRKGEPDFKEHFKGKELNEQQWIEAMVNYPKLIERPIIVKNGKAVIGRPTERIEELG
MSEIQIYHNPRCSKSRQTLALLQEQGIEPVVVEYLKTPPTAAELHSVLSKLNMSPRQLLRRKEAEYKEAGLDNEQLTDEQVIAAMVQYPKLIERPIVIHGDAARIGRPPKTCWRSCNGHALCAGAVLQPSRQNRRHGTTHCSRRRTHQWHRSAAAHCA
TLALIRNAGIEPTIVEYLRTPPTRKQLEQMIRESGLSVRGALREKGTPFHELGLGDPNLADDQLLDA
MTLRIYHYKRCSKSRQALSFLESIGEVSVIDYHLHPPQREELEDLMQRSIHPPHEFIRLNNEIPLDSNSSQDAIIEYLTIHPRVLQRPLVDDGSAVVIGRPLENLQHLPALSRHFPR
MASATIRPPAVPDPFDLGIHTPANLNRGARTALLQNSPAVVNGPVGVPPALPLNDSWPCAVPT
MKMINKPMLYLFFVLLSVMACNNEELFIDESITEVVEGTDQPEDNTDIVKNNTPCNFDLSTIQANQTVVINCVMDLGGATINLPSNVTIVYEGGDIINGTINFSSQNVISGELLNSTLTISGYKPQIKDPVFNFDPKRWGIVEGNVSQTVAKNNKNIINSIIKQIKELGINTLKIDKLDAYFYGETEWSYAMDLPSDFNLIMTPNTKLRVFPTNTIRPAPLVMIQNSTNVTVTGGYLYGERDEHDYSINGTHEQGHLIVIKTGINVKIENVHMSNSVGDGLDIESYRFAYDPLYVPSKNILITGCTFDSNRRNNMSITDGEDIIVENNTFLNAGINTAKSNGTAPKCAIDIEPDIHDYNAPWQQVKRVIIRNNTERGSARESLEIFTGDDILVTGNDFEKHMGISRAFNVKVVNNQLGGIDAGISEITDPRNYEVSGNVIKSEGYGIFASNPGVKIFNNTITDCSIGILAVELKDANIYNNTIKSKADGINLRGGGNVKIDNNIIDVDRPFFFQGANDFTISNNTVNALNFGAFESCSEFKINNNSFNNGLRLSSSSNGVIQANNFKIINSTTAINIREQGTKNLQILGNYFENTDTQGYDIFAEGNSAWGNANITVSDNQFNNTGVRFNNFNGVTFKNNISTKGSIYFIGNNSYFENNRGFSGELLNHNIQGSNNTIIK
MLTLAEPDIALWERFHSGRLDANDGPAHPVIAHWARARSLGAAPEGALAPDAVLDSTAIEDRRELVAPCFREIAGILDGVAEDLAARGYVLLVTDAEGVILAARGGGGFTDRAARVRLVEGARWHEATRGTNAIGTAIASREAVTVIGRAHFEQQNHGLVCYAAPVASAGGETTMVLDITGPVEAADPLAQATLLGAARSVALLLRVHAYDRLLASSRALLERSLHRCPLPAVLVEPPGVIRSVNARARADLRPLAAPGRPALALLGLGWEPLVALAQSGAPAPVAPRGAALTLHAEPLLDDRGGRSRCWSSSSRSARRRSRASRSVRPRASRRSRRSSATIRR
MMRRVRSLGTPGGLDAGDLLPVASRSGSLSSMHPRKKRGITQHVAIAAVVVFGMYALVTLSRMGARHHRAGPHVLGLEEFDADGHPHEHMNTWSKLWDHPDVEGGHPHGFHDDHPSAGGGGHHLHTEAQDAHNQWQQKVWHEHNAGNQAAKQQQQQQQQQQQQQQHQQHQQQQQQQQQQQQQQQQQQQQQQQHQHQHQHQQQTPAADQYHEWQHHDWQHHDWPQHGDSQHGGHDHGGGHAHEPFGGDHHDSYDHYHTHDLHHDHSDVEGHDNHHAAWQHDAAPPAQHDTDAHWQQLHHWEAPQQHEEQQQHRHHQWQEQQQQQHHHHQWEAQQQHHWDGHGHGAHHEGGHDAHLYQEHAHPPSEHDPLQHWHVEQHALPDPQEDKAHHVNHDHAAHYLHHPDHARPQGMYTLSVKNIDGAERHLSDFAGKVTLVVNLATKSSLAESNFKGLQSVYEKYKEHGLEILAFPSNQFGGEPGSSQDIKAFARQLNASFPLFEKAFVNGAAAHPLYHFLKTELPVKEGGGGGILVDQRGRPMKLMGQTWDQAEVENAVYDLLLEGEMEYEAWLEQEHELHSGGKAHQEHQQAQHHDAHDQQHEQHHEQHWGAAESQHHHNQQHEQLHEQHWGAQDSHHHQWEAEHGDAHGSGGHGGHDPHAGWETSGEGWEQHHYEDGHGHSGERYEHDWQQQAAIDYIKNAGAGGGHGHGHDAHDGHWGDEREHDWHMAQAHHSAHGGAGGGHDGWRWEQEQRQQHHQQHAEPAYHQHQQHPHAVPQQQQARSPHVQVPQQQVYQHQEHQQHQEHHQQQQQQQQQQQQQQQQQQQQQQQLHHDWHHDLHHEAHNEWLASQFHHQ
MTPPTPSNTQNLTTNDALAYLKAVKDAFQDKKEKYEEFLEVMKGFKSHRIDTSGVILRVKELFIGHRDLLLGFNTFLPNGYQIKLLEENKPVQFEEAISFVNKMKSRFKNKENVYKAVIDILNMYQWENKSITDVYQEVAVLFQSHHDLLEEFTKFLPNSSKERTHSLRLECDCSIDSPNLDHEQRHTEQENDRKQERDSRKRDRDEKNFELDSCELELVRKRKSSRRVDGSELVHQGVEVAENLGMYNMSASFGDKNSLKKRDSRKRDRDEKDFEHDSCELELARKRKSSRHVDGPELVHQGGEVAENFGMYNMFASFGDKNSLKSIYAEEFTFCEKVKEKLHPDAYQEFLKCLHIYRKEIITRTELMNLVIDILGKHSDIMDNFNEFLAHCENMGHVVSSREIINPSYRMLSPNHPMPATTHRTKLGNSVLNDTWVSVASDTEDYSFKNMPKNPYEESLFKCEDDRFELDMLIESAKSTTKRVEELLEKMQNNTFNLESPFHIEDYFTVLHLRCIERLYGDHGLDVIDVLRKNATNALLIILTRLKQKQEEWSKCRADFNKVWAEIYSENHQKSLDNCSFSFKQHDTENLNAKVSLISAATQRTKIKEISEKKKEDDVILAIAAGIGDLESNGTSDVVGVMENSNSAKIDGDIVQELATSKIRAANVNVVVLGNDIHDNYVLSLTYLKAVKDAFQDKKEKYDEFLEVMQDFKRQRIDTSGVILRVKELFKGHRDLLLGFYTFFPNGYHIKLPEENKPVEFEEAISFVNKMKDQFQNDENVYKAFIDILNMYRRDNKSIKDFYQDVAVLFQSHHDLLEEFTHFLPNTSGTTAP
MTKEKDYNFISEITQEKPKEPISKLKEQDGKEKASKEKDIPVSKSTEKGEKDEAWGYDLYPERRGTFKSKFSNVLIGKEGKEPIDKIKCEKNVYDCVKNS
MKNVLPKTLFCALLTSLLFFTANVMSAESKVTTNVVVMKQYVNLNQSTIDDLVTLKGIGYKKAQAIVAYRKNIGAFKSVNELTNIKGIGEKILSDNKGRLKI
MVRLFTRLSLLIALSLQFVFAHPIDLNRATKAELTLLKGIGDTRAEAIIDYRNESPFKSVDELLNVKGIGPAIFAGIKDEVVIESNETATSKDTKKAAKKESSKANLDAQSGA
MKNTNRYDLSDRLIHFFREVDTESKDYVTFPENWGFDHLAEENIYNPFFLLRAAIRHNKIFATWSIRNNYRTIYGNRPAICFTEMPLAAFLETSYKREKLGQAISSYGLSFLKSELFKLGARPVIYGLSSNDLNVKNTKKNERIIDQSVLPMLEQYRYVTYNPSSTRKIDWTHEREWRYPYNESIVEFEKELEEFGIVSEVEDFPGLEILEQGISDIGVIVKTKSEAELILCDILAIFDRNNYSPFRFIFYTEQIEKIKSILEPSQEEKEIIKSTIDLSIYITPNKKRDDKIYKQFTSLVKEVENNYQKPEQGELGGCWLWIYDSLSDLARALINNERVELNKEGKYLCFPYEFSDSRSLSQREDMTKKLAEKIKNTFGVDCGYYSVLNSDNYNELPFYCSQDYDKYEDRLINKLKN
MNETKSEALSDRQDRLKRARKPLITDISAARWLLLLILAASVYFFHGFLVPVLAAVIIAFASWPLLQRLERSLPIGRAGAGALLLMMIVGFLVIPVMMALLYAFRELQSWIGWAINTNSLGAPPPVWLETLPHVGPWIGEKWRAYIGEPGAISEMVQLVSGANIGTISRGILTAGTLAFHLALTLLFMLIALFIFYRDGERIAAQVDRVGSRILPDRWDRISRVVPATISSTVTGMTLIAMGEGVVLGTAYWIAGMPSPVTLGVITGFMALVPGGAPFCVVAASSYLAASGSPWAGLGLFLWGTIELFIVDKTIRPVLVGGPVKLPFLPTFFGLVGGIETMGIVGLFVGPVLMALLVSMWREWQREIDIAEAEEADPIPRPPPEPLPSAIRPLRSEPG
MSDHPIRAETIRLTVLGLLVVALGSAVALVLAPFVVPMLWAGILAFSTWPLYARLLTLTGGRAWISALCMTVLISAIVVLPTLWLLHLLRSDVSELAQYMALELEAGRLHPPALVASLPIVGPELMAWLNAMVAQPLRWQTELKALAGKLDTELWTLVGGVGKNIVKLGLSLFTLFFAYLHGLNLLEQARTVLRGLLRERTDGYVNAVGNTTRAVVYGIVLTALVQALVAGLGYWVAGLPAPATLTAVTGLVALIPFGTPMVWGAAALWLLFTGQTTAGIGLLLWGVLVVSWIDNIVRPVMLSRGSDIPFILALFGVLGGLAAFGLVGLFLGPVILAVALAVWREWQ
MNTDHSNSSNSCSDLHSIIIEQSFHDQSSSSMNIDHSNNNNSRYSFDSRYSFDSRYSFDFELYNTETFKLVPYDKLIHKKRFKGLLFDSLDIALDFYRTYGQESGFDVNSNSNQN
MELKIQCHCGTKFAFDVEPVNGQMPWRIQCPACGMDGTDAANAVLRQILAPPAAAPVPAVPVPAAPAVAAPSYCRKHPDDLVVAECHVCGKPICGKCMELFGFVCSVYCRDQARARGLAVPAFEGQTDVIAAKARAKTRRLAAVVGVLLAALIGGWIWYAFVGSRPKVVLSLPIPKGESETFSQLVPPDQLVVVSRQQLCLYSLQNGKPVWSTPLTGGAPQRVTRAVTRPSALDPFDTDFVSHFQVHATPVEIWVVQAERVARFDRQTGKRKEDVAFAGPLQYAAADDAGLVIVSGEQSGRTHVTRIPFAGGKAQSEEIVLAPQTRRPAGARATAADVLADFLAEPEAQFFAAGANVAQFKVRLLEQKTEFREAMREQKGEKVFETGRITGANAMKAATELLNDMQRDATGGVEEEDVSRYEVTLQRWLPASGADWTGEVIGRPRFFPQKTVDVVTAGRSLHVFNKKNQKLWEAKLTYPISRRFDSGDAHSPAPCLESGSTLYFFDQGMLSAFDLKNGQARWRLPSAWISAVRFDREGKLIVSSTTASPDAVKFSQQVNLARKVDPLTLRIDPASGKVLWQVQGLGDRCFVSGKFLYATRAQLWSAELIGAMGDESAMKIHHRVRRLDPATGKEMWEYYGTKGPTKVDFQRNCFLLQYRDELHVLKFLSL
MVNPNLNPYLKSELKDSEILNNPKHFKQRVQRAITKILAKEKKPLSRRAISNLTSIEICTLCFPLLDLERCKILQRAYKRICPETGKSVIHYGLKSWEVKDEL
MAEITNKEFIILTKSKDLIFYIDDILENVPRKDMYYKDRIRDCCHQIIYNIIFINNSKIIDNTCFSNILTNISLIDLYLERLVKKNYISLSTSNKIAVKLTEINKMCNTWRKNNEES
MQTYPDRLVFIDETSVKTNLTRLRGRSLRGKRLEMSAPFGAWGTQTFIAGLTHDSLIAPWVIKGAMDGEAFAAYIREVLAPELLPGTVVICDNLATHRNKEAAQALKDIGCWFLYLPPYSPDLNPIEMAFSKLKAHLRRIGARTFDQMFDALAEVCDLFTPQECWNYFCEAGYGSG
MNLEKNVITDTYRNGITYLESGVIVFERDHTWKAPTELIDVFSHCWSVTFGDFHQGDLGAYREGHVIKFNGFHCFLIPPFTTVHWKIQSSKLKWKSFIFLSSPQFQFPQVAQAFSLKGIPDLKSSEDLKEFIAQLCTKESGTCIDNLNKESSVAVTTRQYINKNFKNDFSIKEMANQLGYSHSVMSRYFKKCYSMSVIQYRNQLRLFDSLLTLLQSQKPIYKVAHESGHQDISRYYRRFKDFFGASPSAYHKDQVPTIQSEASL
MNNICILLIIIFFLASFFVEFYFKKRRNNALNKLLKLLYEKKFKEFDSYVAQLQNKKIVPLYNLEFLKFNSYVLRQNHFKEKVCFDKIASMHLTDRQRFDFFIRAFSVYIENKNKVLAKKSLKQIDSIKNKAETKKNLHMIYDVVILNDTSYLPMLLARVPKENKQAKLSDYYLIRHIYKLKKDIKNENKYFNLLSETAKNLKKKA
GWDASTGYVVPTTIDPGLGYWVRLDPGASLKMQTSGFTGGLVSKTAYQRLSEEITLAGYLTVSSNDGTLQALYLSAEPMDAEATDILQLPFRPPTGLPDIRTELGTRYAVPGTNEILIQGNGEITLAFHGVPGAIIRCTLFDSQGEILYEYSEGSNQALTLNVRNGVRLRLQYSARVPEALRFALNQNFPNPFRVSSGTNIPYELEYQGFTEIEIHDILGRKVRTLISLNASTGKHSVYWDGRNDVGQPVLPGLYVVQLTSGTKSQSMILSVVK
MSLKEVFESSPWRSFKKFNEAAKSWGFTNRAEVKRFFDKNVVHQTKINPYDYFLPIYSNTPDAYQFDTLIQSRKGGHKPFLIFINVNTRKAYAYPMKNKGTREVLRVLQKFVAEHSPSTLTSDQDSAYLSNEITDFLIKHNITHYTTEDHNHNILGIINSFIRTLRDLNQERDFTEEAMKHFLEVYNSSTHSTTGHTPNSMTQQQKKSIYKRNEAKHKISEIQHNLPSFL
MNKVNGWAGSVIKAMAAGLMMAATAGTAQAAAQTQLEASFAEVAVGDIFEVVLKGLGFNQNGDGKAIGNVTGGQNLNFTFNSASAQVLGIVIDPRWTFTSGNRTGTINQAGGTLTGVAFGVFPATTDDDFTIATLTLKALAPGQVNLTLASAQFIGQVDGRSGQLITAGLAQASVAVVPEPEQWALLMAGIGIVGWRARRK
MTITLTRKLALGAAILALCASASATDVAAHIGYHNPGLDNSPGLPSADVSASHATNVQLDSSNLPVQPHGGYSSYMWLNASSAATGELATATDLAASGSVVASASWHDTIVNSGAAAQNYTFHFALSDGKLEVGGWTGDENLRDFRASYTAEVLVNGVAVWHAEQGLSLDGNGFHQSASGANIGSGTFSSTGARGTYELAGYTGTLNLGPVAAGQSLSVSYVLTSRGYWDDPAGCAYECGRVTATIGDPLGVNSARITAAVPEPETYAMLLAGLGLLGWAARRRQRA
MDHGQRGYPIKEVRRRNSPLPSLRTARHEDKHAPCTAPARDRERPASARPGDKRLPRGAPRR
MTKLDKFIEQLKNIFGSRLKSVFVYGAKAGEKADNLLQDVDLMVLVTDLRGEDIKKCSKPAREWMGKSCIFCSKRNALPVFMGEKEWYNSSDVYAMEYSDIKENHKILYGEDLICNINVNRDDLRLQCEAETKNLLMQFRAHYLMNAHSHIMLQKSLVPLTKTLNAIFKAILRIKNIEVSKSPYENLNKIHSLFAINKSFYEKLLCHKEKHCTLNKKEAIDTADTAVAELQKLLDYVNNL
MAITPVVSSLVVHGVHKWVWTGLTQADTGAIVGPELGMGTFADKSIFMESPAAWGGGTIILEGSNDGVVFVGLNDPQGSPISFTVDAIVQILENPLYIRPRATAGAAMSVDITMAGRAIMQLR
MTRNNDNTALASCVSAGDDFLTKPINPVILNFKLQALQRIRTMYDKLNEYQRNTEEELETSKHIFNAFINNVNHDVSNLSFWANSPGHFSGDTGLFKSLANGHVYVLLCDFTGHGLPAAIGTVFVADLFRSMTKKMLEAEVILDEINDKMNQILPTGRYCAAAMLDYDPAKAFLTVWNCGLPSAYLIDENNMILEEFPSAGVPLGVLKGKIQCEGVGLSVKHAKSIIMYSDGVTEAENPQGAMYTECRLQKCIENAKKDTDTFKEIKQSVEKFMDGMQPSDDISLIVLDLNQNSLEAIRE
MAHNHREQYNNNDNPNENPAARGAPEYFENLFLNGNFAANADEEMLDAAGALAEHNNLAENANYEPSCWVFGYGSLCWYPGFEYSKCITGYIKGFVRRFWQGNTTHRGTDEMPGRVATLIEDKEVSGENNVSTANIYT
MKNRNATARIGVRIDGCTDGRTESRTEGRTDGCVQGLVADGADGADGAAAARKARFGTLPERVRPEETVEVRAVTPNDPARHGCDPERSWTSFSCLAVDLGL
MAANPAVHKYPVVTILTGDECECRLAADLSVDGIRPRSTEWRPDMKQEPSRDAAAAARRARFGKLPERIRFEDMTEEAEFVPDGRAGGAHDNADARHTFSCLALDLGL
MFAKILTATAATALVAAPVTASAAPTNAASSLSVSKSVRASTPTNGTQKAAAGAGGIAGIALGVLIAGGIIYGIVDSSDDNDSDSN
MDPTRFWQYKIVQFFHDPPGKPFASWPGTGGHKKVALDLFKRFTKVSLKGYAPYPDWAASGADRPMVTPPRGKGISPLKIAWHKNPIITHPLSRGYIMDLRRRDAKGELKADAELKEDVFEDQTLELEELGKSFADWKTEQDLEDGFFRLWRRYRDELVFRKSPEPPFKGDTLWAEMPSDTRCPDHSIWDHLRVTTALAFLTKKTPKPDVPWNPWLFRFSIGPVQRFIQESRTSRDLWLSSFLLSDLVWHAMLPLVKLYGPDCIVYPDLRGNPRVDVWLCESHRDALPDFLQNPNTYAAMLPGTFVAVLPYGGKGHL
MNEKAFWEQKIIQILHDPPAKPYFLRPHSGGHKKLTLQLLDIVLPQEGPRSLQTIPDRLATGADRPLLTLPSREGYWLGNQYFHKDPLVTHPLCRSSLQLPHPGGVPKIAGEDIDDLARWQKEAARLLAEVEAAVGDARPEELKKTFFAFWRKYRDELVRQGGNELLWQLMPADSRSPNHSIWDHTRMAAALAFVQEKITPGREQDRLYPWLFSFSLRPVQEFLQEARKSQDLWTGSMLLAELTLAAMEPIIRRYGPDVIVYPDLRGNPRADIWLHGYDPSLLPRGKASATRAAVIPHTFVAILPRGQGKDFFDSLENLGRATCEAVHTAWKELAGAVRGWMEEVTDAKVRGSGWDRLWQHAGAACPLEPTWVALPWPALEHQQEYYWPGGALPFQETRQPSARDRAVLERRRALLGEWMDSASWASYEYTLSVFARTNSGLLLHSGFCYAPAHHKLKAAHGMRRRLMTLPEPTPADLSFEKCSLCHTRAALGNSDLGQGTGDCESIREQVRKLWQKRELDPEETGSERLCAVCATKRFLVRADSEKDDTQQPNRFNRVWAGPDRERVGALRDMAGFSDKRIRTPFPSTVQVAAQRFLCDVAANYTD
MYLLNTSEMYLLNTSEMYLLNTSEMYLLNTSEMHLLNTSEMYLLNTSEMSLLNTSEMYLLNTSEMYLLNTSEMHLLNTSEMYLLNTSEMYLLNTSEMYLLNTSEMYLLNTSEMYLLNTSEIYLRSYPDTGTREKSIPSSKWQ
MNFIWKIRVFCAALLLTLGLTGAASAAGVPKDGVLAFDIVRNGEAIGTHTYRFDRSGGRTEVRIKTDINFRLFFIPVYRFEHESKEVWQDGKLDSLESNTNENGTPVKLQVHRDEDSLMVYGEDGNLHVDREIIPASLWNRLVLDRSQTLTTISGNVKKFEVEYVGEAELDVRGQKVTTQHFRLTGEFERELWYDKDDVLVGVRFEASDGSTVAYVLK
MLTVPKGTGNILTVPQGMGFIQNHRKGDIYLQYQSITGKGIYTTGTKGNRKYIYSTTGKGIDTYSVTGKGIDFYSTTGKGIYTNSITGKGIYTYSTKANRKYIYTTKGNRKYFYSTTGNGLDTFSIIGKGIDFYSTTGKWIYTYSISRKGICTYSTKGNWKYSIIDKGINVYSTTGKRIYTFSITGKGIYTTSTKDNRKYIYRTTGNGIDTFSIIDKGKDFNSTQGKGIYTFSITGKGIYTYSTKGKGKYFYSTTGNGIDTFSIIDKGKDFNSTQGKGIYTFSITGKGIYTYSTKGKGKYFYSTTGNGIDTFSIIDKGKDFNSTQGKGIYTFSITGKGIYTYITKGNQKYIYSTTGNGIDTFSIIGKGIDFYNTTGKGMYYFSITGKGIYTFSIKRNRKYIYSITGKGIDTYSVTGKGIDFYSTTGKGIYTNSITGKGIYAYSTKANRKYIYSSTGNGIYTYSIIEKGIYTYIITGKGIYTYITKGNRKYIYCTTVNGIDTFSVIGKGIDFYSTTGKGIYTFSITGKGIYTNVPKETGNIFTVPQGRG
MRIVLLGHPGSGTTTLLTDARAALRDPASGVALRPRSPIGRWRLWTASRRARRPQRDERPRAYPMRLRHAGGGAVVRVVDGGGGSVRGSSGTSGTRRLLAELAAADAIVAAVDATVLCDDPVLAASQVRTLVVLIRRRVTEALRHARSSPFPVVLALTGCERLPLGRDPFDLDGPLRPLRIGHRHEVRVAGVTVPLRADDPALARALPLLWCLHHAGSAAAGAVAAAGAAATFTPREWTRT
MKEVGVDQVEQKRIETARLILRPYTYDDLKDVFGYASDPEVTKYLLWDAHKTLEDSQNFLNWIKSMTCAEQGKVFFVYAIWSKENKKVIGSIDFKNTNKFGGQMDYAIGKAYWGKGYVSEAATALKVWAFGNFPEIVRLQAYCQPENIGSQRVMKKVGMEYEGLRKKSFVVKNKPVDLVHYALIRDTELS
MQLLPRRWSTARLVARAASADDAEATHAAWASLPECTRWLSFPTATSVDESRAFLEMVERAWAGEEGHLSWLLYADDVLIGGIGADVDGPTVTVGYVITPEHWGRGYATEALRELCAISLAEPDLYRVEATCDVENIRSARVLEKVGMQREGHLRRHTIFPNVSKAPRDVWLYAKVSEDP
MREYIETDRLVLRKPVLADADAFATQINHPLITRMTCTLPYPYFPLAAEFWIMEHRSKWDRGIGYAYCILNENGEFVGIMDLFKNGQSNFEIGYWIGKDHWGCGYATEAGRAVTNEAFSCLGPDYIDAGYYEDNEGSARVLGKIGFIPKNEFSHYYSVTRGRADRGIELRLWRNTGTNAQATLEQASRL
MTLRLRTDRLLLRPVDEDDLDVLLAIRNAPDVVATTSTGEPLPRKRMADQLSRRCKSWREQGLGSWLVLLEGDPVAYVEVAPIGEGSGVDPEAIEVGVVVHPDHWGKGMALEAGLAAARDIVDRLGLDRVYAGVDPDNEKSLRALAKVPGVRRLDDELYEVTAEALHRAGSPLSALPGQDMPPP
MPNIFTETMDTARLRLRKPSLEDAEAIFTTYAQDREVTRYLIWKPHVSLADTRDFLAGVLDAWDAGERFDYVVEQKVSNTLLGMCSVRVNGCQVDFGYVLGRDYWGQSYIPEVINMLAEKALADPAINRVQATCDIENKNSARVLEKCGFIWKGRLENHIIHPNISPEPRASYLYEKAR
MEKVKEGKLVLHKYEEMSPEALRWILHSIEEEFLKYHLEETSQAAQFYITRFPQLLFGEEIETERLRLRKWRKEDVNDLYEYACDAEVGPMAGWKPHESIEESAQIIELFIRQKEWAIELKENHKVIGSIGFHQPKIKKDRSLELGYVLSKNYWGQGLMSEAVKQVCTFAFERLHQEALFICCFDDNVRSARVAEKCGFQYYKYLEKAYHRYDGIDFDEHVFIMSKKA
MCIKLKEIKTERLVLRDWRPDDLEDLYAFASVPGVGEMAGWNHHKSLDDSRQFLKMFHEFKTVYCMEYDGHAIGCIDFDWDKYKKDFISIGYAMSQDCWGQGLMTEALVAIMDYMIDEYGAKNFCARSYTNNVRSRKLLMRLGFKLMSSNAYECYYELII
MEGATICSTTDSIEEGFDLTQISLRPISLDDLDDLMLWTTDEKVARYCTWEPYTSKEDGINFIQNIAGKSLWFRAICLNNRAIGCIDFFSCEGQRRNRHKSVELGYALASIYWGKGIATHAVKQVIKVAFSEFPHLERLQALVDVENVASQKVLEKAGFQREGVLRKYVVIKGKSRDMVMFSVLSNDPLS
MNEIIKKEATEIITPVDEKTIMEYLDTTGLTKSLLPKEKAMFVNMARLYGLNPFKREIYCTVYGEGQYRQCSIVTGYEVYLKRAERIGKLDGWQAQITGSLQDGTLAATVTIWRKDWTHPFTHTAFYTECVQTSKKTGEPNAIWRKMPSFMVRKVAIAQAFRLCFSDEFGGMPYTNDEMGVDAPKERDITHEATATIADEAEAPSAEVKNEPKPADVVQQLETLLMKYESQLSGKPYELAEEALRTGSDAEVIAMYERVVSYLKRKGIQVGK
MAKSSAPKTTGTSKIRFILVEAEIADDQIQSVTQAITNALRGPAATQTIKRIAAPSNGAEEHQEIEGEAEEVLEDEAVEAPVRARSTTPRKTTVVTPELLDIDFDSYEVPLVSFASNYKTDGQVARYLLVCAWFQEHGGVAKVTPSHVYSAFKWLKWTSSAKDFAQPLRQLKSDELLGSSEKGTYTINHVGLKRVAELKNSNAA
MKTIRPCPLTTLLLALLTTTIHIFAARETTAPPEFGSSRTLVDLILAAFPGPSQATVEAIDYPAAGLTLDEYAASVTAGIAAVVDQTGAFAARCPGSVIVMHGYSQFAARPVGFQCPEFGDRIQSYCDAPDPFCSDGDDPDTHQGYGQEFGQQALEFVISKVGL
MRLAVIGAGPAGLTCVKQALADGHDVVCYEKHQDLGGIWNPASGGAYAGVRMQSSRMSMPFSDHPPGFTADFPTQAEVQGYLHAYAAEFGLLDVVRFGREVVSVVKEGGRWRVDTRASGGPIWAGGGDGVGGGSGGSGGSGSP
MSFVVAQPVWMVEAAAVLARVGSAVDAADAAVARATTTVAPAAADEVSVAVAALFASHGQAYQVLSVRAAAFEQQFVRALVAAANAYGGAEAVNVQQLVLDAINAPARALVGRPLIGDGTPGAPGQPGGPGGLLYGNGGNGGASTTAGVAGGAGGAAGLIGNGGAGGAGGAGAAGGAGGAGGWLYGNGGVGGSGGAAAVAGGMGGSGGAGGDAGLFGVGGAGGRGGAGALGADGVNPGLATPITPAAHGTDAGAHAGGGPGSDGTVSGQAGGGGGSGGTDSTAPGANGGGGGGAGGAGGPGAPGGSGGAGGTGDSGSGAGGGGGGGGGIGSSGGSGGSAVSGGPGGGVDGGAGGPRGSGGNGGGVGGGAGGLGQSSSAGGGGGGGVGGAGGAGAPGGHGGNGGNGDGGGGGGGGAGGVGGIGAPGGHGGNGGNGTVGGTGGGGGAGGIGGAGVAGHGGGGGGHGGAGGRGGLLFGVGGDGGAGGGGANGGNGAHGAVGGGGGNGGSGVSGGAGGDGGAGGDGGDGGGGGNGGAGGSAGAGGLFGRSGSPGTGGTGGAGGAGGAGGAGGAGGTGGASGAGGPAVPGDAGAPGHSGDPGAAGLSGASG
MTHIGSALHSANAMAAAPTTAVLAAGADEVSLAVASLFSGHGQAYQQLSAQMRAFHDTFVQTLTSTSASYAAAEATNATPLRTLGHELLHVINAPTEALVGRPLIGNGADGSAGSGASGEAGGILLGNGGNGGSGAAGQAGGAGGASGLIGNGGAGGAGGAGANGGTGGHGGWLYGHGGNGGNGGSATSVTMAGGSGGAGGNAGLWGAGGHGGNGGAGMAGADAVNPAAVSDPNLNGTAGTSNQVNKAGAVTGGNGTAGDAGGAGVNGGSGGNGGNADTTQAKGATAGSSAQAGNGGIGGDGGAGGASGGDGGAAGYGRTDNNVAAGGWGGNAGNGGAGLAGQGGSAGGAGGGGGRGGLLVGNGGDGGVGGNGGYGGSGSDGGGGGAGGGHNSMDSSSTLAAYSTNNNAFGGNGGSGGDGGAGGQGGLGAAGGAG
MSLRRAGHEVALVERTARFAEVGAGIQLAPNATRVLRRLDLLDAVTERAVHPSHVSFRTGSNGAEICRYMLGREAEDAFGAPYLQVHRADLHHALTPRCRPGHCA
MFNITNTQSAARHQSISNEASTEVPLKEEIWNKMSAFFSSEHQVEAQSCISYLCHPPEAASPEEIKSKFECLRALAFPAYADNIQCSRGGADQYCILNENSQEILSIIFNTDSYTVEGGGKSVTYTRETESEQASSASGIQRCCEL
MSQFFAIGTSHKQASVELREQIAFAEDEIPDILAELREWFAREVVILSTCNRTELYVVPNEEVTPENILNWLGENRKVKIEASQFFNIHSNSAARHLMEVAAGIDSQVIGDIQIIGQVKDAYRRAKEAKSLGPVLTRLFETALRAGKRVKTETELFTGAVSISYVAVELARKIFYPLEGQRTLVVGAGETGELTAISLRGRGVRQISLSNRTEERGKELIDKLGFGELLPWEMLHSELHNFDIVIVATGARDYVIDYESLRKAAAQRSGNQMLIVDLAIPRNVDPRASEIPNVFCKDLNDLNSVIAANVERRREEIPKAEEIISEELEEFSAWHRMAPIRPVIADLRRRAESIAEQMLQENRSRFTEEDFANVEKLVGSVVKKIIGLPMSHLLNAREDPEGALQRAEHIRDLFGLKDKENLG
MEEHLLLLGCSHKSAPLHVREKFSISPQGVPALYNEMKRCPDILESVVLNTCNRVELYSVIRPGFCFEGLAKFFCAVNNVNQALFKEHSFEQRGKNVIMHAFSVASGIESQMVGETEIFGQFKEAYMTAKQHTAVGPTLNRIFQKSFQAAKLTRTHTDISRGQISIGNVATALAERIFAKLSKVRILIIGGGEAAEKTLQALYSRGAQDINITNRTFEKAQELARKFHARAIGYEDYPYLLDQFDVIISSISTEKPILEPEIFYPVMKKRKDAPMFLIDLGVPRNFDAGVADVPDVYLYNINDLANIANENLKSRQFEIEKCKALLAERVDHLWNTIVQKGAEQEDFSEDDIKKEDLAPAPAN
MRFVAAGVSHRTAPLTVLEAAAVREADARSLLRYLVGHGGFGGAVVLSTCNRTEFYVTCPSDDADDIPARLAPHLDPSGVHGVARHIAMRADGEALRHVFRVSAGLESMVVGEAQVLGQLRAAHQLARSAGTLDARLDFVMRRAISVGKQVRTRTGIGRGVGSLSEVAVDCALAVAGDLRGRGVLLVGSGKMSLLAARRLQELGARILATSRGDSKQRLARSIDEAAVTSLGEVIDAADSVDVIICSTSSVEPVLDAAFVARMQQRRAGRALCIVDIAVPRDVDPSCAALPGVQLIDIDELGRRLAGHLSMRSEAVPEAELIIEEELRRTMMVIGHRDAAGPTITALIGRAEALRRREVERTLARSPQIDPDVRERIDLLTQSLVRKLLHGPITHLREAAEDPAVALALRQAFNLDEAEPGPRAAAEEWERASETIGRP
MSDTNGTLPSSTGTLALFSVSHHHAPVEIREQLAFGDEQRAALLRDLKQIASEAVSITTCNRTELYLLLEHHGLQTMRHLNETVARHGDVDPRELATASRCQTDDDSIRHLFRVASGLDSAILGEPQILGQVRDAMLEAHEQETSGPILERMFQRALATGKRARSRTGISRGAGSISHAAVKLAQDTVGNLSDKRAVTVGLGEMGCLVARNLYANGVGSIDVCNRTMERAQITASEIGGQAIPWSQLESAVRSADIVITATGSEDYVLTLDHLRPTNCYDSTPTLIIDISVPRNVDPQVDSLPHVQLFDIDALHAVQTRGIEQRRAEIPKVEAIIDEELQEFCTWFSSRRLAPVISDLYSQASSIQHTELEKALRRLSHLDERDKEVVRAMAYGITQKMLHKPVTRLKSAGESDDHASMISKLFDIPYNQTRSDEY
MNGVLLALGISHKTAPVALRERLALPEGRAAGTLRELVGDASIHEAVAISTCNRTELHLVAGDPVEAENVALSALSRHAGIRPTELLGRIYSFRGAEAARQLFSVASGLDSMIVGEAEVQGQVKRAYELALVEGVTGPISNRLFRDALATGKRVRTETALSRGRTSVSTVAVDLARAALGDLEQRRVLILGAGENGELTAQALSERGVTTVFIANRRYDRAIGLAQRFGGAAVRFDDLPEELLAADIVVSSTGSPHQILGRDELALVTDGRAGRPLLLIDIAVPRDIDPSVRDLQSITLYDMDDLQREVARNLSGREAEAARARAIVDEEVERLERWLAGLDVVPTIAALRERGE
MKTSLPQLERTAKTLAEKAEACVAFMRSMAELAAPEEIAVAWTGGKDSTVALALWRECLRSYAPGAPLRAVNIDTGCKFPEVIALRDEVARQWDVELVVARPEVELAGYPLAVDKVACCRDLKVRPLQRALAENGVRVLFTGIRADEHASRQERPCVERREHPEHLLAHPVLAFTEMDVWAYIIDKALPYCSLYADGYRSLGCMPCTRRSDASEPERAGRDQDKEAKLEMLHSLGYF
MKRSLLSACLLFMFALIARAQEEPLTLKKGIILDSLQVRDTIPETFALYIPTAFKNNEAWPIIFVMDMEGRGKKAISKFRSAAEKHRYLLAASNNLNDSLSISQNILTSARLMKTMAAMFTIGKGRIYMAGFGNGGRMAGITPFFISGIAGVISIGAPVN
MDFAKNTETVVSDILKSRNFKIIDFCDTKDFDLLVEHPTNGKTYSIEIKEDFMAADTGNVAVEYECRGKSSGIASTRADAWAYVLHERARVGVYLISTIDLMSAIRQDKHHREVIGGDFGSNTKMCLFRVESFKSMCFCLESDISAKYGIDSK
MRTVQWRRYGTWFTAGPEVKNASRVPKPGQRYWHGWIPVNGPGKPSDTGTLHPAADAHGRSIGVGAVVTLGSGEYGRVVAVHPHGGQSQATIDVETLQGQERAGEPASMARIFLDAAGRPPGSTRPAPGETSYEEAAATLAADVASGVKFAGAPSAGATALVHRVEFANGGTAYRKRVEDTRWRSARDQADAEELGALVGWAVGARVPAVHRVSEHELFLQEAPDPSALDRPFDEIPALRKAAAATDDGWRIALLDVLIANSDRNDGNWKYADGRVTGIDLGNAKFDPPPPGDGRLLLVRNAFVARLLTDDLTWKDGNELTAAEVAQIRRRLNQVRPRFIELGRADWLGNVMDRLDEAVKHAAERE
MSVKRNYHKWTEQETVLLYKIVVGSDRNWRIVERVFPNFTLLQLQNKFTFLEQQYKIKSSGNEQNFLKSCSEEINRASKSLLSIYNQELKTQKEPENTVKEESSEQKERSYHHWTREETLLLYKTVHKNSGNWKEVMKVFPQFSLLQIQNKYVMIQKQFKLRMRNKEESQDLGISDDTLQMLLDLISKKE
MALIISAVVASACGGAEPTPSPVQPTRGAGGQRLHTPLPTKVVTATLAATASPTLSATPLVTPTATSSPTLSPPTSTPLPTATTTNTPTPLPTPTPAPTPTPTSTSPSTIYDIVSVSYDPDCSVTEVRGNVFNSDWSPASGVYFKVWNDWGWSAISNPSADGLWDIYLYDKPREMTWYVQAVEGDQPVSPMVIVHTDLDCEKGIQRARINWKKARPPLPVYPYRVVGIESHRTCGVTALSGTLLTAGWSPVGGSKVVVWNDYGWSHTSEPSVAGFWEVFLSDTPKKGTWYVQVVENGQAVSSVVKVVTGEDCQRGPQRIQIDWQHLPTVPDSTTFPYEIADVSSEKDCTRTEVWGTVVGENWEPVSGAQVRIWNDYDWSLTSEPSVISRWNIYLYDKPREMIWYVQLLDRGRPVSPVVLVVTSGDCTVGPQRVEINWKRKPRGPMPPEG
MNKPEDEEKYEAGLDNHGCWGGPKSGRLRGLQNAPKLFSGINFYFSGDYLPAYKDDLLNLVTTAGGFQYNMGNEESVLLQRFRTAEDLATEYGYRVLKHTWILESVAASKLLPYY
MQRSILIFPKISDDSDIQRVRNEYDPLAAHIRPHISLVFPFESSATDADIKAAIMMATNGYKPFEIQTTKLGGDDQGYLWLALTQGVNELRKLHETLYQNPLFTSFLRADIPYEPHITVAHVNSERQKQVRQQLTAMQLKLTAVIDQISVEHILLNGDSEEVIKVPLRS
MYTHTLSAYSPLGRGLLTGAVRATTSYDSGDFHAAAPRCTGDNLKANPAVVDALTQLAAAKNVNAQVALAWLPTRGSDVIPIPGSSCRPHLRDNLATLDLQLTTTDLGRIDAAVPTTGAQGARYGDHGIGTIDQ
KKAAKNNDPLALIANSHASSSHSHANSSYSPQPYYVTHPPSVVDYDDEYQGELQGDSQEDKLSTAMMLLAQPISQKFSTPTNNLLRISYNTRN
MAQSNSCRLVQANRLRQNHPEAAEQVYDLQCKLIEQWSRLTAKANNRKEKLLESYDYQRFLSDSRELMQWVTTMKKLVDSQELADDVTGKRLFGLKILRI
MSDLVDPDADVNPRNRPVNAAGNGRANGAPPPAGRASGRTRKGKCPNFGECPNGGTVIEVPEGASFVCPECNSELLPEQSRGARKLRRLLVPCALAAALGLLGAGSYWVAGSLVSLIAKKGGNELGTTVQKGGPPSGDGKGELALPPLRERKPTLADAEAALRKDLPKGITLVSLKPNEEQKNPDGSWSNAYDATVVAENAYYWVPVADLDAANLARRLGEVPADERSWARRHLKDLVLTQDQGPGQEYLFDRKKPLAFLTPGTPFPFVWKVVATPGEDGSWKFVPTSPLPFTAPAPSEGGADTRLVLLSDPELEQAQLLERRRWDQFVQRLKEIDRQARSRYREVMSNAPARVRKPDVFRAGSGGPTTLAEGAGLGAVGGALGSHVRRRGRGRDWRGDRHAPGSPRRGDLFAPEAAEAIRGGAGRAGEI
ALPRPGPRGDRGARPGADRDGRRGVGPLSPDAIAQRLGVSGPAPYRRCAGRDELVTDPVRDGYRSLADTLRAAAEAGADLAGPAHALRDRALADPQRYVPPHGTPVPGYRAPDDTTAVSAEITAPLDACAAPAPAPATSAAPFTAHLAEHRDRAGGHPAPPEGLRRFLVIRTRVHGVLSLELAGRLAPMRFDPALLFATGLGALTTPGS
MLAGDARIGHPDGTGGGASDDRGRLFRGQGEVRLPRLRARPQPQHHGWRVQDPRCRMGERAGLHGAVSYGLRPARGRPAPAMTIRRTPGDFLVEERPGARFLARLSSDRGAHAVWRLTKESLTTPAAVEGLARALGVRPGVVGYAGLKDKHARTSQLVSVPAPELRGPAGAPPRLEARGWSAEFLGWSPEPVGGGGAASMEGNRFTIIVRGLTAARAREMDRRAALLRSGANGSLVVLNYFGAQRFGSARHGKGFAGRRLIEGDFEGALRLLIATPARKDSGKLRALTRACAAKWGRWDELARELPRLPERRAVEALATGASFKGAFGTLPQITQTMAVEAYQSHLWNETARACAAAIVAQDQLLRSDDEFGAMLFPPAAAIDPDWRAVVVPLLARGSVLAPPWAEAADRALESEGIRVDDLRIPGLRRPFFGEALRPLFVEASSFEMSTPVPDGADGAGRSRPLVRTVRFDLPRGSYATVVLRALGE
MAFQNWYLESQGLEIPLGKANGDFYSTMLSLFGDSLSAQPPELMILTRRNDAEADQLSIALGRRGHAVARCNVEDLNNATTLTIGVGEYGASPSLRLGHVDRPHYNPRLVYIRHFDLDALAPAITKRSRGCEILNKYHRQQWTAMTNALLHSGVEIIGLNAVRANDDRIRQITTAQHLGWATPSTLVSNSTKDLRTFALASPSGIIVKALGSHFVEEPPQLLNGYFPRILRSRDAIRAIFDEQDQGFEPSPVLCQWFIPSHYEVRVYVAGTDTRAFQIKKASHDNLWIDPEGTAAEFIDIGDETSRALVRLSQALGMDVAAIDLLYTEQGFVFLEANANGDWCWVESTTGSADVTAMHAKYLEGKIGEISPQ
MISFTPTLSPKQSCSRDPIGYEGGSNNIYEYVGSQPVKWIDPHGLEWNLGRNPPPGYGSPHDDGDLPSNQDKFDDWVKDESLRGDWWIGLPKCPSKICVLTLKTNSRLPREFFANPDPTDWHSPGPMGSNEGRYHPNGVYSMRSRSRNDASNQCVYDANGSLISGAPTHGTVDSSAPGSFPLAHYLDDVAAVELAASLDGCWDDGGFFGAGHIIEGCSGENMQKYFDLRPTWGESDPPDEDPCDPCKFPTLVPGTYSGPSPRR
MTLDPQQITAQQLQDPSLTPDDLGIIAYLRPDLWNAIVVLPHCPQGLAQWIYDQRSERAAASTPVASAPATGAPGPDVPVAGAPTPSASSAGTSPAIKTMRLVTLGYIFVVIAMFLPIHRWDSYFGTDTEWFVALLATPLIVYFLLCGVGAGLAIGGITRKNPKLQLGAAIMAILNGGFGLFVAFLFINEFGVAGTHCAGLGLYVLAVAGLLMIVGSIFFLVKGRQKGVQTAG
MPRNSLPPSTTPAPVDADEPSELAETPPAPVAPAPPEPVQPADESPSPATRTDWSALYGEWGRRLPAPTRTTKSARGDTVPAPAMAAAMRRALRSQGDSQAGKGAGFVDEYHSLPANVHILPCILGRQRVV
MVAVNQLGLVAALLLGLAVAAPIDQTAALVSRADTIESVAEPMAQRDDEVEEEERSVDGGDRIYVITGDHWGDKKTHSGMRGGTPSTARIHSLPGHDHKKNNKDNWSFFWGSKKKMHSWQDGREPSRARIHALPGRDREAEHKSS
MKKINALIVVLFISLTMVGCSVKETFSNEPGDYISDDNYIKYNMDDFKGMIDQDRFNLYSDNQIEEDINQEIDRVKEYNLHTKEAKEVRDTYCNYMKKYNKALINNDAEEIKNLEKDFQNKLELFNNKCDEAAESINSEPN
MKEEHTKKIMIGMREEGKELTFENYDEGLDEKNDDGEGVEVESEKIVESESEKAEQVQNLEKGEEIGHVANRVVDHATYLVVDHIVDDPFVDRSTDRAVDHVADLVIDPIADPYTDLVANINVKQVTNFVVDHGANPYVDHAADPSVENFANLSINSDPSVYVAEPFVKKRAMTKARKHRRKAIRRSLSKRPKKKSKHISEPYTDLDHHIAKRKRRKVYETRREDEYRMVDERFFDKVVPSDAWLGDERLIEAEKGEEGHRNPNLASEGEKGDLGSTGVQSLVLSKANRVSGHEATTSGLELEVHKA
MALSLAGVNYCSCGRTPCGCVATRFGEMAVGLQSQRFVSVDGGVAVVLCGYKIWQDGCRITVPQICICGCWSGRSY
MTAHSIAGPGVNDTSTNAPPKMVYNSQVREAYYESLPWSVRPLSYRELIACWIDALLKKHQAADHPEILAEAKEDLADYASEIVAAIGEQQYRKWLDRFEKVLEERAGVSSDVYIYL
VNVTIKFVCSVDVRLVMDVVIQCLCTQASDVSR
MSQLPISAPLQATLDKIIKLTNSDRYEAFTYCEAGFAAARAAHDDAAFIAIAIQYGLVIDQHGYPDESINILYEALQLAQSYHHFHDEARLLNVIGRAVYTRAEYRRAMQAWAHCLEVATLADDQVSWVWAKLGIAQIYDALDDHATAVILLQQAQERAVYLHDPILLLNVLLNLGVNLFQERQFEAALQTYHESLALARELNHLDDIGETLFRIAQLLLEQGQITEALDHLGQAQQICEQSHHMWALANIYGVRAQIYQVQNQISQALLDVQHGIDYASASGSAHIEMRLRLLQSQLAEQNHDAELTLAAFRAANVLNSKISPHDHRQQLAELEDLAGLRPSSGRILLELANNPLLERCSLAELAAMLCNAAGQIIRQVRASYWEYLPDQQALRKVHPQLDVNIETFNPPLLQSLQRGETIVAHNAQHHQYTWSLYERLLLPEKLQSVLMIPLRLNESLYGVLQVGYAEKTKNWSTDEVQYMNQLAMIGTRALANIERHIFQADIARLNAQLQQNNNELEARVVERTIELQKAMEHLIETEKLASLGNLVAGFAHELNTPLGNTLTAATTLLDKNRNLLETINAGVMKKTILLQYLDETSMIAELVERNARRASNLIANLKQVAVDTASSKRRQFNLHQVIEETVATLIVSLKKRKVNVFNEVPDDIELNSYPGSLEQIISNFINNSLIHGFSLDANGEIHISAQQINDEQIELSYWDTGVGIAESIKKRVFDPFFTTRFGQGGSGLGLYLVYSLVTGTLGGGIELRDRPAGGVWFVLRLPIVAPAEPSQEFDLLRH
MRIPTSSFGALLPAPSSRWPAVILAGLFCGAMAEAYLIPEPPSGDDAAVQVPVIQGTTEVQQVRYAQSNSGALVGYSAPIRMARVASAEAGVVRALVVTEGSSVRAGQTLAQLDDREWIASRDVAVAARDAHGELDEARAELELRTGRLAALERLGLAGHSNPEELRRAEFDHRLANSRYEAALESQQQRAAEVARLDVKLASCQISAPFAGIISEVLKQPGEYVGPTDPAVMTLVDVSRLEVVLLLATDLAQQFEVGQSASVHFQHANQFRPGVVSFVAPVLHSESGTITVKVTVDNSEGQLMAGDTCTVTLGAKPNDDRTASRPAAIDTAR
LERNILTRFTQGPGNHDSVRWSPDGAWIGYSTDADGPWNIYRKPFPGPGAAQPVVTGPVPFKNLMDWSPDGQFVLYSAIGEGTNMDLWFAPADG
PAHAHTTPAQPDTVVAAQADTTANNTRTRAEESSAWAEGTRWITNDLSSDFPFSIVRWISAAASVPLVFLLIEYLRYWNKRNNELAAGIGR
MRQWLVGLACIFCSSAPVLAEHRTAIGPADQESSSGDIALYHPLALVPDCLDIRTAGTGRPPHPGIESKEPRIDIWVPEPHPHNPRPAPTGCMAG
MMLREISLILLVFSVAVRCLPSLDGTTLCTINPPAGFYCGSCLQPYACDSSGVFQPLPECPSGTVCEGAAQCVPIASASVCKCVSDICDTHNPMYSAKCDADGIITDIEDCTGAQPDSGTCVGGSCVDCDGFAMSGPEYFVVQPNCSAGYQCDA
MMSACQSTIVEPPAHADVEAHSVGAGAELHVLTDRCTLQTCRMLATAGTVRTGSTSADRVGSAVAFGGWSRSPRRRSPIADRHRSSIGMRPSKKSIRRMLEKIHAMTALKTVWQETTELVGRLNRSLRGWANYFNVGTDRRAYRALDSHTAARLRLRRWLRNKYKVRRRRGGTYPSQHRYEHFALVRLTGPWL
MVDADESETVKLFIDSDVGVDDALAIIWLAMQKNIRILGITTVSGNNTVEDATHNALTVLDVINRPDITVTMGASRPLFFAPIRFGQLIMGPDGLWGFQKKHNLDNLSTDAPAAIAEAARIYPGMMVIGLGPATNIAQAVQRFPEEMANVKIIMMVNLPTQKMRGAIQGAGFNAYVDPHAIDVLLNHGCDFTILGPGVIDEINVDPFDYIKNIAKKTDPVNQFILSFLKPYFDAETLGQRQPVIIYDLVLAIIAAKKETIKKTVSGFGKISLNDDFTAGEISVKIDPQGKIFLSYSKQYLSELADLTLTKQDFNLIQTMQKLLDKIPDNIRVILEVDVAQIKKVIEEGFGH
MSEHPLWPTTGEVTLTAFPVAGGGPAPAIVVCPGGAYHHLADHEGAPVARWLNSLGVAAFVLRYRLRAAPPSAPPPGRRQGGALGAAPRGGHRRGSGQVGVLGFSAGGHLAGLLATGTGPMLPECPHDARGRGRPPARAGRAVLPGGPR
IVYRDMKPENLLLTEEGRVKLADMGIAKFIGNHKTYTMVGTPDYLAPETIRGVGQAYGVDWWTLGVLCFELLAGSTQHVPRE
SKKTRKSKSDFDGSLPELMAEPANVKSMSFVGTHEYLAPEIIRNEGHGSAVDWWTFGIFIYELLHGATPFKGQGNKETLYNVT
MDLEESAIENVNKSQPPTALVCVSDPSAKGEKLDIIAEETAAKEEAFRSQIATLQVELLLQKLVTGDNVNKLEAREEKNREQLSNLKAAQEEVIALKSEVEELARKISARRTRDDGGPTGNRSAEVGSAE
MARETERNFASVLQGERSDGWWLQTKKVIKSNKEEFQAANEGAAGPAGSARAFLKARTSKMSLENSVGTVKVVKTDDVSKAGGYYCEVCECGLKDSVAYLDHINGKKRASTMQWWSMKELS
LEQHPKTYLEGFNYLVRVAVNWGISQIPKYSIILENKAVGFIPYIGIALSLVLGSLYSSYLIFTHHWDLLPERSVKESGVFPEGFTVFDQYCFVEVNWEYYLGFQLPMQLFIHFFLPSNYSRLSIEQALYALTIPLSFAVVEREEIKSISKKKDDVPVCTTDGQAHFLLYNGFLPRIVTKYLIQWADKGDEFVVEKSSADSKTPEYDPKSVSKIVSQR
MDDSDTNSQTGLVRNRSPTNERNPDLTWKERTGAVLHSRRFHNAIFALIVIDCIIVIIELSFTLLTECRPIQGFLFEEAYRPQWLQVLTYISFAISCIFLLEIPPSLYAFGFRYYNPWSDVHHSTFHFFDALIIILNFALLFLSGPAQELVALIVLLRLWRLIKLLGGVASGVEAVNGTLQHRLETCEKELAAKTQELEETKSQLDTLQRKLDATQP
MCQWRSLTCHPGTFTLPDIHDVPEIKNLIEKNSKATSYNLITDYGKSTAAITTTTVTPPTTNIHPMRITVKDAIPVHESGSSYLDNHHYNHHQSYHHPLSNGGIIFAITPNQGSSTTTQMLTTGHQRNLLPVPPQPVLMQYLSPNGTPHHTSIQYVQLIRPVMMIPAKPYLPAKPLTDIKTSAKPSPTYKPKPFIHTSYGPYLRSSINAAYSSPIPVFFKNDLQQGTTRRPLIDFGLNLHEYLPSFETLSQHISSILSSRSSAASQLSPHSYNPTKFQRPAQRA
MSESMPAAAPEPTPYSAAPAGGAPKKAPVLSIIALIAGILGLLGSWIGIFSILGLIGVILPIAAIILGFLGKSKEPQASKGLWLTGIITGFIGLAITVLLVIGSTVLFASLGEQYNDMTPYELEQQLEELNN
MNKSKMRFPNPPITEAIFDITVNLHENFNYEELLKFHENIKDSFPNIQKRMALQGGFEFNLENPDEINSQLLSVSNKPEEYS
MSEKYQRDIKKPWVVCYRLINLSIGRVTEDFGKTLYIQFFENQPSKGSDSEWVKKFDNPIKAIAYFKFKKCKSYTKEEAINFFLTKFPSEKKRLENLLAPSQSKCTINLEKLERDLKKACPLGPDDEDELKLGM
MKWIEILRNGNYALLQNESDTQYVVASGYDPTQPEGQQWNHGTYFTYQNSLQKTNSLANALELFRSRTEDNYISRCRLEELATQFKDELYETDFDAEDLEEIFNEECDMTEYEKDFFGIGM
MKLNQCVDVLAHGSAENVEISAISFRSDGDESLVSCTVGGEMAVWDLNEMRLRCVSRFVHSGRGGIGSAQFIVGEELLLTCGRSDNCMKLHAFDQPDGRGRVVRYR
MRERATQTWNTGSWLLALCLAWLWTCLASASLQPPTPTVPVKQGTCEVIATHRCCNRNHIEERSQTVKCSCFSGQVAGTTRAKPSCVDGGSSQSSGRLITSHPFLQTLTSSPAAPSQASHTPLTLKPTFTLIL
MISRPALQLNLFCSNHNKKLIYPSLEHHLNLNFQQKASKMPAPTQQQLDLARNEIVATMISREPRLAKAYAEHPDPDAWYLNFINCLAKAVAGPPASSSDAPDLTAAAQAEEVNKHAVGSNNATQSVAACGVKRRRVEDGNEDDNVEILSVVEVEAIAQNVRHGHEKERTDLNERNNSEVQEDDDDMELRNLSTKSLAKGKGKRGTEDESERPAKKRCPRRRMFDVYEESDSDYVQSESD
MYKVAGPSEVLAITGSFIQDIKLAKKKFIFPGQKCIRVDISPVNYTFDVQAMSAEKLSFVLPAVFTIGPRVDDHESMLKYAKLISSHDKLSSHVQELVKGVIEGETRIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKERDGLTVQNAAKIDAETKIRSTQRQGEGKKEEVRVKTEVQIFENQREAEVVEANTELAKKKAVWSQSAKLAEVEAAKAVAIREAELQREVEKKNALTRTEKLKAENLSKASVEYDIKVQEANSELYKKQKAAEGVLFESQKIAEANKASSDASLYTRQQAANAELYAMQREAEGIAALAQAQGSYLRELLAAVNGDYKALRDFIMIDRGIPQEMARLNASAVQGLQPKISIWSGANGDQGGGAMKDVAGVYKMLPPLFQTLKFPHLHFAAAFYLIRELCLVLSMEGLPDQLVGEILDRIKKATDKSSIALTCKRFHGLDNERRNCLRVGCGLHPANEALISLCNRFPNLTKVEIVYSGWMSKLGKQLDDQGLHALSTNCPFLTKLTLSHCTFITDAGLSYLASCSKLSSLKLIFTPRITGCGILSLVVGCKNLRVLHLIRCLNISSAEWIEYLGKLETLENLFIKNCRAIGEGDLVKLGPSWKKIKRLQFEVDSNYRYMKVYDRLAVDRWLKQCIPCENMVELSLVNCIIIPGRGLACILGKCKNLEKVHLDMCVGVRDCDIVGLALSSRNLRSISLRVPSDFSLPLLMDNPLRLTDESLKSVAQNCSQLESVRLSFYDGEFPSLSSFTLNSILFLVQMCPIRKLSLDHAYFFNDVGMAALCSAQYLHTLELVRCQEISDEGLQLVGRFTQLCILRLSKCLGITDDGLKPLRGSYKLESLSVDDCPQISERGIQGAAKSVTFKQDL
MNLDQLNKIADLGWGWAVVGVVLLLLLWEGAKKWVPALVEKLVKGLGNVLAGTRFVRRFSLRAYREQVELTWSKLPILFADVELDVSTIYVPLQAVGGTGADDAHRQIRETDHVVVLGPPGAGKSMLLRHSMLTWARGDGRGRVPLLLELHRCNGNTTKLEDLIVEQFDRNGFRKAGRFVDRALRTGGLAVLFDGLDEVNAVERDRVVGLLKDFTTSYVGCQIVVTCRTAVYDEQLRSEIPATFHVQDFDERLVRRFLRQWPGFDAVEQLMSTLRDSPRIMQLARNPLLLTMIAYLYDRGGTLPHSRADFYRDAILELLRIKKNNRYPSQAKKALLKHLALVAQDVPAHEVDRRTLPYERVLDEIAKKLPSVSVPADQAADVLKEIVERNGLLLAVDGGERYQFAHLSLQEFLAAEAMEQDRDGLLERYRHAPADWRETVKLWCGLASSDCAPMIEAVFADDPLLAFECLADAQVVNEAAANRITAHFQSRLGEKSDAVIAAFGAVASDPRVRGKRIYRFLTEAARGGDEKQRTAARRALAATKLPQAAAVLLELGAHKELPGMGDAAVPALAEAVRARPVNREHVLDLCRIGTPAAAQVLATLLWESDSTSRLAAWYLAHLISDPDVEAVLAETEVGQGRVLDWVWAPFDDPARPALRHVVGRIAFLVESSRGSLLPRKRGVDPRLALPLCAFPLSRAMRASPQHVDRVRGWVQSEITGDRISGGRVDVEAFEREFLELQEQLLHESPAHRTMFSGLAPSVRTGLVMRLAEKDVELREQDWAEVRREDDPFQLHRSWPHYLGWVAIALVCLPTIVLGFVASWSGWPLGPSWVGWVWTGFVLISVVMIGSASFSNTDADPGPLYPLLLPTKLGMEFRSVDWRDISDILLFVMVLLFASWAPIAVGLAFVVGAHEYGWGWVALVTGVATVVTVVSFTYGARRERKARNPLRGLLELDASAARTRTSVIASGASSPVR
MPRQPPVKVTFDTNTLSGIIDPDRQLGEADHTAYQAVHAAVKTGQIRGFFSEALVTLDAIGRKAKAEVLGAARFVSETASTGPNQITITLGPRWKRVDIDHRILTRIETARAIGMRGLIGPRRFGDSLVVRGFGEDFYEPYPSGAAFVAATDTANGLDAAIVARGLGRAQVIKLAKFFSERDGADGEWWPQGLERTRSAAERKKVRLAVNEWADGEALAAHAGYGNDLFCTDDRGGDLGDRSILHPNHHTWLSETHGVIIVNVAELAKRLATVP
MMSITDPNMSDRFTSEMEEIHSKHLKTYADIIDMTTELSLSHKQLVKSAVETCLKKCTDDEKLFETIKTFKKDLQQKTVALKEKQHAISEMMSEIQEKDTEKEKIIQKIENLKEEQIKRKQIIESQYKANKTRLRNLQKARLVFQDHLGLEIRTILSKTQSAKGEKLQFVYRNINPSDPESAYVITMGIKENGSYQIVSSDPVLEGLPVLETRLQETNNLPAFLANVRKEFIGQVRR
MKLHFGSRGRISPTDLVFLEVKVPRESEETPESMVSFLASLSHLLRGSSLLKRLGGKKPSLSLEIAAFDQAIHFYLAMPQAEQGYVESQVQSQYPKALLLPSPDYMAGWREQPVAFGHLAYGAPSYYPLRTYVDFKDVDPLSSVLGVLSKAKEGEKLAIQLVLSKASSGWARAGRRALAGTTDSEGNVTKPEDADIIDSKISQPGYEVCMRIIASAPTGQQAASLVSNLSSAYGAFAHGEGNVLRFTAPKAWQRQNFLKAIFERRRAYCPRNQVFSLSEIASLYHFPSIILATSGVSWGA
MTPALLEAEGPVKQRAHVELGAWSLSAATILSRQMLLAHTLAGWRFQRGCLSSGAAGGEADASVSLNVCLSDSQAVHQAKTLLTPTAVWCVLQLQKSRAPHGFSCTSTAALAPELVPVTLVEPGPAHMHGEEKERWIRAKYEQKLFLAPLPCTELSLGQHLLRATADEDLRTVILLLAHGSRDEVNETCGEGDGRTALHLACRKGNVVLAQLLIWSSATFIVVAKHREPVRGTFC
MCSGKTKIKKVQGKYIILTCTNKIKNNHEGWLQAGLTCHPKHRCCLPTLAGSLEKH
MAKTIKAVPVKDFKDAGTKKSFKAGVEADFTEGEHANFMAAGLLKKPEADKKPA
MLTYEHRMTLYLWRRKNRAHPVTDLAPISLRIEIDGFERTEFATGVSATAAQWSAGAKRLSPGPGLSRPERELLQQANNKLAERLLAGERAYALLKAQGHNPSPVEVRHLLKGGAVVSRRLSEVLDLLEGVLLTRGRARSTVLSLRSVRQKLLTYLRKHGGVSFPLASVSLQWLRGWERWLLGAHPPSTTQIYVGVLLQAVKEAAHEGWLPLNPLADYAYQSERDPAEKRHLSPEEVARLQQALSGLALPLYKATFMFLASCYTGLSYVDYCRLAREPALFLVPAADPISGRQVRGILLQRQKTKRSTEQTWTPLLPQATRLLDSHEGPMPDLGPTRVNLSLKKVAGLFALSLPDLKFKDARSTFSQITREQFGLSVAAELARHSEQVASKHYNSASPEEVLRKLHILGAELSYN
MKVPIYKVWWFYLLIIIVISIIGSLVFKDSIDVTSFQSTPPKEDKAVTVESIKKEIKGMNHYQQQIIYKQNFFKVSANYIKLNTQKKLTKEEYESILLLLDYLKNQVDEEENSMYIRLYDAIKSDNLPDTRLYYQVLAITDPYGDTDFPELENEFVVNSDQNEQQNIPTISKQEFNEISNYMTYDQVCEIIGGSGELISEVGDPGSKFHTKMYSFIGEGRNGANANFTFQAGRLVAKAEFGLE
VKINFSLQQYTPYVNEDGINVYCTTTDFLVIIDVRYCQPNDLKVVATQNLLSAYCNPTKLHKNLDVRRWKKHVSISNILPTSTVAAVTDSGCLLIQGKRRYAKMVVPLETRDSCFGGGKIDNNVTLLGCVDNEKKLLRHRVKPSPPPLLQTSF
MAVRLILSVVVGLTLSLSPAAYAMKQLELKSHSHIAAIDIPLSGKEKAWLAAQPTLTVGTWLPERTPIVYDGDEKSYQGINADYLALMAHSLGLKVIIRQYDTEQQALTALADRQVDTLLTQVAHRDALAPGLDQSAPLLKTWPTLVTSLKSPLPPLTTDRRVTLACTRDCAFFDIIQQAFPNAKITLYDSDYQALASVVSGENQYFIGNNITTGHCISKYFSQSLVIAHYFRQQEQHNRFVTRDDRPELHQLFDRFIHAVDSDTAMRIMQNWLNRGDLSFLNTPLTFSPEEQRWLQKHRRVRLLVNPYFPPFTLVDNEDELRGIMADMLNIVSLQTGLQFDPILVRNRQALAKRMEKEDWAIMPAATLTPQPQAYVTLSDPLINVAFVLVARGSAPDQHLLKRSARIALPVGPIAAHDLKARFPLISWVETDNVGVAMKMVEEGEVDAAVASELSARYMIDHYYPQGLHYTRIDGLPVAAIRLAIPRDEPVLAAILNKALQVIPPRDILQMTEKWSKISSQQIENWSQYSRQFYQLIAFALVLIAISLGWGLSLCREVRKRKDSQQRLEEELAQKGGPLLCAGARKRQSDSGDKSQEPLSGQHEPRAAHAGERHRRLSGAAGET
MYTESLRDWRRSTSSTTTSPSFASARLLLCVGQISPSSYPTRCCRRSHRWIRKRARAVKRNARDFTAYRPSCPRLYRSPVPESFYPDSTPQINVDLEASTRMPPVLAINPIHGFEDDTPDTAEARDLLRESQSKCVPNCSDLGSKVIAPKEMTSVLIA
MLPKINQILYIKINSMDGGESVDEYKTRIADMTDDTIFVEIPVEVNTGISRSLSYGNVLSAYFFDDMEIKNYFISTVIGVSNNIMHLFHITKPAPEAVTKVQRRNFLRVQAELEVAVKHSEQLQFVALTDDIGGGGLSLLCDDYIPLAEQNIVSCWLLVPNKNGQNDHVPFKSEVVRVAPLAPGTQRVMMRFTDISDRDRQKIIQFCFERQFEFRKN
MKARLTYVRMVALIRSWSVYGDVAKLRDVIRHFAKWKFCGLIKNKKHIVFRDRCCKNNIFALNLSPND
HSEPDPGPTLSPDPGHTLSHDPGHNLTPDPSHTLSPDPDHTLIPDPGHALGPDPDHALGPDPSHTLGPDPGHTLGPGPGHTLGPDPGPALTPDPGHTQSPDPGHTLGPDPGHTQSPDPGPRQALMLL
MRMLNEAPFSRLEGRKRTLAAIRPLVAPAAAYSIHQRIRKGDETSRPTAHSYKSSLPVIPEDIRIYSSLNKGRAKPGSLKPQTTHSQSPDYNLPSSPTKRMNRSSHDNDSPASPQKRPRDQSAPSRHSSSSSNEGDPARNISKLFWGLIEFWLLVQGERSWPFTIVPASSKVTKLEDTNPEQDSTAKSEGKMNLPSHQTEEIPSPVPIDLPAESEASDVKDEQDYWDEMRLQLGRQRHQLYLWKIGSSDSDLDGLLESKSDIHRKLGRSILLALLRIALAITVVIDLQRAGEQSHDSRHTSLLQESERKLRKLIGDGYRGYNFKQREITLMLYNPTGQWSPPELLATIQPEIDKLLKLRDQIGRAVADSRA
MKYNTGAGTVPEQLNVHLVPHSHDDVGWLKTVDQYYVGSENYIQEACVENVLDSVVMSLQRDPNRKFVFGEMAFFHRWWLEQTPETKELXXKLVKAGQLEFVNGGWCMHDEATTHYIDMIDHTTLGHRFLQEQFNKIPRAGWQIDPFGHSAVQGYLLGAELGFDSVHFARIDYQDREKRKGEKSLEVVWRGSKTFGSSAQIFANAFPGHYGPPNGFNFEVRNNFVPLQDDPRLFDTNVEERVQNFLDAALTQAKLTRTNHLMWTMGDDFQYQYAESWFKQMDKLLHHVNKDGRVNALYSTPSLYTEAKNAANQTWPLKIDDYFPYADGRNAYWTGFYTSRXXXXXXXXMLSGYYLATRHSGFFAGKKSTKYHAFDLADALGIAQHHDAVSGTAKQHTTNDYAKRLALGASKAEAVVSSSLACLTSKQSADQCSAPASAFSQCHLFNISYCPPTESSLPDDKSLVVVVYNPLGWSRNEIVRIPVNDANLVVKDSSGNKLEVQYVEMDDVTANLRSFYVKXXXXXXXXXXXXYWSLFKASVPPLGWSTYFISEATGKGTRNALTLSQKGETLNIGPGDLKMSFSSLTGQLKRMYNSKTGVDLPIQQNYLWYESSEGDFSDYQASGAYLFRPNGQPPPHTVSRSSVTRVTRGPLVDEVHQKFNSWISQVTRLYKDKDHAEIEFTIGPIPTDDGVGKEVITRMTSTMATNKEFYTDSNGRDFLKRVRDYREDWPLEVTQPVAGNYYPLNLGLYTKDEKSEFSVLVDRATGGASIKDGEVELMLHRRTLRDDGRGVGEPLDEQVCMNKEYTCEGLTVRGNYYLSIHKPAGGSRWRRTTGQEIYSPMLLAFTQENMENWKSSHSTKAYAMDPNYSLPPSVALITLEELDDGLVLLRLAHLYEPSEDAEYSTLTKVELKKLFATQKLEELREVSLSANQEKSEMKKMKWSVEGDNEQEPQAVRGGPVSNADFVVELGPMEIRTFLLQF
MAGEADFPPISNPYAAIAGQLSPYRIDPSVLKEESEFILPLGSEGKKSHGERLLWSTGTGYIGGLVLGSGWGVVEGISRSTELSSKLRLNSVLNSIGRRGPLLANGLGVVVLMYRMTDSAIIKARGNVDDEFNQVGSGALAGVLYRCTAGPRAMATGGILGLLAGGTFCLMKKTIFS
MSFTSEENGEAFPQQTYASNEFPQDTVNVSDFLGSMDVSSNKLHPISAFGGVEYLFLDDQMGSNVNVGQKPVAGALPSRGWSDDLCYGTGTSYLFGLTAGGAWGLMEGLRKPDGNSFKLRVNSVLNSCTRRGPFVGNSLGVIAMMYNTFNSSIGAARGQHDMFNSIGAAALSGAVFKSTAGFRAAGISGGICAALAAAWNFGQAGIEKIPELFKSDPHYA
MATSQLPQLGANADFNQVKSTMIQWQDYLNWFFRNLDSLNIKHLTADKVDTGTLNAGKVTIKVDYATGASITIDSTGMTINDGTKDTFHVDIHGQVTMTGAQVQSAAGTYPRIELSSSDELLKASSDANNFIYITPDYVGGTPALVWDNPPNGSMQMFIIPDNSGDFMINTTAGKINIKSSTDDVVLQCGTGKKITVNSWNELYNVFNGQSMQTALNAKANTFSGYTGSFSTGTNTVIVSNGIITGVV
MSQSSKRSANSFLLGGRNLGKHYGENLMPIHPDFNRKSINKPRKSYPQFSNFIIGYLLKLGVGIFWGIASPVQAQIIPDATLPINTTVIHQGMTNIIKGGTQVESNLFHSFQEFSLPTNTQVNFDNALEVENIFSRITGSSISNIDGLIQVKGVANLFFLNPNGIVFGPNAQLNMGGSFLASTADRLNFADGTSFSTLPTETVPLLTVSLPVGLQMGPNPGKIIVQGPGNNLTLTEPVRGSLVTENRPTGLQVSNKTLALIAGEIELIGGNLTAVGGQIELGSVGANSRVMLTVTSPFWGFGYSNVGNFRDIKLTGASLDTSGEGGGPIALQGRSLFVQEGSALISLTLGIQPGQDIRLLASEQVEFSGANLFELPSVAIAEVFPGATGKGGNLIVEAAQFFTFNGALISSSTRGQGNGGNLAIRATDSIKLSGLDPSGGFGGLFAEVRSNATGNAGIVTIETGQLTLLDGAIISATTFGKGYAGILNIRATESVSMSGVDGEGYGAFIQTGSGSLDVETEPSTELNESVVSSEMEVQNTIDTQGTVTPNQEILANSGAITINTSRLTVSDGGVITSSTDARGNAGTLTVRASESVTLRGSDRLGNRSKLETFVNSDVTGNAGSLTVETGELLLLNGASISSETIGNGDAGDVTITAEQVSLFDGSQIRVSAKGAFSPGILTVRASEILLDNQASLLGETESGSQGNITLVANSTVLRHNSEITTNATGSATGGNIFLDTAILGAFENSDISANSEQSQGGIVTIQAEGIFGTEFRVESSDLTSDITATGADSSLIGTVRINTPEVDPTSGLVELAVNLADQSQQIDSSCRSGQQQSEFIITGRGGLPPNPMEAMSDEALLMDLGPQRLEGLPEKDSGIPSVSTEQLVEAEGWMMNSQGQMELVARTSGDTVRNSMGLAKQPCVLPSPIQM
MKHSTPGTIITLIIATSGVTAPATVSAQIIPDATLPINSIVTQDGNNLQITGGTTAGGNLFHSFQEFSLPTGSTAHFHNSASIANIFARITGNSISNIDGLIESNGSANLYLINPNGIIFGANASLNVGGSFFATTANTVIFNDGQIFSTENPAASNPLLTINVPIGLQFGSGGGIQVTDSGVNFFTEPAISTFIDLANREITSQQMALASDVGLRVLNGKTIGLFGGFLDLDGAVLAAPQGNIQLQAITSGEISLGNHSFGGGDTLYNDVKISGNSALITSGIGGGRIEISGRRLAISDRSQVRADTFGTLPGGTVTLFASEAVEINTASTIGVQTFGAATAGEVMVETGNLTITGGSWVHANSLAAGTAGNITIRASEAVELRDAPNRGFTALAEVLDASSLVGPGSGGTVTVETRQFVARDGARVGVQTLGAGNGGTITLRVSESVEVSGVSADGELVSHLSAGTFGSGDAGNVTVETQRVAVRDGGAIGAFTRPDTDGEGGIVRIVATEGVEVVGTTPDGRFSSTLSAVTGGRGAAGSIAVETGRFTVHDRALVEARTTGQGSAGDINISSSNIRVQNQGNITVSGSSSGIPGNIHITAQNLHLEGNSTVSAESATGKGGNITLQATDIRIRQQSNITAAGSQTGNITTEGNININAETLLLLENSNITTSAVDPQGGSNITINTLPGKELVLLKSPDSTINAVGELTIEGYNQVQPPDIPTPDITNIDNLITNQCQDTSGSSFFITGRGGIPPSPTQPLTPTATTLTWATPSQDSSHNPPPNHQPPIVEANGWTVAPDGTIVLRHWSVCRGKASAPRSPGQM
MSITGVSQAQVSSDGTVSTIVTTSDQKNFTVTGGELSGSNLFHSFQELSVPTDGSINFGNHEAVQNIISRVTGGSLSSIDGIVQAGGAANLFLINPHGIIFGSNAQLQIGGSFLATTAESINFADGSIFSATNLQTQPLLTMSIPIGLQFGSQPASIVNQSAVFPSIYGFPKGLQVLPEKTLALVGGEIMMEGGSLSAPGGRIELGSVGANSFVGIILDNIEGWRLGYEGVESFNDIRLANNAIADTSYDEVGTSGSISVSGRNVTLVSGSGLGAVNLGLETGSPIVVKATEMLELNGSAIATAALSSGKAGDIIIETQRLLLVNYSFIDVSPNNDGAGGNILINASELVQLGDASLIQAQAYDTGDGGNIQIATQKLMLTEGGRIATSTFGQGDGGNININATELVQIEGEGVFNVQGEIRHSAIEAFTDGATGNGGNINLSTGQLLVQDSGRINVASVNGSQGEAGTANINASESITLRGINTTVEATSDGTVPAGNLNINTNTLQVLEGAKINVLASGTGAAGNIQVSANSLLLETGGQLNAATAAGEGNINVQIQDSLILRNQGEITTNATGTASGGNINLGTATLTALDNSKISANAQQGFGGEVIINTQGIFVSPDSAITATSELGPQFNGIVEINGVEIDPNQELASLPQYPGVAPQITQGCQTGGGGSSFVSVGKGGITPGLEEFGNNTYWDDLREPNLNESASPVTMIPAEIPHQIIEATGWIVDEKGEVTLVAKTSTPSSPQFFPNWTTCYQ
MKSQKQLLPRLLGLTSLLLGVITNTNQSVFAQLTPDDTLKVENSVVNPIDSLHNQINGGATRGTNLFHSFSEFNVDAGKSVYFANPVNIENILTRVTGGNVSNILGRLGVEGTANLFLLNPHGIIFGQNASLDIRGSFTATTANSIKFADGTQFSTQTPQNTPLLTITAPIGLQFGSNQGKIQVQAKNGLQVQPNQTLALVGGDISLEGTKLGTAGGRVELGSVAEASLVNLNSINQGFYFGYDGINNFGDIQLSDGTNIDISSINGGGEIRVNTRNLRMIEGSRITSLNLGVLSGGSMTINATDTVEVIGTGEFEQAFGQVINPAANPADRRNGFFAISVGTGSGGNFEMNTDKLILKNGAFILISAFGKGKGGNVDVNASGSVEVNDSLLATGNLAGSSGDAGNIKLNTQNLILENRGLIGTTSFGSGKAGDITINAVDSIDMTGGETFSQIIIGAGINTSISSYTFGASDAGDFEINTRQLNLRNKAQIGSATFAGGNGGNLTVNAHSIKLIGTNPVRDVLLQTAIATSADRGSLGNSGNLTINTHSLEILDGGSVGAGTLSSGNAGNLTVNASDIQIIGTNSISFSSGLYANSTLLATGAAGDIKISTNTLNIQDSGVITTTTANGKSGNINVNANTFTAIGGGQILTTTSGSAKAGNIIMQVRDNITLDGEKTGLFANTAPGSTGDSGSIDIDPKIFIIRNGAGIGVNSQGSGKGGNISLQAGTLTLDNKAFITAETISNQGGEINLQIQDLLWLRHGSNITATAGTAGAGGDGGNININAPFIIGFASENSDITANAFQGNGGNINITTNTIFGLKFNPQLTEFSDITASSQFGLSGQVTINRLDVDPASGLASLPVNLLNASNQISQRCKRGRLARQLNNFSIVGRGGLPSNPHNLLTDTTPLVDLVDVSSDNEKNKQDITPVVLNNQSVNITNRVIQQAQGWTISDDGKVILTAEAPNAILQSSVLNKPGCQVSTTR
MLQRNISKVQMLKLLSHLAIAGTFVIFEDPTFAQVTPDNTLGVEKSIVTSNTNNDSLRLQIEGGAIRGTNLFHSFSDFNIAEGQSLYFQNPSGITNIINRVTGGSSSSIEGTLGVSGGTANLFLLNPNGIIFGENSRLDVKGSFVATTATAIQFGNQGFFSSSTPDSPPLLTVNPSAFLFNQVAAAPIVNRSTTPLEESVRRGLKVPDSKSLLLLGGEINFAGGGVNAAGGQVDLGAVAGTGTVELSLDENRLRLTFPATLNRADISLTNGATVNTSGEGGGSIQIWGRSVFMNGGSKVAAFTQGAKSGSSLTVNASESLEVRGIVPYGNITTLSFGDGKAGDLMIETRRLSIRDGAQIISGTLGNGSAGQLTVNASESVEVAGRDSSTGSSSFLASFTGNAGQAGNLTVNTRSLIVRDGGALSTESLIASDDRKAFPRDGDSGNLTINASDSVELSKEGFIFTNTGNPRKAGNLTINTGRLLVQDGSVIGAPGTGLGKAGNITVRARSIVLSNQSQIDATNVSQGGNIILKVGDILLLRENSSISTNAGTAQAGGDGGNITFDGKFIVAIPNENSDISANAFAGNGGNIQINAQGIFGIESRGKPTDKSDITASSEQGISGAINLNQPDNSSIQNSFSQLLPNVIDTNALIANSCIARGSKRQENSFTITGSGALRNSPGDALISTYSTGDVRGVESTSRPWKKGEPIIEPQGLYRLPDGQLLLSRACS
MQPLSLSLRKPLKMKSQLLLTIFLLIISLSLNAEITTDGSLGSRANLPGPDYQIKADLGRQMGGNLFHSFQDFNLQSFESATFSGPNNVSNVISRVTGGNPSSIDGLIRSTMPSADMYFLNPYGIMFGPHARLDVQGSFHASTADYLRLQDGGRFNARQPSESLLTVAPVEAFGFLRNTSASITTQDSDLSVPENKTLSLIGGDIDLSGHSPVRFDEEGFMAVFARSKLKASAGRINLASVASIGEVIPSKQGLDLNASGGQITTNNTLVDVSGRGGGGVFIRGGQLLMQDSVVQASTLDDLDGKSVDMQLTESISISGNLLGLLNSTFGSGDASSLFIKTPNLKNTSWMGSVSLGSGKSADIEIEAGQIWLENGDRIFNSVMESGQSGHLHFKVKEILSLSGQDSGNIVMGGIAYENYPSLISTGTFSNAKAGNLTIETDHLNLDGAIISVDSFGVGDAGEMNIHANTAKLTNGALISSSVFGQGNGGETQYTNR
MIKAIIFDLGGVLFTDGSEMFINAICKKYGLDREITREAITGEIGSQYRESKITRDEFWEMVREKLNIENKADKLEQMWLNCYKLIEGTKEIILELSKKYKVYYLSDNVKERADWLNKRYGYLKWFAGGVFSHEAGVRKPNIRIYKLVLKKSSTKPKETIYIDDKLWCLKPARKIGIKTILFENPADLRSKLQRFLIN
MKKYNIKTLVFDLGGVYFTKGTILAIEKIIDMYEIKNKNRHLLRTFFRDGDKNEGNLVRLGLITMDEFEEKLISKFNIADGNHIRNLWFGSYIPNYKMEALINELGKKYRLVVFSGNIRERIEFLEKRYNFTEKFDDFVYSFDYQKNKNDIEFYRELLNHIDCDPSEAILIDDERKSVIYGQSVGLNSIIYFYTDHLINELKKYEIQIDL
MSVSHSSLDRTLTPVQQQFDVASTIGSDSVEQSARRSVRLKGNVIVDTCPSLRTLKSDELKALMKNHYDNAGPNDVFDDDQTNEFGKLILEHNQKQLIKSLVANHERKKILENCERAGADDWIEGKGGGLVILLHGPTNIGKTSTAESVAQATGKPLFTVSVSDIGLDPQRVEGNLEKVFNLAVRWEAVLLFDEADVFLEARGMDKGDLNRNSLVTVFLRILEYYDGIPFLTTVTTNRIRTFDVAVQFRVHLAVRYSNVQTPELRKLFMQFIDERRSEVANYRELGDFDEEIDGRQIRNIVSSAMALAKNQDNADGKLRFPHIKRGLKMTTQFHDHLRDQRVAAEGIESRTAELTMESDRSHGSEGNFR
MALYYSCDSHVVEPPEVFAGLDKEFGTCAPYYVQDPPGKKGTYIVLGNLQMNIGRMGIAGSRLDDPKTVERMERLKEQDTDGPNIM
MKKEMKRKIKEDFRKKEEERLCPIVRSGKSEIVKLCCYKDVSPKKCRSNAHLGCAHYKLLKSKGLI
MYIDQLECVCNHCRTKQLYIRILVNPKMQLAPASIQNYCNCCGEELTSKDIIWEDIVGPSGDPGDARVPGAELSGILA
MKGRYPNILAGRPGKYCTDATDEKVFVRLVFSLSLWERAGVRASARTFTLTLTLSLKGEGTDRAQI
MVSWALSRLIELLFGMLYPAYASYKAVKTKNIREYVHWMMYWIVFALFMATETFTDLLISWFPFYYEVKMAFVIWLLSPYTRGASLLYRKFVHPTLSRKEKVSEGLPGAEGVLRTLGRGAVPPHHLRAVCRTSMGTSCAPGSAATRPWCTSARGASTWQPRPRCRRQPRARACWPGGSAASACRTCARGPRTPRCTARTRCTWRSPRAAGSCWPITCTQAPGSTRVRRRRRRSGRIWRSRPPSRTPDPSLAARACVWPRRRHQAKRALPGSCAAGSGRKQPCRMRMTDGTGETSACDLTMTLLLQHEEGAVCAIPALGKGLPPALHCKSLL
MTRKKTGKLYGSLSLLSLIHLIGSPNEKVSKFTGEKDCKKSGEKRRCAACHIVAHTDCFERLKDLNLQCRTTYRDAENRKQSEAALREQHHWVHKWKLDGKCLECHKGFQQKRFREKELIGVTCTWCKEAYHNKPECFSQIKLDERCHMGVLHDLIVPPSWVVRRPGVHRQHDDLTKKSGGNKQGAKTLHKLCWLLNPRQVFDITTVDPKYSLEMYRNACRSLWILVCGGDGTVGWVLSTLDELKWPIYPPIAVLPMGTGNDLARTLGWGGSFNNEPISNYLEVLLRDASVTELDRWKLEVEPNWDCILNQTEIFNEHEQANLPLNVMNNYFSIGADAHVALQFHHSRSANPQMLNSRFRNRIAYGGLGTINLFKRTWKDLSNYVRLECDGDDYTNVVKSNRFHCLLFHNITYYAGGTIPWGNDGDDSCSPTFHDGRIEVIGFTTAQLAALQMGGRGERIAQCSHVRLVTSKAIPMQVDGEPCLLSPSSINLTFHNKVIYYNPEPCSSNTVIAGKDLVLEIQVSIVSREEYEMHMEKPSDLIETAYELGSIETEIGATVRAIRSQVQDLFRQHPCLPYDPEDSWRFLDFLSDLKKNTFCVKVFALQRITI
MLIGTKEIMARTVPLGLDWIGPNEEGFLQLKLEEKVSVKEKDRFILRSYSPMHTIAGGEVLNAVPKKHRRFKQAILESLKAKENGNLNSMIIDFMLNKKQPFTVEKELLAYLGVPLDQLSHSLEELQGKNQIFFTSCGFLLYERYQQLAGKAQKILEEYHKKYRLRDGMPLEEFRSKIRNGLTEKEVFVLIQLLKKFNVIKEQQKRLSVYDFQVQFNSYQQVAKQKIERYLKNSGYMPIKKEELALLDKNAEEVLEALDATSAVFLTHEYVLSGKIYQQAIQQIQTYIKKNKKMTLADFRDMTNSSRKASMLILESIDKLGITKRVENYRILGKQEGD
MSSKRSRWLTRLTLAATTAITIFTTSTSYLAAPAAASGVAFQDISHSYAYQSIISLHAKGILTGTQSGFFSPKKAVTRAEWVTALDRTLGLQSVKATVASYRDVSKQAWYYGWIEAASQLDIVQGGTTATFQPNHSVTRQEAALMIARLIRSSGEQEKPLPLSQMLMRLQIGRLKLSTP
MCAYDKRSIGDLFFASYDELQDLRYMDALLLILKKFIDRIKERMLFMEKELDEMLNSFLEKLPLLWHNCLKHRA
MEKIKKFNLELALFVKELKSYINDVSVVNDETTHFLMETIIAVDKLQATSRHCEKSASNENRFVQDLNEDIFECRRHIVCRVCHEHIPLSAEDFEIHIQKKGHKEKEAKQSSLVPEIRERSTSSELNPSSSELNPSSSVSSVNSIDVNAAATVNGEVTKSNTSVLSVKLENIVKTDAMSEKIVNEILELTSRNEVRLQNETKIIDSLTHYLGAFDSTLKFIPFGSSTFGFGGSKTNFNILVNASGFEKSPAIALHEFEKMMKTCSAQNDFEILENISGNRVQRKRLQFLHKQSRILCWLQFSSNFELAKSNQVIRDYIRRDPACYYLIAYIRSWQNILNDIAAKENTTAFHFNTYIISVLVIFFLQMNNQFPKVKELPTLESKCIVVVPKPDQGRLKQVVFGFFEFYAKRYEIKNHLISINIGRWQERHLQSHQINFTSEQKSLRDAMESNGANWKDCTMYVQDIDSQGMNITAEISNKEAHNFKKMCQMFFTDHSQKKFIDEYVSKVSTLQKSSDKALTANKIDVSPLISMNTLKTAIKSVAENLATGGNINPFSSKKSEKLPRAVSKEQINLIEGLEKRIDFSKTYETIIRILDKAGKLTVEKYLRINRRKYFELDIISTLDHYLKLFNPTFEPIHFGSSKYGLKDFESNLHLFVFIDDAAPAEIIRKFEDYLGKSNIQNHFKEISKINGYRVLKPQISMIHIDSGIRCFLLFDNDKTIPDSSEIIQRFIEKLPLCESLIRFIRAWQMVLKDMYPNSDIIQFQFNTYIISILVIFFFQMNFNAPSIENIWSHPPLAPPSNGGDIKAIACKFFAFYGNNYQIWNHVISTQIGRWQERRLQPEQKNFTQLQKRLRDGIESNPASWTNCTMYIQDLVRSDVNIAAEIPKKAAENFQQLCQIFAKYFSRIYRK
MPSDLVQTVGRLADSYRFALVEAEVRTDISGTERAVLRALVAESAADLDLWLVGQGPAGAEARTALVGVVGALQGAPADDLAMVRSTLVSRAHRAVIGVVDRGIAPAWLGVLTGLVITVLVWVQEPGEARGDRMVGLGALGGCALALVLLRGAQVSRSLVAELGSTTGSLLTRAGSVGAHVERLFDATIGPALRQLGPLGHTPPSRRALALIRRAARVRVVHVYAVSGALTVVGGLGVMRAFGLL
MDPPPNLPDRVKEVFRQQPQFLRFSKAYRAYVALYCAGELKLPQYVEENGEVNVWPGELWCRRKGCLNGDVSKPAGTRNLRKHLKKHGLNVRMEKAGQLSIAERDKIIRIYKSWTGLE
MDLADARRLKELETILAESLLKNRVLEAVNAKKMVGSDLKSATPFDTIALFKNGGGGGNRTDLPSASDNAPALQVHCATVRSKPPPNSTIAGGLQGDCTYMQKDNAISMPNDLAAVVAIWPKLSDDQKTQVLAIVKARIA
MNFKEEEKITRFFVFAALGWAIIGMLVGLVAAVQLYAPELNFANEYLNFGKIRPIHTNVVIFGMVCNFLMGLSLYILCKSSSVNLAYKGLAWVLFWGWQLILLVGIVTLSMGYTSTKEYAEMEWPLDIGIVVLWLTFALIFFTTLGKRKTKHIFVSNWFSGAVIIVIALIFVVNNLAMPLYAFKSYSIFSGASDSLVQWWWGHNAVGFLLTAVFVGTNYYFIPKLVNRPIYSYRLSLITFWGLIGFYTWAGTHHLVYTSVPSWIQNIGVVMSLLLWLPSWAGAFNAWMTAISNKEARKTNQ
MPALERNSFTLTNTLPHGVGFNEGGRFVPPHTLPKTARLRTLTDNVIQNNDFNARLPIDLRINTFVHDPVLTGAWYSPRTLADNPDYFEDLALATTSSPGTWDDHARILFIDSELATGRVFGLVSAMMLLAMAVGVIVGIVSGDIDAGTAVSGAVIGIVAVFAGFVF
MNSQFKDLGNSTVSTLAAAQIESVPGHYNQKMPENEAEEDVRCVPPRVQWILCRGLELDEKTAADLDRLAGRAEKEEMEVEEEMQAMFDRETVFPGKEKTNEFRVFEQ
MEHPPRRGTRFGAGDGAGDNAYLVGPYEELPAVLRRDALTPNEAGRATDQRESASCGNEIP
MATEIRHVEKEFIFTSLKEKKTPVELHVGTNRISAVLKEFNDRELVFAVPADADPLRERQDAVAFFRFRDHPMTFRTVVAELDGETLRLRQPKLLHRDLGRSFERILSPEGVSVSLLVKGHEVSLSYPASDLFEPVEAPQYDLGFDVTRISNLLTAFRERAERIAGENRIVMYRERAPQTIQERLVATTGRILVVPPWTGDFQALDVSIRDRLLLKRHIEAMDNGEELLEEYELVSNQLRRKRIGSEVYCPILYRQYVVGYLYLMSAGESDADFTGPDLEFLRGFARIFSYSLEVNGYFRSEIEEQELSDTQLIDISGSGLLFGLGVRGPDLSLYSEIDLRIHVDDVVLPAKGRIMRRYSDSDRNYYGVHYSDMELQHMEKLFDELYGSDYRGDIDSRGVTGVDAGPDVF
MEKGKRHKRTGADYLVLCSEILSKAAYRMCREVFHGKKEKFDPKELKEACAAVKEAAAVVNAVERKENSAGETVRIILEDTEEYAE
MNRTSVFKWCREFKNGRTSVHDDQRSGRPSILTDDIVEKIENALRDDRRLTVNELSAMFPQISRSLLHETIAEMGCTSCIYKVWALEKPSIV
MSWLGAKAIKVPWRSEILSWLGAKAIKVPWRSENLSWPGAKAIKVPWRSGDTELKYKKNSDETVNKETSHRYFY
MISSHLLIVLVDQLSLAIKECYHAAPDSLLHLLLTGCNHSIPSVAITSIDTIAYLYRLYYVKDPYPSPTRSRLDTVPRIILHTAIKHPDLIVRVESQTRFFENIIFEHYRIDERTRQFFIFAQSDLGDEGVKNFGQLLHIQSEYA
MPNVIRTVTRSTMINQYLMFCKEENVEPLSRATLFRILQVREASQQKSLCGVDNTAADGSAGFKKLCKIVDDLQELGQDDNWSKAIKKSLLDGKRYLKTQYRNHCQESESPCPGHCLKFGLSDPKNADLKETCAHEHTTRCNQCDNISTCTNKIERAIKHEGLTFYSQEQQEDIVSDFEQAVKARKAHVMRTTNQERAKQDVLAKLDSSSRAGEVIRNTTFPHCEDNYTAVSCIQGGRENILFPTLWIQIHLSRTGNAAFTFSFHIEMKVVVLFRRWEATFYSIRESDLNSVVGKFFDVVVSFSNIPIIPKDGRCVYVQLGCM
MRTNRFYITLVIGLLLSCSSLFAQSDKQKKLELQRQQVLKEMKQINALLFTKKKEEKSAITLIEDINYKVNVRKNLIRITNEQANLLTREINSNENEISSLKTQ
MLAFYAVGVLLLINAQVNSLPAATLNHVDLEEESFNEITELESVESLAEYDYYDYQEIESLITIALLEYQSEQPPISQECYQQGLQELLALKKHKKPKEHNFLKCKRLREGLKKMSQLLSKTFHVAVDLTKQMNSIAQGWAKCVKGNKVQKAICVTKNVISTVNRIKKLVPKLKRNASVIKKLIKELHEIYKHCKH
MNHKSVPELICPAGSYQAAIAATENGADAIYMGFQDATNARHFPGLNMNERKMTKAIDFIHKHRKKILIAINTYPQTDNFNQWTQTIDKAVNAGADALILADIALMDYCHTKYPATNIHISVQASATSADTINFYKQMFDIKRVVLPRVITIEEIERIHNKTDIPLEIFGFGGLCVMTEGRCFLSGHLCGDSPNTFGACSPAEKVKWNSSDKGLECLLNSHILDIFSENDSAGYPTPCKGRFLMDGKPIYPFEKPSTLNSLHLIPRFTASGVSAIKIEGRQRSPAYVAKVTSVWRKALNHFADSPSTFNIRCKEWNSELMEFAEGMNITSGCYDKGWY
MATKLHLHLLLLKVIVLHGFFTLYSCMNIPRNTLSLFPEVPTSTAWTDRAPELSPSVLESITDVNGETKKQPAQLFSVSEEGVNEEKSPPLNVLELSIESERSPPYHPTTSACSANSKMQMKESLGPSYIEVVNFVTPSERDSVVSSRKLIFQIIKRFEIFSNPEQTNQARNFWTLEKILPFMYFTQFQKPTSEVWKRCIMITSLVFYAYYEKHISTGHDIDYNLLAEFLRWYTETLYYILHPSLKGLTTQQKAPSQVGKKEPGYHMDSIAVLFLEVHDDCFYQRMFSRSTWHQRYPIAQKIVSQFSEDKLRWIHDGTESQLFNGSNPWEEWIDTSGKILASFSTYPWGTDVKKKVIGLGNEHQVNPVSSEFNDLWKNWSPFLTNFKSYQLAIASKDSYQLAHEITYFLKDQCASLKSQVVQSKISNFSTSISLFLQIKGLHISHEYQKKFKIGYSRYKSSRKSDAKCYNKRKAREASKALTGAPEASPTVLESITDVNAETKKQPTHLFSVSGQAVNEENAPPLTVSGLNIESERSPPYHSTTSVCSANSKIQMEEILGPSYIEVVNFVTPSEKESVASSMKMISQIIKRFEIFSNPEETNQARNFWTLEKILPFMYFTQFQKPTSEVWKRCIMITSLVFYAYHEKYILTGQAIDYDLLAEFLRWYTETLYYILHPSFKGLATQQKDPSGFGKKEEGCHMDSLAVVFLEVHDDCFYHKRFCSRNWQERYSIAQKIVSQFSEDQLKLIHGGTKSQLFNGSNPWEEWIDISGKILASFSTYPLGKDVQKKVIGLGNEHQINQVASEFNDLWKNWSPYMTNFKSYQLAITSKDFYQFAHELTYFLKDQCASLRSLVVQSNISNLSTSIGLFLQMKGLHINHEYHEKFMIGYSKYKSSRKIGAKCYNKRKAREETELEVRKKPNRI
MRCSKPTSPVLKVMYDRGNIKQVLKGHQIVLPVEYTADEVKLPAPAEIPHIDMENRVYVEMMVHVEGPIIEAFYDICLLSWGNALRPPLPLLANPSAPPPEYRFQDDHAHIASKDLDSHRVRRGSRARSRGTRTAYCTRKWNFKA
MTTILCVDNDPLTIRFLEAQRIERGIDFSVALAMAAPEAIAQIAALISTGAEVPLVIASQALVDSTWLKALYGQFPQALMVLLLGSEESGQADVEQIEALIPQGQLYRCMPKPWHGVDLRLTITEALRRYRHEQQLAQMQAALAEAQGQIAGLGDRSQLEAQIRESRQQLQLTLALTGIGAWSWFPATGIYQWAGLMEMLLEIPAGQGDMYQQWRGGQCHQVYGPWAGLFTDSAG
MKTDEEDWKCEGEGGKFGYRKATERRRLLDSGKNGSAWVSRDIYCVHVLAITGPLGLNYL
MTGKAAQHPSIIIKPIAKHNGISLVIFGVLLLLTTLLFAQHYWQSYRFAYIFMILLCLVITLLGAVKLFEPAISLYLTPDKITFNHRYGHWQLPWQDIQNINIVAEVVGVERETLPYIGIRLVHIDNIAKNISVRLANRLIHQQQGLIIYGVLHQLMTMEQAQMNFEPFILSNGEPVKGPIAAFLHQSERLSSAFGYHLFLPADSFDRGINEFSQLLKQCKMASSTYQVN
MKFDEILIEALDRPITKDEALILFEESQRPENYLRLFKAASAVREKECGDLFRLDGWMGNNSECKIDPPCQYCRRAVKGQVMEKWEFGPERVRTIAQAFKETGTTTVEIGGGTDPEGAGPSVLRILEVLRDEGLEVWVNVGPALEEEHIREMKKMRVEAITSSFETMNPQIFREIKPGDSLEKRVNLAHMIDENDVPLISVLMAGIGESYKDRVDHLFYLNEMKNFYQLAISWLRIVPGSPLESKIVPPTPLEAARTVAIGRLIIRDKYINVSDPQHLQLWVMAGANRMVHAGASYHKKGGFSIAGTWVHPGVDHIDLGEGFEITNLLPVTSRQIEGAGMEVEPSVKKAVETFWKGKVAV
MLMGIAARNSTLLSLVDMPVVHAFMDERFLGRHLSRLLVEPSDAGSQAASVISGKDPGHAHPV
MPKWIQGEARQVEGTSARQQARSGAIVSYGEAITSGADAIKAHPSQCKQQVSLTRALLPPSLLPSFLPSSLPPSPPRAVSYGKINIDGFLSALQTHLLFSSSPFPPTLPVTRLPTIHPTQRSII
MHAAFDSYLVLHTFLLADIGTSQSHCNLQDRVYTQPVLALFEVLHEGALWLNTIRLSGCISIPTSSPMLTACSLSFKYL
MANGYGFNKHSTDYPAISRPTQNEEPIRPRNRRVVALADPPTATIADQQTPTGSRRRTGGFAGLSSMLQQMNSDNTLLPTTGNNALQSRKPNANTVEGRKNVSAIVNKKIEFDVVDEDGFTQVMSASEKRQKNREAKAEQDLVDSDRPGINQKVWKVPVDGQSTFNGITTPLPTNGRATQHPGGTNKHAPGPRTLLHAVRYADQLLGVIIHHTAPIEIEHDAKLADKFVIEVGGIKYTLRPQFWIIVGRAQWYVYEISIFTFNKNGLKYKPKNTWEEYLNVEPVFAPNYTPQSPTMPVLKVQATTGEKVVSRDTMIAKWTMVRPRRVDKPDIARVGQLDRASTDIAVKYAKSVIGNMPKDN
MVVAGVSRPIRPQQFDWRGRSVEQIGKEFKKRKGKKWTPVTILGKLVKNGRIKVMEKICVYSLQIKEHEIMELFFKSALECAGRRKMPIRKQIRSMKRKRFKDNYAKIGEPEELNEALSSANQR
MKNVMTDVIRLIVDEVGQCTEDVKDLGASLSRLAGKVDTQGKGYEATAQAVVTLNDTVIARSTMQTARGDALSTENEQPMSDNAFIIAAKNEEEIDEIRQHFREDARRATGTTNISRYSMLNLSRAWSLLIATVVRMRDITTQEASDYLLTPRLFPVRNKPGQVKKKRPLKPPKVVIPHLVYDPKKYALVGYWSKVGFNPQSWARRRQRCGLRKSPSAGRT
MQCSVGIKTEFKKINTLCYACLASDRILEPLGAFTGVYRNICNSWDSGTYLDVLVCWECKSLLKRVKQFTEKADQSQNILYSYIPGADEKPPKCLSKLSTSKTLTFDSLQPIETEPETVDNVQPPEIKEEIEDIPDNTSDVIKDFEYELLDEVKEENLSNTLLNELKSIKKEKKHRSKHFTIIPLPDVKEMVKQVKINPSEIDYWRDKERSSTYFQSLPSRCELCIMQIPKNHTIQRHMSHFHGKNKKKNRYPCNICERVLSTKFKLGRHIAAHSIILECTACGYQCCGKQHMRCHIGKHKRTEQCAYCSTRYENVREFYNHYREVHCKFICDYCNKKCVTKRVIEKHIMRHHTEMQCKICDKTFASYTNLLNHNQLKHESNPTEASFCVACNIQFENVAIFKRHLYTSVAHAKERAQTTSVKRKFVCPKCPNAYASKYSMVNHYSMVHSDQKNARYYCADCDKFFLNRTRLRDHQKYSHEGLVPRKDKLCSFCGRGFSTNRILTNHIRTHTGERPFSCTLCDAKFTQKVALQSHIRYIHLKASRKGGF
PAGVNAVLIGGFFAMGPPFNAPPVLVYLAVASSILCGMVVMSLRIPRGSACRTPSSDNMAPLSSQGRFGRGKLWSDLRLFRAWLPQLWHHALASTVNLFALSAFCPGVMLYVYNTDTVALAPGVVVKT
MKTKNIQKVLLATLAIFSFASCKKESQNIFNMFNDVTVTYNASSPLSVVDYKNVNDGDSVYVDFTINSAKEDMYSYTVERSGGAEPSFFSLSTGRSFSYTNGQANSASIDFGIYRRSDNHPTNPQWIYNLYSIAAPTNPFSIYDVSSWQKRGTLFSAPITSQVNPFLYNAVSGSTIEALAKARTINLTATTATTAATGLANGSAVFFLTPEGKYGMLLFNAVTSDYDKKPFMNVSVKIQR
MAARLRSSFTGSTKSFINDSLLTQRSHVSPAVACPYFTNPGQSRNFASASKEPKVKVPVAMCGGSGNYASALYIAAAKTNALDKVESEVNYLILFQLLLKHQHFLIVLADNSRLRNIDTITKRFSDLTMAHRGEVKAVVTTVIPLPAEEEQTLYRKYLVKEKQLSLNRRLGFSCYPFDPLEIDPSIVGGLVVESGQKVFDMSIKTRARPMERFLRDPINLHA
MSSEDTPVTLNVGGFIYTTLRSTLTRYPESTLSAMFTPAPTLMTDEHGRCFIDRDGKLFRYVLNFLRTSELLLPRNFEELSQLKKEAEFYNIQPFTEALSQYQFGQFATVHVMMSTSSKVHSACFRFLTSDNTTRERVKAALQKAIAKYFELEPEHDYELPEEIYTTPDGLALDVGSMEKTSLNEAHILQALVRAGFTVQGSSHHCCQQNCCEYTWTLVCQSCGVADPIFFTTI
MCFRPAKPALRCSKCHETIFCSQTCFGKAWSKWHKALCGIKWSEQGLSQQDLTDAIMLIRVLMAIRDISNDKSSDQVRSSKGVAVKESLNETSSIYSTLMTHYDSITPTKKHRWREIARVLLTIPALSIWTVCKDPDEDAYDHLARHISLFHCNSFTVHDQHYDSIADGTFPFGSQFNHSCYPNCSLGFDFLQGREPLMLIKAIEDISTGQELVISYIDGINGVAERQRHLKEHYYFTCQCKRCSTQDFAWSRILGEMSLTDPTCDVVEQIHDWHLQTALVHILGNDIYQPLQPMSSPLVTFATTVLQWLIPQVYSTANVDDLQSRAARSITPKEALLPWLIPLNRYYDNLITDDTELDRHVNLLKTSLIVLAFYLVSYPRYHPMVGYQLVRVCSHMWNALLAMEMNGEKPIWSEDVVKAWVEHSERVVRVAYEPDSESSQQLIMLKQVIDTDN
MLDGLDHETETDLRILGCELIQSAGILLRLPQVAMATGQVLFQRFFYSKSFIKHSFEIFAMACVNLASKIEESPRRVRDVINGFHHLKQGKGKKSTPLVLDQNYINTKNQVIKAERRILKELGFCVHVKHPHKIIVMYLQVLECEKNQMLVQTAWNYMNDALRTNVFVRFEPETIACACIYLAARVLQIPLPSKPHWYLVFGVTKEDIKEICISTMKLYSREKPNSEQLEKRVEKRKVALEEARLKAKGQNPNGTPALAAIGGFSPASKPCKFLFCLLLLTKQAFCTSQSTAQMFSFSSFSTRNLFFLLLTCSIIFSRRSHSGTYSSQSSHSPSPRQHKGRRASPIMQLRTERDRQSESSRNSNKKRRSRSRTRSNSRERGRDRDHVKHKHDHSHHWDHRERDCDRSRDHGRSKHQSRSHSGHSHSRHKR
MIKTLFVLNGTEGWEFTVGEEFPRNKQVIREIYECKERYVVAFRNNSRLIVKTPHVMTLSE
MSNNEEKNKALIEKKNQEEDDDEGEWEEYSESSSESNSSDNKKKPIFIPIAPQLNKLPSKFIKRFEIQIEIDELINNVSTPYQKSNDEAILLLISNKWRQEKIDNFYSSNYEECLKAAGYDCVARHICSQFLDGSASISIACLEGGCFGRIYPSMIRQVLSSYLYQQEGVGGRKIEGEKAVEQFNEYIQEDYISNNTDKFHYCPNPKCSYITKRNNLLMNDIRC
MHILWAKAAPVGDVLRKFPDFQAGWDDLGADAVGVLVGGVVASEAVAGAVPMSRRQQHFASQSR
MRMRSTESIGDRGERPEEVGIAAPSLADGGDLWRIARDSESLDLNSPYSYLIWCRDFSGTSLVARAANGTPVAFLTGYIRPDRPDTYFVWQIAVDRAYRGHGLGGRLLDAITDRVVPGRGITTVETTVTPDNTASDRLFTSYARRRRAPLHRCGLLSNDLFPDKEHQPEILYRIGPMAAGSGAQEPPCPPRSPA
MSIFKKGKAKPESNEPSLIDQLMLRITALEDKFAQHSHVSNNPIAINEVSPYPVLVIKEKV
MAQELEDLDEIRLALEIHVEGNSLGKTIVGVEMITIPRKKLQRSLSFFVVTSRKQ
MAEQILQGQTFRPDAIRGLLAEGRTPLLLRAGAPSRFTSRPDHLRNLAVLEMALAEQAAQSSEVDLLAVLPRLQQVTLTALQASPGDPFLWLMLFWAKSASAGVTSNTIPLLQRSYELGANEGWIALKRIRLSLASLQSLPPSLGQRVMAEFRALVASGFELSVAEILAGCEPAIRQRLVDTVVGLPEVNRRKLAENLVAKGLHDLAVPGIERTELRPWM
MGLIGSCDGLVCVKECRRGMFILWNPSTRDYKIIPGSFVVEGEIHGVLRRKYGFGYDCNGDDYKVVTLSCEENTVKKKTVAFVSGALHWVVDREADDMRVIISLDLASKTYIEVPQPDYENFSYLAEVGASRGCLCLLAYDNFDYCDIWVMNKYGVGESWIKSIRISYWLGDERNYLGHLKPLTWFIENGEIVLSIGGNLASYNANRNSVRCSSPRKELPSSMVVFQAIPVKLELKAP
MIQTGIANPPRAQTAFTFHKTKRKRVFNSKTTFSHFDYYFISFPIIAKFIQNDCKIANKSESIMLAFYNTIIEKKSSQLFFAFKIK
MLVMGLDTALKRCSVAILRGDQVLADESVGLERGHAEYLAPMAAAALAKAGVAVRDLDRVGVVIGPGGFTGVRVALSFARGLGVGTGVAVVGVTSLAALAAPVGAPLVAPVIDARRGQVYAGLYGEDGEVLMPPFVTDPEEALKRLKEKAVGAPLALAGSGAALIEPMPPGWAVSGAEDIDAKAVARLAAAAPAPEGPPAPLYLRAPDAKPGRPGLFKGASGA
MGIMIILGIDTATDAVSVALHDGESVLAHSEIRSDRQHAEALTPMIDFVCKQASIELWDVGGIAVDIGPGLFTGMRVGIASAQAIAHVIDVLIIPVTSLDILSAAVQTHCEVIASVIDARRGEVYWALYRVIDGTLKQVGAPQIGSAESCAVDVLDRGQSTLLVGTGAVKYETEFRDRLAPVLPIVEFADDKHSMPLASTLVEIAHERALRGEWVQADNVAPMYLRAPDAEINWATRSTQ
MRIVAIETSEAVGSVAALWGDEVLIERRLPLEKGTASALAPAILEILRQAGWSPSQIQGVGVTVGPGSFTGLRVGVTTAKTLAYATQAAVAGIDTFAAIAAACPSDVTHLAVAIDGQQGQIVCGTFRRGPDGELAAVGPWQLVDRDIWLASLPHGIAVAGSALRGLSEKLPQGVRALDSRFWGPTASVVGRLAARRFARGECDDLWSLAPRYHRRSAAEERWERRQQNDQAG
MLVLALETSTDPCGMALVDEGGVVSSVRFRHHMNLSSTWAPLLQRMLAEAGRTPAHIAGVATSLGPGSFTGVRIGVVAAKTLAQTLQIKLLGIGSLELLALPFRAVAGLSVLCVLPCRRGEAYVGAYRCTGNALRGLVGGAVMPLESLAGLMASLPEPMVVAGAASHRPEDADAPRAADQWHHAPSAEVLGMEARRRMLAGESVEPAALLPIYLKRSQAEERLGTLGRPKAER
MNVLAIDTALDACAVAIVSSDAVCAHLQESMNRGQAERLAPMAHEAAAHAGLAFTALDRIVVTTGPGSFTGVRVGLSFARALALALGKPCLGVSTLEALALQQGEAGLRAAVIETPGAAYAALYENGAPLMAPQPIVHGAHAELFRAAGRAFVQTGPNALVDVAALGRRAARLDPAAYAPHPSYLRAPHVTPPGAGAP
MKILAFDTALDACSVAVCDGRNVLARRHEHLSKGHAEALVPMIQSVLSAASTWFDELDLIAVTVGPGTFTGIRVGLSAARGIALTTGVRVAGITTMAAIAEGVRQWREMGEGRAIVVLHDAGKAELYHQVFPLDGEITALAPAVIAPTDVPSVLPSGPVTVVGSGTELVRDQIKERHPDVRFSDVPFVPDAVHVARAGLKLAESDGIGNVPPQPLYLRAPDARLPGAPAQ
MPDPLWVLGFDTSAAHCAAAVVCGDRVLAERAEPMTKGQAERLFPLLEELLAEAGLVWSDLDAIGVGVGPGNFTGVRISVAAARGLALSLGIPAIGVSATEAAACGAPRPCRAVVPLRGNEVVWEDFGSRDSAGLQDGFTAREDVKADWPHAAPSQDGNHASQARASGPRLGMIDDLPPGPPDCAPLHPVAVAIARIAHERRTEGLAGTADKGAPLSRTRNAAPPGLRPAPLYLRPADAAPPRDPAPVILR
MNSLLIDTSNQPLSVAIMEDDKVRAEITTDSKQNHSVQLMPAISELFKQCQITKHQLDAIIVAEGPGSYTGLRIGVTVAKTLAYALNIKLYGVSSLKALAATINNTDKLLVPIFDARRKAVYTGVYQWQHNELKTILEDQYLTIEDLLTFLQDLNQPYIFIGKDTVQLQDDLQGDTVAQLPNASVMYHLIDEPSDIHTFTPKYHKLAEAERNWINSQKSN
MTPPANILALDASGDRSTVGVRTAAGATFEAETDAGRKHGRDLIPCVRDLLRAAGLRPLDLDLVAVGLGPGSYTGMRIGLTAARTLAYAAGARLSGFDSLEAVARNAPAEATRVVVVGDAQRGDVYAAEFAREAPGGPLVALGPSRIEPLKAWAAGVGPDVLVLGPGLRSAAIRANLAGLPDVDPDDPIHRPAGRRLIELALRALDVPAVPPDDLRPNYLRRSAAEDQWDARASRP
MRRILRACVFRMTTGMNILSIDTSTHAGSIAVLDGDALLSEISYQTKSGHSEVLIKEIEAALKKAKLKIESIDAFAVAIGPGSFTGLRIGLATAKGLALEGNRPILGISSLEALAYSLRSMKHEARSMNIVPCINAYRGEVYVATYNIVPHASCFLPQIMLNECSITPDALCDRLKDIEDELFFIGDGAIQYKDIFAQRLGNRFVLSKEKIFPIAGAAGLIAFKRLQKNERDELIRLIPNYIRKSDAETKAL
MIVLAIDTASRSCSVAVLDGNAVMAEINDVSGQTHSRHLMGMVDQALSMSVGQMANIDGFAVTQGPGSFTGLRIGISTAKGLAEAAGKPLVGVSSLQALAWQVFPSDVMVIPMLDARRKEVYAARYTREGETFKMVGAEQALSPEAAVDGIDMPCLLVGDGAVAYADRLGMVLGGQMQLALPFQHLIRASTVAFLARERLTEARDERMTLAPRYLRQSYAEESRRRTR
MMELSIDTSTHGASVALSEQGVVLTDFSWVTARNHTRELVPNILRLVGEQGAKIGDIKSVAVAIGPGSFNGLRVGITTAKGLAFALRIPLIGIGTLELMAYPHMDCGLPICSILPMGRTEIAAAVFAVQNGRYSKVVGEHLTTISDLSARLSRKTAFCGDIRPEDRTRLQAALGPEAVFPDPSPARSRAFYLAEMAWCRIKMGESDDPSTLHPLYLRKPSITKPVRRGTHALSDMWTGSQGRS
MNKLLTLIILVAISCLLAGLYGILHDQLTYTISPEYYTKFKFYQFGLMDLGSEAIFPNPRIEVAAVGLRATWWMGIPIGAVLGLVGLIHRDWRTMLKVTLKAFLITVLIAFATGLIGLAYGHIYLASKPRAEFANWYLPDNLVDFASFIQVGSMHNFSYLGGLNGLIGGLVYTIVQWRTETNKNRREDNKDLCNSRPVNILIMRLTNVIIMFVTMGSQQCYAQRSYDSILSVRLAEIKGQQAFDNGIVLYDEDSGYGSTFPLWDEAMAFYQDDMVVFKGRTYRALQDSKGKRPSTSPQLWQLDKEPMPYLFLRDTARIEDLRSLLKSNHPYIRTYALGALAYRKAGGLFQVVVDNLKDTTRMMQMTSDFGYEVCPADLMLEYTIKEFNNAQKDTLKKLILTKYTHLNVLEEILIFHKPVLEDYSLIKSMVRNGLKDKFGLIALSAYCKPEDIEFIRTGFELDRFNVHYGGYKVFFKAIENFPDKAFKQDLIACASREMQGDIWIDEYYVRALASYKDQECLAVLKELSKKENGVRMENLATIYRSLRRHYSPLYDSLIKEIKNEIAEKDLLESRLNYIEESPWNYE
MSQYNKIDVDFEMMMMQASILERIAEQMETLGSKSFDTTLQSIAASWKGDNANLYLGKGEILKSNTITTAQNLRDIAGRLKSRTQFIYSKEKAAIEIAVKRTY
MEGRQGKASFPDMPTDGFFKAWLETSRAAVRQAWADTRVVLDKVTTVDAKYSFNSNQIAIFAPMLLHNFFLAEGPPAFNYARLGSVLGHEIMHAYDVRGSQFDENAESRSWFSPESKKEYMKKVLCLRNFHKT
MSPLTFTLPTRVALDNLDPGSYTAWEFYRDNTPAPRLSLWDWIEQNPHFAEYYEEPVEPRPAPAVPTGGFQPLPDYLVRELPRMCSGMPAEPHPATAPA
MSFPSPWHERTLEDGEWLCADFADLCMVVHSTMEEWRVATVDGERGQRIKSTGRLPEDLEWERWDRGVKDRKLAFRPVFPDRPVIVRPRSPLHLSPRAKALFYVGIPARIELSADLEGTRRVLSSWPSVRLSNSWHGLPTAGQLCYASRTQARRRYVTDEWKAFDIATTVEISNQSEKTLPFERLFFETDHLAIFLHGSKLWSNHARIRITEADEELNGVVFSAKPYGDASDAGLLSAARRGIVRRSFLRAAFSTVLGTFQDD
MRVCRHCGSRAGEVRVGYARMWLCRDCFTRFFERKVRRTLEKYRMLKGVSRLAVAVSGGKDSAALLAALARLELGVELAPIHLNLGIPGYSSECERKARELAEHLGLRLQVFKLEEEGFTVGMLGETRLRRRACAACSTVRRYWLNRLARELDAQAVATGHNLDDTVEVLFNAYFTGDLETIARLKPALPARGKLVARIKPLIALTEEEALEYAEILELPFTSVKCPLGKGSRSLRRKKLIETIAEEVPGYKHTFLSAHLRVFQPMAEEHAELGELRECRLCGEPSSQEVCGYCRVKSAVRAYLAS
MAAVDGCSICGAKARYFQRYSGRSFCGRCFTRSLRKRVRKEIRSNNLIKDGEHILFAVSGGKDSLACLDMVYDLEKKRNVDMAVLTIDEGIEGYRPDGIRAARKAAEERKLDFHLISFDNEFGIGLDSILERLGGIQKACTYCGVLRRWILNRYARELGADRLVTGHNLDDETQSAMLNLIRGDPARLARSGPEYLIMHPKFIPRVKPLRGIPGREILLYCLFRGLDVHTATCPYSEYDMRNEVRSFLNRMEENRPTSKSSFLSTTNRLASAIASRFEGTELKECDNCGEPTTGRICKACQLLKTIA
MKTIVVLGAGYAGLKTVVALQKKLHKEVKIILVDRNPYHYETMRLYEVASGSYPYTRMSYQLSDVLDESMTELVVDQVEKINIKDKAVELREHEPISYDYLVVGLGWVLSDMGIEGAKENALPMSNVKEAEAIRNHLYAEMKAYRKDHDKKHLSIVICGAGFQAVELAGALAEARPRFAKMAGVNAKDITIKMLDGSPVLLPMFQGKLLDYALGLIKKNDIEIIKQAFVQKVSDHSVLYKMKDSDEIQEIPAGTRIWMMGFSGSPVIEASGFKNRRGRVMVSDHLTAPESDDIYLLGDVSSVMVPGKKWPWPNTAQMALSMANYAAKDIRSRINHQARPSKYVYHDLGVVVAVGETRAAGKAMGHGYKGYLASALKKIIIDKSLMETGGVKETLSVGRFDLYH
MLYKSPDHKSIAKVIKQQPNLSDDTKVQKTNNPQADNLAINAMNRVESLRGIYSVESADLLGEETVKRKENKLSQKPIHEKCNLNIAGIDNCSNLEESIPRCWSEPAIGNILRKDLEPPLIQQAAFDNIAYRLDISRNVCVRERLLTPSNSSAKLQPLGKTVCSCDDDNSSKVVNETRNDKVHNSLPNLSLLGCRQQKENIFRLLDFKVIPWRMEANTVQRSRVPISVRYCETYKQPEAAASFQKKQCLPALAGNSSFSQELIQDEGCVNGRLEIRVILRGTYSFI
MFYQKIAYADRKIIQKINSISSEYNLNFSDLRVFSYLSKTKDCNISSICAYYDLDRALLSRTINKLKQMKLIHISKNEDKREKIISLSAKAKEIYFDINIKLQAFDETLLSPLNSDEKYMLFNLLDKINKNL
MKTWKWMTIVLTAAMLAAGGAWAENEQLVRFKTGDVAFTLLEADGVTPMAGAELKLLSPRDAAVQAEALTDRLGKAVLALSEGRYLLNVSGRTLSVLDVSDEAVMSTCRVVIPDAALMVAGQEAADKGGVLTAPWLKPVVIGGVVVLVAAGGYAIYEHNEDDDKKDQPIPPPAPPAPVVPVRPSPSLL
MNTISEPDLIFGVMTYSEMMSLRRVRNDQKGTEVLALEAKEVIINLSTLQNLQNEMYEEIFDNVFFQRINKSKRQEYAAVIQKLNKSINFISLAVKNNELQDCSSRVLSQTIIFLSDLDRIEEGNKTITFSIKLIEPSDAYLLMSMMLDLSLYKTVNKKYSK
MITSDIYGPTGYVTSYVGPGAENATVGAISDGGRDAFDGWGFYKATGGFALSRQTEAFTDQNLFRFFDTFTNTGAETVTQTVTFFGNLGSDSYTYTEARGPGYLVTCQRLNGVCVGDPVVGAVHGGNGLGVQTLAGEEYRVNYTLTLAPGQSASLLNYAVLASTLVGGTTRDDVVIAAERAFALTSDPFLDGLTAAQRRRVVNFDLDVPQGVPEPATWAMMLAGFGLIGAAVRRRRARPLAFGQA
MNIAIGFIIGVICYWLVRIPAQSILNHNKNRKIKVPSHPKPLPKLEEQDDNPVVIKVILETGGFAFREESKPVATIYRKSRLIEVATMNESMVFSFDNIECLDYRVNARIYWED
MDHFSPTGLKVLVVDDDPCCLTLLERMLRECKYAVTTCSRATAALTILRERKESFDVVISDVHMPDMDGFKLLELIGLEMGIPVIMMSASGETDAVMKGVVYGACDYLVKPVRIEELRNIWQHVVRRRTKDSAVRDEAPEEWEDFMRSTPTDSSEEADVDLRLLRKKKRPSCDFGGGGGDESVRSIASNKKARVVWSFDLHQQFVKAINHIGIEKAVPKRILEVMNIQGLTRENVASHLQKYRLYLKRLSGVTPEPFPIASFQAYEGATFGGTMQIHHHQRSSSTLPLLRTRSSGSSGGGAPPYNVDTLATLKQLQALQQAAHEKGQAAGFVGGSGAAAAGIRPGLRVEEEDHHHHHPEFGGGDDDEQHHTGSDHEGELSVRLKCDDSLHSSMPASFQGAVFHRHHHHHHQYQI
MLVDTAQVAEMVDRELKVLIIDNDRVCLMTLEKMLQSCNFIVTKCRKAKEALSILLQDPNGFDIIISDLYMPDMDGFDLLKRITEMDLPVIVTSSNDNENLMRKVILDGACDYLIKPVRLEHIKHVWKYVYQAQRHGINKRSTMERSGGNSDEECSPDTNDRIINKCNNNNNPVGADASRSSDEERSKCMMKKKREYNQDSNNNSKEGDAQVNDDASTTTTTTNARKKQRAVPKKILEIMNVPGLTRENVASHLQKYRQYHKKLEQSIHQGGKPVNLMPSQEQRCFQGISPPSVPDEIITTTSFPSVQASDMAQHPHIVEDMKQAMKLHASSNDDDAFGNKSGDIEMPMALGEVGTDVNMIKYNDYVASYAYSTVDSRFQLVETPCSNWVCGYAYDENGVQNEMEITSMAVGQPYENADMSHARMGAYGYGNIVDLGYHDPYATLYAEPFVHNDDYIIGRLQQRVH
MNSRRSPGPLSESTSASILPDSAALSARSPDTTSARVARRRLGCARSALLNWRSDYNNHRPHSGLDWMTLAEFALTINPRRDAGLRSRNSSVPQTATINLTIETKNDVPH
MPMFQNCSNVVINNSVFISRGSNVTINCGRGRHTSTIIDEDEEEARDSRGGASQSERQGPGDGFSSEPGAHRAGRSDPEDSDSEAEIHIDSRQHRNPPPHRVRHIFQSSHRGGDTADFEMSVEEEGPPNDRARGPQQRRRQRPPRRRSTRSYNIHGMNINFDDVHGTFVQESRGTRTTTANVNVNGLDPVGLAGLQVGLAGLHTGLQSGLRGLHTGLEHMNTALSSMHHTLANSFNFTGAHFDNSCNDHSTTHIHSHFGPHHPAGHPGIHHHYHYYSGPGTAPPQPHLP
MEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKEKEKEKEKEKEKEKEKEERGGGGGGERCLGVNTTLNWEHEAATRGKDWPRPELIYINLDPGHSTARSCLDGEGNGVS
MADNDYENYSDDDYLAELKDYVDAAKKEKEQDEAERRKQLAEMVGGYMGLWWYLRSFSASAARNIRTYAAIADREAKVTAWKSAAARDKGFSQYRDLPGRKDQQVMIINEFGACQYCLPYVGKTYSLGDAQTLVPFHPNCRCTLVRVEDDSKPDLSFLVAYWLELKSAMMMIMKTLIKSQKNQ
MTLLKEIRLKTGLTQQQMADLLQLSTSTICMIEKGQRTLATGKTLKLYQLEEKLKASAEIAADTNISASDRKQKRNRQLLRYVKKLSYQQAILTKKYKQLQEKHQKHAQQLQSSRQLAAQPTGGDELETLLFSLLQLQNKAAVKQADEIDLALLQLKIDVWAFKEKRLKEIVEKFGVGR
MLTPTKNLHEDKSIIKIGARILSILNSPHTVSSAWKSYIQVQERETNGKIRIQFDTFILAVDFLYIIGAIEYEDDLMWRVKND
MGLRRNTVSSRIDRIQRLLSVDLADPETQACTPPRLPDDYAVKTAFAASS
MLAFVYGLRTLVLQSWTLRWSLRLHVMFLQFIGLQDQTIQTMFIQRINREATSVAKWTGISSSLFVLLYLIFSRWFFRGLLWLYVFRPFIHNFVWSRVRVVSLGLIWKMLLLNTATSLLWLVSESLFSANATQPLSISQHASKPVNCLINGLESKSSAGYFQFFAFHELFSISQDPKAAPRRKAIFSELRGAGAGGAWGSICREILLVLGKDYQTILNRGKPAAPPKPATTAVPPPPAPPGTSIPPRTPSKLQKAQYAPLQRSPTEKIMDGLEYSVHAADAALSHIPKMIDQGKQLSRSISGRLGQSTSATPAPSTSAPSSAAPSTPFTLVQRSSALGAQALGDANARLPTQLRWLIGGVGNGVRHIYTGIGEETTDRKIDMILPNLELDVWAIKALCNFTAASFAEDPYGIVQRDIPRILEALLLLKQALEESIAEFQCDEFNERVGPLRTAIGSGLHLVISTFHDRLSAFRFPPTIAKKLQDLIDVSP
MTIIPTPHSIVRFLKTNRNLGFGRAKLVFYQAKFAFEPTYVYVALNTGILLLALYLSKQTYLTAHDMFYGFGEALFPPLAAALFVPLILRDRESGVLPLEFMGNFSLSTLYTVRVLLVAAQILIILLALLIGFAAVSINEQQILAGLVNDVRPIPGWARQWLYPNSSCLVKLFILVTPVIFLGGIGSFFAHWALDARAGYLIIFVIWLFTRAAEALESYPVLRLINVSVYVYGRGDWVTPKIVQLCTGIFLFALSARLLRRNENLFA
MGGSEFMWIGKAILLLQLIPGILGWGKDGHYTVCKIAQGYLSEDASVAVKELLPDSAQGDLASVCSWPDEIRFHYHWSGPLHYLIPLISGVTITTAVRDCHNSAGQKNMCVSGAINNYTMQLISAYKDSNMESKCKFSVLLGFCHGYNWGTHFSFFNRQLDRGTYVLITFHWGYPSGPLHVGFTEDEGGNTITVRWYRRKTNLHHVWDNMIIESAVKMFYGSDLSMMIQAIQRNITVGSCCLSMIIIILAWLPTYNFHQMAYMYVASLHDKGYLSASVPLYRKSDGWSDDILPWESCSLDQTVCPDPYASESINLACKFAYRNAAPGSTLEDDYFLSRLPVVEKRLAQGGVRLAATLNRIFASQPSISQE
MNIEFDEDGNHLYFASSPHVILPSRQGGQFHSKFMHPTYADEITENMRRYTSLLSDMDYLVRLAFLEVEASEITL
MPRKQVEVSRRRPTLQLEGFGRRRTEHVEGSESNAPESQSVDPEECPWKPVVEEGSGDTYYWNINTGETTWIRPTELGGAGEESAISASGVDDSQPSAVVNISKRSAETPVERKANKKSNSTRENEVIEKAPLVGALESLGGYESESGSDSEANDSRAQAASLAPFAAANGPPSNAPAPMNGKNASSSDAGEDEPGAEASPHLNGEPNEASASITEQTAASDGKEGDFKDGKEDPTIMDPASPMASASRGDSM
MDVTNNKENEQHQQQFKLKMVIEKTVQNAYDTKDWSKTRNGKTWHMRHLKKTW
MPKCPRCAKEVYFAERLAHTQSMKVNLIAIIPAMLLCSDLKDLDAVALKATPINRQPRCCRETKGRGGRRANKSSYFITLDSVL
MIMKVQEQIIRHIVNEYSLSEYATNKDELKEWDRFVYELINKIINGRQFHLYVNNYKNKSILQGLDSFTKGKYNGVKYGILSSSNTNILSEIIEESEFYLGEIYLLLGRLSEDILKDFVNYINFQNKACYSPPLECVRMDDDGESVYWINPSYQGGVINFLDSIKNTSE
MRGLAERVRYVHAEDGHRLGITELVDPHPTGPGHLPVLLVHGFAQNRLAFALGPLPRALLARGCRVFLGELRGHGLSRATDPRLRRHEWGLEAHLQLDLPALIKHVLDETGAPRLHYMGHSMGGMLGYAALAYDPPLASLTGWAAPLLLGAGRPVVRVAAALIPPLVRAGRPPRVPMDLFLGGLAPLLSDPDAGRLARAFQRYVGLSNPHRAAPADLEAILGAADPESSLVFHQLARLAGDPRPVLAGVDMIQAVRAWPGRLAAVVGAADIFAGPKSVAPLAEPGHIGLRQVFVVEEGAHVDVTMGHHVEDTTAALWSFLSVDGGPAAEPG
MLREWLGVNRRAFLSKLRLGTVAAVGGTLAGCSTGNGQDNDQDSPPVDRQFRYFFSDESGTLGGVGDHNQPPDDVNEWQIIENTRTEDEWRDLRLNDVAYAEAYMAGETVQPTDGTPGTPAEIVTRTQELYEATDETGPVAFTNALIAAEDEVSSADFPGEIVPNIAEHAISELGYNFPAYSLTSTRAVHPVSADYDGVKPETVRETGVGAPIAPERVGTFGEMRTTLYLLMFKDNDGFQIRYVNRPGFSPWYLRDTLRKPSKSVYREPLSKDYFSMTGTQGRALKQYPEHFVTALDYRKAVKMRQQGLLKDGEFRKALIGTLLSMVDNGGNDESIPAGAVLGCNGNRGYQIESLTHEFGTSIEDFIVNPSSDRAEQFVGLGRAIYLIFETEFGEDYKGDPKFGYNQPLKITGTLAAPELYHRPEQC
MKNKVLKAGIGYTFGNYLLKGLSFLTLPIFARLLTTEDYGIYNIYLSYESIIYIILGLTFHASFRNAKYKYKDKFNEYVSNCVLVTLISLGIWLLMSNIFYSLYKDIINLNRIAINLLLFHSFGTTILTDYNTYVGIDYQYQSFLKISAINALSNIILSIIFILTIFDSERYLGRIMGTAIPIILISIIISILFFKKAKPTIKKEYTKYAFKYSLPIIPHGLSQIVLSQFDRIMINTMVGASQAGIYSFAYNIFSIVSVTGASIDQVWQPWFYEKMAEKNLRAIYHRANELIIGMGILISCIILVSPEIIHVLGTEAYDEAKYVVIPILIGGYFTFLYNIPASVEYYYAKTKFIAIGTMLAALLNILLNSLVIPIYGYVAAAYTTLVTYFLYFLFHSILAFYIEKKMVFSILKTFFISFSMILTAIITFVFMEKFVYRWGIVGLFIITSIFWIENKYHILNKKK
MISNVVERYNGLSLQKKAVIWFTLATIIQNGILFLATPIYTRIMPDSDYGTFSLYQSWQQIISIISVIALDRCVTVGFMKFADKRKEFLSSIQMLMTLFTILFALIVFIFNGYLLRLIKLPSFMLYIMLLISLLNNTMLNWSWYQRYNNNYKKLFRVTVFSTLLMQFFGVLSVVFIPYPNKGITMVLGMALARLIIYGYIYVCVFKDGKTYINEQYYNFAIKYSIAVVPHALSQIILNSSDRIMIEKYCGAEKAAYYGVAYSIVMAANIIAISISSAVQPWFFERLKERKYNEIGGTTNVLLIISAIIALSVTLFAPEAIGIMAPESYQASLGVFPSIAASVYFNSLYLYFANIESYYERPIYFSIATGSGAVLNIILNMIFIPIFGFTFAGYSTLLCFILFALLHYLFMKRICKIEISNLRIFDEKFILLLSLIVLLLEFFATYLYLNFIVRLCTIIALVVCVILFRKTFLHKLVGLIRH
MGPDFSHADGRPIYVTSRIQLEYKQDQLRLAKKIVELLSEVNEMEAAHKQAESRRILQAQELDAHRPKSKGTRSIA
MRLIRPDKEYIQSYIEANEEDEIFRPNAERRFRYSVQS
MSVAPQISVRLAIAFTVLKHKPKDQSIPSYLLDLQSHFPVSDDSQATSDEGAWRNHALSLERELESLMQKREDDEAELVRLRQNATKDIPDDLDTAPQKKKTKKAGKAQELQLQDDDWPAKWKERLNATGQSSTSLTAAFASLRSVLNSASSQTGDDPPHNSGLLAAITRTIDTIGKFLGLQNISTSSVPSELNELRIAMASPLLVYVLRVALPALFYQNISNFQQYRCIPPHRHPIRPRCLQLHSRIPGIRHAPSRTQAWLGRRRKAAKETRMSETSKPDTRS
MNEKDIEKLITKFDKSNLQELKVDENGQQVYFSKIDSHSKPVTHVKKSDAGDEKETKKKTCSLMHRPMIIIS
MRDATQTFDNKVDRVMAVLSTTVNRDVQRDRINDVMATGAGAFLEMGGLECVHWYLECDGESVYLCRSSFRAVSPLESWLAERVLVLGSSVLVDYLLLVNRGEGNINKHWCLACEILRQVLQLSECADFSKRSSRGQSLKIYYEGLCFVVHKSDQVYMVYSVSGSVALLQAV
MKSSSWSVVKTQWKRARETVQHIIQIGWAKAQMLKNGTALVYRYNDTRATLHLQMALGNGTCTRLPTSSGRLGQSDEQGAMIIVFRYISRRQVLLIWTLLLVDDADGQSQGAVPAELKGVHLKQGQIETKSEADDRQRHEDSEKQRQTETTWDKETKRSSATESVKTKTKERTPCISFSCLCRRPKDDSQQDSDTEKEKSLLIMSSVENASFVEAFDTVIRRKKINRAAILDHQGNSLVIMAGWRVLPDEGMSLLRALRSARRTEMWKLRLFEEEFMCFPCDEKETILGRSHLTVLVAHLTRKYIVIMARGNAGLHMRGRGQGCMTWLRHDVVHLVFVPSRKTKKPTQEQKAEEATLLLM
MSAAPPIRPFVQATAWIVIAAMLLPTLVACTTVPASAPSTPTPTGAPDVARLDGIVVDGSRVAQGREPGLIVVTRDGQRGDGYAGLELKRGDRIETGPRAHAVIRYPSGTEVLMRPNSGARVGSLTDFFGEAFVKVRGIFSVDTTFVTAGARGTAYLVRTYAGGTTGVTVVDGVVEVGSTTGAWPSLAIGAGTTTLAYPRAPQPAAASLEELARTRDWVERVEQLVPPPRSGPSAGTVVGAVAIAAVIAALVASSDHDKPRETTPPARDRPPPREPTPHEPPPPPRLELPRAISPGTVQGPGPTLDCRRGVTLRWSAVAGARDYVVAMTVQSERSWQPARVAPTAATQATIAAPSLAYANRWSVYARAANEGPPSPTLYFRCDFSGVR
MVCASHKGFEDCRERFRFRGDYYACAFWAQQAAEKALKALLISRGKVLKTHDLIELSYTIRDELHIDVSQVIDDLRELTAHYTIALYPDAANGLPYEVYTEARAKEALERARRVIEWVRQNLH
MYSLIRRELTLYFSSVSNVLMSILGALISFILYLIFLQHNLSQNFTYLKNGQEILDFWLLSGTIAVAGITTTFTGMSLLVRDLESGTIADFLVSGVSKMKIRLSYLISAYVIGVLMQVSVFGVIFSYFAIFDRITMSLVQVGQTLGLILFTSVLW
MFLKTSIDYEHKQSAISLLSFDLSRFRPKKIFRANQGSS
LGRFRPLVIESVRNAARTRWERIDAGFRQGLCELLAFCGQRLADVAAADRPHWWADESRVAVIANGVPLAEPEPRDRFGRRRAARRELGLTAEVPVVGYVGRLVAYKGLEQVADAFFQHAPQSALLLVAGAGPEAEALAWGRGAKRRVRVLGYLDDPNLAYDAADVILAPSQTAEGFFRVPFEAAGRAVPCVTTPVGDVPVVFQDGTSAAVATEAAGLGNALSHLLTHPRTAARLGRCARTATEVAGLDERVMQQRWLWLVDALLGRPWHGAPSVSVVLPCGRPMRDQLGNTLESLRRQTYPLREVIVVHDGSPSDARALSVWLSETFPDTRLVRQNRLQNCRSATRNEGMEAAGGDLIVFLDAECLLARDALERVAAGLAVYDDALVVPQRQRIEPPRELGACLLEPAMKRWLSLVPEIRGSAKDTRRLCAAAIGPRPWAAFSGPLLALRREAARTLGGWDEGYRGWGVEDTDFTYRALRAGLRPLVGPVAFHQEHPVEKDQRGSLARNKRRFVRRHGVEP
MTTPEAAPAPEAAAEPVTTGKRGGRSPRDMALSLGILLVPIALLLIFYRVVLNGDKPIGIDPAATIQQARSAAVFPVVVPQGLGDDWHAVSATFKRDTGGATLRLGWVDPDNDPVQLVESSVPTAELLPVELGDDPKAVSTFSDGARTWQRYDARDGENALILLEKGRTIIVVGLEGSENLETFAASLR
MASGWFPNKRERPEAFFASLRLFCVQTWKRTLVRWTGMIIFATSNKTTE
MVGAGEYLLKVTSAKTREHLLSRTCWNIAGFPMFVAPWSHDFTPEEAPITSAVVPVELRGVPYLLFNKESLSRLATAVGKPVSLAPETERKENFQVAKLFVRVDLTRELPQR
MNTEEPKYVIAIGASAGGMEEINTFFENTSLDGVAYVIVQHLSPDFKSRMVELLARHSLLAVREAIQGIAVCTNVVYLIPNDKFMTIKNNRLYLSDKNKTRGPHLTINTFFNSLAQDKGTKAIGVILSGMGSDGSEGVKSIKEAGGMVIARNPEFSQFTSMPTNAIATDMVDFILEPEFMPQAIASYVKHSEEILAGSKSDETAIIEILSYIREKLPFDFSDYKLTTILRRSKRRAASLNIYDLTISIIL
MKKSLRKKAPTRGRSVSRSSPSAESSSSKLFPIVGIGASAGGLEAFSDLLHHLPEKTGMAFVLVQHLDPTHGSVLPEILARKTTIPVEEITDGVHVLPDHIYVIPANSNMLLEDGALRLSARIVVRGQHMPIDAFFHSLANERGALAIGVILSGTASDGTEGCTAIKVAGGITFAQDEASAKYSSMPHSAVYAGCIDFVLTPKDIAKELTRIGRHPYVATALAKPEETSDIATGSELQTLFSLLRDASGVDFANYKQSTLQRRIKRRMVLHHLEELKDYVRYIRRNPKELDELYSEILIHVTGFFRDPEAFNVLRTTVFPHL
VQHLAPDHKSILSDLIQRYTRMRVFEVEDGMAVQANCAYIIPPNRDMALLDGTLQLLEPSEPRGHRLPVDFLFRSLAQDQHERAIGIVLSGTGSDGAQGVRSIKDEGGLVIAQSPDSAEYDGMPRSAIATGVVDYELAPADMPAQLIAYATRALGTHQGSVAKDPSRSDEDLKKIMILLRAQTGHDFSQYKPSTIQRRTTRRMAVHQIGTLASYVRYLQQTPLELEALFRDLLIGVTSFFRDPEAFKVLEG
MAGKIKVLIVDDSALMREALKSIIASDPGMEIVGTAKDGEEGTKKVFELKPDVITMDLKMPIMTGLEAIQDIMKQRPTPIIVVSSMDVKVIVKALTIGAMDFVPVTQDIEVIEMDLLEKIKIASRIKPLRRINIGKPPKKLAVKKTAECKIIAIGVSTGGPQALQVVLSKIPQNIPAGILVVQHISDGFVNGLAEWLKAGSFLDIKTAKAGDILKKGETLFAPDKYNMNIENNGKIILKEDTSRRMIHVPSIDETMKSVAETYGKNSIGVIMTGMGRDGVEGIKAISAAGGTTIAQDEASSVIFGMNKLAIDTGCVDMVVPLEQIADEILKAL
MKIAIANSSDSDCSLFKSLISSIPEYQIIWTTSKGDEITSACTNYKPDLLLLDVAFSGKAGARIQSIMNDSPCTILLTANAVDGNEAPIFEAMRWGALDVAVSPKSKKDDGICKEFMRKISIMSKLADLTLPANTVCKSTDIPLIAIGASTGGPNALTSILGDLPEHFGGCIIVVQHIDAEFVPGLATWLDSHTKLDVRIALDGDRPEKGKILVARGPDDLIITKDGTLRYIDPQLDTPYHPSINTFFDSCVTNLDVPGLSVLLSGMGKDGVLGMLSMKRANWETITQDKATSVIYGMPKMAATSGAAKHVLALDKIAPAILEHTQSKRH
MSKIKVLVVDDSAYSRQTIKKMLETDGDIEVVGIASDGIDATAKAIRLKPDLITLDLEMPEMDGFSFLRWLMKERPTPVIIVSSYSDSKTVFKALELGAADFIAKPTKKASVEFQNIGKDLLRKVKGIRDFRMDKLSSNLRLLGKENIVQASSEESTADLDVVAIGASTGGPAALQIILTRLPSDFHAGIVISQHMPKGFTASLAERLNGISNVRIKEAKEGEEVEEAKVLVCPGGSHMLFQRKGEGIFTTIRKPKSTDKYVPSVDMMMSSISENFGPRAIGVILTGMGNDGITGMLEIKKRGGYTIAESEDTAVVFGMPSEVINKGAVMKVLPISEIPAELIRIVGKTEKARR
MCAASVLVVDDSVTMRALIKHALSRDPDIVVVGEAANPYEARDKMKQLDPDVVTLDVEMPNMNGIEFLEKIMTLRPTPVIMVSNLTAPGAAATLAALEIGAFDCIAKPSARESMFSELPGLIKEAAKAKSGMAKRRKGSVTPHHSGSDPARDRRVQLPHLVAIGSSTGGVEALMQVLSEFPADCPPTVIVQHLPAAFTGSFAARLDRVSRARVSEAKGGEPLLPGHVYLAPGGMRHMIVKQGARATVALVESDTVSGHRPSVDVLFASIAKNFSGPTCGVILTGMGRDGAQGLLQMRKSGAQTFGQDEESSLVYGMPRVAHEIGAVERQLPLGRIARQIFNGG
MPDERLRILVVDDSALVRQVLCAIIDAQPDMQAVGTAGDAAAARSRIVALAPDALTLDIQLPGMNGLDFLRELAGGPPLPVLIVATPTEAEVQVARQARALGACGVLPKPRLGVAQGLRDDGDAICQALRQAVQEARAARAAETARARSAAATVRADDGAAAGADRGPGPAAPPGRRAWRGERVIVAGASTGGTEALLQFLAQLPPHCPPVLVVQHMPAGFTRSFADRLDRHLPLRVAEACDGEPLRAGQALIAPGSHHLAVRRGEQGRLEAALLATSPVNRHRPSVDVLFDSAARLLGRRACGVLMTGMGRDGAAGLLALRRAGALTYAQDEASCVVFGMPREAIALGAAGRVLPLAQLGEALMQDLGDADAAA
MKSSAVRILVVEESSETRDQIRQALASTPEFRIVGEATDAFEARKLLVELKPDVMLLDVHLPKMSGLSFLQKVMKHFPVRTLALSPDPTQNPQLMIDAIGAGAVDVVKKPGNNSPEDIRLLVAKLRTVASANLRTPLAPQETHPKAPASKIKNFATTMVAIASSTGGTEALKFVLPRIQMGEEAAILVVQHLPETFVKTYVELLNTICPFLVKEAEDGEKIQSGIAYLAPGDFHMEVRQAGAQYAIVLHQKPFLHAIRPSADYLLHSIAKLRAPKAIGVVLTGMGKDGAEGLAAMRKRGTYNIAQDESTSVIFGMPKEAEKLGGIDTVLPLEEIGEEINRQLRRKKAA
MKSSSLAMSQNEEFFSDRDVETIYELTEAITGTCQVGKFRKSILVNNVRRRMDHHGIEDLKEYVRFAKSEKLEMDYLVSALTIHTTSWFREPKQYEILEKRVTEVVSTYRQRPLRVLCAACSTGEEVYSFALMLERMREFYNGFDYQIDAFDIDPVSTKVGEKAIYRTENGYDDISDSYKRFLLVGNGKTEGFFTLVKAIRDRCKFAVRSLKDGGEIKAFYDVVICRNVLIYFKRDEAQLIAKSLLAQMDASGMFITGTSEAVVGAGLDLINMGASCFVHKGKENLVGRERRRKCLIVEDSAIDRAIMKRQFEKFGLDCAWVGSAEEATAYLESHKVDIITLDLHLPKQSGFEWLKEQRQKQMKTPVVIISGALPHEAQKVLDALGSGAQDYFNKNDLRKSAREIVERLEAIVHHNVVSGGKGITAAITAKKANEKVRPDLILIGASTGGTEALVHLLQSMPEDCPPVLVVQHIAQQFAQAFYERLSKVSNLKMVKPADHMLLSPGHLYMSGGDYHIGVGGAGGVYRLKIGTSMSYSVHRPSVDYLFKSACRVGGNITAILLTGMGKDGARGLLELYKKGALTYCQDEASCVVYGMPKEAVQLGAAKVIGNLPEIRKGLLSNL
MTWSILEKGFIVSEAIECMGQAEKVKVMIVDDSAVVRQVLGDLLTQDEMIEVVAKAQDPIIAKRKLDAIQVDVIILDVNMPRMDGITFLKELMKEKPIPTIVCSTETERGSETSLEALAAGAVDVMCKPKFDLREYFESQSRQMINAVKLAASSKVQLMKKELSISGEKAGSKEVKRSETASQSETTDNKGCSKDFTPFPTNMPSEKLIVIGASTGGTQAIEVLLRQLAAYCYGIVIVQHMPERFTSPFADRLNHVLSHHVIEAKGDETIYPGQVYIAPGHTHLQVVRQGHSFKTKLHDGPLVNRHKPSVDVLFRSVAQEVGRDAMGVILTGMGNDGAKGMLQMKEEGAFNIAQSEESSTVFGMPKEAINSGAVDIVGDLGEIAQQVMHFTHRKGKLTH
QDGQLNAYWYPVVGIPMATMTSGQWGWCQTWGPCFIVSNVANAIGGTAEFRAVVFVSDGSLELAHQSWGSSLSAQFAGYTLSNETSGSEWTQLQLDR
MVLKQVPYREYTYSYPYRTYIFGTGIFGTTTSMGMGTVPIPSLPLSVGNVLGAETRRPILKWESIIRKALNKSQEQTAIHKSYSAPTSPILNQNGESGLTKIIIPETKVINILNWPEKERWI
MSSILNLTLLNLPSTNQNEASIEYFVNTPLFTFLVITVPCTLIGLIDFAVNLINVSVFAAQGLNHPVEISLFTIAASDMIKVIFIMFDNLCTNSYADIMGTPLKLDEVFFVAGSFPLGCVSRITLHVTIYVTAERCLCILLPLQVKRIVTKNVTIICVSMIVALNLLALYPVYVTYFLAWNFYPYLNKTLLGFSVATYDPVTFAMTYFLHVILVVVGLFFLVISTSVLVWQLSRKTKWRMSHTSALDAHKVAIQSRDKKSMKMVVIVAISFAIGFTPLVVTILLAYYVPEFSFGGPLDALFHHLYSISLFSMSVNSSTNIIIFYKMSRKYRDKFKQIISLNVHRKSKIIEDI
MTIVANDLDAAVPRQIGVLTQILDKLIIAHDEIWSFFPSKNGESPWSYSPPEIE
VLMFRRRGNLKAFKDDDEVMSESPKISFRWDVESCSTTSSAYSAFSMASSTKNGKNKIATSTISIPHSESGHTTCRKANWITTDSECM
KRSRLLDSAPFKPSPLLKRTPKSLAGDIVSLLQTPPIHRRKSSLLKSKNVESTPTITPQSILKKKQDGKKQVQLDFHTAPTPPLGSEGMYYLRA
MEIRHCTDRRFENICDSTKALLTHEDTGYVSTYYERSAMRLLTEHGRIFIKGRSGSGKSRLGIRLLATLSENKSRTPVLLTSAEEWKLIPKTTAHDRRRYIVMLDDVFGSSNFVPSSVDGWKRTFDIMWPSIESKHIFLVITSRPEISIQCENELKKYDLMQDMPCVTLDEGEYILQESEKRKMLQTICPTKSGLMPKEIQDIASARATLGFPQCCKFFASSKQAQSKGVSFLFQAA
MESDRRDHRPACLCARLGRLLRRARARSARIAVRVLVTGATGLIGRQTLAPLIAAGHEVHGLSRHVVDREGARWHSVDLLDDSARARAIELVGAEALLHLAWHTDVATVYNDAANDRWLDASIDLIARFRMVGGRRAVIAGSAADMTGAPRAPVPVHAGKQALHWCRLPAMAKLRTPCADTWPNPTEV
MSPQSSPEALSSRVPASLEEALVKLRHDDHQLHFGHDDHPWCWDLGQGLGITLLRTAMRDAPARLAATATASATALPDACFHSTPSSPPPPLPPTAATNPAGNRTGQPVALCDFLARRLHAAIAAASPAASSHGIRSDYDSEAVGQFVLETNRAAVSAATGAVSVVFEVLPARGGGGGGARQLCGGAQEQQQDRGRGAEMAEVLRGIVKQALLGSVHPGGGLDAAAARAHVESVEDQRHLRRLVAASDGVAFVADGCVLPRRGGSDDRPMEISRGAVPFRSPESLRGEFRLPHRGVVKGMLVPRGVTVIVGGGYHGKSTLLRALAVGVYDKVPGDGRELAVADPGAVTIRAEDGRPVSAVDISPFISSLPSAVAAPPARAAPVGGVASAAASENTTAAGGGGAAVGGAEGGTAETNGGSGSGSGGGGEGVTTERFSTGAASGSTSQAANVVEALEAGATALLLDEDTCAGNFMIRDSRMRAMVSHEPITPVVGIGVVSTQ
MSQSHALVSPHPADAVGEVLDRRYVLLEVTEGPGAGFYYAAYDMQELTRKRLRLLPDDAGKPSLDWYMVDDFPRQATKVQATKVQAKVQATKVQLARERPTTGPQRPVSGATPPPIPPTLRAVPNTIAAPAAPKRLPTTAPPAAPSSAAATTKAPASPASPVSRLEAAWFAEGEQETLPADPLSFDDVYAGIARQAALDDLARVLSPEDLREHGLE
MKKPQIALASMGGTITMTTDGTGGGVKPALDASALVADINGLGDVADLCATTLFTIPGASLSFGQLAEALTWARLAVEEGANGVVLVQGTDTLEETAYFFDLYWDRPEPLVLTGAMRPPQVPGADGPANLLAAVQVAADPSSRSQGVLVVMNDEVHSAARVRKIRASGLGAFSSPSFGPLGQVQEGRVIYGNRTRRPGPLLLPEPSRPMRIALLETHLGDQGDLLQLAVDAGFDGAVVEAFGVGHVSHKLADVISAALPRVPVVFTTRTGAGTTFSGTYGFSGSEGDLLERGVIPAGWLDGRKAAVLLRAVLDAGFDRESIRTEFEVRGSYVGNLVHVE
MTKNMPCFILHQGPAIKDSSVFLGVYRGRAMLWTCDKAEKPWLGFGFLIFAGRFCHSQKGGG
MVAGVREIELIKGPGGRLIEAEQMVAAPKAAAAKTATVAPHTHAAVAKSAGLGLSLGGWGPVVIFGLIAAGGYYYWKHHHQSAIKK
MATDLSELDEATLKSMLEDASDYESRSKIRAAIRELKKKSGQPISRRAASSSQYRRPGFQAPRTVTIPNSVTGNVYPSVVKDGQPLKSVDKNTPTIGYLGSQGSNKTRKGSTDTSSPSHASTSSNIVPQGGGGSEDHWWRKSRSSSQSTGTPGQTPEPKSLDERRPSTNDAISETNDRNHLSPDPPKEPTPSPEPPKEPTPSPEPPKEPTPSPEPPKEPTPSPEPPKEPTPSPEPIKEPTPSPEPPKEPTPSPEPPKEPTPSPEPPKEPTPSPEPLKEPTPSPEPADQRDADNAEYDYDDDDDEELRQLEERYPEPTESKSSENVKDVLAVAEPGLEEDKDFRKKLRKSEAAKIDTKAAFPSAKKKAEQVDFRNVLRKSDGPGKKDFKSGSKAQIDFRTNLRSKDSEGRSPVSSPVHSSSSTTDEPTINKSSVVAKSSVSSDDGKQDNNKSSLVAKSSVSSDDGKQDNPPPEDSYEARREARRRERELKKKEEPAQTSTSSTVSSSNDTTASSTAEDSYEARREARRKAREERLKNMADSEPKMSYRERKEKEEAKRRQERSANKQKWAEMDQANGGNSERSFTRQKSSSGQQQLLAWCQHKTRYYENVDVKDFSNSWRDGLALCAILHNFVPELIPYDDLSPSNARENYTVALKAAEDAGLERFFDVDDIIAAGSPDPKSMMTFLATIYSYFK
MKSQVTNKYQQQIELFRSTHYGRDPGRVRRMGYLIKAMFVTEKSLKHVDGARNSLLKVKERSIQLQEHIKAQVSTGQSRGSLSRNTPQTISLDEFKQAATMGDYSKYGENVLIDGDLDLSGMPNIKKLPKSLYVDGNLNLTGCKYLESLPEKKLRVTGSLVADKCRQLNSITRDIQVGEDLSFRQCPLLDSYRLPDMLRTMRYRADSKPRHIYLPLCQHSCRLS
VELLIEDAAGGRRLVAFDFFLDTELLAEGIDEYDAKIGIRSDFRDGRRDYFAKQPVFSGFYRRGLSEQLTAGANLQATRNTQVFGSEAILGT
MSGRWDSTPLCPAGHLPLKGGDWLSRRASPIAEGSPLSCEMGLGASPPRNRSSQTATTSTSIDRACAQAAVGLFAEMVMSSVT
MRGFTFIMDYNKIAKKYNDRYTSDNYDAIKDYLLGFVKKHSPSRILEIGCGTGFWIKYLGEFNYNVFGCDKSIKMLEESKLAGNKNIFLSAAEELSVKKNSFDMIFCINALHHFSNQEKFIEDVSGLLKMNGVFLIISVDPQNQGDYWYLYDYFDGVFENEKKRFACWSQISNWLNLNGLRDVCINRVVTITKTFVNNEVFKDKFLQKHNSSQLAEMTEEDYEEGINKIKREISYAEKQNSRIIFNTRITFSAVAGKKDG
MTAKLEAFVFDVFGTVVDWRSGIAQEVTASGLIGPDAERAFEFADAWRRRYVPSFIEVNSGRRPFAKLDALHFENLLETLLEFGVDPLALPEAALTELNHAWHRLPPWPDSVRGLALLKSRFVIAPHSNGNLRLLLDMAKHGGLPWDAILGAEVVGAYKPVPQSYLRTAELLSLRPDQVCMVAAHNDDLAAARACGLRTAFVLRPHEHGPRQTTDLAPTQDWDFVVNSIAELGEIACASPG
MASADIPDSMIQVKAFLFDVFGTIVDWRTTLTQELSSFATLTSTRISNQTLLNKDWHSFTQKWRNGYNLYINSSNSGKAQFLTVDSLNEQILEKLITEFDLVHLWSDEELENLVNLWHNLDPYKDTVPGITRLGDKYINAILSNANVKLLVDLKRNADLNCFDYMFSAEIWKCYLPNPKVYLDCCKMLNLRPEQVAIVSHIKSDLKNAKKLGLKTVLVQREDYYDDEDKNKETNDYEEETNGFGGQANHEFDLTINDFEELAKSMAC
MSVSKVQTLIFDTFGTLVDWRTSIVDDLSRWGAKNGVVLDWAAFADEWKTAYRPGMDAVNSGKRAWVPVRQIYREKLDEMLPQYGLDNVSENDRHFINEVWYRLNAWPDTVPGLERLAKKYVLSSFSNSDFLGMALMTKHASLPFDAIITAENVRKFKPDPSMYEMAVELMGQGNPERIMLVAAHNYDLAHARKHGMATAFIARPTEYGPEQKTDIRAEQEWDIVVASAEQLAQELGC
MPTPPPPPPPDQLDSPLAGVRAILFDQFGTLTDWERSVSEQLAAQATLGGQDVDWLGFTRRWRQGYMTRTREIAAGKPGPGNIDGLHLEILDTLLETAEYETLSRAWSPERRRELCQVWHRLDAWPDTKPGLDALRALEPPVLLGTLSNGTLRLLIDIARHNSLPLDAHFSGDLLGSYKPNPLMYNRACELFGFDEQARERGEVALCASHIDDLRAAAQQGLRTIYIRRATEDVGIPHGGDAVRTRRDGGEVDVLIREIGEVAQWVR
MAKKKIIFITNSENKVKEAQEILGDEFSISLVKFDLDEIQTVDGKKVIKKKAEEAYNLLRQPLIVEDTSLYFDAWNGLPGALVRWFLDTVECEGICRMMDKEINRKAWAESVVAYHNGKDIKIFSAKLEGTVPQKPKGEYKFGWDPIFVPKGYKKTFGELGPEEKNKISTRKLALEKLGKYL
MLKILAATSNKHKVIEFKAAFAPLLKKMTLLTQDDIPGFPEVSETGSSFEENAMIKARAASAYADMPAFSDDSGLEVAALDGAPGIFSARYAGDNASDADRIAKLLADMQGQTNRRARFVCVIALAYRGIDVKTFRGEVNGEIIREPRGSFGFGYDPVFVPDGYKKTFGELGPEVKDRISHRAQALEKIVAFIQAELDSMDDFEFE
MPRQKPTRVIIIAGSIAIGTIIAIAIIAGMIDHVIGAIAHIAIIAVAGPNGVTTAEFVSAARLERVAYPLIAVG
MLRRAAGGVVSERPGAALAVPAPLPRPPATPASRSKSGAASRCAISSGWRPARRPRSRSSALRDYATSDGDAVRLDRATAWQTRARLRAGADLGRWSPHIKFAEVRSDTSGGALHADGRSRAPAFDGWRFGAGLGSSWFIDERSQLYFDYEYNKAPAYERPWSLNLGYRRDW
MARIAGVDLPRDKRVEIGLTSIYGIGRTSSNQILEKAGVNPDTRCRDLTNEEVQKLSEIIQGEYLVEGDLRREVAMNIKRLSEIGCYRGIRHRRG
MVFIFGVNFSEQQLVAKALQSFYGIGPHVCKGIMAKYFIHNTAKVGALQGRQLDDLGAELSTMTIENDLRRQVVDNIKRLRDMGTYRGRRHAMSLPVRGQNTRSTQILTARKLNRIERRG
MLRDTSQHKSVVGRSNVMVFINGFSFTEATLVKRSLQSFYGIGPSVSQRIMAKFHIHPWAKVGSLKNATVMDLTAELANMKIENDLRRQVQDDIRRLRDMGTYRGRRHAMGLPVRGQKTRTQIATARRLNKIERGGTGRVSM
LCRSCRLPDPGTHWHVDETRWMLIAEKGGKQGYRRWLWTFVSEPATVFVLCFQNVGRSKTFQNILYFVTSKCILINKQMLKMNWR
MRARAVRAVRAGLAASAASATPAARATHAARARATPAIPAAPTAPTAPTAPTAPAAFAATAGSSLAAAAGSAARAVRVERLAAGARLDLRLILPAVACWVVAGALVGLPREVVALVSGGIAIGLLALAVMLVTVLLGAGQKRWRGRRRGRRWAPALAVLAMTCAAGGLVATCVGAQLPERQPAALSPLLAHTLTLHLTVGSSAIPTAGAGFDRTETSLRFTATASSVASGDTTVAAHTPVLVFLRPDSHRHPFEIGDELEVRGRLRATDPGEGVAYLFFADAPPVLLCSAPWYLAWANQLRSSFRDSATDLPGDGAQLVPGLAIGDVSLVGDELNQQMIGSGLSHLTAVSGANCAIVVAAIMLLGGLLRLSRRGRIALSLVVMIGFVVLVTPSSSVVRAAVMATIVLVTLASGRSPKGLPALALATIILLACGPWLARNYGLALSVLATGGLLVLARPLQHALQRWVPGWLALVIAVPLAAQLACQPVLLLLSPTISTYSVVANLLAEPAAPVATVAGLVSCVIGALLPALAPAGAWLTWVPASWIAGVARFFATAPGSSLPWLGGAPGVALVLLLTGAALVVLLLRSPPADRGSPLPAVAGAGHGGPRAVTEVAGRGTRRPAASPEAAGADRGTRRSVATPAADRAPAATTVASAAFPARRSRRDARAVVRAVAGGVLLLAAAGYLGTTVGPAVLAGHAWPENWQIAACDIGQGDAVVVRSHVAPGIPQPLPPAPAGAAPGATPDPAAPTPARDPAATAPDPARDPAAPVPAPPPDAIAVIDVGPDPALLTTCLDRLGITTIDLLVLTHYDLDHVGGLSAVVGRVRTVLIGPPQDARDERMAQTLAAGGAEVRQARRGDTGALGTIGWSILWPERGTTLRGNDASVTVQFAGAFRSLFLGDLGEDAQRQVARANTLTDEDVVKVAHHGSADQSEAFYQAITAEVGIVSVGTDNRYGHPTDRLLGILARTGTVAYRTDRLGMIVLAPSAQGIVVWSEGQARVESNRK
MRLDLRLAPPAAAGWASAGLLVAMPTAAAILAALLAGAAVTLVVWAALLGRSGRTGRRLHEPRRRPFDGPRFRRVDRTLFAQVLGTIAVCAAAAALAAVAVAVQAPARSPEVLRIVLDDHTEVTATLTVWSPPVPSAGVTIGGNPQLRFRATLTALGHADLGPQAGGVPTTGLEVPVVVFADAAAGIPSIGDEIRLSGTVAPTDPGDAAAALFFGRGSPETVRTAPWWLDWANTLRHGFADAAGELPGEGAGLLPGLAIGDTTGVSDDLDQAMTTSSLSHLTAVSGANCAIVLALVLLSTASLGLGRGARVAIGLGVLAGFVVLVTPEPSVVRAATMATIVLLSGALGRPGRGVASLALASLCLLVADPWLSRNYGFALSVLATLGLLVLAGPLSRRLTRWMPRPLAVLLAIPLAAQLACQPVLVLLSPTLPAYGVPANLLAAPAAPVATIVGLAACLLLPWLPWLSTALIWLAWLPATWIAAVAQASTTLPGSTLPWLGGPTGVLLTLACTAAVLVLLVGRSPGRSATLLRVASGALVCVAAGATVGTVAGTGLGRAAVFPATWQIAACDIGQGDAVLVRDGERVALVDVGPDPALLSACLDALQIDRVDLLVLTHYDLDHVGGLDAVLGRVGTALVGPPENAEDARLHAELAAGGATVTEAGRGDSGTLGSLSWQVLWPIRGSALFQTGNPGSVTIQFEGGGIRSLFLGDLGEESQEALRAAGPIGAVDVVKVAHHGSRDQSGALYAEIRASVGLVSVGADNGYGHPTQSILDILGSSGTAAFRTDQDGLVVVAPAGRGLRVWTEKVTGAG
MPSDPADPADAPTDAEAAADPRLVGPAVAAWSSTALGLWAPSSVTLLLSVVLVSAALLAISKQRHWVALVLVVAAAATPAAGLRAAHVQAGGLPELAADGAVVRAVVVIAGDPRTVQTPFGEQVYVKASARLVIGRGRTLDGRAPVLLMAQPDIGVHDVQLGSRVRVVGRLATSDSTDLAALLRVSRVDGVVADPAWWWTIAAGVRDGVAAAVDGRGMPGELVPALVVGDDSGLSVEVADDFRTSGLTHLLAVSGTNLTLVLGAVLLLARWCGVRGRGLRITGVLAAVAFVLLARPDPSVVRAAAMGLVALAGLTAGDRRRGLRALCVAVIVLLLVNPWLARSVGFALSALATAAIVVLGPPWRNALARWLPRWAAEAIAVPLAAQLACTPVIAAISGQASLVAVLANVLAAPAVGPATVAGLAAGLVAVAIPALGQLLGWSAVLPAWWIVTVGRLSADLPGASLGWGTSTGALAALTVICLLLAAGAAPVLRHRWAALAITTMLVGVVVRPLPSPGWPPEGWVAVVCDVGQGDGLVLSAGDGVAVVVDAGPDPAKISHCLSELGVHRVAAVLITHLHADHAAGLAGVLSQAQVAEVAVGPVRTPAESWQQVDAATAAADVPIRTVGAGETASVGAVSWQVLWPPLQTAPDLDDAGDSGGSAVNDASLVLAVQVGGVSLLLTGDIEPPTQTALLRSGADLSADVLKVPHHGSAQQDEAFLDAVDAEVALISVGTDNTYGHPAPQLLTQLHADGVQVARTDRDGAIAVLSDGESVSVRTR
MSAGEAGASVAGQGETSNSAPDLRLAFVALGVWVAAVAVLYLGAGWGIGLSIVACGGVWFGSRGGRAWAKVAGAIAIGVVAGAVIASAQVAVRDALPFRDWVDDERVATMRVTVTDDPLRLRGGQGEKYRVAVRVQRARVGNTEVEVSVRAVVFSPDVGRWRWATVLPGQELTIKGRLAPGETGTLGAADVAVSRAPRLHGEPPWWQQAAGYLRAGLREACADLPDEAAGLLPGLALGDVSTMDEGVDADFRDAGMTHLLAVSGSNVAIVVGAAVLIAIGCGAGRRTRVTVGIAVIVGFVVLVRPSPSVLRAAVMGGLGLLVLSRRGGRDAVPVLSAAVALLLLIDPGLATNLGFVLSVVATAGLVFFASRWIALLRRRGWPEWLAAVVAVPAAAQLAVTPVLAAATGTITPVAVVANALAAIAVPPATVLAVAATMVWPLWPDLAFVLTWLASWPAQWLVSVATHAAQIPSGSLPWPSGFLPGLLLALLIAVALVLLRWRAGRRILLVLLLGIAIGVVPVRMLSGGWPPSGWVMVVCDVGQGDALVLPTGHDSRVVVVDAGPDPRAIDDCLRSLGVERVALLAFSHAHADHIGGVAGVYSGRTVEAVLPPMSDGHGSSGTDRPSGVGPPPGRDRLPALHGEPPGSGGSGWPAGPGAGERLAEAIRETPTVTVRVGDSVGAGRTRLEVLAPDTEFSGTRSDPNNNSFVLRAVVGGVSILLSGDVEEPAQRSVLASGQRLASDVLKVPHHGSAYFDDGFFEAVKPRLAVVSAGRGNDYGHPHPRALRQLRRQGAAVHRTDRDGSFAVVAGDGGLAVVTMD
VRSAFAAVARGLPGDGGALLPGLAIGDVHDVPESLSADMKQASLTHLTAVSGANCAVVVSLVGVTAGALGLGRGVRAVASLTALAGFVVLVTPQPSVLRAAVMAAVIVFGGWTGRPERAVPALSLAVVILLAVDPWLALSYGFALSVLATGALLLLAPPLSERLSHWMPSRVGALLAVPVAAQVVCQPVLLMLNPTVPLYGVLANLVAEPAAPVATVLGLASCVLLPVWPVAGSVLAHLAWLPSAWIAAVARVSAALPASGLGWVDGVLGVVLMLVLALAVILLVARPVRVFARWARVAALAAAAAVTVCSLAGIAGGRIAQAADRPSRWSIAACDIGQGDAVLLQSNGAHALVDTGPDPARLTACLDELGISRIDLLVLTHYDLDHVGGTQAVIGKVGVAMVEPPADERGERLDRQLEKGGATVHIAQTGDGGRLGDVAWKVLWPDSEPHGMEPGNERSVTVLFSGDGIRSLFLGDLDERAQDTLLRTGRVPRVDVVKVAHHGSRDQAEPLYRQIGAAIGLISVGAGNDYGHPTATALSILNRARTLVMRTDVEGMLMISGDGKGGLRTWTERHADREQLARPG
MMAGFLSTGRDTPISPGLIISDLACRCSSGSSSPISSSPDDNHVSHDQEDDEVELDVDNTEETTVSTSNKRRGRGKIGLKLIEQRQKRNATFHKRKSGLMKKGFELSMLTGAEIMILASIENNIFSYSTKKLQKFLVSRQGQDLVQKALKGTLEVDTPAEVGDVQDKTTPDGGLVRKQKLEQATPLKDTITWNSGKIMKHVTLSPTKGVLTKASDMKQQQPCRRTRPSSGSNKFYFVESDCDRDDSSSTVDHGYLTAESDSATTPIKSGPSSPHATSREIQLRAILHKANERGNSPPIGQSVSQSVVTTHLTAQPTQHQQQEQPPQHNKSLQPQKQQQQQALVQQQQLQQQRQQQQQQQQQQQQHQQQQQKQQQQQQQHMSQYGGLRTTGTIVQQMPSAVGQTAAGSPSALPLYIPPGYSLVASGSVPPGVTTGTPTGPPMLKAATMPNGIPVYQTYQPPANVHYICMPPQHQQQQQWQQQQQQQHLQQQHAATAARDLRPTK
MLTITFEINMKLSLATTLAAFATYMAADGCHKSSDIIGKQCKFLNKDVCNAAVNNWCDEENGLVVQQGQSLAIKTGPWDDGSGTGAKQLFFVDYRVDRNGVRAVEAGHCKQVMRDLWAERDGFGGWTDTESGTIFVE
IIPDLSEEIPSAQKAEPMDNVTPISDKPGYVLYTVRPKETLYGIARQYNTTVDELIRLNPVLKEGLREGQQIQVPSSTQAPQPSTDSRQQHETVSSQEPVASNQQKPVNYIEHRVERKETLYSISKKYDVSTSEIIRLNPGIGSVIRRGDVLRIPLKQLQSEEKATKTSPDTVVLGRDIYREAAKPLASSCTPGEDTRIFKVVLLLPFSLETLDEIITSGTSELPQPEEYRAFDFIQFYQGMLIGLDAAADLGIKLNVEVLDSDAGSSTVKTRQIISSGKLNGSHLIIGPLFAESFELVVDYASKQNIPIVNPLSQRAEILNDPQVIKIQPSPWTIYNGAARQLVDAYPDANFTVVRRNATENSSMAETFERALQKTAKPGHFKTVNYAQTHDQGIMNAMIAGRKNVIMLLTNDKAFIPAVLRRLYENQEKYDITIMGMPEWENMEIDIHYLQSLQAHFFSSRYVNYSNPETIKFVQEFRKRYIAEPEAAKFAFMGYDIARYFTQALYYFGPGFINCLPEYKYDGLSEQFRFYRSDKGGYDNAGMFVYRYNEFKRENIKPQPQ
MARLTDWIAVGKTVARIAYESDIRYLATSVSYYAFISFIPLLVLVFAIVGQQFANEIAALPARFVTPATRPLIYESLTTASGRTWAAVLSIVVLAWGGTNITVDFLEGVERIEAATDRPLPRQVRDAAIVLGTVLLAILAVLLQSLLVAVFADGPLGILLGFGVLLVALTLTFLPLYYVPSRVVTSLSRALPGALTTAFGWTVLHAAIQFYTANAAEYAIYGVLSGIILILTSTYIAAIILMTGVAVNAVIATEIDDLIMASA
MKLLLFIASCSIVIFSTLNVHATETKEYKSSGQVGFFGEYIYPEEELVPGVDRDIEQKAGKKIEKIIVAENSVTLPETGDTLSLHDQWIGFVLVGLAFLLLKRNKNNGGSVKCN
HGYPAASEYGLHGFHAAEIPFIFGTASDTPPYWPKIPDTVGERRFAAAMGDYWVSFAKTGKPEAAGQTAWRPYGKDAAFMAFADIPRAGARLMPGMYALHEAAVCRRRAAGNQPWNWNTGIVSPVLAKDATCP
MQIVVGDIVKVEEGKFFPADLLLLSSSEPSGLCYIETSNIDGETHLKFRHGLKKTSHVTEVSGLQSFSCEIRCEPPNKKINQFHGLLIVGKEEYPLGIEHTLLRGARLKHTRWIHGVVLYAGHETKLLINSKAVPIKLYVEKGLCKVSGERGRTFIEFEIWFLQINFATSKRISILKLTYAQAFCGAKFILMQTWLHSHRTVVLSNRNENSVFYTVITFFILYNNLLPISLLATLEVIRFVQAWYINNDLELYDHCSDTRAAARTSNLMEELG
MLRKPACIAAGSGLFSFAVTALLLFSFLLNNSVAIAHDVFTSSPRTVAPIKVSLQLKWLHQFQFAGYYAAISQGYYQDAGLEVSLLEPGDTTDPLHDVLEGRADFAVTGPDLLLARAHGHQVVALAVIFQHSPQVLLVRKDSGITSLQDLVGRRVMMEQPSAEIKAYFEAEKLPIEQLEVVAHAFSPEAFIKGEVDAISAYISDETFVLNEAGMEYLVFDPKAGGIDFYGDLLFTSQAQIDAAPEKVKAFVEASLRGWKYAMKHPDEIIDLILSKYSQRHSRAHLKYEAEQMQHLIIPEIVEIGYMNPGRWQHIADTFSSQGMIAADFKLDGFIYDRDQKPDYRKLFLSAVVIILVAVVIFIAASFYLRHIRRRHQEMLDKIKADERYNNLLSRYQHLIEKAPFPILITALADGKLLYHNPAAATFFGIRSDSDNPMLMTDFYANVGERQLFLFKIKSEGHVKDYELQIRNADGKGIWASVNVAAVTFDNQSALFASLIDVTERVQARAELKELSDRLRLITDNMRDVIWLFDLQSQKLLYISPSVYSMRGYTPEEVMAQALDEGLPTKARETFVAWQNSLHNKTDDEITSDYSLLRIDQPHRDGSMIPTEVTARLIFDANGTAVRVVGVSRDIRERIRAENERHRLEGKLQQLKKTESL
MFGTKKRTIHNIPDYNIDRILKAIPNKLKYYIKIKDMFVVLKVMLLKVKCFKLKKGTLGCLFFMKKSPHKVQGFLCYEYL
MLEQEREIIPVSLIRVVVHDAYAIFE
MRTSHVRLLGASLLAAAITLAMAGCAKHTNNDTRSATQGLPDTRQSLEANEWVLDGSASQPPINSTTPVTLEFRGKKLSGQSPCNTYNAGFTLKDHDLEIGPIMSTKMACENETNSAAEQAYFSAIEASKSVDTTDRDQLVITTAEGKLVFKVSKRAAE
MRCEQDDTLRRNTTRFFGNDVTQRVTAECVTMIIHTVTQRLQSLIDVLGSSGNRGTTIGVARKQTIGNASHRNIPLAAQTSDLGEQGCVGDLGNQICYQRVVGDGGRNRAGHGKTECNGVWTQAGEPRTKRFQVCQSAV
MVRLLILVLILVTVSFGEVYYCLQLASSEHLNDLKSVFPLVENYPDARIELIKGKYTIRVGFFNTKERAGTLLKEIRRFKEFRDSFLRTCLYKPERIVLYGKGGDMNDLMKLLLSALIGSGKQEEAIKLAKKGTQLFPQDPFWWDRYATLLIWNNRSKEALEPTLKAYNLTGDKKYAERAFSLALSLERYDIAEKLIDEVKPSYKLALEVYKGLGEVEKIIKLLRAQEDKEAKHLLAEILFYTGQKKEALEVIEEIENRYGVDTALVLLKANILYSEKRHWEAYKTLKAHAQKEPKEGEFWETFSDLAWMLEEYEDALKASLNLISTGEGRAEDYERASLVLSRRDPERALRLSLEGWKKFKIPSLLETALNIAYKSEMWDTILSLAEGDSERELMLSKDYLLIYYVSALLKTGRRDTAFEIMEDRLEKGFSPDLLTFYLYTLLDMDNPEKLKTAIRKFERYSTLLPEPFIFAYIKLQYGKKAMDLYRRAGMDDKLVLAEILTAVGKEDEARKIRHKEFLKRTEVLKKSPVVAEDSDFMRDYLYLASEFLKSPAYERLLFASQRTLPSDVWREVYLSYLLSKGRYDKERRLVRFRGYKEKAWMKLNRMLHYEERYGIKNLLSEKKDILPRRDKVEAYRRLFAYEGALNTAFASLEHSPYDYRLYKQLRDLTMEHGNNMGVSAGFLSRKGYSETRETFHLILRDLQLGWGAELGADVMFPLSKDSDVVKYAPEGFKVSAKFTKRFERSRFDLQLGVFKRLKANPFVIGSYETNWLKRTSVTFTTGINTETYETIYLYLGGVKDFLRLSAFHNITNRVGLSLELEGSKFLSSDRTELGKGASFTLYLERWLRLNYPDIRIQGYGQLANYSSSGKLGDTVSVLPDPSYQVLPEDYLAAGVGVYIGYINKETYTRVWRPFLGVDLGVNSRYGILAGLEGGLGGSLIDRDHFTIGFNVNQNAGGVKETLTNLRLDYRRWF
MFSFKLVHICVFFHVVYANHGSIDEKLGYYETLESVDIESVAKRSIGDSPHNKHVKFSALGRNFSLDLEVAKGLFTQDFRVQLVHSDGTFSDEHLDTNSFYYGTLDGEEKSEVNAFLHDGVISATISIPGESFIIEPAWRHIDTLVRASRTMMVYKGSDAKWPKEMEEAQKGGNGPNFCGAAHIDGDDEETVGFTHAHDHDEDEHDIPHSRRKRATGNKMCRLIAVADYKFYQTIAGNDIYDAANYIVGIIQKINLIYKPTNFGQGVGYGVELAMLKLHVGPTPTSGSTTHYNMIKSWTSPYNLLTEFSQGDKWNDYCLAHLFTHQSFSNNVLGLAYIASSSTSKLGGICSAASTKNGKPIYYNTGLTTTKYTGGGTVLAKQSQLVTAHGHNWGSEHDPTEGDCAPSSYFGDGKFLMYPYSVTGEDPNNDVSISMVTIDI
MNQNLFDAIKRYAGEKSSFIPIAMVLSGLSAVCSMFPYLYIYYIIDEVISHIHEGEYPSIISQYAYSALAFACGGIFFYFLSLTFSHLGAFRIEREMRYQAMKRILSFPLVFFNQNTTGKIRKIIDDNVSITHSFVAHQLPDLVAAITMPIVFIVALFVFDWRFGVVTLCLLLLSAFFIKRMMGGEKSNSMRQYMNALEDMNTEAVEYIRGIPVVKTFQQSVFSFKGFHDAILRYRDWAYKYALSCCNPMRGFILSIQGMSIAFVFLFVLLLKLGYSSAALINPFIFYVIFTPLCVVIMNKIMHLGEAASLAREAIDRIGNLLSHPTLQEVATDKEYIPTDFSVTFENVSFSYTDDQSQEKKETSCKQCQLYHSARKNGSIGRSFGRRKINHSQTYTSLC
MRSIKRGLRSFVRHPLANLVVVLLLFVCLTFSLSMLSVKMAADSQVEEVKKSVGNYAELRVSSQYQMQVFEEQREQDPAKRQAEARKMSEEELLSERTRLMVPEELVDDFSRQPEVASYDKVLETRVTLPGVESTELASALSMRGGPGSESASPSQSNSFLFEGNTDGASAADFLRGAKILVEGSFYTYQDYLQANPVVLVEKTLAEENGLQVGDTITAKITGAEGRGSEMELTVKGIYETVEVGQEGATRDPMAFNPAGSKFYVPLSVLQALNNTPGYVELGCYYLDSADSSFAVQKYFQEMVSDASEEGNRYELATDYSDFEAISDPLHKVARTSVIGLAGALAACALIIVLAMAIIVGGRARELGVLKAIGATDRQVLLQYAAEIT
MNARPGGGAAAGRQRITHGIRRQSAEYRSRDPSNQRRAWHNAAALYYSISYCCHCYYYDSLPLSIVGRRCGGAFSRPAFSLGIGSMTLARLVAENECFSRGNFVSFCAFRVARALRLKTVVNIKEIRQLTVLYVATSDIGLMCRRQRQEDTPPHPTGCLRGYRLYIVIQSIQLEPVSMKKIRIKKSGILVCSNFPPHPEQVRTSRQI
MSHPLIVTERRILPKLLDSLLTIIAWVGFIWLIYHGVVTVLHAQSEGGDPLSLTLGTVIFYLLIALANSLLLILWAKYNQRRFRTERRIRPQELPHGQLAIQFGLTQETLEQLNQSQIVVVSYNNDGTALEVSFKREQDVISKW
MHNRLPEVIFIQPFFLLNLRENIIKPVSIHNRLPEVTFIIAVCIHRLPETDYQRSPLVNKSQYRHYLKSPLLHQSSYTTDYLRSPSSNKSQNASDYLRSPLLKKFSYTTYNLGSQSVYTDYTNYLRSPLLNKSQYTTDNLRSPLLNNSTFKTFLRSPLLNKSQNTTHYLRQPLLNQSSYTTDYMRSPLLNLSAYTMYTDYLRSPLLNKSSRTTDNLG
MFSGSIKIEKSPASLAGEKKIIFITVINLYELSLFGIYHSLIMVKWGKILRQLEMKTTTTTKYNNKKIHQNIPLKRVDNDDDDDDHVDNDEIYAKTTICHNNYNPIKQKKRKNRQIGRQTDFNIRNNTNRVDNTCNNKYCIKSIQVRVKVAKENCFPKVLRVQHRPQLKLNSKWKVPSDLYTNSCLKSFSIQSSQDNHDGDDNDDDDDKDHDDDRPH
MYVSLIFLLICLFVLYLCFGKRTKGSPKVIRKKRKSVASSQDSEKLVNKAENAQANQQVEDIHDDQGDKEATNDDKDVDGGMKDNEKVTDDKEVEEVIPQAIIRPQGSEFIDSLTLNKGCIPPMIPLCRCLINERVRELKRDLSLLKKVFEEEGYLKMKGMFILSISLPDGSSRDVNEDITKTWDKYWHMLNKEFEDELENNDQWSMLKGKMFFVWEGNHREASWMESISEVSCNDKKKHVRVLAQFIVPTQQEELRLIAALQRLNIMNEEAIIKTNLKDYLYHTSTICSLDGSQITENFTKAERVSMEKARAQKAQSGKRVWYPLTQSILGPMVYGNKWKSDLQVALNKIPLTLSQDERVRAEIQAKRNVVKAYNRRMTRNLSVVNPANGEEWFLILWSLPFEDEKFKITIEKLYSISCATCSQELKIKMLKLLGADENFRQSFGMPLGDHFYIPWLAKEVWMDQVLEDARRLLQQVIDSTHGVHKVVLPLDVHLESYFEDIVSRYRGVLFYEFQKEMNVVTPPSKHVDLKNSSHRLVYR
MSMIMASFLSAALLLLVPGILTESNSSIELVVVNSISNTPNKTYSTDVAXRGVLIGAMRRLQETTAGFNFTYTEDPNYGPFLVSVNGVAGNNTEKTFWELLVQTGGNGTIIRPDVGIGCYIPKQNDRIILNFTKFTTNSASGSCTNPGVLLFLVGLFFCFLI
MKTTEMGFLKKNFKGSSPGQLLWVSSNEVVFSVDERGSKRYIAQMLIINL
MMERKNKRGVSFVRSCIRLWIVLAAVACSINSYGQELTTPKDGDIVFHESTSRQSPVIKLAQHSRWTHCGIVFHIDGKAYVYEAVDPVKYTKLEDWIARGKKGVYRVKRLKRGELPSKSIEKMMRVGRKYKGKRYDLKFQWSDDKMYCSELIWKIYHHGAGIDLCPPKHFSDYSLSAPGVRRLIRMRYGSDFNPSETVVAPADLFNSKMLKEVKYY
MNYWTTKLTRKFNLILVITFLISVLATGAFLSTWLYSEVERNMDRQVLLLLNLMQSNRNYTSDYVKRRLLEEKSDGNYFVPELVPSYGAHKTFEDFMSEGNSSNPIYYKEATLNPTNLRDQADDYEQGLIQTFRQTQQEQISGYRTLPMSDNPNPRVYFVARPLVVDRPS
MSASATPQASSHAQFRLLQRAGLDARSVENAWRSGTPVEIEYRDYHTATYNEALDVILLEQGDVVTTILRAAFEEFTEGAQ
MARLYNVFILVFILAVLIAYTAFASHNTAVVEFDYYFGTMRTPLYLLLTGTLVIGALLSMLAVSGPMMCLKVKLSRMTKKAKAAF
MEKVVYKNTSRKPRTRSQTTRASPGRLGDVEARREIAHALLHHRASSSSSSSSSSSSSSPHVNDCRVPTITKDWCNHGMTGRTCSWNCSQLSSSMVEVLEPKSPPMEVSEMQWGENQDSSYTWWLGFLKSLDDEINNEESVYPSDEEIEMEYFRQLFNSLFKTDPNQVDPPSDNQSSAPDEWLMVPKQENHADVNEG
MLLLHVEPRAHEWIVRRDGADAPLSEHPDASAATRAACACAFTMAADASVLVHDRYHRVHEERPRRLNHEVRARR
MSDRFKEIDLELNMLQPDYGYINMMLGMCASGQAGAPAVLDKKSDTIYVPLITVTNYLALFGKFSEVEQND
MRKYKNRYCIPRDSVQIATFQHFTRRNQCMLVDVPKNKKHWPVIKNMLAMLARFWNNHFLKAS
MMASEGIGALLRGIREAAGLTREEQAALLQAAQGGKWFDPENLKRWETEKRLPRRCGTRFLLSATEGRRRRSSARLWSADAGEGFTG
RAEAAEARVTAAEKARWEVVAEAQAMRSELAALRAAGEHGRQEAETAQGKLAEALSICQAARDECCRVRRRNEQVERELEGLKSRLGEPLGTGAAGIGIADVFENLGQMETLVSRMPDDSQRNVQRNRGVT
MRYTSTLISKTIKGVDGVERLYKARKISAIWASKECFKLAKVLIPAGGAAVDAVMDKKERDDLLLDPVSSTFGSMLSMFTSHVEDEHWDDLSRKLLGSLQLGDKEITDLDDHFDNYQGDYFEVLIWLFTENFKDFFFSNVMFRSLIDKMLALLSPEMKSTIENLKKDLLNETNMQS
MNPDVYREIKRRILFLEYAPGQILNENVLAKEFGVSRTPMREVLYRLSWEQLARIIARTGTMVTQIEFQTMMHTYQTRLGIEGEVGRLCAELATDDHLEAIRGIREDCELLTGHKAPDSLVEIDRRLRQIVHDATGNPILQSVSDHLYTLTFRLWYVTLDKGDWQQEVREMLDEIEATLAGMQARDGMETARVRRDALVRHFDRIRAKYLRVPGEVKL
MSAHRRLLHFSYALPVFIALLGLLVASSVSADPVVKGTVGESVVLPAGLSPQENPSEVEWGWGETTIALSDVNVSTEQFRDRVHLNRTDWSLTINLLRAEDSGEYKRVARAASGGQLPTRIVTLRVYEKIKLEVTTKPSNETCRATLLCTANQREHVSYRWKREDQDLPEHAGILQVSLSPGEINVTFTCIASNPVSEAAASIRESCADDGKATLWWWIYTAATIFLLLVFICIGMVVVLHKKKKRSKAVKETLEPQTIYAEIDRKSTQRNHVAPPSNAQQSKSLPSTVYATVTLKQAPGQSCTSQYDA
MQTPSLLILLSLADVLVCGDQTVRGNVGGSVTLRIHITDIERAYRVEWDFGNETHSERIAELSKWESKIDFLEKFRGRLQLDRVTGSLTITDLDVNDSGLYQVRAVGGDKFHSRFILNVYGPVSKPEVRKTPAGRALVTQNCSLLCSVQNGREVTLSWYREGEEKPLSNTSSLNLNSTLTLPLETDGQYNNTYSCVASNPVSVQTTRVKPETYCPGSDAEARFRNRAWVLVSCALLLCLTLMTALFILMCKKRRDERQSEGCAVRGLSVDWSSGPCCGPGPQLHLEVEHPCWEFPARLQCQTPLPLTAAWNDAELRLLASPPARPPFTDYQSLSPPASSILGDPGGISFTQRRPWLVKLVGFLDSLCASLSRARVL
MIAISLLLGIIIIGSIWFFIGRFIWRKTVKRFIRHRPTFIVVTLLLIPVWFVGPFMDEILGASKFEQLCQQLPPAIFSGPVDVGPGKFFDENGKPRWKDEGDFSVHFWNEKEWKELFEKKSSWPIITNWPMPISELHYEITEKKSGRVVVLSRTILSPGGWVRRIFGLSLIFRRYSCTDRGLPRTSELVRFKPQQSENK
MFEIEEETRDFLTINQKDFDKKKIQKVKKYVASSYYVASYNAINGPYREYLYNIRNKSNFSAEKEANNISEYLKKIYRQKIDSRNLLSIEKLISDVIEGKKKNQSKSIYQSDYFKEFDDTLLLYGKLIDNNNVRMPLPDNWIVPETIYNKSYRNIQRKFKVEESFRS
MKLTRRLLIALALAGSALLTGCAGNAPALTLYDLGPLQAQKTDTTVNNLPALSIAEVAAPSWLDTQMMFYRLNYANNQQPRPYAGSQWAMPPAQLLEQRLKARLSQAGGIVVPADNGAANLPILRIEVDDFSQSFDSAQHSTINIAMRASLFDGRNLRAQKMFSRQLPTVSADAQGGAAALASASDAIINEIAVWLSTVPTKK
MSRQILPRPTNLQPPPRRGDDALDFRFPSPSPPETSSGNSTKCHGICSPTVPSPASISKLEALEPQVGPHVAVPCPAPLSDAIPRTGHFWALLGIADEYQ
MSVTQLNVAGLPSTKGNLQEFNCICHLVKAVYNLVQEFHQRYGAWLVLSDNDTNLPEITQCIGGGLMQCGGYSNQACQMGIDA
MLFTFYVGAGMGAGEAPVSLARTGPGKRACRYDARGRQGSGADCSWWYRTQGKVGKIRNDWGGVRQVNRYIIFLSWGFIDFFGVFREFYDGFCQWVDLAVRKS
MTPEETVINMIRKNVWSKDFSLITIGTIISAIAGQAISLPFSLMVFDETQSALLSAIMFVSGMLPNFILPLFIAPLIDRGNKKRTIITLDYLTGVLYLLVALIISKTGFNYQLFVAFSFIAGIIGSIYHLTYTAWYPDIIPVGFEQQGFAVSSSIYPTVVMVMSPVAAWLYKVMPIHLLLVLIGFLTLIAATFELFIGNQGLRRKEGTWSWREYIADCKAGFKYLRREKGLRNIYSYMAITNGVATGIQLMVQAYFQTVQFLTVAMLAFLRTAETLGRMLGGLVQYKVNVKPEKRFGITKFVYFTYETLDMLLLFMPYPLMLVNRFICGVLGITSFTLR
MRRADRKDTGRIDMQEKLWNKNFTLIIIGTVISMLGNSISGFAIALLVLDNSSSTFLYALFMVIYNAPKLIMPVFAGPYLDRYSRRKMIYGLDFLSAALYLAIFLILKFTAFNFALFTVLAFLIGSIDSIYKIAYDSLFPTLVTEGNYRKAYSISSIIEPLSTVMIPVAAFAYQKIGLELMFLFNAVSFFTAATFETFIRAEERYTQNRFAQGFRAFRRTLAEGVSYIRAEKGLLFITLYFCFNAFAYSGTGVVTLPYFKALGDNGVLLYTLVMGFGVLGRLAGGVAHYVTVIPKEKKFALALCVYVAISLMDGSYLYAPVFWMCVSTFVVGVISVTSYNIRISATQSYVPDACRARFNSTFQLLCNVGIIAGQLSAGACADVLPIRAVVSVYMGVNLAAALLIILPNRERIAPIYNREV
MTQYHELAGIYDYLVQGVDFEGWIDYIEELLVKFEYSPKTIIDLACGTGNTAFPFARRGYRVAGVDLSARMIDIARKKAEKENLNINFFVQDICCLKLPEPVELITCFHDGLNYLLNYEDIKLTFKKVFDNLLSGGLFIFDLNAVRWLADSSQETTVVQEDDLTLIWQTSYEPEKDIWTIDLTGFFREGDLYRKFQERHSEKAYTPQEIETALREAGLELLAAYHAFSIETIKYDSRRHFYVAKKMA
MYTEFAPIYDRLMQTVDYSAWAAYYDALLRKYVSPGGRVCECACGSGGLTVPLKRLGWQITGTDLSRDMLALAVVKAREAGLDIPFVRQDMCALRVHRPQDAVLCTCDGVNYLLDPRRVRRFFSAAYASLRPGGVLAFDVSTPFKLRDTLGDQTLGSAEEEISYIWQNAWHPRTRRVDMRLSFFVRQQDGRSVRVEEEQSQRAYTQEELMRWLAETGFCGIRIYGDCVMRAPGLEESRWHIVARRPKEKQNP
MNRLRITLITPDLAEQWAWSLALRHIGATLQVSAITTSLPEVPADLYVLVITPLTPAAPISARARQLPARTLLVCDDLERAWALSGQIAHPTLITSVRLASTFLPNQIRLLLDLTAGHIAYTGPGRVAGPISRATASAD
MRARMTKEQSSGKQRSKPQKRKATESIKTPSGKPAAKVLDACSPPGYYPSTTVQVTRNSITVTTTTSAHSTTVTSVSTAPVPAATPAHEAANRLAPEPIDPLPSVPVTVLTGNSITTMTAANSSSVTSVSSTPVLTATPAHDALTPSTPSRPEFSFRSVRPTSGNQLLTYLQGIDAAQGSLMKEVEKLKKQGEATSRKYNEIIDNQRAIRELLER
MKKDSKKSRIETDIAIKEKISDGLSSGVKKAQNSPYSLTDKATSDFKEIQNQVLDKEGFERNCKTLAAWCNLFTALSRQPSIGKDASCYAHGLLSHYVAGLRKKIYYITAETGEIIIVRILTYEVPEHIQKEIDKYSPR
MMKDVLSSINLEHLQALRDFIDKMEDYKSRESGVLMPQTKPGQQELYPDSGLLLSSTKLAAIHQESKKDCLRLFHLLFDQFFTHEECSNSVAFGKHGKVPEGKTILDKSKVNGILTYVMSCGKCHGWKPVEKSKLKKALINKCRMRSI
MMIGRLTHRRSYRRIRETRWSGDDPGQVRELQTLCDLIGERYCGSPASPSGQQELFPGSGIFISSFRLAAMNHASKPNCMRLFHALFDHFFTVEECQNAVPFGRPGNNPSGKEGKQVLDRKKVDGILTYVLRCATLPDWEPIEEAKLKKAFVNKCRARAGSKE
MEISFPPMAMNKTQKTPPTNAPAKTNVTNTSAVNATTLPPTAVNDTPSSSPLSYFEGGNGKQELCPGSGVFIPTIKLRQCHHKSGSDLKVLFHCLIEHFFSEETLAMSVAFGNRVLPTGKQVLDPNIVSAIKGYLLASAKIFHVAPLESIKLNKMFTNKCTCAQTKLKKKLMAFPTKTK
MLGVVLCQTPRHAFWYLWVAYFSLYLCRLNLSAALPAMLRAEGFTVAQGGWIGSGFFACYAIGQVVNGFSSDRFGPRRMLALGLLGSAVVNILFSFSQGIEWLVALWALNGFFQSMGWPACVRAMADWFPLGKRGRLFGLFATSYHAGNISALLLSGWICATIHWRHAFLIPSIPLFVVGLLFYLGFRNRPAEASSEGSQEVVKRAAAPAPTDLRALFSWRLLLVGLSVSAMSVVGYGFLFWAPTYLAESRNLTAFGASKQSLFLPLAGAVSVAFSGWVTDVIFHSRRMPAIAIMSAVAALLVFLFPRVPLAHTWQVVAYLSTVGLFAYGPHGLLVGVVAMDLVPREMSGRAAGILDAFGYLGAMVTGAGTGWLAQHHGWPAVFPVWAASLLLCALLCAGNALSSRRSESGG
MTAWSGGRSGLVFKYGLTLVLVALLVFVLFTSIDLGELVTTLSHGNPVLFGAALVTYYVTVPLRTYRWIVLLREVDLELPHVVGNLVVMLSLFFNTILPAKAGDIYKSHVVGIRYDVSRASVLGTTAVERILDVILLSGGLLLSMVVLINPFQEATNVGVVAGVVLTVTVVAVVVLLRIPVRWLPGRVRNDLENFLLGIRSIESSYVLGSVGLLSVVIWGGNVGRIYLLSVALDIGISHVEVIFVALLISFLTGLPYTPAGIGAVEVGGGVALLAFGVTRESGAALLLLDRAITIASVVIVGAVIYTVLHARDADILRGDPLT
MDRSRLSRASDFAPVPFSSTEAPAGLLLHPRVRDCAVVRISMGYGGHALVAHVVADGKVEAADLGAFLAAPRAIARRTPRAFVPVRAVPRGEDGKVDPARLPRPVTGRRSYGKGGPTRTPPFAEHSDPGPERLTRGMLLLAVPVAVLAFVLTEVLWRHSTDTTGIPSPWWWLFPQR
MFFTVYEEVGHGASAIDATGLESFVAVDMGCVGDDLSCTESMVSVCAKDRSGPYDYELTGRLVSFCEKHGIDYAVDVYPHYSSDVSAMRTAGADVAGALIGPGVQASHGMERTHLDGVFNTIKLLLAYIGALD
MELDYLALLRSELTTFQDCLSDDLSVPIEHCGDWDLRDLAEHLGRGNLWAATAVRERRGDYEAPLAPDDIAPWFADTVRVLTSTLEIDPGTEAWTFAPPRTVAFWRRRRCLETLIHRWDAQHALVRPAELDATLCGDGIAEVIEVFVPRQVKKGRATPPAAAVRFTATDLGDSWVLGPGEPVAELTGTAADLLLALWGRRPMPWATLNGDHDAARAALRGPLVS
MNTDRLIEALDLDGKRMAAIAADLPLDTPVPSCPDWKLRQLLRHLGWVHRWAGTIILEKRTERPGREEITPDGWPPDQQLVDWFRQGHRRVVTALEEAPDDLECWKWRGLTSPRAFWARRQAHETAIHRVDIELTVGELTGFDPDFASDGIDELMVFFLSLPDRGPRTPEPLTLRVVASDTDRRWTASLGPEASPGLEGGEGPAGCTVTGRASDLYLYLWNRAGSDDLLIEGDGAVLDCWFSGPF
MRWLASLTIGIAALLGGLGFGRVMGPAEDPNMRDNPYAVLVAVAVYGTFFMVILGVVAVSLRRLAVSARAREWNTERALGGTLRRVVISEARLGLRHGILVSGSLVLLGAAARQLLPWFDGHSYLRGGHFEPAGLAGIVLVFVMCATTTVAVYVVAALAAIGASDGPGAIPASVRPSRLRERAGRALKLVAIALYVVSVAGLIWRRVFPIRWEASPTHWGSAPQYWWVSPAVLVFVLGGVVLVTGAVASMAGLLSRVTGRALISRGRGVLLQAGDALARPSTERRIAVGTMAIVLGLVTWVSGASDISRARNQLADAFLPLAIVTPSVIANQDMQATAPPEGYPTATLDPTLVESLAADTRLIAIPFAYLRADTLSVENPPSITCGGDHCVQQNWRIGTYVVVDPGALTRFSPDGLRPFGFAPGVAMQGSGPFLITSAVGSAGPTWLMVDGTRYPIYRSGLNLPASFIDAAWARSRFGEPPVTGLWLKLAHPDGLTAQEQLDTMHAILAAHIGSRTDVAPMTYDYGNYGTSTGGGASAALVGAAIVGLLLGVALVGSLAARSARDRRRELATMAALGASPRTLRLTPVVEMLVTTLSAVVSGVGAGLILAIATTQPTLFAPGAPLSFGDTMWLLHWNASQISWGPIGAVVLAAVLLTTAVAAVFAAAMGRRTPVEQLREAIKEGAA
MPPFGLLRYWDKRPYHEVLNTQALTADRIETRRSLYGINKIDVSLTPIIRLVLNGNERALKRTVCVSSKVGVCRRYDGKDDFMLVDSTELVPGDLIAIPSSGCLMQCDAVLLTGNCIVNESSLTGRS
MDTQPVIIGFGPNSRYGKAPPADAPTRRHFKPELVDVYIVDEFVEAVPRQVLIRFSIVAAKTFPRPVKSSNKTEAAGTTERSDQPQSPRDSGYHGSPKPVAGHRTTSPAVQTGTILRSPLHSSAPKGRKQLSLFLDAPEMPSFEAVQTALKWMKDNGDADSSARLLDYGPSSLGGIQLIDLIDLYQVALCFDMRPFPNRIRFEIFNRLTNNRPDVNTFRQLTRFLPLDDSAVARAINSFHDFWRAKEYSAEELSEFDAFLAEEQNKNFERKLSQVFKARRVAHAKGLEDAQATGVNTKHGQEKVAPPSTSLQAAAKAKTAENGYSTKQEIDGEVKQKKATQVTKAMSKQAVNENAANDTKGSQEPNHAAAEKANQGGEGCEASPGGRRRPRRAQQARGQALANGAEA
MYQMSEGKGKMRKQIKRLCMLLFLWMLTLGCGGCGSETGARLKSYKIYRVEGSDALELTQFVMDLAKQEADIRLKNTADEEENWISLLSEEGSANGYGYTVEGMGPNAFTIARDGNHLFLLARTDAGLKRGCRYLFAHLVDGQGRLLLEDGETYADAGQDMKDGIYIGSAPIAEYTIFYGDKSAVPVCGELQEFIYRTGGDLLSVADSKNREGAGIVLSIDSALAQGTGQTVIENGEVSIAGADADALRQEMYLFVNTYLGWMDAGEPDARISSAAGTIYVPDEVRAVTNPWIEEREAIVTLWNVNFTRGVYMNEATSLKNNILDYSEEQIYEYVKMLKYCGFTGVQATDMCSAWAGTDGYEATHEKIRMMADAAHSLGMKFTLWVWGANFDGFSWVDDTVSYAPGESGFAYDNPDTVATFEKYYSIYAELADCCDRVIAHFYDPGMLSTSEDVAYFAKMLRGKFLSVNPEIDFGVSCWVDAFDKGTFVRELGNDITLYEGVFYEDENEYVGFRQSVAALGTRLGTWAWDICEMEIDQLAQMNFNMDIIRETYQTARKYDEICKPAYWSEMDSYHVLNAFSLYCAGQMLIDPDMDGEELYARLSAAVVGEEYAEEFAEILRLVQDARSGSTWDEFWWPDDSYLLKSDAYPAESILERCEIYIPVLQEMIDRGIDSHTFPFPIALDEVFRMMLPHLEQIREYAQFRIGLAGLEEDWRQGASGEELGAALKEIAEPVLSYNSVIGIWGQIEARAQREMVLAFCDKTGAEIPVYPVWDRQRKQYIYAQLVTDQKGKGEPVRAGFPYYQYGLAYGEETERLVQEMVEEGLLVRDADGSVYLADWENYKYHFD
MDHPEEISKLGKVKAQSPISWKTKRHQTVSRSSAEAKYRSMTSTTNEFKWMKNVLSSLGINHSMPIQLYCNSQTALHIAKNPVFHERTKHIEVDCHILHDEVISGHIQPSYFHSYTTRRHFH
MSIKKSFALEVPRITANVEEINELNRKTIWLEVTGAAFLMQDNPNYYQHLKSSRFQGETKESKQIDKDLNRTFAGDRSFSRLQLKEILISYSIRNPNVGYCQGLNFIVALLLSYGFSEEEAFWIFVQIIEKYLPYEYFTSMSGVVLDQKIFDYLFRIKMPKLCKLFWIFVQIIEKYLPYEYFTSMSGVVLDQKIFDYLFRIKMPKLCKYMEKLGVESGFFTVQWFICM
MLRQTPQTLERSKQIFPLCKPQSGQTHLTSQHCKDKLQQTLQIFLQTQQTLELCRQTSLHCRPVWEQTRAISQLSRAKLQQTQQTSRQILRALARFKPRSVQIRVISQHCKDRLQQTLQIFLQTQQTLELCKQMYHLCKLPSELIRVISPLFRVKLRQTQQILVHFKPQSGQIHLTSRLCKAKLRQTQQI
MRNFLKITDDERGSAIVIVLLIVALLTVVGIAARTTSTTEMMTVRNVILDRQDFYFTESGIYTVARSVDQGTGGFVVLDIDTPMILSAVTEGAAPLSQAGLGVTDEEWEAILTNYTDAAWPINNATEPGEYAYRVYYRGQGELPKGFGEKGSSSYVYSIVSRKQVSDGAGNVSGTTTIIDTGHRKIGPKASS
MGQMSTDAPSDHHIASKDGVKSDDILLHRNIVVRMRGNSILVVLTSADKIPKNGKTIGWYLPELAHPFEVLNRSTEMVFASPKGGISPLDPASVEAFKDYPVCKDFFENQESVWHNTAKLSDFAGRASEFDAVFYPGGHGPMVDLVDNQDSKNLLRELHDQVKVISAVFHGPVALVNALTAKARVKELEAQLQAANIEPQRENLTPTQESFFLDLFWDSWHCCYQILDEAEFRAHYKSLWNDLTGTSRRASAIVDVLSLKLRSTSATQQSWVSSSTNDARGSWHIQFWSAVYLSNASYQNMAQDMLGVALRTAHAFGLHVEPVADLPSKEREARKRMWWTLCVFETRFCIRLGRPWATRMNQAKCSLPTENQELRPDGLTWLSYTIQRAQLMQVFRIISDKLYIECEPVETDYSRNSPSGRRDHPLEIFAEVLEAGIHDMQAWVDVLPPALKTERRENGKPFSADLADIGREPFSPVCLRRKRLMLEL
MHNYFELIGWFGVLHVLMLHRGDLTLATEVDQASFFRIFRENLLLMVSFHAEATTARTQLGQALLTFQNLIGVLMTFLVLARVIAMLPPPKSLDKHDP
MSAKDLTDAGLLARREEAAGRLRRARSMIARLDRQIATYDSKRASQQKFVLGHAVLRAVEAEPRLVEGFRRHLLAHVKRDSDREALRGTPFEIAPESDTPAAAQVGEV
NLLFVLKDWGKRNGWGVGCIVMNGEENKSDMVVNLGGSCGDELLDKEMGNVVGVICFEGFYFRVHG
TGGDEIKAFAYNNDETIKSGDKEVIRPYLQKFLDYAHQLVREAGLTPMVWEEMVLDWGLELGKDVIVQTWQESSNVNAVVSKGYKTLVGNYYYFYLDCG
MGGRSVVRDNVVYGTGGRPGSNSSRGISAHGADVVGNLVSGVWSHYGVGVQGDSDSSTLCSGNTVGGFAYNQYLTCRDGGGNLTF
MCRGHILNALSDCLYNYYKSFDSAKEIWKPLEYKYKVQEEVYASSRRCTVQHLLLSVNTISKSPTPPSPLPPSPYYYKSPPPPVKSPPPPPYYYKSPPPPSKSPPPPYDYKSPPPPIKSPLPPPYYYKSPPPPKKSPPPPYYYKSPHPPVKSPPPPPYYYKFPPPPKKSPLPLYFYKSPPPPPPVKSPPPPTYYYKSLPPLKKSPPPPYYYKSPPPPMKSPPLPLYHYKSPPPPMKSPPLPLYHYKSPPPPKKSPPPPYYYKSPPPPVKSPPPPPYHYKSPPPPKKSPPPPYYYKSPPPPVKSPPPAPYYYKSPPPPKKSPPPPYYYKSPPPSVKSPPPPPYYCKSPPPPKQSPPPPYYYRSPPPPVKSPPPPPYYCKSPPLPKKYPPPPYYYKSPPPPVKSPPLPTYYYKSPPPPKKSPPPPYYYESPPPPVKSPPPPAYYYKSPPPPKHYQDLLPQIKL
MRKMVFGRHLSRSRKSRIALFRSLIRALTISGKIVTTRAKAKAIIPQIDKIVTAAKKNSLSARRRVLASLGNDRSTTDLIFLKVVPALPNRTSGFKSSNGEA
MQLFCVNRSTVMLLQCLELSLFMQIG
AVALSSGPMLNGWYKGERTGSGTILWKARELLATGDIDYEGFVELVASSAPSTGFCNTMGTATSMNSLAEALGMTLPGNAAIPAPYRERGQIAYETGRRIVEMVAENLTPDKILTRKAFENAIAVNSAIGGSTNCPIHL
MKKSILDLGKTLNKAEQKEIFGGKLDNFLDQYEGCGFGTCMNNFGRCSASACCWNNQNPEDTYYSNCN
MNKTVLNPGQTNSAPAGKDARGVSPDPAETSAISPNNLASDASLDDPFGDLMDLDFSQYIADGDDDVGSMNSPSSPSVGMMSKTPFKTPAASEVADDPIETASSPEPVQEKVPKLRDYLPGLGSSLEPSFAKDDSQRTRVIARVPANPKLTGNAQPRHGYSMSVSSDDGNEDTANTETPKSPDAKPKFLAINKTTSAKDKNEVLAKKADPPRRRSARSKKITGKGKAPAKQDSAEATENKEITSLERPLLSRMLSVISEPGSLKWEEPLVGSRVFRSPGRSDEASPTSSACPPRSAEKQGPMPNHQEIAAHIIDEEEMNIQPSVPPSFAEPLELSHPLGCERVQKTASPEKSKVSPAIRLSPQGEIYLKPPRNSPSNPPQKGIRKQQLSPGKRGREPQKATAPSKRRRLQEPVKKRVVQARARAKGGDGGQKKELPITVADTHERRPSPVPEVRREDSRILVNEVGNPRRANLMYESLSEDEGLAGAAEDTGNVVEVEPPKRKSSVIQPPVNLKNVFQTQSTKPRVATAKIDSLQKPRESMKLKNAKVQVDSPQQLTEEVVLKSAEAISSLLPAQKTLREPNISADKRAKGQEMQSKKHIGHAQQVLPCQQNPSLEAFRLHILGQLMSLEARQQQDESPANNDSPKSSGQNSGGRVRMNVEPEHMLSRILHAITKRSLAYVKEKEKDLDGIAQEYQSNATAFLDRVEKLHQNESNSMVNVVNKQRQAFVDSCDDGSRACREFMEKLERCSALDGRSSRLEGWLDQCQQMQRDVL
MESPSVTGSEMIYGNNSLASKASCFDVCNDDLLLKLGPGRLRYSAIAFQHGDISDSSSSDQTEEDAMVLNCQTPIHCICDLFTPGPGKSMSAPKKMRKSSYLCDSEENVETHAIEDLEEQYFLESTFSSLLELIVSTQMNEILAEKDSDLPEDCKTPTSVHLMTAVAETCPPAPVKLISNMKMQHRIVRRKIDFSSTWK
RRVPESRYARDHLTPATRPSVRLPTTPATTSSVSGAAVSVAAVNQVGGQTLLGSTDSSGDTAGASSAADVNTPPRSTPAPPAAATPNSAPSPAIPAPVVSASTKKKKKKASQPAASAAAEPSSSSRSVKSSQPGAKSTKSAGTKKSISPRTTGKRMAAQNARVLQALEIQTLEASDDAVLGNADGTPSASADNPVIVGSSSASSESDQTEELFASAAHSAASSADSDSESGDSADRSARHLKDAKALEALHTWSTKMKDANANDGDDDDEEEDEELEDKPAPPAQVDNSTSATPAASSSSRGGTKRVHQSSPRSSSKKARKTSTAAKSKSSSVKPASSKSVSSKPAAHKSASAKSAAHKPTSSKSAATKSTPSKSTAHKPASSKSTVAKAAKSGSASSGQSAGSSAGSDLPDVLTLPLETLRTRAAQAVARESRVPAQMKIWRNPSFFHLGSARCWDKILASCSVAIEMETIDGKSCVKPTPSSLEGLAAFLDVTSTQHPCQRLRTKLPELGFFMSPKVLHRVESRRSSPKTAPPVEIVVECWLKCRGERPDLMKICIALYERMHWVVYSSVILGLHPDLNPGRTPAELALALKLWQQYSLERKRRSDALRPVLNELYDTLYQASKVVDSANDQPAPGLDPELYFDPSVPFLRLQLIFRGFLRVLTGVQRQQFPVFSSADFDHAQVRSLVAEDVDPSAPTPPLCSAQAPTPANREELSIFESILEASDDASA
MALWLLLRQWLVENMSRMNTLANADGLFAVSEASWWTVRFSVDTPLLLTDGPQEGIAMLVDMCCMLPGTAGSEGAALRELGLRCAWSLSSTHPVVCAELVRLGMADLLVATASSPLAGSSLVRVAGLYLQHLLDTPEHVLALGGLQQVVELLVAMTQRALASAQDVAGRGADVKLMEVAVRGLARLGLNM
RHRKEFLCTEMLMHELDLDKFSPAFLTFTTSISANLTQELIISKLLKRRRGVYGPEKGKLSVIFIDDMNMPAKEVYGAQPPIELLRQYFDHGHWYDLKDTSKIYLQDLLILTAMGP
MNAIAIQKILKKYDKVHGSVIGRNFMTEMHDKRNELLQSQRLIELGFFIDLIDLSSNGETEEEEQGKERRGGGDHANGSLAAAYDGRIVYRLPLESDSRLAA
MKKLTFLAGAALVCALVCALVCPGCSGDGFDEMNGAPDAGNPDTPALGEGIRTLTVGMAELGAPGTRASFGDEAGGKLPVFWDAEDRIVAYETVGEHAAGHAYKLVGPGGSGSGTFAYDGGQTPPSVIAEIYYPAELAGTNFAVPAVQTYTPGSFDPAAHVMRAEVGNPGEPIVFGSLVSVACLRLTGDGERVTSVRLDLTPSGGATASYTLSCPDGVTLSAAESAFYIAVEGSATPCDAVFTVSVDGVETMVQKTTSAKTFAASTVVRLPVVACQRNLYQIADCWPDDDAPVGIVFSVSDGGLHGKMLSLTEQAGNWGA
MMENLIKKMGCHHSQHRILYFLTKSSKTPSQRDVAVMLGISPAAVAVALTKMQKNNLIERTAAKNDNRIKEIHITEKGRNIIELSENLLASANDSVFKGFDDAELVELKNYLEHMRDNLIALNSDKPCSSKGCD
MSNNGLVIKNLALSHSSSSLGSPSSANSNEFLFHSITHQTEEAHSLIDFRSGFDHFIHANGSLLSFQHNNCRVSQANSHKDDYSTWEGNFNCNFQCNQMNSKFSADPRLLEEINCFQTVGESTEALKKQCNTGTRKSKPKSSPSKDLQNIAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAISYVKFLQLQLKVLATDELWPVQGGKAPDISQVKEAIDAILSSHKDRNSSSSSK
MTDRPPLLARLGLSMGLFLLAPLVGEFLLGNQPITELPKLPLYATMYGGGALLIREVARRLGRGWPTMIPLAAAYAILEEGPIDQMLWNPNYGGFDMGAAYAGTQVPVLGTSVALLQDVLSMHTVWSICVPIALVETFARRRDRPWLGGIGIGVTAVIFVLGSVMMGGFNYLAFHYMASAWQFGVAVVMIAALVAAALALPRPQPSNVDASTGVNPWLVGAVAFVASSVYWARDWLVPENAWAWLPVLGWCVLVAAVVALGMWTTRERYWGRGQTLGAAGGALLTYAWVGFTHSSQMGMSKGLALAGSTVFALAAVVLLAFAIRGVARLSPTDT
MEREEIHRPSCPRKSSREPWRSVLKVRPSTEDLGVLYGLYKQTTEGDVITERPGMLDFVGKKKWDAWKSRKGLSKEEATKGYIKAVEDFKVKYGI
MLQAYARTSAVSGREEEASRFVMALFKAGTVQRDRLGNLVLVLGTGTPRRLLVAPLDEPGYVVSQIQDNGYLRVAPVGSGQVGPLFHQFLEGHDVSIITEQGARNAISCVPSSHYENLRAEPERNKPPFSWQAAFLDVGATSAAGVAQQGIRLLDPLTLEKKTAIIAQQWVAAPAMKAKAAAMALATVAQTLAAAPVKGTVVIAWTTLELLNGKGFEAVANQYGPFDEVYRFDRTLEAEPTGTAQFLASPALPWALPGQVLVQPARPARKPVLPNTKLAAARTYLLGLPARYANTPVEAVAVADVQQLTQAWLQAAGAATTLATLPMPAAKSNLIQPAQSPAAKLLAGLVGQYGVSTAETPVREFIARQLPTWAKPVVDPAGNLTLTFGQGKRHLVFVAHMDEVGFVVDSIRPDGRLVLGLKGGAYTWLWEAQPALLHIPGQADIAAVFEPRPGYQKATKSSPTGALTAFAGFTSAQQAQAAGIRPGTTSVTMPKQLRPLGPQRAAARGFDDRVGCAALLLSLQKLDPAKLPYRVTYVWSVGEEIGLIGSAFAAQHLQDASVVYPIDTFVSSDAPQESRAFGYCPLGQGAVIRVLESPNFARRDLVREVHALADRQKIPIQEGMTVGGTDGLEFLNYGIPSVPLSWPGRYSHSPVEVLDYRDMDSLVRLLRALVQAEPPVKPAAKTAKARP
MNGILEIYADEIHAGTLFGQGNSISGSSTFAYAGEWLSRPDAYPLSPDIPLNEKSTHFYGDPQMPGAIEDAGPDSWGKRLIHATHRGKEMSEFDIIAAVDDRLRMGNLRIYSDGQPVALKVDPLPSLDAALQAAEYAGNLDAMTDDQLKLVADAGSSLGGARPKVNVNDQDFGLSILKFPRRHEDDDTEAWEFVALSCANQAGIPTPEHRHLRVDDFNSALLVKRFDRENNRRIGYISARSALSLRANDSYSYEELANKIDILCVDPEKNKRSLFDRIALSIIFDNADDHMRNHGFLREKDGWALSPAFDITPQWQGWRTDATPIAYGQSGFNRTLEQLEKSSEKLGIPRQEAKQRIAAVADACSNFMQIAKDLGIAYIDESNMAKNISKKIEEAAPLAVSVPKRKETPPPGKIWVKEHYRGGKLVPGYWRTAPNR
MWSGRFSSPVCAPVQSFTASVGFDRAMCHCDAAVLAAHCRSLYLRRVMSIADLADVERGLSEVAMGARAGAISWRPELEDVHRNVEHVLTELVGKAGRMAHTGKSRNDQVSTTARVWLRHMAGAAICRVEELERALAARSRACLNTMMPGLTHMQVAQPVTAAHYLTAYRCMLSRDRSRLVRCTRGACVLTLGSGALAGTNHGGDRYTTADMLGLHCVSPNSLDAVSDRDFVMEYALCCAVLMVHMSRLAEDMIAWSSSIVGFAVLGDALCTGSSIMPQKKNPDILELVRAKAAVLIGGAMGIMAVMKAQGLAYNRDNQEDKAVLLGASRAVTRSLSVMALAVRSLRLNKSRLRRRLESSFAIATDLADSLVWHGMTFRDSHEAVARAVGVAIRAGHAGLRALPLCSRGVVPPLLAARLARIAQPDARVSAFRKDSTGSTSPKWSFRAMRRA
MIREGRLGRGFDKEVALYTSSLGFDRGLLEYDIYADMAHALMLHRQGLLDGGDAKRILALLKEILEKGLDERDLDPDMEDIHMAVEARLTRELGDAGGRLHQARSRNDQVATDLRMKARDDVNALSKATSDLISTLLATASEHTGTVMPAFTHLQHAQPTTLAHHLLSYADSLLRSMERLDEVYRRIDRCPLGAGAVATTSHPIDREMTAGLLGFAGVLENSMDAVSTRDYMVEAAAAAVLIAMDLSKMAAELVIWSTPEFSYIELADEVASTSSIMPQKKNPDVLELIRARYGTAAGGLVSLLTILGALPQSYNRDLQEASPAFFSLIEGVTSSLSVMSKVIRTMKVNAGEMKAASTRDFSTATDLADLIVKERGLPFRTAHQIVGAMVSMAIKEGVEPGQVSSELLDRAAESVAGEKLGLSEEAIKAAMDPVLAVKARSITGGPAPEDVEKAIKERQRELKKREQTLRERESRLNEARKRLLESVSSILEA
MTNQKQETKLWGGRFAEKTAELVELFNASVGFDQRLAEQDIRGSLAHVAMLGGQGILTAEEVSQITDGLNGVLADIRAGNFEWRLDREDVHMNVEAALRDRIGPVAGKLHTARSRNDQVAVDFRLFTKEAALDLAEQTRALRRVMLAEAEKHLQNEVILPGYTHLQVAQPILLAHWFMAYVAMLERDEGRFRDAAERMDESPLGSSALAGTPWPLDRHATAEALGFARPTANSLDGVGSRDFALEFLSACAILSAHLSRLSEELILYSTFEFGFITLPDSHTTGSSIMPQKKNPDVSELARGKAGRVFGNLMALLTVVKGTPLAYNKDLQEDKEGVFDSYDTLSIVLRLYAEMLPKTVWHADVTKAAAARGYSTATDVADYLARQGVPFREAHEVVGGLVGLASRSDRQLWELTDAELKAAHPLLSAEVAQKLTVEESVRSRQSYGGTAPERVREAVEAAKEKLRQETGQP
MRERVREALGQAFIDTVLGPRLVADAASSLGALIDASKAHLVMLHRQGLIQPEAARLVGSALRRIEREGLAPERLDPAREDLYANLEFAVREAVGEDAAGRIHLGRSRNDLGATVARMNARALLGRTAASILDLRERLLALAGRHAETIIPGYTHLQPAQPITIGFYLAGVERAVARDWERLWDAHARVDLCPLGAGALAGTSFSIDRELMARLLGFARPVANALDAVASRDYVLEILSGFAGLALMLARLAEDLYLWSSTELGYADVSGAVAIASSIMPQKKNAAAIEHVKGRAGAVVGALAAALTATKGTHFMHSRDTSVEVVAPLGEAERALGVMLALITAVLDAISFRPDVGRARAAADFSTVTDLADALVRECDLPFRVAHEICAVLVREAVDVGHGPESIHADRVNAVAGARAGRPIALDEATVRDAPDPEAGVGRRRHLGGPAPDTVREAIGRAASQVAADRARLEARQAPLAAARAELERALAQIIGD
MFKLLYWGFAAAMLLVFPGVSILMGLCYVAYLFISTLWGAGQPSSVSRYSQSPAGQNETAEGTEISAPAPTEGHDAAALFAEEARVMAEEREEDELLTQNAAQQELDSSRTEVEQSWQDRLENGYRP
MVSILLIFLILLNINTTIENLAQPDCQTPFGPNNRYSTQINPVSIINGYFNNDAKLDLAIANDVLNSVSILFNNGDGTFQNQKVYEVGAFPVSVTVGDFNNDAKLDLVTANQAENTISILLNNGNGTFQKEKKYSVGTSPTCVTVGDFNNDTKLDLATTNNDDRTISILFGKGDGTFENEKKYEVGSHPQALTVGDFNNDNKLDLAVVNSNENSISILLNNGDGTFQHQKKYEVGSTPKAVTIGDFDNNNRLDLVIVNQDANNISILLGHGDGTFQHQKTYRVGAYPQTVTVGDFNNDNRLDLAINNQMNNTVSVLLGNGDGTFDNQKAYVADAFPTSLVSGNFNEDTKLDLVVTNAGSDNIIILFGNGDGTFPNPTTYKAGKVPVSIAVGDFDNDTILDLVIANSGEDSMSILLGGGDETFQNQTKYRVGPQPQSVIIGDFNNDSKFDVITANHGNRSISILLGNGDGTFQKEKKYRVGPNPSYIAVGDFNNDTILDVVTTNEGENSVSILLGYGNGTFQDQDMYEASFYPKCVVVDDFNNDNKLDLITANSYSVSMSILLGNGDGTFQRPMSYTVDSGLIFVAAADFNNDTNLDLTAVGWGSTVYIILGNGDGTFQEETRYDIADIAQSVAVGDFNNDMKLDVVVANNYDTSISILFGNGDGTFHDPIKSTTGSHPYFVTASDFNNDMKLDLAVTNDQDNNVAILLNSCP
MEDELAEEVARKAPKERAELAAELGIHVGNLSLAIQSLGGDEEAEDEADREDVVGAPEATEAEESDSDSEENRRRREARTKREYDAMAHEERVIALLRQQNRLIEEGFAYMKTQFVAMYQRLDQVEASSSRRDEDEEESEEAEESEEAEESESEEF
INDSEKQKLWELNDRKQEQSIQMFEKVLEQSGSSLEKFATDNLGQAAELFYNVLHFKEIRINVLVKHPIYIDLESYIHIYLSYFEEFQVNNPFENKNNFRLNEEVFNLMEEVIDQIEDEYQLFREENPEQRFSKFGKKGFYLEGDYYTFYIEPNGRISTFHKNKPEHEKQKDTV
NAWRGSCVIRRFVFAVLSREGRQTVRQKALTDFRRGRITLLLVTDMAGRGLDIPKLPAVVNFEPPKRAEVYIHRAGRTGRMGEAGLVVTLGDDHDRRDLSKLVPQYHIHRGYMSEGKLVDTPPAKKVSEASAPTTVEKPASPVAKQQPVKPEVAQEPAVRPRRKHKKHRLRDQRNKGKHKDNA
MAGALCMRTYPRLCTSPSSVFAGFAQFINQKRTIVEKSKLRLDPDTYPEPWPYREKGYRWQHALIDRTLKRFHENSKLIVIEGNIGSKKSDMARLLADRLGFYFIPEFQMDEVLIDRFGNDYRNYYHLVSLLLFSLLPPLPLPPPQKKKKKKKK
IIFFVLNTLIWGEQSSGAIPFGTMFALVCLWFGISVPLVFIGSYLGYKKPAIEDPVKTNKIPRQVPEQPWYMKPRFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFLILIVTCAEITVVLCYFQLCSEDYNWWWRAYLTSGSSSVYLFLYSIFYFFTKLEITKLVSGTLYFGYMIIISYSFFVLTGSIGFYACFWFVRKIYSSVKID
MKMIINCLTYICVCVCVCVCVCVYIYIYMVRCLIRVWEVFVRPLNEFVSLWRTFGGGTKLIIHSGPMVRPSVSLLQPSSEKLSGGPVTLACLLTGYSPQGAEVRWEVDGTEVTEGVLNSLEEEKSSRYSSSSTLMLSQENWMNAEVFSCRVNHHDHRQAKSFRKNRCEG
MAVNSLVNNFETQLVQNRTLPNEVMIVCPTRFSCPWCNEAKRLATQYGFSVNTVWDDVTATLVNYIAGKNDQVRTYPRCFMGGQLVGGYEDLKRRLSSAPAPAPAPALTPPPSGGLGSGGLGPGPSGPSGPSGPSGPSPSDPSGSTTPSAASAAAMPQRPPISNIKTFSSGLSPLEEGLFAGSMIPDRELNWAPIIGTIGTSLAPLSDDLKKCLTNGFAELFVKTAPVMIDRIIDSVVRTAEEDPVVKTHLERRVLQMILEIKASGAKEAILRNLDGECREVFIQLQKGAGLAPAKAPAKAPAQPSRHTRRRQPRRS
MLIATTAEYYMKALGIKLVDISIQISENEPVIEIKAKSLKETAISPQINNIYRIEHNGSYQPITYTRVIRQKNVNDKVIVNYNHNSSQATMIRLSDNSTIQYSIAKNSHDVYSFLAEIIAGRVQTGNYPIDANGINWQAKVVQLASETVDTPLGKYPARHYEITFHNLTEKKMPYIDMVTFNMLQENNKLNLWVYNNQYAVKATFKKKGLSSCWELVNIKK
QLCLPLQPGSVTAALQPAGAGLELALRQAPQEGLGLVLPAQPQQALLTTRAFAGDVPLTLYVPPAELAQYQELAAGMEGVTVEGDHWAHWIAGARAAPLDLAGALGTATGKAADWRRWRWPIRLALLTVIVNLAGMNIEWMRLKREAATVRTSMQQTFKAAYPNEAPVYGLEAEQMRRNIAAAKLQGGQASMDDFTSMSAALGEALGGLAGRGVVASLEYRDRSLIVKLKPDMVDASAQAQVRDGLAARKLALNETAPGVWKITLATGAKA
MYNRWAVQMQGNEMGCYTAVSECNPARGQDVPLPNWDNISVDILENLKNGKSYWDLDGFKTLMPGLGYPMTKDANPMDYLM
MSNEVTSKDYWNKYWSDEVKYPTYDISTGPFYSYHLIFSKYIELVRHRLGKNRLRVIDCGCGEGLILKYLAEQFENLEIWGIEYSDSYYKAKSMGEKLGFDLHLINGDIFSALDPELLESFDVVISVGLIEHFEDPSEIMNQMMRMLTKGGSIVTLIPNFNGLFNLLWKLYDSENYAYHVPIKKHELIQIHNNLGLVDVNFYTLGTPVLSGINSAIKPWQKIIRFITSNVNGRILQRIIPKQSSLEKFYPSTATVACAGFKRR
MPSATSVYLATSIFLDADIIYRLWKFQKSDGLSFKKFSKRVRKAEGEDLDHFLLPFIRSLPAIDDGESRKAERTKSKAALLLLTKPDLRLIWTNLVKNDQEFRDFRRFIQRGPPDSVLQTIKEAAESDELCQLSPRLQALIRNRAVDLKTISEKDHDSVLKDRLKCDTNNVQATLAVDLGPIQNLLAHVDKSKQAEMVAIYQEGIENGKSQRKAIKAALRAVDKVKQKMEMPQPFRPTCPSPTDVNMHPKKASKDKKYDADAGVSVNDKMKNRELSMQKQHPQAENPGNALTALDSRKSMLLFDGKSCKYAFPESSQNLTSISPKKVAKEEWRARSEPAPLEYLSKKCKRDKYMPGPMFEKKYDGHENDLLMESVPWNQTAAPASRSEFNSETENNTPMQLYKQESKADVLNKKTGRAVYRFDSENDLLRESLKSTDRPKEQLFYTKNQFHDSSLLGRTKPSRSCTANGQALDETPHKKRRRETKARQIPQYCKIAAQTDILFGPSNSETQRDASMTTQRLIDQLLSECFVKTASKQESQSGASKKDVSRSKAKDVSKLATDERSNLTSPSKILSPEPRGTVFSQPTSRGRKRRRLTPIEALESHSKTLGMLEVDKIDEDVERSHLGVNKRRRKSSSRKASDENTMSQGFEGLTDAQLAENAGNGLLEITPNTSSKYFLGRPMNCLVM
MRVNVDEEEVTVDRRVEWRVSSRKQLRVQQIGFVVLLLLFTWTLTCDDVASFPRVAYLLLVLIPAMNIVAGRARTVADVDGVEVCGGVRTRHVPWSAVDEVRAVHSEWTDPKVEMHLTDGSSRTLPCIPTDAVPQLEELRAAAHE
MLIPSEVIKGGEAAVTTYLKALNETAAAQLTKRCKICVVGPSTWGKTTLVKSLSEAAPSLVDEEDRTIGIDLFSLTFPPEDKVSIEADRVHDGNSRYDVIFWDFAGQEIYQTTHAMFFSNRTLYLVCIHLQVYAEKLRNGNTFKPDAHFKLIGTKSDLVPEASQVNRDVGIDLSSRLTTFIEDPGRWVTISDESALKKSFNEELFSYKL
MRRAVAEAAVSGVVSAITERRVGRLSFAENSLGRLDPCPLLDIPEVAAVPGADRELEPSVHGHRCRRGTVSLTFDRTGLPATGEDGQAETAELTVYQQEGEADEQTCAHARTLATLSWPELP
MVGQTHKIPNLCDVGADKLVNFPANLVFDRQQYVNRNELPKYATEGANTLVDGTLFLTKFLTCNSY
MLTEVLVAIGEHANPRVGAALVPYAGHSDAGVRCAVARGCGVWHETPLAFPGDVREALMVLMTDADPVVRRAACLTVADGKDRDPVLADAMAALLGDPDRRVQVTAVYGLALHEDERCVEAARRLPLAPPDTPHEYELDAVWRYTSRRDNR
MLSPQDELVLVDELSLKVFTRAADRLLQLEGLVSKRLTFDSLNKFTLWWPSEAMGGHCGHLKPAEDNVAFWSRGQQQGRTKPPRLQPSQDLTWRTDRQQRHGAAWFGARPGTQAAKHWLLSLPAATKQAPERCPAEMCLEHPLDLGREGTSDSACSCVDAGVRGGLNTWGPTTKPAP
MSWLAVHVESDLIERDLEQRSAAVLAAAGHDWASVAFSGRDGLLVGHPVNERQRAEAVALVRGVWGVRVVEMRDATADTAELRFARITEEAPPRQALRDVAPPAVAIEAADRLPLAAADGAAVLVAAEPPQMAVRAREQHTTDIAASGPATVLLDDNSAAPAQQAPEQVPPAGAQGTLEIPPQKMTAAPPVPLPRDKAAVPQTVSPVPERKLAAEPALPAPQAAPGQPVPLLPEPKSTTAQPIPLPQPKAPAAAAPASSNPDTRAAARAPPTSEPKTAAAPVLPSPRPDAKAAALAPPVPEPKSSAAQPTPPTEKVVAGQAPPPLPTPSKAAAKADVPAPTPAPRFETAALPQSNIAPDAACVGDVRGAARQVEVHFARGRAGLDSSGKALIDRLIGSLNGCPEAALNISGHADASGNPRRNLALSRRRARGVAAYMIDKGIDAGRLAAVGYGETRPVAPNDTRANRAKNRRIEVSITARNAPPPPMPIRKQGTRNGLSNR
MILSEEVRAVLPTKKPIGGVLTADELRTNDRIASDRVIVENFFGRLKTLWSVCSDIYAWKRQNYDMLFQTCLALTNVHVRIHKLRAEDGDANTQYVNRLISIGSKIVKNKKAASRTYRSKRKVRLSLAMAAESAFTAADPGGSDTEIGSHSESDSGRLFY
FFHIALPLLRGPLAALGVLAFFQAWTAFAWPMIVATKRGAYTIEVGLAQFQTGYTIDLGRLSAASAAVLIPSVLTFVLLRRNFVEGISASGMKE
MEKEEVELLPAGLITCLLDDKEVRIIKISPEKLTVRVAEEIEKISSIKVAFHKFDENRYEEVIIQDYNIVEKRKEDFSLTYIFSIESQKYSHNVRSAFKKYSNYIMLKAFGDGNEFSKEMVNYPAKLDEEFHKDYLEQKEEWLLGVNYADWDDNIVDSLEIAISLDNDILYEKFMNNHIQTFKIDYLNENFIGGHELFKKDISRIYIGNEFCHNLFPEIKLLKGMMQKAKEERLEITLCFTYMRECYIEKTKDIIEAVYNWCNENNTKIEIVVNDFGMLKLLKDKIDIFKLSLGVLLNKRKKDPRYIYKKGYLENKELIATNSLNSSIFTKFLRECKIERYEYENCGYKISIADGHHSMHIPFYQTNTSQYCPLYAMCTTMDRGNQKLVTECPKYCSDYVFSYPKHLKMVGRYNSLFTFDDTLLKNPKELEYYINSGIDRIVLNFL
MPNQIKYYYGITINLLKVFTKPEVEDYEGNLYWLQQYYYAWNEYLQHEEDKQQQEEEGVKKDDDCLNVQQMNSDHTIPQASFSMSQQIPEIDKEEEEEEEGKNSSTTFETVEKSSLSSLSANQQQQELLNNQNGSAKVEEDDNRYTSSRSTVAEDGEGAKNQDSLNMQQNNHNGIPQGSFSMPQQIPEIGKGKSLLIFETGESSSSNQQQEVLSNQIRSAEAGVRGDQLVDKLMNVEPDINLIKVKKVNELRPGTNGFSITVKLVDCKIIKNATSRRAECLVGDETAMVVLTAKKKNVDLFTNLKPGTTIILHNAKVELFKGSMRLLVDEPNGGRIEINTRPASFSVKEDNNMSLIEWEKLVVN
MKLTNNLSREDKKMINSICWRSLNAHCSRVGGQARQMAIGFLWQIMPALNRYYKDQPEKKKEALYRHVQFCNVSNAIYPFLAGLVASMEKENSEVDDFDTSSIVAIKAALMGPLAGIGDSLLFSVVRVIAAGIGISFALQGSILGPILFFLIYNGCTMALRFSLGYVGFISGSSFITNMYQNGTLKILTKCAGILGLIMVGAMTASTVKFTTAISIPIPGGEAVALQSSLDTLFLGLVPLLLTFGCKKLLDKNVNINWIMVGIFVLSLMMAVVHIV
MDRDEAMQVLRATAPATDAEVSGRVGRRTLDVLREGITMTSTSEAPDTVPPTRRRRRLTRGLVAGLAGLVLAGGGAAAWAAYQGLHESTSIDCTSPGVDTIIPPVSGDPVADCTAMWRSQGVTVPAGLRAYDSGRAIVVRLDGTVPDGKPVLPAGSVQDKRVIELSASMEDIVDRPSHLTCAQEADEQARAQAELDRLKLTDWTVKAWRDAPPKAGNCVHAVVDSDQRAILLVDSGSGDPVVPDPGTARLAGLLRTHISQGCLSLDEAEAVARKDIRTVEPDLPAEALAVTRTVDPTAPCTRVDWVPAGNFDLRLYGPQTATR
MKALKYQSPYIKLCMLFVLTVLPCSITYSAEIDVQKTIIQAQNNQKQALYDLAVLKHMGLDGVEINLPEAIELYKRASALGHRSANQNLGLIYYKGVGVKVDYVEAAKWFEIGAERNLEDSKRMLLIMNKYELIPKNEKKLESLLIEFANKGKQEDIEQLADYYYYTKNDLLLAEKPTVLLAEKGLAKYQHRLGMIYYKGTDAKPDYKKAYQWLLKAAEADHVGGKISLASLYLGGLGVQQDFEKAVHWFNEAYVQDPVYTCELLNFAHRELNNSTSSCTSRKSKIAP
MLASREFHETCSSKATVGHNPTIPAAHPRGQSQPCASHPPNSIAFPFTQPTICVNELGNNSSNYASVAPRGHSRLPPLSYTELVFAWTQTHTHTHTHTHVHTHTHKHACK
SIPSLSKLRNSDLYFLMALMGMETDSSSFPSSSSSSAARWKYDVFLSFREKVEMWRDALTHVGNLAGWPLMNRPFSQVIKSIVRLIWLNLNNDAFSECCNCFKLVDYFQSSSNMLQGLPDTIIPGESEIFNFFAMEGEDQTSKKLDSIHRGKSDCYQDIEDPNQTITELSINSRTLYENLGDLCRHDLYHSAAEGGDPRNLKPIEVKQPSKPPSQKVLLIAGTWKWRKLGSA
MGNEVGAIFLQPKYHSKGVGKALMDKAQALHGDLEVEVFKENSIGCAFYFRYGFELLKETLHEPTGQQLLRLGFTAKGSYSTV
MSVLQQPADRGHLHQHLGFGFPDPEYALRIPKEVWDTLGLDTPHTVLEFVGRDGEVAIRPRVLVHPDFPWFWEPGQQHAEQEAEEEIARGEIRPPMAGEEFLAHLDALTCDKAGRYV
MLLTKRLVAGIASVGLLGTFGVAGATATYADGPESETPPELAALIMEQPWPEYSVGDEHVNIYAAKHLLTEQGFFGSKINDDFDDNLIRGTRNFQDRYGLERTGELDADTWQTLSDIYFPPGSGNAVESGARGPIVYAIQRLLQGHGYDVHRTGEYDDAIAAVEHFQRVTCTPDGETCLDVDGKTGEHTWRALVTGGI
MKKSPSQILQEISLMLEILGDNPFRARAFARASRIVADFEGDMESVLKREELRRQKGIGEKIWAELNSIFQKGFSPLHRQLQKEIPSEIFLLLQLPGLGPSKVRQLFLSGITNLEQLKEACRDGSLLSQRGFGKRTVERILEEIDFWESNRGKWHLPQAQIWRLEWEERLRGWGQEYYVVGEFRRGQEWITSLEFLVLVDDFQSLWQRLQTEEGIVAERRGEVHIQILNSPIPIHFYLTDKKSLGAGLAYYTGSSAHLQKLQDYLLSHQFKLTPRGLIGPCGVVEVPQEDIFFEKVHLPWIPPELREGLEELEWAKEGKLPELVSYEDIEVILHNHTDWSDGKFSIEEMSLEAHRRGFRGISINDHSASAVYAGGLSPERVERQLLELQALSHLPIRIFSGIECDIRKDGSLDLEADLLARLEVVIAAVHSHFQLSMEQQTRRICRAISHSNVDILAHPTGRLLLRRRGYEVDWVEIFQACCRYGVAIELNANPYRLELDWRLIQAAKKAGVRFAIGCDAHHVEDFGHVSWALMLARKGGLERGDIVNYGWV
LGLAEGILAALRGLRTVQQLAAAGSLRRMKETVKDIDILVTSTSPARVMEVFVGLPNVAEVLAHGETKSSVRLREGIQVDLRVVPPDVWGAALQYFTGSKEHNTKLRGRARKMGYRLNEYGLFETSSSSSEDEPADPLAGRRLSLASEEELYGALGLQYIDPELREDMGEIEAAEEGKLPALVRLEDYRGVLHCHSTWSDGRTSIAELAEAAMVEWKWEYLAICDHSQVATYANGVKIEDLRRQHAEIDAINRKLQPRGFQVLKGCECDILADGSLDYPDEVLKAMELVVVSVHSRFQMSEEEMTERLIRAIRHPYADILGHVSGRLLLARDAYAVDYQRIFEVAAEWGTIIEINGDPNRLDLDWRLCKRAKEMGIKFAVNPDAHSREGLANVKYGINVARKGWLEPADVVNCLPLEEFKSLIHKLRKRKLEKLK
MRADVVGSLRRQKETIGDIDLVATSTNPTETFNFIANLPFVKQILAKGETKITFIHNSNTQIDIEILPKENYGSLLLYLTGSKDHNIALRTLAEGKGLSLSEWGIKQDNRLITCDTESGVYKMLGMEWVPPELRENRGEVEASLRGDLPNLVEESEVRGDVHIHSNWSDGQASIAEMALAAKALGRKYIAISDHTVGLGIANGLNEEEMQNRQTEIEEANKAVRGIAILSGLEVNILSNGELDISDQVLAQIDVVTASVHGALRQSQEQITERFMKAMDNPNVDIIGHPSGRLINERPESTIDWPSFFRKAVETNTAIEINSSPYRLDLKDTLVQQARSYGVKFVITTDAHTPHELAYIKYGINVARRGWLEKSDVLNTLTLSEFLSSLK
MVERLLDLDGCEVAHDVRLTPAEPARARPDHAPPKDERNYKAGRGASRLPVKNQEISDRFNEIADMLDILGEDAFRIISYRRAARQLEALTEDVEDLVREGRVASIPGIGAALGEKIEEYVTTGKIGYHEELRARFPPGVLDMLRVRGIGPKKVKLLWQELGITDVETLRKAAETHRLRRVKGFGEKTEEKILRSIELLKEGESVFLLAHAHAVATMVTDYLRKRAPVKELVAAGSLRRMKETVHDIDILATTKDPRAVAEAFTTMPGVREVLAAGESKSVVLLDAEGRFIQVDLRIVEPGSWGAALQYDTGSKDHNIHLRTMAQKRGLMLNEYGIFRDDSKVAGETEESVYSALGLSWMPPEMREDQGEIELASKGATPRLVEDGDVHGEFHVHTNATDGVDSLETMVDQAKALGYAFVGISDHSVSSAVAFGLSAEKALARRDRFRELNRERKGFTILFGTECDILDGGQMDYSDEVLKEFDFVIGAVHSRFTLPIKEMTERINAAVRHPYVNILAHPTTRKIGQRDPIQVDLDEVYAACAKAGTAIEIDAYPDRMDLNGPQARAAKNAGCTIAVDTDSHAKDHLAWMHFGVGTARRAWLTATDVLNAWPLEKIRAFFR
MSNKEIAKLFKITASLLELHDENPFKIRAYSGAVFSIEKMEQDIGSLQPQELEKVEGLGKSIAGKIAEIQENGSFAELDKLLNETPKGVVQMLKIKGIGPKKVKTIWKEAGIETVEGLSVACEENKIAKIKGFGEKTQQTILENIVFLLQQEGRFFYAEIEPLALEIQKELEKTTLTFSLSGQARRFLETEELLQFLVASDDSLAVFALFDNLSFLQKTEKECSPNAWRGKALQSGIKVEIKICSPAQFGSKLILDSSGEGHLEKVVAENQTLAQYFRKHHFSNEKEAYLSLGMPVFLPELREGLIEFKNENEVSQFSNPELIRYQDLKGTLHNHTKYSDGENTLLEMAQKCLEMGLQYFGIADHSKSAQYANGLYEKDVLRQHAEIEELNKQLAPFKILKGIESDILGDGSLDYEQDILKSFDYVVASVHSGLGMDETRANARLVKAIENPYTTILGHPTGRLLLRRQGYPIDHRKIIDACVANGVVIEINASPYRLDLDWRWIPYALEKGAMLSINPDAHDLEGLHDMYYGTLVARKGGLTIKNCLNAMGLKELETYLNKIRS
MDNETIGSTLDQVADLLEIEGASVFRVRAYRGAARTVSALASPVSTLPEKGPGSLEELPGIGKDLAGKIRELAETGELAMLHELKSRTPESLIHLLELPGLGPKRAKAIHEGLGIDTIEELEKAAREGRLRALKGVGPKLEAQILEGIAARAARGKRISLAEAEAQVEPIVARLREVPGVLRIEVAGSYRRRRDNVGDVDILVAADVSVAIGKKLIADPQTDKVLADGDTKTSVLLKSGLQVDLRVVPEETFGAAMHYFTGSKAHNIAIRTLGVRKKLKISEWGVFQEDKRLSGEREEDVFEAVGLAWVPPEIREDRGEIDAAREGKLPKLVERAELRGDFVAGKPDADELAAITSAWRAKGGAWLVVIGAPRSVIERAREHAGVELFAGRVVTIGEGGSIGASEEELAEVDVVIGEIDAANLAEKALTQALVAAVETGCLHVLARPFGAGKPRQFDVEAVAKACRERGVLLGLDARFLQGADGYARAVKEAGARLALTSHARNVEEVELLRYGLDQARRGWCEAKDVANTLSAGELRTFLARG
MSNREISSILEEIGTILELKGENIFKSRAYYNAARIIRYYPESIAEIVRKGKLSEIKGIGEALTKKITELVNTGSLKYYEKIKNSVPPGFLNMLKIPGLGPRKIKILYDKLNIASISELEYACKENRLLALQGFGKKSQDNILSGIKFLKKYYRKHLFNEAIIEAENVKEKLSSIKDIIRLEIVGSIRRKKELIRNINIVASSNDPEKIIRHIITTEGVKGGFTDGKSKIIYSYFFTDISIHLYVVPDDKFPYALYYYTGSSNHIKLMEKRAEKFNLKLNEDGIFRGDSLTVIKDENDVFQNLGLSYIPPELREGLDEIEIAEKGEIPELIKNEDIKGIFHIHTIYSDGSSSIPELIEVASKKGYEYIGIADHSKSAFYANGLTEEKINQQHKEIDNLNNKNNNFKVFKGIECDILPDGSLDYSDDILEGFDFVIAAVHTHFKMSERGMTKRVIRAMKNKFVTMLAHPTGRLLLGRERYSINMKKIIKAAKDYNVIIELNANPYRFDIDWRLLKYTKTKGVKIAINPDAHSLEGIYDVNYGVGIARKGWLESKDAINTYTLNEVQNLFKEMKK
MENADAAGMFEEIADLVEIQGGNPFRVRSYRNAARTIKDLSGRVEDLVEQGEDLSELPHIGSSIADKIREMVETGTCAKLEELRGQVPGHLTDLLDVPRLGPKKAQKIHEELGVDNLDDLRRACEQHRVRGLEGMGGKTEAQILKGLDMLAASEGRILLKEASEQAGMIGRLLDGEETVDRWEAAGSFRRGCESVGDLDILVHSTDRAATTDRIVDSLRPAEVIGRGGEKVSVRLSGGLQVDFRFFEEASFGSALMYFTGSKAHNIRLRRRAREHDWKLSEYGLFSGDTRLAGRSEEAVYGKLGLPWIAPELREDRGEIEAAEEGGLPALIEPDDIRGDLHVHSTATDGVHTIEEMIEGARKLGYDYLAFCDHSQAVRVAGGLDAEGLKRHADAVREANDRYDDILVLAGVEVDILPDGSLDLDEELLAGLDWVTASIHYNFNLDSDRMTERLVRALGSGVVHALGHPLARHIGKREPLAYDFDRVAAVCAEHGVAMEINAQPERLDLPDEYARRAREAGAKLVIDTDAHSTDDYAFMRFGVMTARRAWLRKQDVVNAASRREMEKRGRLRPPP
MASERQAPDAILAQTNLSGVVGDIQDDPDSPDANWLTASGNNVNTDARVSFPTPTGSPDVGADLQEFRAEVREFDTGQTGTPKARIELWENGALVRARSNVNVSGTSQVIAFTWNANELGTADGSLVECKVVGTKSGGSPSSRNTVEVGAVEWNVTFTAGTTFFETLSATAVAGSTMSNVPTYVESLAATATPLASLNLKMFVSLTATAAAISTITKKMFETLSATAAGVATINGAKFVQQTLSATATPISTISNLPTFTETLSSTASALSTIVTDFIAGVTTGRLYWGRTKSSLHACWRWLHTKRRKGGL
MGRRAQGHTVNPFIPEIRYRQRKGAGTSAKRTRGITSGNRKRRRPLKEFCRTLPETEPATFHTFHVSKNPILKREQHEA
MIILNNTVIDSNISSSKSEFFTLFNLISVNYKIPLGCLISIISFIAIFGNLLVIAAIVVDKSLRTVSNIFILSLSISDLMIGLFVMPLSGTIIIFDKWLWGPHICRSWLSIDYIASTASIFNLFTLSVERYLSITYPLKFMTYQSKSKAKIAVLIVWLTSSLWIIPINLWSHFFKDKQRISIENNIVQRCTTDFETDKIFKIVTTIFNFYVPCIGMIAIYSKIFITIIKRSRSEIGIFCFSPYNKCSINESGIKKATSKSSVSSNNFDSCTQMRNKKISVLSEYHVNRKRVTFKKKQSVPSSSSNSESFQSKKNFYIQSINKNCGDKLAHLNKNSNKSRKNFNFFSFINCRGECKSGVDGKDLRHAIRRNALIEKYPTMYESNHSFEIDQIKQNKGIFSILDKFVQRKRHDKYDVYSARLKQQIKAAKQLGILILAFLITWMPYFITFIVVAFCSDCISDNLSAITLWLGYLNSAINPILYPQCNSSFKHAFRRMLRISPKRRKN
MRRTTFIAVLAVTLPIGLLPVAAGAEEGTHSENLTHVKNLGYEARNGGTPNFGTDIEFAALGGRDYALAGSYRNGLQIVDITEPEQAHIAAIYDCGVTQGDVQVFNRSDHPGRTFITFTSDTFGDGTSTCYREAEALGFDVKKDNGSGKNGTFIADITDPLNPVTVSFVEVAKGSHNQTVHPSGLFLYNSNSDLITTAGSQAIEVYDISDFTAPQLATSLALPLRPGLGTESHDITFNTVGDRAYSAALSQTVIIDTTDPAKPSIVSSFVDPAINVEHQSDPFTLTDAGGNERDFLIVEDEYAGAAGGPHCPSGGVHIYEITGDLEQNPRKVGYWNIGDAGLTPEPTGRCTAHVFDVHEKEAIMTIAFYNGGVRVVDISGLAGYSLGSTPIASEAGMREIAFYKFGDSDTWAAKTPHIQGNGDFYLYGNDISRGLDIFKFDAGNKGGKKPRKSRDEGTWMTASEAAVSLPRLDIAAGYKPFCLLPE
MSLDNTTQHRMNTLSPIYSLPSELLSEIFHRAIEDLHPFAADRHTRVTSFTHVCALWRAIAITTSGLWVSIVCGDSWSEHGSHSPERFESMESIENRVEMALSRSRESPIDVLLDCPYFAEDAKLLPRMFRRLVLPHSLRIRRLELHLPSGEEIDAVLPILRPFPSLFMFVLETGYRVGRRDTIYPFSRGSQLVNLKHVSISSSYSVFIEGIQVSSLVSFYQGSHPFPISEVVQSLEGATQLEKLYISTDGSKSSLTPPNPTRITFPHLRYLATANYEFGSWIDAPNLVMLELRYRGSRAIETIWYNLGSNLGKLRHMVITASHPYAGINFGALFEALHRAPILEHLELKKLNRADLLASIIRLREFPSSAGGPLIPLLRLLGLSINLADARSMEEFDMTLHSEVDALCSSRSRLRVTYGSTTSSGADVDQSDSTFERLSQEAQYLCLPYHEM
MDDHKVKRYISTAGLQNGQFIGPDKVEVSIKNGAPFLSALVPQTMFNYSAYCPEDFYGKMQKDYVLYSIENPDAQYTYSQFNVNRWPQFGSFSTANFFLPVYNNVNHCLPGDNQCISDQKRRKANFLKLEEAHFFASPADNRIMPWQSSIFGRH
MKKLRNDINRKDKLIFSMLLDAIMVGFFAGIFSVLYRFVIMKMDMYRAFLYKEFNIKSLFILIVLASLISFIIYKLLKWAPLSGGSGIPQIRGEILGKFKMNEVPTLVSKIVGGGLGNLMGFSLGREGPSIQMGGAAAKFLGKSLRRPPDEIKYMITAGAAAGLAAAFNAPMAGAIFAIEELHKSYSKFVLLPALIASIVANYVSFVIMGAETSFSFFVTKSLPMKLIWLPIVIGILTGLVGGIYNHLITKFQDLFKKIDSKILKYALLMGVTILVGFMFFEGTGGGHGLLEKMAKERFAVKYILAILLVKLFYTTFCYGSGVQGGIFLPVLVIGGSCGALVFSILDGTFALNDYYINFIILGMSGILASVVRSPILSIILVSEMTKTFEHLIALSIVVMVSYYVAELLKVAPIYDTLFERQLKDKNLLTAKEKELGDYSVFEYTVSDDFVNINKSLRDIKFPRHLIILSIERDGSEFVPKADDLILLGDKITVLINAEDSLDIDEFFKGE
LQYEASEGGRASSRRQLGPSSSHRELRSPDVASVRSRVSSTRSQASSAMRHSQSAGAIAQYAPRMPLNATPWAPPPAPSIPGSNMGSVYSRARS
MFSNIGNVAVIGDLNGRVGQEPDNITGDVIDKQLQDNISFINYVNDDVFLNRHSEDIKPPNNFGQRILQLCKNSGLRICNGRFGKESQKITFNNKNGCSVIDYMLISQNIMFNVINSFSVGSFNHFSCHAPLEVDFNLTVILTTVA
MRKIKNALVSVFHKNGLEEILKTLSQLGVELYSTGGTYNYIEKLGISAH
MSGFSIKATIPNYNGRSLLAANLPSVLGTLKPEQVIVVDDASSDESVEMLRRNFPGVAVVSRSLNGGFSAAANDGLRSTDSSLVLLLNSDVQVTPAFLDAIVPMFEDASVFAVTPRIITPALGDIDDGAKTGRWRRGFLWTDALRQASGVRPNLFASGCASVYRVSMLQELGGFDEAYAPFYWEDVDLSYRAWKRGWQSLYQPAGLVYHQHSATISRADPSRTNAIKARNCLLFLWRNIEDLDLVAQHRRWLGLVLAGRAAAGDWPFVRGWRMARGMRMQAIAARNADSRHRRLTDREILSAAGVTGVPVIRS
MMKPEIGIAIPAYKSVTRLKRCLESIERVNKCLLEATTVVDDSGDGSVASVLASCYPQVNWIENQSNTGFACAANTAVRECASKWVLLLNDDVELVEDFSTYLLPMLDDNKLFAVAFRSIDEHGAFREGAKRLSWRFGIAKIFHNPSDQNWTGIGVAMTAYAVGGHAVFNREIFTQLNGFDAYFAPFYWEDVDLSIRAANTGHRIIYLESCKVMHRQDGAIKSSSSSAQIRYYTWRSRIRFSLRHASGIHRILLPIGVSWHFLQSIVVGDLARTRAIASCLYSSSRG
MPSRIRVLASRTARSFYRRLPLPLPVKWRLKSFLYRHFGLLLKDSANYQQWLAQTAAAQSATVRTSGPVASLPPHPVPPPMLDIGPEGVAGLAAALRFVVPEEPEVSIIIPAYNHIEYTIRCLAAIQRCPSEAACEIIVVDDASSDATGSLLSCIPGLRYVRNPENQGFLRTANRGAGLAQGRYLLLLNNDTQVQPGWLDRLLDTFTTVPETGIAGAKLIYPSGHLQEAGAALRPDGTVDLIGLNDDPAKPLYNGVPRSVDHCSGACLLIKTALFRELGGFDERYAPAYYEDCDLSLRVIERGLKVIYQPAAEVIHHLSITTDQDGHKLQQIERNRAIYLERWRKVLKARDRVRLIAFYLPQYHPIPENDHWWGKGFT
MTPELLADLHAIMPEIWLVGAIVAAVLADLVLGERGRVVVTLLGMAGCALALCALTGDVHEPAGRVLGVLSIDSYAVFFRTLIIGGTGLILLHTLVFRGVEDCTRNEMVPMLLGTALGGCLLASTDNLIMLLLSMELLSLCSYLLAGWQRTERRSSEAALKYLVYGAVASAVMTFGFSLLYGLSGSVNMAEIGLAVSDAWSGGAGSGQLVVILATVLVGTGMFFKVAAFPFHFWAPDVYQGAPTPVTTFLAVGSKAAGFAVLVRFVHGVYLGDALNPAWLERLGWMMAVLAAVTMTYGNITAVLQRNVKRLLAYSSIAHAGYLLMGLAVMTSGSADEAVTEGMDSLLFYMASYYVATLGAFGCVMALANRFGCEELDDMNGLAWSAPWTSTFLVIFLVSLTGLPPTVGFIGKWMLFKATLDAGLYWLAVVAALNAVVALFYYFKVARALFLRGDQEVVEGLPSRGIMPGLAAASLAGLAGVAVYYGLMFDDLAAWVSASLL
MNSLDAYTQGIQLISPEILVVVAILLTSVWDLFFPKLKGYTPCFGILSLGGAFAILCQQFGEPQRAFNGLFTVDNLTVTFGLITCVVGIIVILMTMGYEHHFRQNRGEFYAILLTALVSVMFLAGSTDMIMLFVALETLSICCVLLSGFQKRDAKSGEASLKYLLSTAATTATLLYALSFIYGLTGATSFDVIAQKLQVMSVGSGSMFKMLLIALVMSAIGFKLSIVPFHMWTPDVYEGAPTPVTAFLSIGSKAGGFVVAMRFLLDVLGTAYPDWMLILAGLAVVSMVAGNLIALAQTSFKRMLAYSSIAHVGYILIGLLAFSTEGVQSMMFYIIVYGLMNLGAFAGAIIFSNETGSDRIDDFAGLIKKRPWLAIMTSICLLNLGGLPIPPAGFLAKIFVFKAGVGIEQLSGSSLSGLPSAMLQHQALAGGIPIGWILVLVALVTSIPAIYYYTRVVIMMIVPEPSEKVANLPERSQYVGSPQEGPWLALTACTVAVMLAGTFMVSPIMEVSRQAALSISPERQREIGLKIDKSAPAKSMIETVPDYGLRQRISLR
MGKVEWGALWPAWLAGGGVLIVLLADLVLPKSQRWLISWVAFVVLALATASTFWMDMPKGTVFQNMIAADEMSRFLAVIVLGVAALVVLASPDYLSRIGIEATGEYYALILAAATGMWLITVAAHFMVFFVALELFSLALYILCGFLPRSVRSHESGFKYFLLSSFASAFLLYGIALIFGATGSTGYSQVQQYLTTNHVTGNNGILVLLGLAMVTVGFAFKISAIPFHMWTPDVYEGAPTPVTALMAVGTKTAIVAAFLRVYTGVFGPIGDQWKPIIFTLAILTMIGGNLLAVSQQNIKRLLAYSAVAHAGYLMIGLVADNSLSRSGLLFYLLGYTVMTLGAFAVVMAVEGPQGENLQISDFAGLNKRHPWLAAIMTICLLSLAGIPPTVGFFGKAFIFGAAIQSGGWNIALAIVGIVTSVIAAFYYFRIIVQMYAAQPAGEPEAEPASARAAAGKPAPSLAFVLFVAVVATIGLGILANFALDWANTAASVIGAISTTRGS
MENITSFEWSAVSAEAALFCGAVLAVLFEALFPKRGSAVCAFAVAAMIAAIALDLLAPVPELSFGGTLGGRGGFGVFITACALLSALLGFGYFAKGGARRCEFLAVLMICAAALSIFARSRNLMLSFVALECATVCLYVMAAWGRSRASSLEGAAKYLVISGVSGGLFLLGIAFVYGAGLESGVDFLNFENFTLGFCSVKFAIGMLLVACAALFKLAAFPFQFWSPDVYQGSPTPVSAFFAVGSKAAGIVFLAQICLAVDFSAVPAAASRAVFAVSAIAALTIAVGNLGAITQTNAKRLMGFSGIANAGYLLVLLASLIKYPKSAELFEISLYFYLAAYMFANYGIFFVINQFEGEDDSPLEFSDFRGLIRKNPVADSTLIISLASLAGIPPTAGFFGKLLILILAWYAQLYVLMGVMIAGSAVSIFYYFSWMRASIDVGAGDERRLRDSAAMLPTMIALSAATLVFGVFFFFKV
MYLEMSLVLISASAFLGHLFGRKASLPFLILSVPLLLESETTRISHFFFDEYSKLLSVLVVSVTILVSITTEERERSAQTLLSISALGIILALSSKDFLSLFVSWEIASVTTYAMVAVGRGREELEAGMKYFLFGVTSSGVMLFGISIIYGENGTLSIINSGFGIGQALFLAGILFKIGAFPFHVWIPDVYQGARSWTTSFLAGASKVMGFGVLVRSSYLMGLNGMDLFFLLSILTMFYGNLAALLQKDIKRLLAYSSIGHAGYLLIPLSVWNPRALTGALMHIVMHSLLKVGAFSTVLIHEKELGRGIKDYKGMDKYSKLSLTIILLGLAGVPLVGGGGFWSKYVLFMGALASGTRGLALALGGILTSAISLYYYARVIREIYSTPGSEEVPGKVLVPLTITVTVLLLGLYPTPLIEICQKVSTTLFP
MISAFSILFLIIATLIILSWIFKWTNTTVKIGKNQQALDQITLAQAQEYID
MALYTAIALGLALPWYARAWWEAGNPVWPVANALFDGLAYKGAYSVSSGAIVGGTVGFGERAQDLLNATATSLWQWAWNEKLGWQKATGIYYVALLPGIVAYWRRPRVRWLALACLLYYLLAVLYVDGNPRYNLALFALFSALAGFVVEQLSRQSTRFLRLLVKAAFVVTVVGNLAQGYALSFQGVQYALSQQTADGFLLENEANFQAFRFVDKHLPPEAKVLLQGIVKGYYCERVYLWDHPYQMLLQYGDYKTSEQLLARLGELGITHIARMIYIPPGRTEGVGYPQYFADPLHEDFRKKYLKLLHRDNGYVVFEVVYPS
MDKLLQEKHVKDEQIEHWKKIVRTQEELKDLLNKEYDELAETQGKLEEKLQELEANPPRQKALPCLLLQLDFAIGSFVFSAAGVCHKKLSEDACRKHGGFVDCHVSH
MTKQIRRCPWCAAPVPDPSGPGRPRRFCKRSHRQRHYEARRLAAAQGLAPDEVLISRAQFDEWRDRIYLLEAALEDAEQDLGDAPTLRDYTEAFQTLYEAASRTRAFRLEARALGAE
MMEGGRGGRQERQGRLKSKEGGRVRVVGKSSKGKGKKNQGRYDLIRGDRLIKRGSEREGERKSGYKVEEG
MRSRQPTDHFRRAWLWFAAGLALVAASWQPVAMAETRLEGIIRQDQVWEEEKSPYRLTGTVTIGKGVTVSLPPTIVVRFDKGSCLEVKGSFSADEALFDGLEEMHNREMLLFLPGSRGRLTSCVIQNLELQLRTDQATITRNAISNPNGSGITVGKNSRPTITGNDFHANSYYAVYREGKDVLRVPNNYWGAADGPSGAGPGNGDAVNPPVDFRPFQKEDISEHLVLSDRHLDHTTLGPGSRFSLTYVIDNLNSYDHAVILGASIFSDPDHHIHSSTHDLKVTVKPGRHRFTRSFTIPARAPEGRYDVLWGVMKTDLTAYYVLKKDPGILHIRPEPAARSAPAGAPGWVPLKSSPY
MQQNLQQFKIVDKAFKIKQASKYYLTVKFTETALNYCVYDPSKRRFVALANYENLDSYQYDNLIVNDELLTQFYGKLRIIVPSQEYTLIPNEYFDLKSVNDYASLHFRNRSNKVFVNDVPSLNAKQIFTLDERLQRTVTHFFEGALIYFEGTPLLEGLINQSSHTEKQLLYIHVVKGAIQLVVFSNGKLQFYNRFEYKTDDEFIYFPLFVCKQLDLNPKDLHVFMLGAIRPGDVAYNLIHQHFRKVHFGELSTRLGFSAAMTQIPQHRFYSLLNIELCA
MSELEEEYRLEYFEKEGFERMTCRECGDHFWTLDPDRETCGEPPCATYEFIGDPGFDQEYSLEEIREVFLSFFEERGHERIDPYPVAANRWRDDVMLTIASITDFQPHVTSGESPPPANPLVVSQPCIRMEDIDNVGKTGRHTMAFEMGGHHAFNAEEGSDYAYEGEVYWKEEAVEYCMDLLAEVGVPRDEVTLIEDPWTGGGNAGPAFEVIYKGLELATLVFMQFEEDPDGDFEIKGDAYSRMDRRVIDTGYGIERWTWMSQGTPTVYEAVYPEMIDFLTDNAGLAYDEREAEIVRRAATLAGNMDVDEVENIETAREEIAAQLEVDVDELRALLEPLESIYAIADHSRTLAYMLGDEIVPSNVGTGYLARMVLRRTKRLVDDVGVDAPLDELVDMQADRLGYENRDLIRDIVRTEVEKYRETLDRGGRRVEGLAEQYADTGDAIPVEE
MLERVLLVAVAAGLSVAWLLTYDASPSGEADFNVFYQAALHWREPYAPESTVGLPAWMQNGRSPFAYPPTFLLLALPFTLLPYFTAYVVWAVGSFALFVLAASFLNLRAAWLLALSPFALLLAIGFGAPVTYQPLLYGLMTGQTAFWTGAGLIGAGLLLEKRPAWAGALLAIVACLKPTAALVAPLILWGRWRGLTAAVLTGLGLVLASLALGPHLWLEWIAAARAFKGGVLQLQPAAVFDHVLWQVALVALGGWFAWRERNLAGLLVGGVLCAPYLMPYDLAALTALGVSRIGRQPQHWALAAFGAALVVGLVGNAVSVLIGCVAVVLWFGLTELRRTGDGQGRAVGDRA
MNSVITDIESCFKNYKSQADVVLLKFDNFVNNDSFQGDEADASKEFVNTVEKGFINSQLEMQKKLLEMYRHAVTSFAEKVDSAPNARIDLEHLNEAEAELRSIYRELVSYSDFFESVVDDLNRNHGNVYNFSRPYSKPYSKPAKEALSHLCGGDDLDAGFIHSVKQAFIKYDMEESAYIDSMKLINVARYI
MLCEHCNALLSIFDSIVDTDLIHINFIDIFSLSILFHQSITIFFLSFAGCRVFSSLPDTFIFLFFSANN
MTGQGVDGEAARRFLAASYPALALEPGPPCDACLADLASPTAPGWRALLDWQQHFAPGVSPRGEATLFLGQASFFLGVALIVPLFFGGELVLAPTAAEVGLSLLPLNRNDITGTVRLRIDTTKDRTGDASDVLESLIEPLVTGLRARTRLSHAALWRVAGDGVAAALMDIGRKRGDPVRAKQLFDAILKRPDSRFRNPQLRWPVEDITGVLLRGGCCRIHETGNTPLCPGCVLMRGKMHGQKAPSGP
MDFAPRFRSNAKKIINCFHMSRLSRVLLDSDDTAKENRSIRCNTIGILRYKRIQHLYMFVISTNNMYVAAIKTCAFYIQNDLGGSGLTKINKLLLILTNMNIFPSSSSFVLLKGVFQDFWDFYY
MKPLAFEASPSGALAPHVLDEAAEWLMRLHDSAATDDDRRACERWRQRHPDTRAPGHAPSC
GGPGGPGGAAGGAGAGGVGPGGGASGGAGGAGPVSVSSSVVVGGAGGPGGPGAGGVGPGGAGPGGIYGPGGAGGLYGPGAGGAFGPGGGAGGPGGPGGAGGPGGPGGPGGAGVSGGVVPVAGGAAPGAGGVGPG
ALIILAAYSNGSSLEKWVGTGPEKPKVPRHKLMLCKRADLAKHFLISAGITAAAGSDLANFAGVLKEMNDSRGGSGFSFPDLTADRAGVLLAEFAMNPRKAGRLQDYMNSCEEERDFMPEIDHLPEGLQEVDFNRIYHKENSYEYNRVIKEIDRRIQECSIYQK
MMDRHPHGRRAYAQEAAQRIHEQVAALGAEHVFAAPLAGARHFNPLTGTVYQGINHLLLQSALPAASSDPRWLSYKQIQSLGLGLAPGARAGLLLFARPMQALTGPAGPALKGCSVFNGAQILGLPPLSAHPAAAHAGGGKLQQLVGAILGELGLREESGGAAAIYDPVGEIIRVPQAGYFRNMREYNAARLRKAAQWAAQHEKRPRAAKRRPRHHGPDDPLEELWAHIVSALLMGMIGFGAAMPADGRQLGGAIPLLQRMGEIDFMEWFHGAHQVEQVLGWLGQLSPTLARLMEIERQFVRGNLLEGGDTSAPQAQPSAATPETQIAGGQLADARETEREMAREARRHALLMDVALLTAPELAHQLASHFGAQQVLAETDAAIHEKFQHWAQGAVMGGMFDGAAAKARELFGMFLRERGEEVGHLLEKDGESQRQARMAAAIEMPSAGSAGKIAVIGGAEPAAPAREISIQPFRDGKGGWLKVGHQDVIELGLVRQIADDDSRMTPSAVYLAFREDAPHCDAQRLMDAVDAAGWKIQFQQYVESKDSQIRSYASYFAPLVGYMPKIGDKLKLVEGVASISSKSGSGGWVVSFDDAGKQRALSSGRFYDFIESVIEGDFLSPPAAGKPERAAAPQPKAGRPALDDAALGF
MVIRAAISATVALLRKAGVNVLKVSYHIGLRMIERKISPKQVYDAITKGKKYYDPTYKSTVYHYNGVAVSRSGNTLTTSYKQSTPKSRWVKQ
LKAGAARDHILGVAAVSGRGEAFKSGGRVVKNVTGYDLSKLMANSWGTLAVLTDVTFKVLPAAETEVTLAIRGLLDEAAVTAMALALGSSAEVSSAAHLPERIAARVAGGKHGSDAATLLRVEGFGPSVVYRIEALRKLLAKAGPLEEIAGEASKTIWRDIRDCRPFADSGTRPVWRVSMAPSEAHHMVMALRMQAAVDAFYDWQGGLVWLSMREDDPEADLLRGLIRKHGGGHATLVRASAPHRAALPVFEPPPPHLAALSARLKAEFDPKNILNPGRMAPGAGSATLVQSTEGAAS
MTGCNGAPFNTTGLPATCAQGLVFHTFGAAFARPTSSYDIESDTKMMNAKTFTLVVAVSLPLGASAPSTEIQQEVPQAKQFSSDVTESETTESKMFDMNEDGSVSVGEVVGGVVLVGSFLALCPYCVLGI
MDADKIVKPPKHRLTCDNCKKSKVRCNQKRPECSRCLRQGVECIYGLSHRAGRPRLN
MFDMKFFIIFVAVVTLKLGQPTNSQRLDVYSRLLHAGNSFLTVENVDHFADTLFGRLTCEVRNLTSDEGCNRKICYNISEILGQVSGHVSTTEVSREQLAHLSVLLIYYVGHIDSKCGTKVDATNTTVAAAHAYMTNMTATPHGIGDFLNSVKKHLAETNHNHTSEGSETNSLVLKEKCLSEDAISYYLMSDDRDIDQLATLLSYMIYADYEIEDKCRLLPDKDAFAAVLIKEFGDGSMINLEGLSKLMETLGILPTPHSTADESSHSHDRKKRSTTNGKLVSQNSNLRHRRQTGSEIKECFSPAQLMAIFDSQSGLNKTNFELLCPALINQKLYGACSESTSVSNISDAEKFGYGTLAVFIICLCSVLGLIVVPCASKKVYNFILSSFLGLAVGTLFADAILHLIPMAMGIHDHGEEGHGHSHEDSDAIVVEEYVKYGLVIIGGLYLFYIIELLMENLGHHSHSHSEHDHSENSYQVTEISEGPDKLKTENDSHGIKTSKNGVTPLAVMIILGDGLHNLADGLAIGAAFSGSISVGVGTSIAVFCHELPHELGDFAVLIKSGMSIKKALGLNFLSALTAFIGLYVGIVVSANDVVRAWIFAITAGMFIYIALVDLMPLLIKSKHVLDLVLSNIGILTGFAIMLIIAIFEEHIKI
MFYLEDVRQTSLNLSGTGEQDILRHVFRWDLTPYQEVFRNGFQARRPQQGTPDEVYYNLEHYVHHDGGRPLDYRLPATHAFISTTLNTDWHPSLDDVTETEIEVYRYEIYAPGGIWIAQTLGDTYEYPAQDEVCFVVGIALNTFGLPNASCLLLLLARGLQDGTTDDKVVKGPLFICDGYGSLTGTAFAEHGIDSAFGSHYGDEADGVRKRLDAAFEATNKGEAYLFKDNQYALINYSSDSKLIEVRRITQGFPSLENTIFESGIDAAFASHRTNEAYIYKGDSYALIYFAPGTTDDRIIGGVRKILPNWPSLANVLPRKNRGLDFHYHTKPDPTCLKPPQKLSCCSPCTILGIEGFVEGRNVKVLELKVLFSSA
MRAMIASPQAFRRGSFRLPPLREAVARLFEPRLVIRRSLSLDASPEAVWEALIDLPRWPERDRYIRWIRPLGEPPPSDGRWWVVGRRYREQVRRGPFLPVFKLTVVQVEEGRRVAWRARYLWVDATHAWEVEPSNGGAILSSEESFSGPRGIMAIARIVFRLFDVERMTDRQLEAMAGDVVASE
MAFDGHIEVPVAETNSLSAMWDDLHLWGTHPDADAQPRYSYEPKGWSPRYEPDAEHPEATPSKKSGWGEAFIGLLLGWMVKRAQKKNKH
MEGLGHRLASCSCSRRPVGSRDQDYGAGSGSRPVASTA
MSLLLFPIIANLTQLTTLIINNIESNYIEHIVNHLSSLPLLSSLIIISIDNIKNQNDIYYKIFRLPTLKYCQLLIETLRYLKPLSIAKNEFSSIEHLVINNKASINQLNSLLSYVPQLRRLSIGYLDGYRYNRTHKSSIVLNYQIQVLRINMSIVGFYYLDYLNADQWERLISTYMPNIRTFVFKHKFRSYYQNIDRIAYKTQISKFNSLFWMKRQWFFEHQYGRQKRSNVAIFYSINPYKKYYMLYEKLEKKIWSYHFEITEHPIHDIFIHNTKAMTQFVGNFPNITELTLSKSFDVLRDSMITNLNRIIPLKQLTKLTLDCLRFSFEQL
MNQIKRQQDNIIDDNDNKTNKKLRVLASDTKKTYFEDLSNDLMYEIFDYLNEYDIYESFYDLNQRFKNLLIKSNLPIEIRFPSISKLNFYNYYRQMILPNRHRITLLHLTNPFTIDLIFSSSFLSLKFIRVQTLILDNMHTTYLEDIIDYTIPYYLLNLSALIIHVVDQIQVPNYFYYQIFSLQTLKYFKITCETKKDDRLSLLIDDDDDDNDDHEYSPIETLIINDCIRLDEINDILSYFPNLHRLSIDYLDGIYNKQLKLDSIHLKSLTYVNLKIQCLNFNHFEQLIINYFQQITSLYLSSRYDVDYLNANRWQQLILNYMKNLKIFDLYHIDLNRFDNNSQQIYHNLIENFVSPFWIKRQWVFTHHHEQQGNCHIGYFYSTNLYKYVY
MPTTRPSARAGGGRCCAAWFCSAPAGGXDLEGFTTAMRGTTFDAAINADLVQGNRIGVPSTPAFLINDVPFLGAQPTEEFVRAIDAAVGSS
MVALNYKMLKGWESKGYGYVHFESEEAATAAIENVNGTVIEGKQVYVENFIKKQERTGSSADQKFTNLYIKNLEKDVTEELLSEKFSTFGKIASIVVMKDENGISKGFGFVNFDNPDDAKNAVESMNGSQLGKSFG
MMRTSGGVARADVIENRWGRSRGFGTVVFDTPEDAQAAIERFNGEVLEGRQLEVRLSKDISERRRPELRNTEFTEGVTGDGPPSSVIYADNLPFITTEADLHELFETIGRVTRAEIQYNDRGRPNGTAVVEFELSSLADVAITNLNGYNYGGRDLRISYARRPENYDAPMDAEPEQYSAELEQYQGEPQQFSAEPEQFTAEPVQQYQGEPAVTGDAIDEDIQDAPQQT
MLSSHRGQIAYLDAYYQEEYQEEKARAVMDLHRAVLEADVKRTAVVVLELLGRTVPQGAPLATVRDLLAAEDSQPLCKFLASTIRSEWRNANAHEDFRWDPVNSTLLLGGRPADLEEVLDAALRARAICRGFEHGVAVAYAQNASLVIRGAEDSNYVGRDLSILQAAGEARFPVLDIRRHGSLVRLDVPDVSVESLREAFRAILMAAIADPSVERWELRQTSPDRPLLHVDRTGTHAGLQVAEPLWDAADPLPFAALPLLANAMTNAGEPAETTASTVLCLAAAHVLGERDRLSPTLAHGDPAAKDELISTTKLISDGAKAAARLLEGPTRRKLTAFAEVLAGECHRLNSAPPVALVHEFVPAYRALRRHGPARLPWITGLHDSAV
MFGLFSILNSLVSVIFPIFGSYKSFESYNKVANEIALSNVNIGGFQVPINALLRKPGDSTNGSGNSEESLQRHLISIQKWMVYWIVYASLNVVESVFLLRYIIPMYSLLRFGISVWLVSPMIIGPVGDTVRSNNIIARKKEFEQFLQSGCGLCYYNFIKPWLDGEFKVLGGIDLSKLISPSILGYLNQYELIHYITQYLNLNKSGEDRPESNFFDLVKSKMVDSTGSYLFVNNFFSDKATSEAKDIPLDTDGLTGADSTPVNVLYEEYDVVDKPKVQDNAGNIKSELKMEKRKGWIW
MIGRQLLARTIACEADLPRHGSAFPVEYPAATLGREPTSHVVLVVLLTRPHPPELLPDFRLVLE
MGLKCCRCRALYDRIREDIALRIYNDPLFSKYQEGNRPPIHIVVKRGRVRLTGVVLSPVERAFAGTIARQVFGVRSFRNELRVESER
MNESSSLNEPTGATTESQHRVRRTFATLLGGLGIALLGLGLVSLVLMSFVSSPEAAKSTVKSALNQPDVRDVIANELIQKLQESADNDAEKLVFSIARPLIVTAVKEKLADPELIDFAGEVAATVYAVYIENAPATSVDISKFSDATLAAIRAADPSIPKDQNPEIDPLKVERKPGDTDFKSIRDMAQRGSWLFVILGVLLQVAAWFLSVASQWQRILRLGIRLFAGGVIFLGIVLVVRSKITQSSTDNKAAVDAAVTFITDPMVTRFVVLIVLGVVTGAVGFVMKRKAQSTVSPISVS
MHKTLLTFAIILTVIHPLFALGGDEPTPLAQEINQAKLNKALADMQAVDTQIETRMSQVMDALKRIEDSRMSGQQVIEMKRDALRALGDIIGYCHERINRLSTDMREIDLPLAREQIAREITYFYNQMEKRIADVVTITASLARHAGSEAYLDQNQRDRAAGLETAQGGAERDHARNAELLHSRAQELVAKVTEKLKEDAINLKMRADDLTLRTEALQDEQLYALVQEHIAALNQSVETRIGQIRQAQTPDDPGTPIGNQDARKTQTDLKNFGAMIRKDYEKLDQLRREINALLLENWKSAGEETP
MAALDLLDRRLIDTYQRGLPICERPYAAMAEQLGVSEAEVVARLQALQDEKVLSRVGPVFDHARAGASCWRRWRCRKRCVTRWPR
MGFREAADFAANVEDIARTLEYLQVVAAGAVDRTRRQASAAARAVSGFGAAVGWTTGWGNETAVHGPIGWASSQPADAGSDPAASGPDAEAPAGTATEETRVPDPADDGCRNTTEFLRARLRIGAGEARRRLALAEAVLPGTGITGHPQPPDQPELAVAVASGSVGSRSATIITLALDRVRHHADQDTMARMEHALTRAAAEQDTDFVARIARQWTDAIDQDGSEPTEAELRHRQGVFLRQPR
MIAALPGREETAVEYGWRADLVLAGLVRCGGCGGDLTPDMTAGVITFACPADDCGLVRIAARVLVPAVVEQAVEEVLEQLRTTAAPDLLLALAHRRSAELVPPDTTSGESWWERADPDAQKELLALLIAHIVVKPVDGAGFDVAARLSVAWRSA
MSESEHVSTMRVRQELGDLLNRVALRHEQFVIERKGKPLAALVPVEVIAFLEELEDRLDLEEAREVLRKGGKTSSWAKVKQELGL
MDHLYELVPDESQTESKLPQVQIPFLCQYEYDSDCEFSDYPSRAGFDKDRFLRLDFSERSPAETAAFLQTWLYFGLLSRVLRIPISMEDFVNRDHRSPTVTTRTSLPLYLERWSKRAKPKLVDQMEADIEEARSYVHCMLKAGESCPLPQEVVLSIMILGPTLSSAIDLKIERFPPKNHTTIDDWGISPLVKDRLLRQGWCINDIQRLCSTISVPTALFASSIPRREVIPNEQHGSCLPHACVARNIDEATYKTQHVDPNCPCSPVMAPLDQVKDVLQNGDIPVIIIKPGADSSTLELEASSDRKARYVAISHVWADGLGCTENSLPQCQLLRLHSLCEELSSGSTRVFSSFKNLLRKSEQPLGLWIDTLCVPREKLFRRLAIARMSATYARANKVAILDSELLSFSKGLPEREILLRMVGSGWMRRVWTMQEGALGSKDLHIKLVDGVVRFSDAIEALRKSAKTRSLATSLVDRDATIFFEEFDQLRKAHSKSHWQDGERVPAIAASLRILNDRSTTKEGDAYICLAGMMALESDIIRDLDAAPVEERTRKLLANVRLWPKAIIFSPGVKLQEEGYRWACTSFWRSKFAFREFNETGEIREGLGLRVEFQGFELDPFDLPNDHFLFQSVHTKIWYKATYDPSSRPFPELTSTAQPHKFGIICPQREVVRHGPGGTQVPAALVSIPARKWSLKEQFTEDAGAIHCVYLSQIMLSTPTEADFQHNTPRGSARRPNNTNEPRGMSIVYTPQIWYIK
MEKLEILLYAIIFLQILLFIFLLLIKNKTKTEKLESSLKELVKTENSLLKDTISQQMDDLNDDVFKYFNANQESLQKNLNNFRYEITTQFASFRQQVDARLSDEQKNNREGDELLRNTITKNLNEDRAALNQSLSEFSSNLDKRLAKIEENSLETLKTNRNETERQLNTLRATINETLEVLTKSNYDSLKELNTTLEKKISNLQESNEKRLQEMQNVVDEKLQNTLETRLAQSFALVSQQLESVQQGLGEMKSLAADTKSLKNALINVKERGTYGEVRLEKLLADILAPGQYETNVEIKPNRRVEF
MPHDRARHGSHDGHVQQHRTCSGMRMQHMLAQRPGFAKEQFKEYLEAEAVRREVRPLIENKEKIVLAPASSAYKHSLKEVLSCPGIASRIKDTKAAREVGALQDFYDLLSTDSTRAFYGPGHVFAAAEM
MIGNAQNSGSNSFRDTTHQLSPSFLPVYIATTGQHNFYPLKFELIDTHMVEVNHFSPLIRSENIYQTLGSLEQAHQTMLFQYERSMGFQRITFPYPLIFKTQDNLNIYELSTVYTKIGYFYGLPSEHMLDLNFAKKIRRGVFSLDLYAATNEGYFVHQATQGLSGDMQYRYATRDGRYGLRASYILNRVKNQENGGLQSVQQFIDHKLSDNKGYAVNIPNGLTHIQTHDINFQHYVSLYPKKSAYAGYITHNAHFQKINSSYFDYLDSTLQFQIYTFESDTTRDTLSCYKIVNSIQWSNYSPVQLVSDANSYVHVAAGIMHEFFEDQRVRSHFHSFTPFMRGNLKLFNFMDVFGTFSYSFGGYTDNDAIAKIRAEWLFRKDINLKVGGHANFYRVSPDYNFSHFSSNHFAWDWEWGKQNIADLGVGLTYKNYRMQGNLYLLDHFVLFGPEYTPLQVQEFTRVVQLSIYAPIRYKNFGSTFNLMLQSSSSDSIQVPLFAGKTSVYYIVSIFKKKLKLQLGADLMYNSTYYAPAYLPALYSFYHQHDERIGNYWFLDVYATVRISRIYFFARVGNLLSPVQKYRMFTTPYYPTVDHLFTVGINWRFHD
MEMQVSALDVAHQLKQISTYRIYNKHRNYLKKHFWKENTLGSDGYFVCSTGKASTETIQKYSEEQGKPERGSVRLHPIP
MPGLVARSGEKKSEEKSRDGTHGISANETGHGCTDQPHMRRTSKREPNRETDNRTRKRLMHGSRAGLRRKCPRYQKDARFGAAGRQARAGSTDGRGVQRAAGEAGCCVRRAAAGGGRGINDNPIEKLITEHRCMDQVREDARTRYQEGARLGGAGVQRMARGVQPAGEAAGGGRVRRTCPRYEKDTPAHAAQRAAGARTSGGTGFQRIAGGSRGGAAVQAGGGGRQRAAGEAGCCRRRAAGGGRRAAGSGGGGGRRGAAGGGGGQRGAAGSGKRRGIASREWSQIIIFRSAGVTTEWVYCCTDHGRRRAGVWERGRERGARICGAPRALAVAWAHKRQPNKAADISKLVYNCRQ
MWPVWPGDQWPRTHRRVLLQGLQAIREGRRLAGESIMTVLPPVLDPASGSRMFYFDPEDDRVLFGDIRSEEHVLCDGRALNINPDAYTDFRDLPFPDESFRVVVFDPPHLENAGPNSWLAAKYGRLNKETWREDLRAGFAECFRVLMPEGVLIFKWNETQIKVREILALTDQKPLVGHMSGKAMNTHWITFMKGTGS
MAGDVADLATAPGAIRDAAGSGALVDPAAVVGNFQGMVRIGIVRIADGAGIPLDGVTLALTSGLREDLGVEEGSSRRMGGSAGL
MLAPGNAAAPSAFPPIPPIRLPTSDFRLPTSEFRIPTGHSPLARSALPLSGAPCTYGERRPIDGKRLR
MAPNVNVQFIEKEQIKFLKFPKKDVLDKRKDQIDRFLELQRALSLGNLERQKVKIVFVDDTGFKKVETTIWGITDREVILKQSTIIPLERIISIS
MVVGTFWIMGTWWGVLLLCPRGQGLDPSPSVRCGPGQTLHHDDSVWRCCSSQCNSSTKACPPHQLKDCTCAIPGEYCTKSECKDCKKHPCSPGQQVHIRGFFSSYSVPMAILTGLTIVITLIVFVHLVLHIWPVKGEPSTKDREPILPLGPGPPDDIGNCPFPEEEWGEKTAEDKVQMGHPWV
MTSSTVTQDDNIRGKLLDRVSHLTMPDGTPRTTQEWNKGEDEHLTCGVNETHGSTLP
MGKLRNVDIRYERQPVFAGSRVIGSISFDLKEEWRLEAKDLTLSVTGEAKVKLGANATSRKWLLREYIPFTVYLMQYEYSLPAGHHQIQFSFLVPAHLPSSFGGKYGYVYYSCSLNKEFCKRFLVIGIEDLNWYPLARHPVNFTIMFSTGLEGDYAHLKFNPSISQAQNCLNFSPKFIADLCADDG
MIRHLLDVLCSRRLLGGAALAGAILTASPGAALVLDRVVLIQRHGVRAPTQSPEALARFSERGWPGWPVGAGELTPKGARVVGLVADAIRAGYVARGLLPAQGCPGEALAVWADGRVRRTRESGREMADRLAPGCGVPVGAKPDGTRDPVFDSFSETCRLDPEATRAAIAETFGGGLTDPATDTAVRAVWAILRPGETPGPSSVAVKHDGVELKGPLSVAAPASEIMLLEYAQGLPPAEIGWGLATTPAQIGPLLPARNRGEAITGRLPAVSLRRGAAMARLMLAALAGETRAADPAVGPGTRLLALAGHDTNLVNMAGVFGVDWTLPGQPDRAAPATAFALEGWRDEATGERRVGLTLWYAELDGMRALDPAKVHAVPVPLPGCENGLCPLTDLRQRILSRIPSECGR
MLNRSEMMDEDEESLLSQRGLERRRGRSGLDRPGHYNSYSVAPVFASAAAMGQTFIRVEFAPNGYLPPHRHRRASEIIYILEGTMEVGFVTSYPAYKYYSKVLNKGDAIMIPFGLVHTVRNVASEKCVITVSFKSQNPGFIYMPDSVFTAKPAINSTYLAGAFKLDEKTVKDLQTKMWYI
MLSKNSLPSFIKFGFVGALNSGIDFTVFALLLFLGSQELLAQCAAYTCGVANSYLMNRSWTFKDSAADKGHLFRFLAVNAVMLLFTSVILHGCIEILVWPLWLAKLAATGCGSILNYAGSRLWVFRAKRAA
MDQSHGRDLAVRRRPASGSGHPRRISRSPGPGEQEPPAVPRRRRAARRQAPCRLGRVLAAPAFAAAHDPRHLAQCRCAAGQALALRRIVAFAAVGVVNTLVDFLAFLLLTRWFGVAALLANVASFSLGAANSYVLNRLVTFPDAAAPLGSAATIVRFAVVTLVGLGMSSMALAAGLALSLGDLAAKVVSIAATFASGFVLNRYVVFRPVRPSENP
MPVQQRRPSLSRAAAALVGVALPLLAAGCDHAKAQTATPEVVQSESGVDLLRRENAALRNRVQGLEERVRLLENGGGKSWGSTSLGTTGYDSYGAAAGPDDGAWETSEYDGPRELPVVKLTPNERRSAQATNVAKPSAQPAGNPGRSKGSITLSPLPNSGAPEQHGSSYVVDARGGDDQDDNDYVDAPDDAAGEPASYRLVGSKLVQATQRKPTASAGPSDRDSGVAKDYKAAMAVYKDGRYADAEQAFAAIVGAHPNHDYADNALYWQGEAAYDQAHYADALAAFTTVVERYGGGNKAPDALLKIGLCYGRLGDAANARDVLTQLVAAYPRAEASKIAKRKLADLGD
MKHIKIIESIILCLFSVFVFSCAVAEETNSLPGYAMHAASQEIKADNSNTAQPSLFSVTSYISISKLISSIIVFVVVVSLIIVLVAFILYNTYSIRP
MFPSSKSTRVLVPSGLSSGSPVPISSLYPSHPTQIPVSHASISSSQSISTRVSLTSSRVAPSQPSHSPTDLLESNTYMLSNSLLLTFHSPSGSSLIQPLVPSKFAFESSPLVFEDTNSHSSSTTISLPAPPTQPLNTHAMTTRAKNGKYVRDLLLKTIMASAKGITSSMASSTKLSKVGSTQVSDPTHFRSIGRALQYAMMTRPDISFVVDKASDLDDRRFISRACIFLGPNLVSWWAKKQTQVARSSVEAE
MSVDSIPAAKGGQATVEKPDVTLKKQLQQEGLRQAREFQQSSQATQVTVSQTRVGSTVLVSSLEQEVVLNERRFDKRQFDKAEENKSAFDFEEVASNVLKFVGGVVRGAAKSGADEAQLTDLIGQARSGVAKGIALAEKDLAGFMNQEITDGIRNSKEAIGEGIDNIEKEVLSPFRGTMEVGASLSAQYAQLQSGGLKITTKDGDEVEISFGQSRQYQYSASERITSSANSNGDANAPATPESDVVARRSLNV
MMTFMVCMLTALMGVVVAQKFPLSPTFRCNPLEGKFCRPDVCENATCQLLPTALCVSDKCGCRKQFYYYVREKNTFFCVTKLCQAATDMEESQSLMRKVLGKDQTLLNIISHNPALVSYLLQGNNMFGTDVKKSVVDHLKAVAEQEPTDANLQRYQTALHELNAATRTPPATNTSATNDPEWNFWSLPNDPEQEQDNS
MNIAILHYHLKPGGVFQVIANQMQSLKSILEADQQCQVVILHGQSQEQVSELQWPESSQLHVSTQAIAGLGYDQRSESEPNVSELADRLLNALRANRFVPDNTVIHVHNHSLGKNVSLPGALNILAREGYPLLLQIHDFVEDFRVEQYQHLRAMLGIRPHALGQLLYPQAPHIHYAVLNERDHRILSAGGVPAERLHVLTNPIVSPGKLPESLSIREEMELERGIPTDAPLVIYPVRGIRRKNLGEFLLWGTLFKSRASFGTTLAPDNEAEIPSYKRWKLLAQKLDLPCHFELGDSFSFKQNLAAADAVITTSVAEGFGMVFLESQLIGQRLLGRDLPEITTEFSKNGINLDLLYQVLTIPVEVVDKDRLIESITTAYSKLVQILSGKSHNEQSARSSLDQLLKPGTIDFGILTPDLQEEVILQVHNDQETVARILELNPVLEKGLFPQDAQAATRQAVASNSVAIKNYYDPTSIGRKLHFIYRNLIQSPRETAVSCLDCSETILESFLSLERFRPVRSMS
MIDDSLGPSAEPAEAAPEESRPAPEGRTLDPAEVLFRRETGRLQMREGDGEWRDVSALRLFPLSEPERWISVVDEKQVEIGVFSDLRALEPEQRDLIEEELRRRYLAPAILEIISIRHRYDVTEWTVETDRGPAVFMMRSVQEKVKEPRPRHLILEDAEGNRYDIPDIEALDPVSRRWLDQEV
MIEMRNNSHFKESKIGTLKSNVHGFVDLFALSTSSVAPAFSIAASYGVIAMYLGFHSISAIILVFPVWLASSFIFRKFNRLYPNAGASYHWGNIAVSKVYGSGQSWIITLAYLLSIPPIVIPAGEYTGDLLYSIGAIPQTALSNPVEIFSLGTFWVFVTLVASLLGARPTAKLTELFLAVEVSVIAMFVVIAVMSLHDSVVNRPSISWFIPPIASRNEYVNLLSSLPIIATVLDGWEIDSYASEESVNRERWPGTTGVIGLVLVFTIYVVTMTLMQSEVPIKVLSSSVDPLYAWGMFVAPKYAIVMDLAVIASTASSLWLTTYILSRAWYAMSREGLLPSYLGYLSKTRLVPYVGLILISALAELVNFLMIFSRSIEGFFSVLLSFSGVFLMAEFSMDSLTGIVLFLRNKDLEFRKLYLIVSVLTFVSFTAMICSWVYSELRYSAIFISLVMPALVIAMLERMKDRGKSSVQNA
MRCDAKEPYQSPVPIMNAAPRDDWGKIADALSHHQQLPSHNDKAQQGSNGCGAQTREEARDQSAEMDEDSIAAWVDGTITEMMEAMPGVAIEQLFTNLPEFLSPCNLHLKGLIGCRLQLLLGGAGYPSKNFPPPGPNRSGKRTREVQVEWTNSKTFQNESQDLHETLPRHHVRPENFISQKKVAASLSRVDLRCPKPLKRSIDNLQLSLEPADERNLRHLHIGLQATQKDQSSHQVRHSRAQSTEPVQQHYHKLHTHNVQDKADGFLQRSPAPSSASQFSTLNTMGSEDPPSQPQKLPQTTAQNAQREDTVAPDEGLQLMSLLLQCAEAISADDNNQATAILPQLSELATPFGTSVQRVVAYFAESMGSRLVTSSLGICRPLPCKQPASNQSIVSAMQVFNEICPFVKFSHFTANQAIAEAFEGKFNVHIIDVDIMQGLQWPSLFQVLASRAGGPPHVHITGLGTSAESLDATGKRLKDFAGSFGISFEFTAIADKMSNVDISTLKVAFSDALAVHWMHHSLYDVTGSDLDTLSLIQKLNPKVITLVEQDFRHSGTFLSRFLEALHYYSAMFDSLGATCKDDSPERYMVEQQLLSCEIKNIVAFDGPGRKINHKFDQWRDELSKAGFKPVSLSGKASHQAALLLQSLFPCDGYTLLEHSGSLKLGWKDLYLFTASAWTRV
MHCTVRCIACTTEDPRPPSPTASVSESNAGASPEPEPNNPTIVVRGLLLVHLLMECAMAVAKDDYFTARGYLHHLNHLVSPIGDSMQRVASCFADALAARLSPPPAPKPYPAPSLHTFKFYHILYQACPYFKFAHFTANQAILEAFESEDRVHVVDLNILQGHQWPAFLQALATRPGGPPALRITGVGHPAESVHQTGRHLAELAHSLHVPFEFHPAIADRLEDLRPSMLHRRVGEALAVNAVCRLHRVPDIHLDWLLSTIRDQAPKIVTLVEIEASHNDPHFLGRFLEALPYFAALFDSLDATFPADSAARAKVEQYIFAPEIKNIVAREGAERVARHEKLDRWRKVMEGRGFKGVALSANSVDQSRILLGLYACDGYRLTEENGCMFLGWRDQRMIAASAWRC
MSRLQPWSRLHPPTAGRRRGMPRPRPLRRRPGSRTTRRRSRTSCWDTSTACSWPRTSTISTITTRTTPRCSPPRSRSSRSSPTSPRARAGARSRAPTVAASPTPAAIHRGKKHFYGDDLDAEEGRCSKHSAAAAIDPDHLVRDMMDKVLLCNGEMCSKGVRELREALQHDVGKHSHGGHGKESGHGKGRGKKQPKKEVIDLETLLIHCAQSVATDDRRGATELLKQIRQHASPNGDGDQRLAYCFANGLEARLAGNGSQIYKSVVMTRFPCTDVLKAYQLYLAACPFKKISHFFANQTIVNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSTRPGGPPRLRITGIDTPQPGFRPAERIEETGRYLKDYAQTFNVPFEFQAIPSRFEAVKIEDLHIEKDELLIINCMFRFKTLMEESVVAESPRNMVLNTIRKMNPHMFIHGIMNGSYNAPFFVSRFREALFHYSAIFDMLETNIPRDNEQRLLIETALFGREAMNVISCEGLERMERPETYKQWQVRNQRAGFKQLPINQDIMKRAREKVRCYHKDFIIDEDNKWLLQGWKGRILMALSTWKPDHKSSQ
MTRQLLTEANEFARESLDVERQLLDQLKKRQASGGGGGGGGMVGTVGLSSVLGSVAALASGGLMAGGVAITADQLINHRANINPAHVIAGGASITAGALIASTATVSSSALVGTAASIAPSALVSAAAVIPPAALVAGAATISAGVLVSDSAVISASALIGGTAAITAGVLISSPAVIAAGALVGTAAIVSGSDIIAEKVTKTDIVQAMMSKKSSNVTAGDPNPASNKTGANDLMNTQPGKGEPFAAWQNMNQPDTRPDLNVSTPDVDASNYNITVDLKTSGPNVSTDPPNQSDNSSSGNKAAENRGSTTINAESNVTVEGTSRRETERIAEEKAQAMAEELRREFTGRR
PLANSPLWVVNKPGTKILSINNGIKRLYLWIKDSQNKISEQAAVATINYDTVAPSISSIKMYNAPDIQAGTHTITINLTEEIDYLPYGVTPSVWLALQGSSAELLDLRRITDAVFTASLTVDGATPEGEAVFSCAITDNAKNTGSVISSGGTIYIDRTEPSISAFNVSDKTSTSEEYSDEREIALAISGAADISVWYVTENASYVPTAEASVWEESKPVTYNLED
MRSRLPILLTGIASLLLYSFLTQLSRQFNWGEGYSERPLLTYLAVYFSLSILYGLTWFFVHKRPGDRGIFWMVIVFGLLFRVAILPSQQIQEDDVYRYLWDGKVFAQGINPFEYAPAEVHNFKELRIQNPENYYETYVERNERELEQLDVLKWESPQSLKNLDRVNHPDVATIYPPMAQFVFRLVHQLKPDSIIAMRVGFLIFDVMALGFIIGILSRLGRDKAGCLIYFWSPLVIKETFNSTHLDIIGISLLCGSIYFLVSHRHTLATLFLAFSFLGKLYPIILFPLYLQACYEKMSQDKK
MALLGPPELRNSNPQQQSKQSDPTAAISDPFMNLMAANFNKTAMATPTPPPMGFTENGSGTFLSSGNPCLDFFFHVVPSTPPQTLTGFLNASWAHNPLTTLKLICNLRGVRGTGKSDKEGFYTAALWLHSLHPKTFACNVALFAEFGYFKDLPEIIYRLLEGSDVRQIQKAEWERRKRGGSGIVKKRIYSRRGRKFRMIMSRSRNCKQQPKRRNKKPAMADTRELRVANAMQRNKLEKERASALRKEKKIDTAKKVIARYSSDPDFRFLYDRVSDHFAECLKNDMKLYESGEVTKISLAAKWCPSIDSSFDRATLICESIARKVFPKTLYTEYEGIEDAHYAYRVRDRLRKQVLVPLRKALELPEVYIGANRWDSLPYNRVASVAMKLYKDKFLMHDPERFKKYLEDVKAGKAKIAAGALLPHEIIGSLDDPFDGGQVAELQWQRIVDDLMQKGKLRNCMAICDVSGSMHGTPMEVSVALGVLVSELSEEPWKGKLITFSENPELQVLEGDSLRSRTDFVTEMEWGMNTDFQKVFDLILQVAVNGNLKPEQMIKRLFVFSDMEFDQASANPWETDYQVIVSKYKEKGYGSVVPEIVFWNLRDSRSTPVLGTQKGVALVSGFSKNMLTLFLDNEGVINPEQVMEAAISGQEYQKLVVLD
MDEITSDIEEDTPKTFKTTNNPNLDLFIQLVRDVNKEQLINYLNKSWEQDKLKTVAIIFNSRDRLKGKKEKTVSNYCLIWLKEKDPAVYKSNLETYINKYGCWKDVNYIINNTKKNNFEYELFANQLKYDKVCLEMGQHISLCAKWAINPNEKNVIKIVKHLFPQIKDYQQKYRKEYISPLRKKLDLVETKMCNKQWELIEYEKLPAKALSKYKKAFIKNDQEKYQEFLKNVAENKVKLKVTGLLPHEIVKKYIDLKTRSFKMDEVDETLELEWKAFLNTFDGKEFEGIIPIVDISGSMFNSAFQVKPIYVSVALGLLLAHINKDFLHNKVITFSKDPVFFTIKGETLSEKITSIMKSPFGLNTDFIKVADMIIENNLTSYKKIICFTDMQFDKSANENNETSDMKNSHTIFMNKFIEKGLNVPELIYWNLNGTYNDFPIDNTYENTSIISGFSEQLLNVILENNDKITPEILMEKILQPYYEHILI
MIKKAFFASAVCALCFLASCGNKNQEQTTPAEEVVGEEVTTEVQDGDTLEVATGEVEQAVPASAGQEVEKAAAGTENQVQEPATKAAPVK
MRSEGCHLTISLSVEIDFKSLEDVGTYVDNASANPDQKFVVGITGDVSDLGNWNPEKGVICKFLCSQSDGLEIWQCQFTSSIRHSIRYRYFVAEMKENNDEYSDSNMLRVLAWESRLNPRTFSLIDYLKAENKESLTHATFGVYDDGTFIQRGWLTSNSELHVQLSGSACKFFNCCFPNTAQSQYRLYIACSKYVVQPTCSENFDVDTVCDCTIVKTEDTIEQPVDPESLEPHKFKLRRPSAPLSTGSFSFAPHGRSQPVLLSTLEINRCRMHRGENKECWGTLYKPGDDVTFYVETNDVQNAAIAIEFYRQELKPTPKDVLRPDMPPLRFLGCARFGPFDGTHGRKASQILSSKQLPIGDLRKVVGLILLELDIMCNMHHVKRDQCVWNLSQAVENNGDSLTADRLHEYAWSIITVLLCYLTKKPATTKENTLDSFRTAVQHGADFVEMDVQLTKDHRVIVYHDYEAVIISKKKRGGRLSYLPIAIKDLNYEDLRELKVHHSSVLHEEHTHEKMDEEDLDPIELQSFPLLRSCFEEVDPNLGFVIEVKYPMEYKAGGSELNNFFEYNFYVDTILREILTYAGPRRILLSCFDPNVCVMLQRKQNLYPVFQLGIAPEYADSRQAEFERLFWSALSQQLLGVCLESDRVLETPGVIKLAHLHSLVVLAWGEAVNCPEKRAQLTAMGVDGIIYDRLHENKESGTLNVFKQEGFVSSTSPVLSSPSKSDSGLSSASTVENAKVELINASTGSMTGQPGAIAPFPPPTTKTPNGPTLVAVVKECIEVEKLPHSNSTTTCDSIPRTSMSDLIPDVQSLSVQESDATAVAVNTDHTLPVKSGSPEEAVTPVLADTTLHTRTTSSTQHAVPLITLA
MFSSYLSLIIFETRSINADSPIVEVSLEATSSVNEVAIPGRSFTCTKVDSQDQCRAEILGRPLVLTLTPNESNGFVSSCRATYGGQPVGCYNNDFGLGAMIQRAFQIRDIELDTQQLKAVRRRYWGLNTLLDTGEVRLVNIGTGLAIAFSIIVACFVWVRTKPLNSSKGYYVPRMIVLVTSGLCVGFVSYWSFIVLLLSTGFAD
MSLGSTEQGESKPTDTVKVEGAGYPNMAKSTAVERDQERMEVTSNNGSSSRRSMDAGEQTNSALTNESTPVSASSMEQSEVVMRDFNSRAVDANGHKQLSRVTVEFRPTPPVLASNVPHPGNANHQMNHKDANSPEGVSNPRKRKSSQSMQGLQDYQSASESTYLAPQRPSHPRDPSTPGHISAPSHQSEGSTEARNEEPSALNPERIEEERARLKAVLVHTSPEVAQMVLREQWRNFLFPSGRDNDDNLSFILRAGLKNGTNNAITRVFKDHAVFKEPLIGAVSKRQAVVERVLKNASPNQLSDLVPERVLDQVLAERLKHVPAKSLIRWLAEADRLGYSLDDILDEADESVIPKVPSRAQSHDNVDVEMTYDEPRPAHISLDPLQADQERIIAEQGALLEERPVVRSPSPQNSLTCPTCFYKFDNIKGYSFIVAYNEENMHESAAYRVTILLRELRAGVHNQARKIICVFAPSASKQTANLLKHEKKRVCTGEEDDSEPSPTEQLLQEPNPTVRAAADLPPAPPQPVRHHEPLPPVQTFAQPPLNTPKVAQPPLHTPKVAKQGSSGSPLDGNFRQSPSELEPAKLAALNAALEEVEERYQTALASIPSDMSPEEREKRMISLKNGNASRKSQIRKSFGVTLRMREKDKEANKRFKDSIGSPLANSTTTSNRFEEFRAPPSSSAPVRQPPPARVEPERPPPPPHSFPPINAAQSQRPPMTYAATHTPQPPHSHHPPARTYSPNYPPSQQLPGQHPPPPLPQTQPQPLPHPHLPRLPPPSTIQTYERTALPPYPHPHPTSNSTSTPPLPAMALSRPDDDQHAHKRLKASPQLASQHLPRDGRNLPPPPQQHRPYGSESGGDSRPGSAGEGGPPKKVPVRKAQRMWEALNGSAGDRNERVGGERAGGEKSMSGGMGVGMLLNKDQGKESGGVDLSSGGSEGGGSVDER
MVLIILPLLQVQERMIIIRLVSFPHVMDIRMIILSPSPKQKLILSVKNASCVYEMDDPQNIATSTSARKDVDEWIGALFPYEYKDNHIITFSYIRINLICNERLLVIKG
MFLHFPDRQTPFEDTVKAMDAALKQGKFKNYGLSNYSAAEVQKVLEICEQNAYTKPSVYEGHYNAIVRGGEKELFPLLRKHNMAFYAYSPAAGGLFSGHKASSGRWKSDNFIGKVYTYMYRKPPVRLAVSTILKLAEKHGISGHAAAMRWTAFHSSLDVAHGDAIIFGVSKIEQLHSTLDALEAGPLPEDLAAAITAVYSKVEGAEPPYHL
MDELHREGLFREFGLSNVSAADVRTVLDICSTNGWVQPTVYQGLYNAVSRRAEDELFWDLAELGMRFHAYNPLAGGAFAPGFASREAEPGSRWDPNLPQGQLYRSRYLNPAYLASLDAMREACGQAGVSPISAALRWLVHHSQLRGEAGDGIILGASSPAHLTQNLAAVAEGPLPAAVVGAIDDAAEIARPNWAEISRVV
MGVPRLIFGAASFGMNFVNPEDAQEVLNYLKENNITHLDTAGRYPPTSPGRSEELLDHRGELEKSAIEKSINTSLQRMGVEQVNTLHIHWPDPETPLKDQAETLDSLHKTGKFKNLGVSNFQPDLLQEFLDICEANGYVKPTIYQGDYSAVNRGMEKKLLPILKKYGIAYNAFRVLASGFLSGKLTNGNPEGTRFDGEGPMNKFMQNLYNQESLHNALKELEETTGTLGITTIDAALRWAYYHSSLDTNNGIILGASSLTQIKSNIESISQGPLPQECLNTFELIWEKLEPKCQPPPSSTFLYFQPFVTAKAGVDTGLKNGLADEDFLPAAQPLVPLLQQPVGNQYHDLGCMQTLALFCGGYH
MAFETRNEKICDNSSYRSPFIWRPSLGSLAETTKHGILHHHKPCETQSHNPPTQTHVQLCEVEWPARFHLYMHPYYQDQKC
MSPFQQRIDQLTSAAVTQLNGSFSVARLGQVLQQFLVQAMQAAAQLLANQGHEKKQLVLDALGKALDAIPLPWWLALIRPPLKNLVLTIADGAIEAIYSQFKEQLAHE
EVDNNCQSILGYVVRWINDGVGCSKVPDINDVDLMEDRATCRISSQMLANWIRHDVVAAEFVVDAFKRMAEVVDGQNADDPDYMPMTPADGGAFEDSIAWCAARDLALEGTTSPSGYTEPILHARRREFKERYGVR
MRTYEATYIIRYTVRAKNEDTAMEKAIEELAEQTMGYNLDTDNLKIEEVK
MNLSFNENLTGYIFPGETNQTDAFNKGKEKNFFCSINGVITAYNVEEFVTITERKATFDGTVTVPGLGEKLSILNGSFNLYKLFYDKEKGVNMKIMEYILPFKSELDESYIFHGKKTIFPHAGSDMLKDSTTLFVDIYKGENLDFTKDNKDKLYATGILHISSVGFFEMLKSTTVTGAKTLADKVKTISQFYDFFFGEAYTMYFQKFSIFYSTDYQNFVLSGSCKDEGKDKKFFFYSGAHDLGFPWGDPNTFWDVSFIMATKDENGNKIFERYLIAARNLEEKLKLDVIDGTTSKYSYKGTIYRLSDGYSVSFSDDLLNPAGSKILTPVDADIEFTFDANVPQEKNKPVPAVVVPFGLPEGMENPLLLYIDKVIKEYAPEHKGMGVRLGIRSVSLKTAKMKINKKEILIDINKSWGEAEKSTIKYVKEPSLNYNYFCGISPESKKLLVHTTGGVLFNDTIYPVKNLIDHLLSAIAHPFRSVEVNVDGNELKQIDRKPKTLKIKDDYVLEVNYDHYEPGVFQRRIVLLTDSKGEEMFALEEDMKRINLKAIAPATTTVKTDSPLDNTEATVAVYKTKMAWTKEFQDPAQDTGTASDLLGMLNIFKKKNPGQVSLQERVALENEDKRQLLHQVVQATTFIQSLYERAKKLGKQPKDMKVVIKPNFMFLYDKYDHTTYTDPVLIKELIDIIYDEGFTDITVVEAQSTYGNYFENRGVLNVAKYIGLEACEKYKVVDMSDQSGWVEPIKPFEGPLKGTKIHKVWQDADFRISFAKNKTHCYAYYTLTIKCIYGALPVQNKYHEYHCTRGIYATTIEYLQHYPIHFGFIDAYESADGPFGVFSKKDPNYTRTIIGGDNIVAVDWIGSSKMGLDPLVSEYMKKAVVQFGKPRINLVGDKKDELYDPWVNVPIESTFTMNHVIDLNYQFGNAFTNAMMSTDTEAFPPKDETWWMKAIRFFNAPMRSMVYGNHKNLKQRYDVSGLPPVDPEVLKELTIENT
MCVAPDVCSCNSGYQLDPSNKTSCRPICLGNCTNGRCIAPNICSCNRNFVQDPKNKTLCIPMCKGNCSNGKCTAPDVCSCNSGYLLDPNNKTVCRPVCVGNCQNGKCVAPNVCSCDSGYVQDPKNKTICKPKCSGNCPNGKCVAPNVCNCSTGYQPDLNNKTVCTPFCVGNCTNGKCVTPNVCQCNNGYAQDPKNTSQCNPVCKGDCPNGKCIAPDTCICNSGYKQDPLDKNVCNPICSGNCSNGMCVIPNVCNCSNGYFRDPKNKTLCTPVCSGECPNGRCTAPNVCACNAGYEVDGGNKTVCKPICRGSCPNGTCVAPNLCKCNKGYLQDPKNKTLCSPICDGNCPNGRCLAPNTCTCNVGYQLDPRNKLVCTPTCTGDCQNGKCVAPNECVCDDGFEQDKQNKTICKPVCSGGCQNGICTAPNVCSCHSGYQQSEEDTSICVPICSGGCPNGKCVAPDTCICNSGYLRDVTNSSVCRPVCAGGCSNGRCVAPDVCSCDEGYVRDTRNLGVCNPVCSKGCTNGVCVGPERCSCNFGYQKDENNNTVCNPVCADGCVNGSCTAPDTCVCDAGYRQDGSNKSVCVPVCSGGCRHGMCIAPDTCSCAFGYERDQKNPLVCRPICSIACRNGECVGPNLCSCNPGYTRSVEDGECIPVCPQGCLYGRCVSPGNCTCNSGYVKDPHDSGRCVPTCEGGCPNGTCSVPGLCECGKGYVRDGGKNACRPVCPGGCGNGTCVAPGACRCDTGYAAESGSSQKCLPRCNGGCVHGRCVAPDTCSCDGGYAVDSSGRCVPRCSGTDDCPCDNNGTTPGRAGRNCSQTTVGRGYKASSGAGNRAVWVGVAAFLAVLLVGGSA
MANVQSQKVDFMVVDDDPDIGSVLTSYFESRGHTALSLQDSSKLLPWLELNSCSAVVLDIYMPGLDGLTLLERLRKSHPRLPVVIFTGAGYDEEQLQKALSLGANGYVSKGLKINEIYSALIRAVGWTE
MSKASIKKPVDKKAEAKKLAIAGLIALLGFGFVANNNGWIELPFGSDKKPQRNKAPQNLAMPSTPLPPGAEFVPSDDPNKPSKIVTTVAPSPRVVSAKSLKDVVTDIVDASQDKEAAIKGINYTAQLPGVNKEFDVQAKKTEIAKLRFEEAEWRQKLSKLEANDKDGFTGVKNESQSLDAMIEDYTSSVNEDVKVDESDDEFSGPLMTDFMLDGVTKGKGEYLAYLSVEDRKLKVKNGSVLYGRYKVKINATDDVLLCEKNECVAVF
VPVLHEVQIGLDHILWSTKAAGQTLGPEQLPRLFRQRPEKLGGDGSRGDEVHAGRGEVADEAADEALGSGGRGVRDGPARHGTVGAGAVGEGQGGIRGAQHKCFRRSQDAGEGDRGVGAEGEGAVYVGRRCLRKRGRVD
MHIVFPDNRESVGTGEDLRVDENGDLLPPARSGAVDYRAEELVTLDLLLPEGWTARLPAEGEGTYAEMLRGPVNLYRGGQCVGTVGYDRFDDEPIEAWEDYGFDAPPADYQGYYRWLMTGSRTWDDSYTLVAQTGRAVSATCRVRYPARLFGDGPDYYNKGVLCCDLDLLYFTGIELIDSALTDEQLRVIADSIRLSAGERPFGPPLPVPSIETPAGFRQAEVLFPASREGADGDERQELSPFRLRMALPEGWTVRSPAQAETGGDLFSPMDLYDGETRVGSVGCGTFTFAGPTTGENFHRMIYGPLMLGSVVNWDNDYTPVTMTETTCTATCRVMRKADGPEWEAVSMADRPERYSPAILSYDEKLLVYVAFSFEEGLVTGEQLDGIARTIRLLPER
MSVIIHHMQRVRRKALRRVQRNIGPVETYLWEPPLDETLGDELRCYDKYSLGTTSLSRSTITDLGKPQIYSDTDTFI
MKKLRSTSSSSFTNLSNISYPPLPTEKMRSLSNTSNNGATINSGNLNRKSSSNASICNNEELISNLSSLTLSKSDSIDSGNMTNIKLVFSSIKSTINLSVSSDIDYGTLIGILVKKMNYSMSSENGFTISNVSFKFKDEDGDFIRFQGDDDWTIAREMLEELDPDMRILELIVI
MRPRGDDALGDGTSEAEPLARTVEKTEELPPVEKTLKLRAPIDAVRTPQANNVQGRSEAADASTPPTSGVSPGVLAGVAVLALIVGSAGAYAVLGAPDEPSAPRPNPEQETGKEPSDDDRPNTQVPEPQPAESLPGDPQEEPGRVPAAPSPGQAPHGQVRSGALEEESGAGVFDPNMVTREIRAHLPAIQTCYESQLRNHPTLAGRVLVRFTIEPTGTTKKVRATENSTGSLAVAACVVSTIRRFHFSPGPEGGAVTFAYPFVFAPER
MALPDFFSRRDAEIESGLPHTLRLLSAELEVGVPFESALSEASAGDPAFGGELSRVAREASNGSPLHSALSRASARTNSLQAKRAYAAIARCYSGEDSASSLKALASEFARMGRLKLKEHASRSSMLGIAFISASCVLPSLLLSFSVIGSSFMGEVMSGETLWLLFGFVLPAILLSLILAAWLSSPELSARHGPAFLSGSEREIVGRYLLNHGIKFSKAVSAAAAAALIVFAFLALHSFGNSSALSLVAAASILLSPLAAYTFFAHLASLRARGAERFLPDALLHASSLSSCASFEAAAKSISKSGYGALGEEFAAASKRMEAGEPFPEAMEGISARLDSRLVSRATGLLSRAYETGADLGEAMREAADDAVEVFSSEKEKAALLSLQRYTLLAGVLLVPIILGMVCGAVSGIGSSIADLGSGTFLEPSGTLLPEGLRAATAYLAIFSVSSALFIAVQEGEPKKAAAYAALFLPVTLAFFSLASGFLPA
MQKAFQSLATKAIHDISGTEVIKILDVGCGYSLRFDLPDNACMVGLDISQEQLKKNSIVDKKVCGDIQNFNFMPSSFDVTVCFCVLEHLSRPEDALINITKGLREGGIIVIVVPYLFSVITLLTKITPLCLHRWVYRHIRGFKEAGTSTMPIFKTYLKLSISPGKLKDFAVRNNLSIEFSHLHKTKFNAVNKFYKAIWAVFIGALQFFSLGKVVEAAEYYLILRKRIAH
IRRKRGKEYEVVKEQRDFFMPILLPT
ETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYHNSEKDLTELGECPLDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPPSTMFVRMLSRAGAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAREILQKEMLPHVGVGEYCETKKAYYFGYIVHRLLLCALGRRPEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDQGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPTGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWSTENFEEEISPAVIPQAAKIFVNGCWVGIHRDPDLLVKTLRQLRRRVDVNTEVGVVRDIHLKELRIYTDYGRCSRPLFIVDKQRLLIKKRDIRALQLRETPEDGGWHDLVAKGFIEYIDTEEEETTMISMTINDLITARVNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRSNTMGMRHGSYDKLDDDGLAPPGTKVSGEDVIIGKTTPLAPDEAQGPNAARYTRRDHSISLRHSENGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAI
MTNPQKEEIHNLLKTFFNEKGLVTQHLDSYNEFIDHGLQEVVDEVAEIPIEVPESPYKVKLGQIWVIDPQSRITGPYATEVDGTKHEIYPMEARLRNLAYSAPIALEMTPVVDGREQDTELVYIGNIPVMLKSKLCFLSQLSKEELIAAGEDPDDPGGYFVVNGSERVIVAMEDLAPNRVIVDIDEKGTAPVYQAKIFSTTVGFRARIELKLKSDDAIYVTMPGVPTEIPFVVIMRALTIEKDKDIAESVSLDSDTQSQLSASFEKAIGVDTPADAILFIGNRVAHGQVEEYRLQKAETALDKNFLPHLGRSDKNRKEKAIFLGEMAYRVIQLKTGRRQPDDKDHFKNKRLRLGGPLLADLFRVSFRNLTRDIKYQLERIGVKGPIITVSAAVRPGIITERFQHALATGNWGRGRVGITQLLDRTNYISTLSHLRRLQSPLSRSQPNFEARDLHPTHWGRLCPNETPEGSNCGLVKNLALSASIAVGVNPTKIKQLLFEMGTVPASEATIELRISGAKVFVDGNIIGYCNNPKNMVQSFRQKRRAGEISTEVNVTYFSKAQTETEEVHANCDEGRVRRPLIIVENGVSKLGSKHFEKIGLGEWTWEDVIKNGLVEYLDAEEEENAFIALTFDAIAPENTHVEIATFTILGICASTIPYPEHNQSPRNSYQAAMAKQALGVYGTNFHHRVDS
MSVLYLTEAEVLRLADMRAAVDAVEEAFRQMAAGEAVNVPRERAQVPGFVLHTMSAAAPYLDLAAWKAYSTTPRGGR
WPPAMPSLPRARGAGTASARPARLCRDGSHVSLQQRTSRMFPLSAKMIFQFHLHVIHIYLVKIQQKGDVFRENDVFSDRA
MTRRIAEILTASSLNNAGTQEVDFGKAASEDLV
MNNSLFSGGGEHAKEGCCQGSSTESSPRHLLGLSDGCEGSLNKEKQSLYIHQQIAQQINPQDECNTGSVYHELQPCAPSSQMSSLSSPTSSISAMPGSAHQYSSDTCYYGNDAPRPPSSYFNSHNKMQLQQSPPFISASDGCFSSDHDHSSSYYHQHQQHLQGEPQRMLPYFPALASSMNSWVSQAEQHQEQQTTFHGYSHHAHLLHHNHQHPHPNHYHLDMATPFLGGHESPTSFVNSGDSGGQGRSSSNGSNVNTATMTPNNSNMLSPTLYPAPQNQPGVPLQRQTWLGAEAENPNTSNSSAVMAYHRSQHAFSGFSHHLQGQGHPYGHNKSRAMSQWTATGKSKITVTLYNGDMWAKFHEHTNEMIITKHGR
MPKQVTISDPQVLIDEINRFMATLKKLLLYSVYICIQVAGLAALGLCCKWVVHHLGGYSLATPEQIFNYHPALMILSMIVINGNGILVYRIQRCVGYRQQKWLHFGIQFVATVLALVGAYAVYHFHAVKHIPHLYSLHSWLGLSVLVTMILMSLAGFVTFLWPGLGSSTQRLIIPLHAFYGLFNFLMAAMVVLTGLTEKALFSMTSPGQQYRDSPPAAQLVNLIGIAVVICTALVLGLAINDDLRRRVSGSGDKQTKKH
MTRRSRRLPTAAAATLLAAAAALALLAGCQGGKSRTEHISGEGPLQSHTAAGVTSVYAPKSVPWAVTFGSFVLCTTNGEPITLDGVRYRAPVAPEKVSAQLRTVTPALQRETVDAGQIGAALGRPHHFLNRKAPGRYEAFRPGRRITQNCAEQDREGTGFTELVFVLDIGAQGGLVDRAWIDYHAGGTAYTLRLEWKMVACGGRVPRTVDGTEVCGGRQGV
MSAYGAHSPQPQYGYSQYTGSSPYAPPQHLGRSSPQPQYQQPHGHGHHGQAHHGQENHHSHHGRPQGSPNMGNASALPAAIPRAGAITYTTSHSSDGKVVYHPYKAVPATYQTPSGAVSGIQWIPMDPTSIMPAGGVPAGTDFAASWARGGSEMSREQEKQHKEWQRSEEKRRKKEESSARGALAQIQHRSQSTSAVPTAASYTSAYGHAPTSPYAPPAGSFSPDSKRKSFVGGAGGYPSSATNIYASSGRPRSPFAPGAGQDTSVPPRSPYAGAGVLAGERPKSPYYPGAAAAERPKSPYYGAMGAGPAGGVYSSATAGIERSRSPYAPPPLNSASDRSRTPIPGSPSGLGAGQLPQGQTGLGSYAQSYAQPPVAGDLERKFGEMQPFERERHASTSGPQYATYTPTSAPPAPLPHSRVPSGSFNHSPVVGSSGAYVYPRGHTMEGHPVPGMPPPPHGAPVGSPYNGGAAGHAERGGMGTIMGGVPVPFPASHGALPHSQAASPLMGGAMLPGGEYGHGQTAASALEPRFSEPEGFSRPINAAQSYLFFEAMRITLLDDLVTRQLPKMPLVLQMHDVYPEDWSRLITDLTLAWTGRLPTAPSPNGAAVHRATLSADLIDLWNNRFFSPRSVEMVLYRGRERRSGPAHLLGVKDIILPEGGSDDSDDDSGSDDDSDSEDDDNDDDPRFAGRKQGAYGVPGGYFANPEEERRARKREKKKEKKRMRKERRKRRKEAGRAWAIYLMYRPASGAGAGAGAGGAAVPTVHHASTHGHGQGQGHGHGHERTSSQTYGSQAFAGRKSPQPPSSGYAMAGGHSPGAQAAPFIPPSPRPSSAVAPLPYGQPQQGGNTYGQQPATYGSGGGGQPYGSQPYGSSQAQGSQSYGSQSYGPGPQGSQPYGASQGQGQTYAPGQAPATYTPVGTPASMTGYATYGGYGKGY
MKRSFGLCLVAVGILTACGGGSSGSGIPGALDSGTKSEILKVRVLSEGHRANASAIFKASAIKMPASTVMLNDKSSTSRYDRALRKLDDIGRKNLATIQQKCKISLNTPNPGDLELGKTLVTTKKGLVSGADCPVNFESNFKVLETVTSVDRNNRLYSKNSSLEELNKFKISDEKMKQESMSSGYELKVSARSAFENTSEDLSKGRIYVIVEAQGSHDLLNGQRIPMRAKIELLRNLGKSKAQCLIIASFPGAEVAFGFTYENGEFKYYFNGEQTTKERLAEEFAIDFDDLMVDGIDKLL
MPIATPTQNSNGSPSVESSSAPPAASITFATFVHPRPSSPKTSGWPSRLRIPAAGSTAIGNWSERPIFCKPWKNFPHGPGPAVAALVAALIRIPPVPTGPRPC
MRYLATIMFMVLGFGSILLADNGYWPHWVWAIILFFAPWSIFTLIGRNAQYQAIEFWQVIAGGLIGWLCFLIWLIWGGLDYQMSGFWHHAHIFMFWGYAFLSCVKVGGTLMAWFTPGRYNPEYLEGLK
MAAGRNPFRPFKSIKPCIEDPDSNQNRTGDNSSFYEHFVLAGIRVDRVEPGCVSCSFKVPSRLADRSGKLANGAIANLVDEVGGAVIHEEGLPMNVSVDMSISFLSTARVGDELEITSRLLGRKGGYSGTIVLLKNKATGELIAEENIDKIEDQILVVLPVTDFDIVIDSLPTGTINDLHEIPDANLGPPSIHKPTKKNRSQNPQPANHQNVVIHLQSRNINHYPTRCTTLVVNREGEEERSLCHAVKREKRKGRKLPCCVSSIKVAQSWSVERERPKIRKEDLTFDGVFGSNVMIFGSLGAFCSKLHGGGAGAMFDQAGGFFGGGRGLYATKDRVLPTVEPHDSVTVGGLRYRGCRRSVLGAACKACSSD
MYWIRLLHRIGSNIYFIKTIGRNTERLLRNLVLPWV
MKEESANKIDVEVNEITNKRVLEFLKQNPENIDAETFRAKLEQAKLGMSFLRDREMMRRISQGQQIRVFNLITSTPDELKEYIKVSMPEVIPKEQIEKK
MNRPYRRRSGKGHRARPACPSEKSRSPFTNVRYSICLRRARQACPSGRFLGGTCLSGPPFDVGSSIAPSSGTTSVPLRKILQVPPG
MKLPFFGGRHRAAVPREKILLLVTLSVLVLAVLAAVGYGAQKYLQAQARIAELTPRYQRLEGSWLAREDMQTAQQAASQLLDAYAYPASMDTGTLGTDIQQKIRNLFAGAGVDLASSQVMPEREDGNLLLVPVTVRASGSIDGIRNVLAQLAAQTPYIRLESLNLQQGRKLNLHTPVTINMQATLVVWRRAV
MALRKKHKILIFVSVLIVAIVGVLYYYGFAIVLWMFTPPVSKLQPKEKQYIDSLRTVYNCRSIWREPKYFMNDTSKKDEYFIIMTFAKSPAGLETDSLQQDSLRREAFKIAHHAYFDIMDKSPKFPGYGVSFSYGDLMRSYDFEFKTGELEDTSNNHIGH
MPNVARVFRKCSVIFTKKFLKTFFLRIRQVWGTPFIQVSQVIRERNNITNCLFRRNALKWIIVLKVRFTNTRQKRNYVMSNRKVCKGSNIFINEDLTNSNQKMLMTIKKALPENEAVWSWDGKLYHKTTKRYDQSLSKTSNSGWEWNGQKLSIGLSTLRISPLLYCTLSKEQCTMISQCF
MQDLKYASDHMYIVSMYDIMMDDKGAKVQRNVFKICKRYLIHIQKSIFEGNLPELNLMKLKAEL
SGGKDYQLLYVLNPEALNSVVRALKGQPQDQKEVARILYIANQKSSPMQPGHESARVSEAAAQILYRTSIDTRNLWTWPRLANAFLKCLSTDTPVVGLNGILAMMARDIDTFGDIFVLTGMLNSLTKSLMELLVYFGDKHDKAAHAIFGITMSVVVCLMRKLMIPWSDASKKKLMQHFHLDDRDGQSAIRPLNYLSSHGGHMGGHDKQYIDVGTVDSTLKFVLDLCCHGPLTRFSESVDKDFMEAFQKMYQRFVTATDRTAAASDMMQTIKVFTPQRILRATPKMVQVVSMHASRSSSDVGKAYMFFVCLAQQFRHFMHFGVAILSREALLTTQQPQERNAPAQGLQRLAMKFLEENNRIVHFLDYSTISTLRDFAHRLKTKFWGSQVIPEESHTYKMLQNSMVNADKLDDAMIEKLRMLFPRSVADIGVRDVNIIAGSGGSERRADRDQPGSGVPPARGSKDLHPSHSVILSEPPRISEAVAPSSFVIERLQVTSYVATFLGNVGARHGGDRAVRAVLAFVVKQSLELAGAAQLPPLGPEGRHHYTVSSGIVDKHVFVHSHWHLTASSLAALCGLLIDDVSADRMRMLFTHLFMASWKPESFNRYSSLAIARFLVTYSCLLDNNHRFQVWRQLLRLINQMFCNSSHETTQRGGKETVIWLRERPLSFSFMLSLMRELAFVRFLRKELFQLNEYTEVIKNLASVGEIEIALSLLDLEQPLHRSLCRCIVRNLRKKNT
MTRRSVLRNWAPTSGIRRQVLPQETALLGQELLSRRRWFVENGTTGLDSQIGHSALCPCRFVPQEPNSPVYEHCNVESKPSTYFRGMVMTKLIFLCRTWILTCQSICPTLYISYSSRQEASNNQRLVNARSVLCAAWDRHESRQCVPEEEWIEGLTNHLETIVNLRIDHVRERLTVNLSRFQAGHASIEELRRTFENAIVDLKGNVQLCKSLVVSYSAFKVAPIMVRIIVRPTISVCMNVISVWNFLESISNVT
MSSTTEIRFSDFVRIENIRCPIIARDWAEAIRELVTLLHRNGGGFDLDSTIDRCLRREAASSTVIAPRLALLHVRVDTIDRVLVAVGIAPGGIAFPTKTRGTVEAIILLLSPRTDPDLYLQTLAAVTRDLHAESARERLVQCQTAGEVHEFFLESSGELPPHLLARDVMEKHAVTILETDTLREAIDAMCSQRLMDVTVVDEEGDLRGAVSLEDILRLSLPEHLLWMHDLTPLLRFEPFAELLRQDRDRKVADFMRERVLTVPPDMPAIQVAKLFLTEGERQVRVVDGRRLLGTIELRDFMAMLFWA
MRARLVQFIREACDVPPVSSPARAPPESSAASLAERRLCELGLLPLPPPHHGRLPERAIRFCQLQLPSMPIQPSACWSSPWHGPAIGLPCNLFPLLSAQPPPGPWLPPVFREGGFSRLRESVAALCPARQCWLVAALAGLAGWILLLRL
MTAERAVYSNWGRWGSDDERGAANLLGGEVVLDACRLPTKGRVYNLGIEVRQGAPVAGRRISPVHLMASDGGDYAALGREDWGTADDYLFLASGGTTHIDGLSHLWYGGRMYNGFSFREVRSSGAARCGIEKVGGLVARGHLLDFTTFPTKRPGLIGTADVTAYVAARGLKVQPGDALLFRTGWIETALGGTLQEASCPVVASDAVEWIAEHDIAIVGADNPAVEAVAERGVLPPLHQILLRDLGVYIIELLTLAEPARDGVTTGMLVVAPLLISRGVNGPVNPLLIV
MSNGRVVGLHVTQAPARLPTLPQRLRRHDPHFSRSSVKSSGSLSSPSGPSSGSTSFSPTMSFSRLASPPKAPGVETEHLEELADPCALGASCAPRPTMSPPDPRGEYIMRILAVAGGLVAAIMVVFGTPSGAAAQVGPAVEVTREQFDAWMTDLSNWDRWGADDQLGALNLITPAKRVAAARLVQAGRTVSMARDMTVTTLDNPDQASANRAPVLVGSVRSVFDINVQGGFFWERYEIEYHGSLVSHLDALCHVAYNGKVYNGFDFETVASREDGCAQLGVINSKDGLVTRGVLVDLPGRPVRRQDIEAWEAETGITISAGDALFLRTGRDVGQTGGYHPSLIPFFKERDIALLGSDVPQEGGQVQGVPVPIHVFALVSLGVRLFDNLGLEEIARTANELNRWEFMFMASPTGVPNGAGAAINPIAVF
GQKLVLDHVRGSLGTSVVVDIAVMGEAHGMISDLLADPSLPPNTCSSLKAVSNLLSTQINIQPLHRPRIPTDPHACSDSEDGPERPERLAIPKRLRRSLPPGLLRRISSTWTTTTSATGLPTIEPGPVRRDRSASIKHTVDSGDSWNNPLMITISKSRSMSASYTAPGTSNHLYSKPLGRPGFPPSNVSPLGSPCRSPAVQGTPISSPTIKTFSVQLPELARPPTEQVPVSVAPKSHHRALTHSQSAPSSTTIHWRPPSLCDERIVASSDYDSTYDSTYETNHSDSSDFAQNDDEGEGGPLKMNRVRAVSGFTFTCQDKSASAPESPVMPGLEPLMSQLNNWNFPIFSLVEKTDGKTGCILSQVTYRLFEDTGLFETFKIPIKEFMNYFHALENGYRDIPYHNRIHATDVLHAVWYLTTQPVPGLSLLILCFLLCSDSVNNITPRAPGFLVSKMSSVSEDGYSSLSGLIPALELMALYVAAAMHDYDHPGRTNAFLVATSAPQALLYNDRSVLENHHAAAAWNLFMSRPEFNFLVNLEHVEFKRFRFLVIEAILATDLKKHFDFLAEFNAKVNNRVSGIDWTNENDRLLVCQMCIKLADVNGPLKNKELHLQWTEGIVNEFYEQGDEEATLGLPISPFMDRSAPQLAKLQQSFISHIVGPLCSSYDSAALMPGRWVDLSEEAVQIDVEKEGHDTEEEDTADEERQEAKKLRRREVFCQITQHLLENHEMWKRVIAAETSEETQEEKASCLDKPVDSITAIREEEEEQEQASKEDESADDLDEAEETAVAEEEEVLPQSETSGEKEDVPE
MKNTSATSAATSAASSSTTTVAAIAASSSADDNNDNDSHQKPRLLTSSLSSSSFSSLPTKPQTLRLKIKDAYSFIDDVIQHGDLLKVEQLATWDYPIFRLAELAPTTLLTRVAYKVWNLTNFMSEFNIPRLPFLKFFHALELGYHNNYYHNRIHATDVLHAVYYVTSQPIPALLQKPPQNPEKQQQQQPPDMTMVRLDEDGTIIDNNNNNNNIDTNNPQQQQQNQEPTMSANFPSLELMALYMAAAIHDYDHPGKTNAFLVSTNNSMAILYNDRSVLENHHVASAWLLLTSDPKYNWLVNLEAAEFRRFRFLVIEAVLATDLKKHFELVAEFNAKVNLGDDPSVDWTLESNRLMIANMVIKMADINGPCKETALHLTWTDKICREFYEQGDEEASLGLPISPFMDRKNPQLAILQKSFIEHLVAPLCYTLSRAGFLPIRPNYVDGDDDDDDGGGGFGKKKKHDDDDRDDVGRKNGDDDRDEDDDDGDDDLKGGEKDSDAAENIDDYCDDDDFLNYHYEPCSYLTKNIKHNRRMWIQHIKQSTLPQELINVTIKVASFDDAIAATTATASTFEVSEVTTATTTKSTTVAAASASATSISSASVILPHEIDIHKILPISNFDSEVATIQSGIGISGLDHSDENLVASVVTAADVDSVCSRFVSASVESTPVQTVLLSSTATDAAVTAFTTGETTTHTAVATAATSTTTTSVLSSEPSFLKEASACAQEEFTTVLATSQANYVITNNINLSNTDNINIESIVNGNAGINSSSYINSSSYINSSSYINSSSYINSSSYINSSSNGSNYICYSSRRDVACSSSSSFNSVEIANIANFSRPVFSNSIVNNNAINNNDVINNNDNINNIYYTNNISYKSYNTTIIAKRKNDINRSNIGIT
MPDLEEETAQCGLSPIYVPAEELTRTKKGAFQSKKFLHSSGGEVKREGGKQLQHHGQGRRMTTMCRSAPIILICTSLLCQGAYTQPFSIHSQQHRHEQYWYQSTLDQIPVISGGICKVIAARRCCNRNRIEERSQTIQCSCLPGKVAGTTRGKPSCVDTSIVTGKWWCDMEPCLEDEECKALPDNSGWMCLLGNRVKTTKIHTRH
MEELVNWLTHGVGLLAAVGGFVFLLAIALGQASTPLLVGVVVYGVSLVTLYLASTLYHLARTPGMRRAMKIFDHAAIFGLIAGSWTPFLLGPLQETGHGWWFLGIVWGLAVLGIAMKLFFTGRFRLLSTGVYLGMGWLGLAIIGPLHGAAPPGVLFWLIAGGLAYTGGVVFYLWQRLPFNHGIWHGFVMAGSAAHYVAVVGLIG
MLPRERFSCLSHAVSAAFAAVGLALLLVVAQGDAMLTLLATIYGVSAVLMFSASALYHANKRKDDEISLWRRLDHSAIFVMIAGTFTPICFIHLNPTWFYSIVGAQWILVAVGIFFKLAWLRAPRWLTTGIYLAMGWMAVIAVVPFVESMSRFELSLLAAGGLSYSTGAVVYGLKKPDFWPNLVGFHGLFHLFVMGGALSHFWLIYRSVAAAKIALG
MTADGTPRFLKDPFSGISHGIGLVFALVGSGWLLGHARDGVSLATLGIYTACLVVLYTASTIYHLMLAGERLTRALRLFDHVAIFLFVAGTSTPVLVRGLSGDTRVAMLSVMWGVAFVGILAKLLWKTAPRALYTLMYVGMGWSVVGTGRALMNGLSAASFALVVAGGVVYTLGAVVYALKWPNPRPERFGFHEIWHLFVLGGSALHFAAIALLACGG
MFKNSPIKKLKLYIGLEHEPLTGVTHFLGAFMAVAALVLLIVFASTHGSPGDVVAFTIFGFSLLALYASSSLYHLMPIGHHWKRIMQRIDHSMIFILIAGTYTPLTIVTIGGTAGWVIFIGIWVLAASGIWIKASWIKVPPLLSTVIYIILGWLVFVPPLSFNQRLSTEAFTLLLLGGVSYTVGAIIFEIGRYIPNSKKVGYHEIFHVFTLFGSASHFLLMYKYLLPL
MRKVIIISTLIITLLVSLCPVKAKNSYFGHTQEELYDWYQSDARTFYLTGDLIVTEDYPDKYVNPDGPSFDYICFSKTDKEKVIYTNQYSLIIDYDCAWTNPNLKIVGEGADCVVKMNGYNDIHYINIEAIKGNALYISRSSGGFVFYESYQETFPSQIIAKKKAIINEAPDPKYGDNQIYTTKIVSLEDEAIISDEDVYIELSVINSYLPMTGLTNTIWVGESCVNGEWGSHAYGKWKVELPDISIGDIRETMTIQNYNVFDVSLLPSTIEINYYPVPIEWDIESFNPNKPINIIKGHILVMPEFSQLIESNVSMKIICQDPIPITDFIVADMQPRPNATYDPYFSMSEPIGFKEAYLEVSLNGIDFDRYVLTDEIISAFVNDEGMISFSLHPQFSAVPNNLDYYYRVCIIGGEREGMSNTIKVSKQEVTDEEEEKTDTPPSDENSPNDTLPDSTEGSGGHRGDQNRDDVGKDKEEIVMTPNQIEGLNKTKEDINVIIDNQQVSIPHETIETWCQANENVTIKTNENHDIVYKIDEQDEQILKSGNTKENKDDFKISDKKNLNSIYTLVLTAIVMICVIGMGLIYRRKKYEK
MLSADVPDDIWHPAAFVEHRLREQLPPEIPLHRLPPAAPAPDRIVHPLQNCESCDRAYRAPTRGHCPACHPDPETGA
MEQSYLSQARFLKSALTAIAVSLTFINTATSVQAQQFNATTPAASNPSGNPAAAAGANAPSAASKGSAAAVALAAVKSTKPLPYRENILLVMPAPGADRDKIAAAFSDLKAVVVETFGSGDLICYAVKVESGTMVEHELKLSQNKLFSAVQRDYIFQQR
MPNKTDQTKTSLASMKTFMTAWKHLRSIDDQIAFIDLELSWVSSDSVADSLESSRRALFAERSQLNLPKYPRNSLKTQEL
MSLDMGPNPLWQLEELWTDLDLKPGQKVLDVGTGRGATAVFLAREAGVRVDALDLWIATDEAAQTYRDAGVGQLVRPLQGDIRTLELPESEYDAVVSLDAWEYFGTDVHFLPRLVRALKPGGVLAFATPSLREDPYISDPLPALVDLAAFEVLSWHPADWWAKHTALTGKLIDVRAWVPQDSLDLWLRWERAVDGNPEMRSVIAAYQQLGGDPPALGLVHVTGRKPPR
MGPSRGWSIKWRGGSWRLSGRTYKWCRILEKQNSWFRSPAFTAGVWFWRVELGFGTEARFLCCRDLRRTRRRRLWACLVAWEDPVPAGGSPHVSAPRFGSDAELMAQRRTDMTQQQLQERVQHEEDVEGGKEEEYSTHGLEPAPSPQWPHVRVCQGQQAAPQRGGGGRQDVCRGGGDSGRSRGGSGADRCSGGESGTASGHGSGDKSGTASGHGSGGESGGRLNQDSRLDRGGVDDEPKAVGYVLVSVSQPLAMLPPPLPSTSPQQFHVDALAVGARFRRQGVGSRLLAAVEQLVMRWGGKSLWLHVDACNDAAVQMYSDRDYGIVRIQRPRLVVPSSLLGRPTIGCRPPFPPFLPHSCLGRLMGASIVSVLQPQSQGHQGGGQGPQLEKQQGQRTHQESPPNSVLFLEQQQLRNPPYSLRNQEQLQQLQVSLAQWRQRQRSRKFVMQKRLQQ
MQEDIADKAIRLERLQNIQEILNTSDPGEIQALFSAVSKERQANAPVINLQDVFHSHDMQMAGVSNLQLSHVGDDLRIQFELNNLSDGTLSGSIQIYFVTQQANVIEARGDENELTFEIQRFRRVNSLLKLPSGMEFEAIFALRVVIGNDDGDVLFIQSYPVHNILTS
MKTLLWLFIVAASSTLMMLFVYPIFSFSKSSKEFFEISVNISNNSTPFLWPSSSYMIGGMDRFEWYRKQMYLKEKIIKLKTTSNISTLSAYEFEHEITVTITSKDRMGVYCSLSEKIWNQENWIECREEWGVSVISTNQQNAEKDRRTDDHWQMVHIADCVVWSRFESRWTIFADLDERIYMSNYIGTIRRYVQSVDNERIG
MQKNWLALARPKIASETVPGQLLHWKKTSAIKGNDHYYILTFTTTLARQQKRYRAAAVLSASEAATLTAGLPIVVKYNTQSPKKMAVVAVNASTVPGDDEKSPHDNH
MCDTLPKKERERIKSSAWNSAKTDNCTTLPSNVSYSFFLPQIFCVLSILFFLFT
MKLSLLLDSQAPAAAELASDVQSRLAAADDILGDVELELVLVEGADFAAQRAALSEVTGERIAWLAAGASAEDLLKILRARAATRDNEVTAALQEPKLSGGARMRRLAHRVLCRLGGRRSPPNPQGTSWVFDRIWLPAVLQVPADADVDVPLLLAALHVRWEREWGTLQGGSPLPLGAALKRGWQRLLLIGGALELGLLIALGFYGLSGILAMLGSQSSWALKGILGLVLGAITSLTFGVLQLLARRKLRSALRVAADVRDALIMPPGWSSN
MKSKKLYFFLSLAGLSSLLFSCGNDVSSSSTSESEETSSSQTSSEESILPPTLNKDDFSYLLGTYNGKLGKLTLDEKSIQIEGKVXSTFPSIWMEK
MSNGGGAETALSSDTEPSEAGTFGGVPHFFATIATLVAPTLTGVFVNGYGYSAMFVAPTVAAFGGIPAMVAGKPGRRVKKSVEV
MDGFYMTFKKYQKGQAIVYLIAFIGVISLAVAYALNSFEVVNEKTRLQNTVDAAYSVAMVEAKDLNFKAYTNRAMVANQVAIAQAVSVVSWIRFLELSTERIADITSWIPVVGAITSAIHAAVEAGKEGLEPAMQGMATLLDAWITILEKAQFCMHAATLVVADETLKDIVNKNDPDVDTSISLSDVYLVSEFANKHNSFTGRYDPDVVRKKSGGIKNYNRNKARMDSFRSITMNSVDGFFQRRDDDIPAIPKVNLLVFQAELRRGGATSLVGEGSHAQYGSWIAMDTLSVNTRT
MSLMLVHLHNEKTISEGEWGFIGIKTASSDQSFHRKTVESVNTETKRVSTYTIDGRLKGDMQFHRTSEDGRNRFSPDRANRNQALLEPPFHRETTQLCTYFHPLDGQGGRATSRRRL
MPFARLPRLLDRLDPGRSQARYAAMVAAATVATYGILYLTARLLDLPLSGAVLGATVAQYLGWFITAPTLRQVLRQSIAATVVALPGLGLALWLTGAIWPSLAVTTVLTAAAFVFRAWSAGMRTVALTASYTFVFAVYLDITAQDAVWSLAAVLVGGLVATLVRFLLWRGPSPRRGDLVRAYLLNLSAFLRRAAKGAPEKAQRRLRARTTPTELRLTAAGGEDAKVAALLVRLRVHATDAALCDDPGGADLADALDGGGRAEGAAGAILHEIRALGYAEDRVRVPPAPDDSPDPPFRVRLKQAAQRAVQVALALAVALPLGYWIDADRWAWAYLAAMLVLYGTGTADDVLVKGWRRVRGTVIGGVGGLLIAGLLRGDIAVEVASIIILQFLAVYFQTFSYAWMMAASTALLALFFGVSGNPVPSVMGLRLGETLAGALVGFVVSQAVFPSRARRRSRDALDNLLTAIAAVLGRDGDGIAADNRLAKALTALQAASA
LLLVINQCTCYIIKYKSVLKINNQLNAKKYDLNDFINISINKPLGLSLEEVESDGNKGLYIEDIDEGNAKKTGKLYKGLLLISANGVDVKFASFDAVMDILVNAPIDKPLDLTFIDPRKVEKGPAIITVITPDKKEIIVNSLKGQNLRSVLLGSSIDLYSSKAKFTNCAGAGQCGTCVIRLSNDIDWETRSDYESKKLKKYDTSTRLSCLTTIEGDCIVEIQPEKTVS
MRFLLCILFSAFLITTVQSLIAQVVYDPITCGLPGRGFKGKLRTEKRKVVGNDVNKIVKRDIDKDYSADYSPTAGDETEEDENDPMRTKVMGGERAAKDELPWAAIILFRANISCGATLISRRHVITAAHCFVKNPNGTVSLKNMRSEEDVLADIIVGVGGTCLKPDKKYKCGEEDNILGIRAKRISYRSYFEYGSDFTHDFAILELTRDVPEHIHHICLPHMNKKIDIGDPSLRMSSFGWGQDAITNLSSVPFLKKVMLGVKMTEKECKKIFPEKLDDTFCTVERKDSGPCEGDSGTGITAKIGIKTYLLGVLTYGTDCKKLVSGGGKPEAQLSTDVTKYAALIDRALSSKCLCAEVLVFLGRQLRTSLTLLKESAKEEGTRNVEIEEQFNCHHGAQKRLYHQEELVWVRDYRPRHEKWIPARVKNRYGRAVYDVLTEEDDLWKRHANQMRGEKHRRVSCERTEASKMPFNQEDRRYHGMARTIADINDNVKDRTSTIVPPTTTRPARQRRPPRRLQPDPKMKTYAMHSS
AVDDAAKVVKKYSDEMVKRWNEEIDTLLVCAGLFSAILTAFNVQSYLLLQPTTSDSTLVSTADLTTAQ
MRKINRELFEELLNKAEKNIRKRTNLNFHKSFKEKVQRLLNVMKKDTYIRPHYHPLRYRWEVFIILRGRVLVVEYKKDGKIKDFTILDEKGEVKAVELESGIYHSIIPLKDSVLYELKPGPYIEEKDKVFAPWAPEEKNRKDGLNFNKEVLKKLKRARADLNRRSPA
MVAVEVSMEVLPVIERGSIAEVIHHVIYFKEATDQTPKKINNPAYDSWISRDQIVLGYLLQSIGSKVLPHVQRIETVTGVWQVIEEMFASHCQTEVTNLRLELADTKKLQMTTNAFLTKMQRIVDALAATGEPIRTRECICFILAGLGAPYNVLVATLGAVTTPLTLPSLYAQLRAYDQRQEMLGGPAASEFVTSANYTQRGGHRCYNSRSRGEYGDRGDRGDYDRRGARMEDRRDDRRDDRQLRQERGGGRAPSGGGRGRGRGRRRTTPWVNTTC
MVDSNCVQPGTRSGLTSVTMDPMSTKAEQGTPSTVTGTWQKSPTQLGYRARSPHCPDYADPGPFPCALGELGQFLTKCPGWPHPQQSLAPGRVLRAICSNTARKSSVTFATHAGFSCSGQLPTTALTVGVLSL
MGASTFQNLLSTPELVFLYQNAVQDNIEYKVSAFWQHYLALKFPPEKRYIISHEHSPDNRSRRRVDILVQHLFPDPSVATTILMTECKRRCNSKFNDLEKQLLGYAESYFRANPNIGAVGGMTVWGTKARVWTLEANWNRDGVVAVFIPRFGPCTSNDKAWYIDAGHVESWYIEEAVCEMTGSAPPPRPEELDEYERS
MPCKRANLSRLCGWWGHVGTRCVGRLGAIEVVASEYWGRCRGSGLWRGRGARNDVVLGDHRTMVADESCVRGQRLGHGLDGAFPARTGSGDERGELRHGVADDNGESGGEDAVVDVSGIRRDELEHVGAAERRERRGHNNIGGRGRGEILDSGFHSGGTGKNLGGARHPLKVGRFPDRHKRDRVPGTELCGHVDGKSWQVIFEVCLDPRGRCRLDRGGCVGVDSGGRGHAEQENERKTQHGGEEG
MEKHQKNGYFKTIPVTSSPLLLKLIETKYIKNSLKHKEIYFALGEVIILDKKEWFPYQLLKIKDEFIRIEIMILTCKNCNHIIKSGTIADPELYIGTLYHRKSKIITDRIKRKFKNNHCPYCNSKLMYYTIWTSN
MFGSPGAASSSASQIVAASTASPLAQADQLTTSSIVAASPARIVDVTTAQVHNFPLPQSERHLLIVLLFVCFTVMAIGGLALWKRNWQEVVQRARPHDDL
MSATPGADVLRIEASGEDYHAVGLVPAGSAALTCQIDASGTYAPNRQERFWSGNDIEWKPGQPVKALNCR
MTTITKNTKPALPKTDAEAIAFALDHLHDHERTEFLQDWRAGKDVSWGLEVITADGKQAA
MEKKHGLVGKPSNNSKDITKKMISIRVDPVDLERWKSIAESNNMKFTEFFEQALNEKCAKYHS
MPSMPVKKPLKPLPKPPTIAQLLSNAIKIVDIMVSTINKPNFSVAKYADGVLIWDAVMLLVRSRERHRVANLPEVWLDLLLEDNQEELVQLTRPAEEKKEEQEQEQEQDDADEFFWQSARQFLSMSVQWMCFFHVTDFFCQKHHSTTASSPAVANKEQSVKAKPSPTKGKVVATAPTHARHGRLSKAATQCVGWLSEETLATLLLVSKNNVVVESFLEENCAKKHKREDDKDAPGPLQKWSHLPEAEVKPLVALLSTVEHKMLELQKSLQMVQKALDTITSWAEQVQTLLGRALAE
MWFQLLLPFQTSTLSALVGRDGKIYFRLVDVAALLSKHGVYSFAKRFQSVTVQGTDVLPFHKDYPIVTKKTHLVPPNVVYNILAAENVPLSSSFAKALNMGYAFVPPVKQLLVESFKKSPVLNVCP
MSQSYAMLNCYGSCSVSVGRPQWMSTRMTLSSAQESMQVANNNEELRLRATFTVLHKPVEGLYAMNGGDGPHSYAQNSSYQRGVVDVAKPIIQEEITTKLDIEQLSSTSQYHFSIADFGCSTGHNSFPAIHIIIEAIEKKIERERRKFEIPDYQVFFNDQVMNDFNTLFASLPPERQYSAAGVPGPFHDRLLPKASLHFAYSSCALNWLSEVPKAVQDSTSCAWNEGKVHYMGAKKEVFDAYSNQYEKDITSFLNARAIEVVSGGLMALLVPAVPTFDHSETTYTTPTEMALLGSCLMDMANEGTLSKAKVDSFNFPLYFTIPNELKAIIERNNNFSIERMEILNNPGKQRLRSAKERASYLRAVFEGLLVNHLGSGIMDELFERYANKLEESSHFLDPDNEKSIIIFVLLKRTVQEEEEIPIECELIDSDNEVNDDDFHYDKNASKHIESGLHVDPALELDVGGEEEKGSDELDCPTIAYLTSSLLSSPLREMKANAAVIYVPSPFAAQAIMEAKLYLAVCIAEGIPQHDMKTDLILLSYHNVACFKTQWKIDELRSELPNKEHLTCENV
MATLPAPQRRCTLSQPALTRSLQALEAQVGEQLFDRSHREVTPTTMGELLLQHARQLLLHAQDLQRDLQLACGLEQGELRVGVGPFAGAALVAPVLAILNRAYPGVHLDGGAGTLARVARTFAAPRNRPAGRRLARD
MSDLEEDYQLGAESEEDDCELSDGELQEALSSGLLKPGMNVPLEEPKRAVNNVEGLRKCLAEFKKNLVWAERLDITTAPAVDLTAAAEGKKEPSQDVEQVDANDDFQREMYFPNAKRKYKDSKFGFGGKKKGNKWNTKESYDDMSGFRAKVAHGKVNRKFSKGGKKNVRHLMGFCLEITTQL
MKNPQHKRTMRYCLLDMGFNFEEACTDIDKYGPRVEIGDLADFINASQLEDEIDSLQDLPKNKHVASVDIQIEVFFYLKISTTS
MNPQSPYYNSVQSPYSNIIPRIKGVNESPKKSTTKVSREGRVGHCSLCGNEGHNIRRCPSESEADRSKRKRLNEEARMQARVAAQVEGVSSTAPQASQLQFDA
MAFAYEVTCLKEIQDILRDHEGDEGVSITFAGRLASIAEATGMWRLLEDMEQQGWLVENSTLEPFTYIDSAIARAHGLADYVLGITEPAAADHPGSSTAVTAEMLLHKSVRLSTSMSSPDYGTAAFAKRVADKLHNRHARSQEGIAASPADRAAFAGVFRVDNSPDRSLPADRAFVANSPGRLLSDERARDASLPGRYLSAERARVDNSPDRSLPADRAFIAKCPGRLLSAERARDASLPGRYLSAERARVASFRDRSLSAERTRIAGSPGRSLSPERARVVNSSGRCLSPERAPDVNFPGRSLSAGRGRGGNSPGRSLSPERGRGGNSPGRSLSAGRGRGGNSPGRSLSAGRGRGGNPSPRRLYGQDHRDPSPRRSASRRRREDRPAGRFVTPEVATRVSEAGLELMRMPLDKVRALGERMQELGIVSPATRSRHLFFVMI
MGQGTWWMGHGFGWMWIFPLIFLLVLVLFVVALLRGVRAPYGDAHGAPPRETAHEILDRRFARGEISKAEYEEMKRTLDG
MMYGYGFGGWWMMLMPLLWTGLIALAVWAVVRLLPQNTARRDTPLEILDRRYAHGDIDEVAYANARARLTDHSRPVS
MWHGELGWGHMAAGGLVMLLFWGGLIALVVLLVRAFSGGGTRDANARPPGASALDVLEERFARGEIDQQEFAERRRVLLAGRAEGWRKAR
MGRGALAVTGGASAVAGALTGAPAAAQERAWEWGMHPMAWMWGVGGLAMVLMMVLVWGLVVAAVIFVVRGLLAADRRSGRDTALEILRERYARGEIGREEFEARRRDLS
MLSTDSREDVPISINPDSLIGSDFIKHQDRVKNITLGSNHIHHALERCYNVCFDLDNGWYCIGRDNGRHNGSCAQCGAAWFKIAWIPFFLRQSNPYKPIDMLNTSTCDSVHKSLVVIESPCLPKQAACLIKPLQSVKVDMLVTDFFQSLISSKFLTVLAAIASNEGGSGFHELRTDTLHGAIARQEGLAYTLGKLTSLNRFLPLIFLNLCVAVNCIASCGCMTEAEISHTLKASQRTLLCRNISQAWQRQVANEMCPSAAPTSQKETPSQDQLKLHKSRNRLTAANGYTIVRKTCGQQF
MTIHTADFQRQVELEIDMSNLGAARFASRVNKEIEQERGGETKAVQWLIKRDINAVAEAIRLFVEEALRSSRSQGRRCQADPRHEP
MAAIWIISILLSSFLLLPKSFGWPDGAPCIHAAYESMNPLEAVEHQGGLQLTEPPFQIELDRKFQLKGNTTKTKFKGFQIQPIVWKGSKFGQRIGSFVRLDDNGSWQFQCFRKKDSITHSHDEPKIQMKMWWKNNDDDTQYVQFVATVVVNLKKFWVKSVLSPPLPPCKVEREAGPWAKPIPRVPPPVGQFKMDTWRIFNHESSGTFPRSIASFNEEDGSFKALPRPTEKPFVPVIIQRPTPAPAPAPVFVTAVSAQLQNPGLREISGDVSINRQPIFPPQTRPPLPPQPRPTLAPIQVIPSQTSRPVFQVQPQRPQPQPQMPQPQPQAQPQTHLRQRLTHIIPLANMEMNRRTPNPVPSFSQPSPSSPTLVTIAPTRMIASQQQQQFQRMMQLNRQISTFRQQQQQQQQFRQEGPICADRDPPGRCFGWLSYCGHSRYMEINCKRTCRFC
MVLRRLLPGRKTDSAREGAPVSGEEIADLLESLTAASTSATWKCRHAAGSVMVEGTVDFAARESRATVIRGKATYEYVRRGSTAFRSPVLQDAPEDAEQSWEYTSETDACGIHAYAIPGVLAAAVRAASGNLGVGKPEEVGERKLTPVTVTLKPKTTDPDGRVARLARQLRDHGAMVLLVSALVEPSGWDDETDEPTGPMIARLRLELPHWTPADNPMDDHAVTVDLFDLNEPVDIAVPEESAAERRRTNRTCADLALF
MLDRSWWLIRCALLAGVVSLTVAAPGASPAAAATGAAEAPSCTVTGFAPDSPTARAELREELIEEDLRLADGEVLDRERPWVVPDGAEPVLVLDSSAPLPGGSVQLAAAGERFELGSREFDEEGEQPSRYVSNVELPHLGDTTRVLGLEVTGGECAVGVVLGVDRSVWSTTVGQAAIAATMIFALLTVLLGRLNRGGWLVRFLSAAPAGLLTGVAVAALLYEAGLVNPFGLLPWWWPVAGLALAAVLPFTRWPRPAPAASQPAPTVPAETPDGAPAATADATPDAGPAQPVAVAPERRRWLDLSAQVGAVAAAVLLVGAAVLVVGPAPAQAQRVVVTPEQARVVFEQTFADGLERRFDFIPFGPRRAIHALATSDDAIQDAGLAEVAIGVPPEQWDYPAWFVVTAELHYGDELASIFARFDRVAADEPWQMTTFTWAQDRAPQLALDSDGWLAPAPELTTLSYSAEELVDRYRELADRQQDELIADNQLVERPELVTDDVLDVRPGGGSFLRELHDVVYSFPFDLFYYLDTVGDEPLGLSVELDLAPDFESVQAVPLADGTTLLSVALTAEHATHNRARDDAGEPRNGSCEEHLNLRYSGAVNYRVFATDHQLLLLAWLPAAGDHDEATDAAPAVQISDPILTTDRPAEERSERC
MRHQKESKYIIANAISYTHLLERHIDKEDRVIYKFAQRELDKEILEKIDIECLNFENNNTEVKDRNISILESLENKYL
MLVSVRKQAESIRDVPISVAAFSNEDIKELGLTSIEDIALLTPGFSFTSAFGRQPGSDRPTMRGISTVQNGVANASAVAYFVDGIYLGGSPQSTELSNLERVEVMRGPQAAQFGRGTYMGAINYITRKPSDVLEGELEASAGDDGYAAGTGWISGPLTDGLSFYMGAGFDTFDGQYTNQRTGEDVGGEETMNLTGKLFWAPTDNVDVSFKLGYQETDDDHFVIYLQPRSLNNCCFRNDAAPRAREYYQGEAVPDENNVNLATDLLDLAGGSGVELERTLAAMSINWQINDRMRLTSLTGYVDDEVQTGLDSSYAGYDPIPFGSFRGAFYMYDEDEQSDFSQELRLDFDITEGVHLTFGGYYYEGETKEGVSLSIDPVDLEVGPNPFIDSRTIEDIENMAVFGGVNWQINDRWNVGLELRYAEDEISVKEVADDPADPIEGCDSRASLCEDTFESFTPRLTALYQLNDAVNLYANVAQGTKPGDFNSDVPDLPGGGPDESFRAVDEEEAWNYELGAKTLFWDGRASVNVAGFYLDVQDQQLTQVIELGGGLTTSILANVGETEVWGIEFESLVNLTDELSAGLTYAWTDSEIKERISTDEADLQGSDGSFADIQALGNVSGKTSPRVPENQFSLFARYQRPMGADGSWYVTGNWAYEESKFSQEHNLMETGDRNIVGLRAGIIMGQWEFSVWGKNIFDDDTPVDALRYIDRRSGTLPSCTTFPSAVACGGSSTSPRSFAMTLPRQDQWGATVRYRFGG
MAPKYRLPIFVISLFFTFLYGVSAQAVTGDYNKPVTGTLAASEWNNLVLDFVAKSGSRLDGLFSINTATSSTYALDINGALRATSITGALSGTLNAANVSGGYFGSNTGGGNYNFPANIGIG
MTKPLIQIDDEIREMTDEEFAQYEAIIADSPTLPDAD
MRNSLLFLLVVLLLSGCIPRSRTDIPAFSGTLVDSISGVPLGGASINEQADPAAEGWTPQSLRTEDDGRFNYPALTSGTVYQLPAPGAGWPVSRTMIFHKEGYRDTTCRCTNMSLFGKENEAVIPLVRIDQSELSTEEPLLLHLSDSIVCQAFVGSQVLYQDTLYLIGEIYRQGQYDGNPLLLTLRSVPPNEGGIVLAVEDYRVQLAPPVQPAEE
MWAGDELLRFGDPIQGSELCTAVEMMYSLESILQITGDVQWADHLEKIAYNALPTQITDNFDARQYYQQVNQIKISRDIRNFVTPHHDTDNLFGELTGYPCCTSNMHQGWPKFTQNLWYATNDNGIAALIYAPSEVSAKVGNGKLVTIKESTQYPFDETISFTIEFQNRKDKEIKFPFHLRIPEWCRNPTLTINGENMAIKTKQGEIVKIDRVWKNNDKISLRLPMEVAVSRWYDGGVAVERGPLLYALKMNENWQKKAMEPNEIKRYGKWYYEVTSDTPWNYAFPNRNLRNENIGNAFVVETKNNISDYPWTLQDAPIVIKTKGLRIPGWKEYNGSAGPVPYFTQQGEDVGNEEDIELIPYGCSTLRIAVFPVRNK
MSEFEIDASRYVLGGSDKNVQETPALLSVNAEFKYVCYQCGASRCGAPTL
MRYLLVSLVHPALNAADYLDFFSGMSPLLKVVVPLVVLITTLLISRARHVSFVTDLGLVRPPLLPLLGWLLLALVWMLASDSVLHWRGTFDFHPWQAQPLWVSIARVLGVGILGPVAEELVVRGMVFARLRSAGLPVVIVILLTAAGWSLLHLDYTPAVILIIFIEGLLLGSARQYTGSLLVPILLHIAWNFYAVW
MATKILSLTASSSGCERNWSGFDGVHTKKRNRLTTDCLNKLVYIQFNNRLINKRAKIKSKKITDVLLSSGTTEAQGPGRERHWQQGLRHLALPQPIGPSGLLVQKRGDGEPPSESRLMVERATIRVKVASSVVLAARGEAGPQRVKRYIQEIRLERALK
MGYLIEYKEKTSPLYFEKPGDNFTEERKGLVSFDVLSRMLTSWRYLVFAARLVDMERCAEENGEPIEILTEVM
MVNITSKVLKNTLIYFVYVIIILFVLYVFEKIFLNGNIDYILPTRIPKPLSRLLLLLSVLIGSIILVLANSKINISQLNDKYILVTLFITATLLSLPLIFFSEIMPNSDFLTYYLIAKNLLNGKIFIPNYIAVFPHTIAFPTFLSFVFHLFGPSILSAQLTGIVLSSLSVVFVYLIGQEVSKRQYGFMAALIWTLMLSRIFYSILICTENLFNAIALLAALIFLKTMNNTLPIKNKYLNFVLIGVLFAILNSIRPNGIIVFIAFIVFYLLFFEYESDRENVFFLFKIHLKKGLIVKSFFVLTVFIAYFLISFSINLAIESKIKQQIATPRIGWNMYVGLNVSSHGQWNKKDSELFSKLIKEKGPQQVQKTFFELGIKRLQDIIKDKKMKRFILDKVITMWHADHESYDYAAGAQPKNSRAKLKFSRNNKAAKLIFDTYYYIVLFTSLLELIMLTIKRGGLKPVILIGCFIILGTILLHIPFEVALRYKNHALLWFCFISANRIVTISEKITISSKLNVNN
QFLALKDVNSISTETMLLLWSMRERHNPSSMFKMFFETLPSNFNTGLSFGIDALAALEGTLLFDELMQARQHLRQQYDELFPMLSTKFPEIFKQDIFSWDNFLWACELWYSNSMMVVLSSGKLTTCLIPVAGLMNHSVTPHILNYGRVDQATKSLKFPLSRPCEAGAQCFLSYGKHPGSHLITFYGFLPREDNPYDVIPLDLDTSVHEEDGTAQSVSTSVTTHMVRGTWLCRSRGPPTYGLPPPLLSHLRAALNCDHNESTPEADVRICSLFPE
MTDDLKRLLDIFSNATIYLVGREQNLQGLETALADIKGKSIPFTRTHLEIIRDKENKYWNFERFWTLPDINSLDFSELDRAIKNKSEKKGAIYILNSQIKNIEISSIIFRFIDPENYGIISSPVEKVLELKRGFSDEENYIYYLDNLKLIKDRYGFHKIAHVDMALFVYSIILEGIYSLEGERKAKEWAPQECIDIVKYHEKNVDIIKQIRAANLLSQIWNIESKLQMAALLIETDYHSAGLLASQDLERVVLDLCEKNRISTTWRQPKHFKKLTSELEFHGIIGRDMRYELDKAWDTRAICVHIKEQDQRKSLTKERVEHIIELLGKLYDI
MIRYFKSSFAVQYGLILLLGLLIWLPALIKPVGLVSFKGFNPLYDLLASALSPFPLLLSILGFALIFVQAFFFNAIISENNLQNRVGSVGMLVYLLLFSRAPELFGFTPVVFSTVFVLATMHNLYQLYDSKNPIMPVFQAAFYAGMATLCYFPAVVLLVVIFGVLIITRTNGLREWLIPFFGFFTPFFFLASWYFLNNELIARYRDVLGLVVEFRYQFAGLPLPGWLFYLLVLVMLLAALLWVNGNESDNSISTRKKVSITMLMLLLFLASAGFSGAHLSANGLFIVPAAVFITYWVSSARRLRLANIMVLLLLALVLFSHYYRLFA
MPPARPHHDHPGARVLLAGAAGALLAAGWTLATVPAGAAGAVVPAVVTTSASCSGGGQDTVSVQLDTGAVSARLDACGQPEGSAVSVVLAADGSVGEPVAMAGTRSGRGPSALPVVVLTVGSVLTVGSVAASVRAGRAGRDRG
MLSLYEKIKIRLIILFLLAALSFIGLFFIINYQLVSERAVKRADSRFELIQKNVGYFFKDIERSALTLKDSLYLLKKYRGDSTCRDSEKWK
MQLELEGVPIDEADVLLLQGNPGWITVERYGGRVNFDKFRGDIYSDVYTLKLGAVSKNSRKLLAETYLEITVTEGKERRDVFAEKYIEKSIDRENSAKFSIPLKLRSGLEDAELKLDSESILAIDEDGLHKKLTEDEIKIVAKKLVVKREIAKNLRLIMADVISGPDKATVVMQLTSSKEYLENLRKEKSRPHFPNDIKELDINLPEERPSGYVVAVLPAISLASQKLAPVTMLGDMSGAFKYDQKSGVLTQIHRIDFETLSEKDRKFELILNAKGEEGIDSQLKLKFQLTNIDDEPPTMALIEKPVEIPENLPPGDEILRIPIFDTDGVDHLNVELSGLGNENYVASIDNDTIVITTSEKVDIDREKVYAHQLILTVTDRHGNVNSMPVIIHVKDINDNVPISLKEEYKLTIINSWPENTVVEKIMTRDGDFNSEISYSLEDENFKIDKKSGELRIKKDIIGLSTQEGSPYQLSVKATDNGEPPKSIIIPVSIEIKDFMKEALKKKAKPYLDYPQFGDVIKIDENTPENTIIYSAKAHLEGGPEEAENHHHHLKYSLEGGIQTFTLEPIVPGDLPLGQVTASDEDLPPNNAIFYYLLPTCQKNSFNHEFSVGKKSGEIFYKSIGKLEMDQKVLLCVLASPISNAQVENIEFDSNNRSMAQVSVRLIGENSPQLEHLQVENNSIILMGDDLQSLKIPIELEDDKLRDIRFALDTMKFVPATYEKGRHLMSPEGAIRINEKTGGITVAESITDRPDGVYMIKIDAQNKRDQRHLGHFDKQIHFVKDSHKQRYIFGIPPDKMGLEIEEFEKSTVCFHLTKNGMVLDINETMVLFAKAMENERLANLHQHFKVVNLEHCEDKHKVEEQSSLHLPRSIVLAIAGISLLLLILISLCIYVCFVVRYKKHLIKKEEKMKGERYPVYGGKGSNAYLPPIM
MKICLSVLPLTMGFVFFTHAGSIENNHDIETKQNTVTKDTPAIIYVKDRPDYSFTVYDKNQGPTDIMTARWPSRPQWQHYTMLTVSLQDSNGETKEIALRATKEMACSKGEFLPMNQDFSCSSYALPSIFKVYYAQEDNKGLAVGNYTGDLLVQANSDYSAFELPKIHIDISIGKPKPPKPTLTRLEVAGKDTLFPMQTTQMKAYAIYSDGSKKDVTNRTHWYQKDLDKEWVHDWLSYLSLTSDGRITVGTMTHPTGWANEVLTVNQCVNFDTKESCKLITIREPKLVDAKINIEGNSTTFAPGNWAQMHARGYFEDGSNSEINISNYLNLTSSNPTVATVNDKKQLNVFHQGVTTIIGHFSYKDENGNITNKETNSLFINVTEPTFNGVLITGDKQHMMSSSTTQLTAYAVYSDRKEQVTNNVQWVVQDPSIISISDDGVLSALSPGMASFYASYNGQTTCPAYLLHHIQVLGQPSELLFSNKTNHNMQTINKGDNYQISDLWIKSTQSTYAKLSDYEHSITFTSLNPDIVTVSSDGKVTAHGFGQTKVNIVSQINGKEYNLSLDIMSEPKLEKIDISATNDEIPLKHQVKLLALGLYENGSQQDLTNYVDWTVENKDIISIIQDDRLGNEGLYVRGDELGNSKLSACINNICNTHNIDIIENATDATGFKLIPSSVLLNTDTNSHSFDGFSVYSDGSIRKEDEPTIHWDMTGNDLIYLEDGTAIRQNHDSGITSVIAEWGNDGQKLLGQSKVFTGDISLASTNDMTFTPPASSNLIQGWGFENLSSVEDDFGFAVMPFDTAVAWCEYLTNQNFNNAEWHLPTKAEFEQLARTLPLWPHANAYWSRDTIENSKDKHSAYMLGTSGEILPIATPNDIPSFASCVSNKHP
MGSILNNLISKLRHTLVSSNKYSIANAEKKHMNKKFIDSWLSMSAADKIKFINEIEDKNMNLYEKIMGVLKEKIKQGSEHDGQIMKDLQKMAAEINHNKSYITNLREAVKKNVELEKISKKGIQSKQKDVAGKLHKIGQKISAVSENKSLKETGKKNKATKQHENNNKNTLKSNKQKIVTQSNKSSKKTGHNAGYDLAKKEEMNEFKIEKQREINKDLKEGVSQTEHEILQDAQALDHAIKVVLDAAEISAMSRIDLKNSNQVTSANQTPHTTEKQGSKSI
MSGKNFAAVATAVPLKVELEEVSLTPKEPNQCPAEPKPVDGLEKTEGTGGTKGTTSNGAASSGSPTPKPEGTQGTLPDMGAAIDPLHDADEARLEPLEFGGLDMPCFLVKDDWFFLGAKRKPGVWYCYETEGTKRNPPAQVAVRVCSPLYIDSVTNTEDGQFFGRLLRFRDTLGRWRKWAMPMELLRGSCEELRGELLAAGVEIEQRNRNHLAAYLQWQVPKDVTLAATRTGWTAKGGAFVLHDRIVGTEKVHFQSESLSADGAAKSGGDYRQWREMAALCEGNPVLMVSVCVSLSGALLAKVRRESGGVHWVGDSSIGKTTSLCVGASVWGGDDFRRTWRATSNGLESVAALLSDTCLCLDEINEADPREVGAIIYSLGNGMGKTRATRIGSARAVHRWRLSLLSTGERSITAMMQEGGKQAKAGQLVRLLNIPAARQFGVLDTLHGFVDGRGLADHLKAECAKHYGHAGIKFIEHIIRQGGADFGATLAGIEAQFTHGDPQAARAASRFALYAMAGELAVEAGIVPWATGAALEACKVMFTGWQTMRGTGATEHKQILQNVAAYILKHGDTKFTDKNNKDDKPKTDRAGWYSDKHEERVYLFTAAALREAGGNYDFIRVLDALDSAGWLIERDAGKRSKKTAVTGGGKHSLYWVQPTDEGDHA
MKFCYADPPYPGCEKHYGGRGVNHKILIWHLEHDFDAFALSTNQKNLAYVLPMLTCKYRIMAWVKTFGGSMATSNPSYYWEPVIVHGGRKRRPREYGRLDWCEAHTYMHELVKQQVVGKKPNKFYHWLLDVVNVKRGDEVIDLFPGNGGLSHVVDSHMNQQVWPLETEISSLGKTQLFNRGLTPPAAQPAEEGKT
MGSINRYLKLYNRKKEIKSLCFFKSKEEFPNNIMNQQQICYLLKKDPSGNGIKEIGYFFEHKSVNPYSGRIRMAYDVSLNPNFLKNKMRILLKKDELIKEKHKSLWG
KFPRLPAALITIAASTLMVWFAELQDAGMSIVGAIPRGLPALNVPNMDADRVASLLTLAVTIALVSFMESIAVAKRFAAQNRYEVSANRELVSIGAGNVASGFAGGYPVAGSFSRTAINADSGAKTPLASIITATIIALTLVALTPLFYYTPIASLAAVILVAIKGLIDLGEPRRLLKINKKDFSLLLFAFFATLMLGIQYGVLLSVLASIILIFSRITRPPVVRFGRLPDTNIFRNLDRDPQAKIIPGVIIFRIDASLYFANVTYLKDKVYEAVAERQDPIHSFLIDASTTNEVDTSAITCLQEIAYEFKQTGIELYFSNVRGRIRDTMRRSGFLQQLGEDHFFYSKTAAIKHIEALNPDVV
MSEPANVRLGRDVPAHGHHDLITLAKPRFRVLWTWTEPWSDGSLAVLGVVLDAEQPGGVLHTAPWKSWPRARTGTSTSS
MLYRAPHASGTASSRFVIQDLALPYDTAGEFVDYTARNLGIWPIWLVSPKAHALAHLSPQHGRDDYYYVCGSHGRQLFPCRRLDLGARPGGQHWPLGWGPSNYDAFVAANRALGDKLAQLGGRKWLYAQTFYSEDQFWGVYAGFRGLYIPA
MRLASIIVPLLLFYFVNTEASEDSQLKPTWGVAIPHNMNPHLELKVGARLQSVAEWNRLSDEGRGELNQFDFYLRRVRFEFESYFKKDWHYYMDIRNDNADLEEKGSGQFEIGDAYLEKKNIFGLKGISFRGFRSKVKLSRSQTISSAKLLHLERAYISDSAADFVNEGRRATNFQMNGVLDYFSFQLVAGDGVHKSSFVDAKDNDLGSGEIIRQSPMLGAYMRLYPFEGWSDQSMTETYFGQGQHFSLGGGLFHTGSIEYTGTNFNNTKSIDRTLGNIELSFHYKNFSFQGEFFHFEGVVEDFSASVQNKGSSNGLYWQAEYYLSEENPFSLFGRYESWDRFKEESDYDQKSYLMGINWYYDRNHIRFSLAWQQDRFDQNLRKELANGEDYNREERLKFTTMWNF
MRVFFSLPFLFLLLGFSQSSLAQTFDEACPYGYSTPQLESAYHSGLSQTTCNGRLSNFTNTTNKTYACYRSPEAAQENFAWSVRYVSECQGPPPDPEPDPNCEDTLEGCPPPPNCNDGDEYDADVDMCCNSGSVSFCYPPPDPDPNTDPNGTGGGGDPDPDSGTGGGDPDPDSGTGTGDGTGGTGTGDGTGGTGTGDGTSTGGGTGTGDGTGTGDGTGTGDGTGTGDGTGTGGGTGGGTGGGTGTGDGTGTGDGTGTGDGTGTGDGTGTGTGAGTGDEEGGPCDPEQKDYLNCLNGVGKRDWDGFSDKSELIEQKRAEYSEKLESFKDRFDGLFNVSAGSADPFYSNLQNIKGAQVDFGTTRLQEGLQFLPALILFAATVAAAFIMLGGRGD
MLSLCRFVVLLLQSHQLRQLRKNAASQAEQRKIKKYQAILRDQGDFKFVPIAIEAGSGAWGSKFRKFFSSDLMSTTDPQTPPHVRTFTSMHSSTYWRQVLSIARCKAECYANLRCIGQMPHDKRGKNQPGSVRAGDTHTPRQSTQTVQRARAQAQRNHQQGKRTRKRKHPQTTPPGHSGQRSNDSNNGTAATAAATATTDNDEGQPRSAPVTSRSPGGPAATEPPATV
MNKIRNKDLVRLLSYFVLFILLGFATIKGDIRPFYIGLFVGLVYCRQNLLLLAPLYICAMLLAEFSWQSLILASSPVVVLGSAYYIHFLFKKRVKIMHILIYSLISQLPLFFVYGSGYDIIVNSLIRIMISQIFTLSSIVVCYAVLVRGLRYRLTIDEGLAGGIVVLAVSLGLYCIEISNVHPYYFFLGFAILFAGYVFAEKGLILCLLMGIGGALYDINVALIAFTAIGGVIVYSLRKTNPFFMAAALLCLDVAFAAVTKYNGYNYINSILLASGAMVFALLPDKAKNTLLSLAGTDRGYATRTIVNRNRLELYTKLTGISSVLFEMQGTLVKDVKGMPPLAESKNFLAVELAKKYCATCSRISQCEATLGCATSSVIYDMVSRAIDKGKATIVDVPAFLTERCNKVKDFLHGSQEIAELYGAKKEMSDTIDNSKLIMSEQVSGIAGMLLELAKDVKKIVSFDSDREARIIDELAYKNVVASEAVVFSDGDIVNAIIVVREADEGKAIIGKLLSKVIGSPMIQSSRSTHSAGMVSISYVSAPKYDIIFGESGSLKEGSDKSGDTKSIVRIGTDKVLIAICDGMGSGKAANEGSNSAMSLVESFYKAGIDDTVVLSLINKLLSVRNEENFQTLDMCVFNLRKGYADFIKLGAPESVVRNKESIEIVGGGALPLGILDSVKPCVTRRHINSGDMIAMISDGVTDNIGAEGVARICEQNKTTNPQTLADLIVEDAEYIGKSDDKTVICCRLFYRI
MRSNAGNDLSYAHTLVFGLCGGSKQAMYSTWLILLFEALLNIIRRYMTASAASRPSCRLAREGNGDDQKWIQCIRVSAERAHCLSAYSQ
MEEQGILYILLNPIISYQAKKSSLRKIKTDAIDAYQLCVLYYKGELHKIRGIQLLDLWNLSITVL
MNNALFVIAAVCCCISNSFAQRLAYGQISQGSSYGSRQPTYVIQKPSYGNPQPTYGSQQKTGYAVSQSHTAYSQNQPSYSKPQASYVQKQPSYSKPQVSYVQKQPSYSKPQASYVQKQPSYSKPQASYVQKQPSYSKPQASYVQKQPSYNKPQASYVQKQPSYNKPQASYVQKQPSYSKPQASYVQKQPSYSKPQASYVQKQPSYSKPQASYVQKQPSYSKPQASYVQKQPSYSKPQASYVQKQPSYSKPQASYVQKQPSYGKPQTSFIKKQPSYGRPRTSYGQKQPVYGNTLSSYGQIQPSYGNAQPTYGKNRPSYGTAQQKQPSYGNAQPTYGQKQPSYGSPQTSYGQKQPSYGSAQTSYGQKQPSYGSQQTGYQQSQPIYGSQPDRFGTEHLTGNYQPQVKQPTYGYYN
MMDDYTEIAVVGIGCHFPGGEGLENFWRVLLQGENCAVQIPNDRFNLSQWYDPDESKAGKTHTAKAALIDGLNEFDHKFFGITDAESSNMDPQHKLLLQCSYRALEDAGIPMEKANGTRTGVFLGLMNRDFELANVRFNPKHINHTYGTGIAMSIAANRISYIFNFTGPSLSIDCACSSSLVALHLACQAIKQGDCEMALCGGVTCILEPTVFVALSNAKMISSDGISKPFSSKADGYGRGEGCGLVLLKPLKKALEDHDHIWGIISKTAVNQDGHSVSPITKPSMVQQEELLRKIYSTETDLTSVQYIEAHGTGTPVGDPIEAVKKPKAQLISKSHQYFVVSATSEKSMKNIIEDTSKQISAGKISELQSLLYTSACRRSHLKHKYRKVFQTSSLADLQEKLTAAVEKKLVPSKTDSKLVFVFCGNGVTYQGMCKQLLKQEPVFKEEIMKIERLLQSYRCLNLIEMLRSEPEKSTELSDPQIVQPLLFAIQVAVVKLLKHWGISPDAVLGHSIGEVAAAHCSGLLSLEDAVKIIHYRSSLQSTVTGGKMLVVSNMAVSEILKILPSYSGRVCLAAYNSPQSCTLSGDADDIDRFHQNLSNSASGKDLFLRILDVPAAYHSHKMDPIVSKVKENIGSLQAHDLETELFSTVTGVSLCSSDFITGEYWARNIREPVQFEQAVKSAAKNKKNVIFVEIGPRRSLQRYITETLGKDFTVLPSVQPDKDHETMLAVVCKLFELGVKVDWEMFYKGFESEPIPYPRYQFDDVKRDVFVSNCS
MGRKSNISKLPSEIRAKIADLYDRGHTLDQMMAKLEELDIEDISRSGLHRHIKGLDQITKRLRRSRHIAEAVVKKFGDAPESKVARLNIEMMHGVIMDIISQVDDNEEGSLEANVILKPGDAMMLAKSLDHLAKASRYDAESIVKEREEAAKLAVAKAEKKFADNIQKVESELGGNNEIGIEVLNRVRETLGVR
MIFLKKIIKIPNVVFPYLIGIFPLLFIFNYNKEELYIYELLLPVIISLLSIKVLMILFIKLLQDAKKSGLFLLVLIITFFFYDNIYDFLIPYRDWPVLTNLNQFLIPVFIIILLLSLIFIKKLEISTNVVKFLNIIGVSLIILNLLEISIYKIFKEKISHNIDFIDEDKINSKKQIQFIKTNGNLRDIYFIILDGYPSSQVLMEYYNYDNKYFIDSLKSVGFYVAENSKANYPGATFLSLASTLNMKYINYLGQDIENINNFKDRKIPYEMIKNNKLVSILRSYGYKYIHFKSTWGATDHNPNADIFLGGPHSILLSKFNNEFNMVIAKSTILREWFKRNNYFNLYSDQILFNLNKISDLNEILGLKFIFAHIMSPHPPYVFDENGKNPELVDMNYFGNEWKRKDDFINQLKFVNKKIISAVEKILINSAIDPIIIIQGDHGTCSTCGSPNVNNVGKNEIKEEILRERMNILNVMYLPSGGSELLYNEISPVNTFRYILNFYFKEDFNLLEDKNYYSSYESPYKFLDVTGRGIFE
MVEYSSSPYVTWIKHLSDYPQNAKVVVVSEQQVEILVHPGHQPMIIPSSGNIYEVIDQEDKTLQLYFYSLGWVIPVLSGGDKQKISAALKVVESVLDITPDEERSGAWNMLWDDHAICERLCVLLELKELLVVRSVLGEAVIQKIDDHVKAIIRYLVEILDNEKWKNNNHRVFHLLAAFIYAHATTDEEGKNKFRDQIEGFINELIDQETGFSLEQSIAYCFFDLVIVKNVIETMNGLSAGLNVDAELIENNLNSHIAAISFPDGSMPASGDSAHGRMLSSYQKKFMPENSALAEHWRRLDKIGYYRGGANDDSVHFLTLSHNAESAHGHASPLHTDIWFADFGFLMVDAGGPYKYGSKIRYQWYRASRGHNSLSLKTSNQQELEKLSIDVDYNRSGLRGKADFKSSSHSRSLFAYSNELYIHEAVAASEEWEVYYNFAEGCEIAPLREGEYAITKYDYGVRLILTTNLDPASLAIQQTERCTGHSKSVSAPSLVVSGNADVIGWQLSIKRG
MDLDDVIALVSGWDGVRTWRPGPGDGSPEIARGDVFFLDDPHPVHAGAGWLAVVCPGPAGDAETCELLERSCRSARARGAAPGRRHGPPGVLGGRGRRRRRGVSGVSGAAG
MSRSSPVLIPHEIGENGAVDCECKSVSLERKGEAK
MIGSVSGLVASAQASITALHEAGLAAAAGLAAGDAADDEGPAPAPASESASGTPPQAAAAATELSES
MLPNQLPPNPLSASKMKQGAMKPLFGERLPQARMGATNKKSGGFTAPVVKRRKGVISAGMGKNMTFAFMNTNLASFSSAPPSLLFSASNERQRVAEFLNLTGRQRYYSIDDIPDDELAKMTDQQINEAIHRQQEVEAKNKIRESANARANYRAKLDTFREGKSAIRQGYLPYLGKLFYG
MITSVDYFIPVRNDICIAYCYYNPSGDPVVLKNAKALEAKLNAAKIPYFNAEVLCSGASPSLNKTTLTVFTNSSLFYKETVWNLLEKKIPSNFSKICFMDVNLSYTRGDWLDCLSLMLNFYDIVQPYSDIEYLDLSGTVIKTEEGAVKANNPANSQGNIWGITRSFFNNAGGFLDKSVVHPDLLYSALTNSERPLNLRLIDSDYKAYAAKIKTLAPRFRYLNCTIFSAANGKILDTARLDASLNTITGEWNTMFKLNASGLWEITDPKLAVSFKQLYTSNIDISSSVSVAVEPLVEPVVEPVVEPVVEPVVEPVVEPVVEPVVEPVVEPVVEPVVEPVVEPVVVDTVIPSIIPSVSVNTAMVIPEVTPVVPVVPRATPMVAPVLPMVRPVAPVIRPVAPVMRPVIPVPPVNNYNMNNKLGAAAKNDAARAAAAALIASFKKR
MKGLFWRKGKGFINQNMVRADLFSGFLAVWNVLGFAFYYAHPPIPSRAKRGEDRIPFELFLEYTALYRIKDWAYQASL
MNVLVTGVTGLIGSELAIALRARGDSVVGVSRNPTHDQISWDSLTPSLLSEIDAVVNLAGESIAGRWTRSKKDRILSSRVEATSLVAAAIRDCDAPPACLVQASASGFYGDMGAEILDESAAAGTGFLSEVCQQWEAAAQPAVDAGVRTVVPRFSVVLANGPGALGRLALITKLCIGGPLAGGSQWWSWVTLNDAVRSILHLLDGDASGPINIATPNPELQRSFAKKLGKVLRRPSVVPAPGFAIKLALGEMGTTLLLDSFRLLPTALLESGFEFDDPELEQALRGILSN
MSDQAKVFPTAERARPRRVVIAGASGLIGTALTARLRSSGGEVRQLVRGTARAPAEWSWDPANGKIDVAALVGTEAVVNLAGANIGAGRWTAARKTLLRQSRIDATRTLVEAIARMTEKPAVLLNASAVGYYGDRGAEVLDETSASGRGFLAEVCEAWETEARRAEPLGVRVVLARFAMVLAPSGGALAKLRPLFQLGLGGRLGRGEQWMSWVTLGDAVRAVEHALADPQMNGPMNVAAPVPVTNAEFTRALAAALHRPAVFPVPRPVLRVALGEMADAMLLASTRAMPGRLRASGFGFEQPQLDGALAQVLERSH
MKILITGATGLVGKRLLEKLFLSGFDDVRILSTNKNRAQNSIPFPVEVFEWSPLENKISDAALENIDIVFHLAGESVADGRWSKQRKERILNSRVNGTRLLLDSIQKSNSTPQKFITASAVGIYGQDLSDKVITEESPLDDDFLADVCRRWESTLFERDIEGMKVHSLRTGIVLSNQGGALQKMLPPFKMGAGGILGSGKQYMSWIHIDDLVDAYIFLMKNDCKEKAYNGVSPTPLTNYNFTKVLGAALKRPTIFPVPAFVLKSIFGEMSDILLKGQRVIPKALEAEGFEFKYEKLGDALDDILKYEVSGEVLFKRYQWVESSKDKVFDFFKEAKNLEKITPEYLNFKILHMNTDNIQAGSLIDYKLQVHGIPMKWKTKISEYEEGKYFIDEQLKGPYSKWVHRHEFIPHKNGTLISDKVVYKIPLGILGKLAAGWFVKKDVNNIFNYRNKAINNFI
MNSTEIILITGGSGMIAQYLSCLLEKNGYEVRFFSRTKKSDKHFVWNIKTGFIDVKALENVDHIIHLAGANISTKRWTKARKKNIIESRTKSTQLLFDTVKKHQIKIKTFIATSAVGYYGTSNNDVLYNEESPAGTDFLANVCTLWENVSKNFSLVNSKRLLTLRLGVVLSKNGGALEKMKKPIKLGLGTVLGSGKQYMPWIHIDDLCQFILYSLRNKGINGTYNLVAPEHINNSDFTSILAKRLGKKVWLPNLPALILRLLFGDMASILLNGNRVSSEKLLSIGFKFKYPSVTKALANLIE
MHVLITGATGFIGTTLVQELLAEGYKVTAYVRDLSTASALLGPTVSLVTDLRTMGADTHVGAIINLAGAPIADRLWTSSYKDKCLNSRVDTTRELVRLVGRMAIKPSVMISASAAGYYGDRKDDLLGEEEPPQNIFMSSLCADWEAAASGVTGYGVRLVIPRISIVLGQDGGAFPKLALPAKVGMGAILGSGEQYFPWIHKADLIRALMFALKDNQVSGPINMAAPDMVMQRIFTERLARVYGKKAFLKVPSFFLRLLPGGMEHLFLSGQRMSAEKLETAGFVFQYPSLDDAFSDLSLYRHELHAQ
MEFKSDYINEEAILQADYIIHLAGEGIVEKRWTKRRKKVILESRVQPIDLIFSILQKNNKVLDAFISASAVGIYGAVTSPTVCTEDTAPANDFLGVTCVEWEQAAAKISALGIRTAKIRTGIVLGRNEGFLKKLGPNFKAGFGVVLGTGKQYLPWIHIDDLCQIYLKALTDENIKGPYNACITDNTTNLSFSKSLAKLYGYKIWLPKVPAFILKIILGEMSEAILKGQRVSSEKIQKTGFEFQFTDLESALVNCLN
MNSGTYQLYIHVKKDLKIKVGSLGVCFFPKGLYNYTGSAMKNLEQRIARHKSKVKKIHWHIDYLLTSKSTEIIKVRKYPSTERKECYYNQKMTRKKGAFIPVKGFGSSDCRECESHLVGIR
MSDNPVVATIDKEPGNYILVLYNDEEQEVEVGKLATLDLQPGYYLYVGSALGPGGVQARVNRHSQKNKKNHWHIDYLRAVTNLVSIWYLYSEERYEHHFAELLKTDDNMSVPLLGFGASDCNCQAHLFYSRQKPNFSYYQQQLENISLLQLSDN
TNEPTCVQSVATAPDKDQQCVKATILSSDDESSCSIDTNEALNELLGLEFGSGLITADEEDATFASPSVPVKDASCVSTTSVPTDDVSACSIDTNEALNELLGLDFGSGLIIADEDDDDTTPSSPSPLPVIANSN
MAPLTVQVSASYGAGITCRQSMTKSKLWNRTGKNHVGYQGMAKVINIALMFENDIILQRLPLLIPKLLNGKLEDYDLKTCQVNWTIKIPANTLAIQLNKLDVGPVGDEATANEAVNFNAVADPLDTIAGNLTMTAAQQGYAQTEILRFWLGFSTACGPF
MAFSLIHLIAETVAVLFSRYFSLDHN
MTVRVSLRNAEQVQPGEDNSVALGVAMGMVAELWAGVSWKRFD
MPSCIVKNCKNRSSQKSFKQEILEEKIKISFHRLPKKDDKRNLWMKQLGLKQSDLHNDTVVCSIHFKTEDFDKTSLTRIRLQPNAVPFIQVPEERSKVQNADLFNDHSYSRLHPERDLNDNADARHSEQNLKDNPGTEHSEQNVKDYFDVERLEKIQKLERSTDNARSIQEQDIKVESDAEHLLEIPKVEVQTSACTFSENDKGVQVNVAREDKATRISPERLFHTPEKDQLREHIARMKKDHQNQLRVWKQKCKRSNRRIEDLKAIVRFNKEQFIRSRTNPRQE
MIKSIILIPAGILMIIGPLFKIFFVFIYAYLMPLALFALFYKYVPIYFFNLDLTYASNVYLTLTTTFIFTTLFSEKIMIWSNKIINNDNPEELVNLYHNLGNHLINKQRTRYLIFFGFFLYLIIYSIASLNEIELFNIENTNVAIMQTFGTYIAFDRLISNRALFDFKPKTFLHKISKIWVFDFNPNKDEIKNNNENN
MAGLPDEITADILLRLPAKSLVRFRCVCKSWNSLLASTSFVEAYRDRWSKSGDRYLLKYDTNGSSRVGIYELGSHSLASLDPSFNANNGRYEIVSSSNGIVCLSTYDENDYTGSEIFLWNPSTNERRRLPRPHFLVSELIGFGFGFDPSSGGGHLGDFKVVNVRTESGFFMGRCSQVEVYSLRRNSWKPISEAFPCGIDPECLGNQVAFRNFVCWSYQYDASLVLFDLVEEVFHRMVLPLSMRAVTEHISLFDGCLSLIAWKPSCHSREVWIMKEFGTVEPWTKLYTIDLSSASSALSQYSPLGFADSRGIILFVRSSSSGGQQLVSYDVETARFEELGVEVDSVRSCRLVAYTQSLVSLMNTQTTNS
MVSSPLYLFLPLVLIILGSTHLDGHDRLMWGLMLAIFFGFLPFATLWLGLKRGSISDIDFTIREERTPYLLAIIFFWSSAALITLMLDGPQLVFVLILTGIGISLMILLINFFWKISNHTLFFSAAVFFAGRIFSRHYLWFLIFLPVIAWARYAQRKHTMCQLIAGALLGATVICFLRFFGY
MYLSTVHDCWQQWSRGGTASRRPGSEQSHGTTEREDRCLRRMAMAHIVLGQFRARRPIACIPLTPNHCRLRREWCQTSAHWRTEWRYVVFSDESRFCLGASDGCVLAKRRPGERLQPTCPRPKHTGPTPGIMVWGAISYDSRSTLMVIPRTLTENLYVSLVIQPVVLPFMNSIQGGCFPIG
MAVAHRIASAAEIRAAVATTMTQRTVQAPRTAPSQTACSVHSTDSKPLPFATASDGRVLVRRRPCERLQPTCLRPRHTGPTPGVTVWGATSYGSRSTLVVIPRTLTANLYVGLVIQPVVLPFMNSIQGGVFQQHNACPHAAVVTQHALQSVDILPWPARSPDLSPIEHVWDIIGRQLQRHPQPALIVPVLTDQVQQAWNFIPQTDIRHLYDTMHTHLHACIQNSGGYTGY
MLTDRTLRCLPLTPQHLRSRLEWCRSRSSWLPSDWHRIVFSDESHFTLEADDHRVCVWRGRSPRSQSAFALQRHTTITPGVVVWDAISYDSRFLMTAGLVILRTSFTAQRYVDTILGPVALPFMVLHPGTIFQQDNARPYTGRRSLACLRTVNTLPWPARSPDVSPIEHVWDMNQLLEKKIFITRYLGLLPYVICSIHFSRSQEKLRKWI
MQVYVEVLDENDNTPLTELPVYYPSVPENSPASVSVLQIRAFDHDVSPQQFVFTISSGNPEGYFLINSTTGLISTSGRKLDRENQAEHVLELLCETARYIPRSSSEFIMADCRECLVEYLVASSSDLAVNAGLSPEKSPEAVDVITESRAREEQRRTTQEGKALKNCSGKA
MFQKKSRRIRLGHLAQSMGTFSFKASLIDREDLSRAIRSSPTHGLDVEMLMNHHVLDHLENENIIFGTVSVKMMTQIRKEVNELNLVCGYNACYAIMAYYAIIML
MIRATLAIVLLTSALAGCGVSPGPAAETEFACNAQQRWQNTGVYVAQGEKFRITWVNGLWTPNVGQGIVTPAGLVERARPGSPLPSAPAGSLIGRIGTDTFLIGEDVETIATQNGFLQCSMNDTLTDTYGQKLLDNYGRVTMRITKATWRDRADCPFAAGCDSLWPGRWIDSARDKPLN
MAQAGASDVVDVPTVDLHGVGAVTSVVLDPGVPILVENAADDELDMSYPEFVDELTHRTRGLIADGTLRHGL
MQITTCVFVGPTKKQLIALVKESPLCLLVKQSINAFNGNRGLSTDGHLMTTALPKNHSMNSFLSNQKVSTSYGSVAKINRSKKERSFQDD
MKSFCRRNGLTGTSEKKKHICINCGVEIDDGKKFCSSKCRQEWWNSNLDKVNRKAFYNFTCSYCHKPFKAYGNKNRKYCSHECYIKDRFGHE
MRRLKNFRTKEGQYGTNLAAAGAYVSGSNTSERSSKVGQVLCNHTQSQRRPVPYVHSDEGHTLIFKTRNPDIQRHFFVEIDSFTKGEAEDFEIAIVDNCRACFENILGKLDTSPEEVKDFFTVTNMGPVGWPGPIGCVHYLKTLYPSLESALEWLAYAYDYDGHREEFKRIRTLMLVEYEKIEMRPATISIQGGYCDLVDGSRHYCSAKCALADNHPGYTQLSTFVEKELARVLSN
MALLHNVMSHVTCLNGITLQCYVTCLNSITLQYFDKCLNGITLQCYVTCLNGIIFITLQYYVTCLNSITLQCYVTCLNGITLQYYVTCLNCITLQCYVTCLNGIIFLNGFTLQCDVPCLNGITLQCYVTCLNGITLQCYVTCLNGITLQCYVTCLNDITLQCYVTCLDGITKQCYVTCLNSITLQYFDKCLNGITLQCYVTCLNGIILQCFVT
MHAFLEVVSEVFEDLNNRLKQFETIKSRLEKLEAAQVKPSYTQVVGPPLFSVGPRDSTISTSVALTEKRIPRVKTKKGRPPPSLAPAAVPSVAAPPAPSVCPAQGPGSTDERPDCSC
MVFIAPCDVQLDMDDKTMVQPDVMIICQKDKIVKKNIFGCPDFIVEVLSSTTRRKDMSLKLAKYEKAGVKEYWMVDPDKLKIIVYDFAHDFDVSIYGFKDKVPVGLYDGRLQIDFKPILDEIEFLL
MNDQQSFQGIEPSNYAEPFRELIYAAGKLNGFKSGDSQLTRESLANIRSIKQRTIEAIDGISWLQQQAEDGHDAVAWSKAPMELLTDLLRDMDTLERRIVDSMIVGGSAS
MNKNQKLKKIHAQESKRQDKRINNLKDRFGKYSATIYFTKASEDTLNELSTNNLRTKDENKKEKYCIIINELIQRLSIETHFSAILKKNKIAQELYQSHLLISNYLYEGKNIPYIKNKLKKKNITPPNNYNEWSEQCILEMSSIDYIINNLHKFNHK
STIFVDTSAFWLLLLNFMMMVAIEVVGKLLYEKPFKMAAYCIAIFMVSFSYIYGNSSAKSIKSSTEYISINNENKGLVYVGLMGDYIFLWNEKLKSVDVIRRESIDEIQFPVSEPKPLISLNWAKVSPYFK
MLKMSELAMNPNRGVTTKCHGMERVWDDREEAMVYFLEAKMCCDTEEEHDRYAGILIQIQNGLDYCTDEDDGEDNVT
MVTLLNAEGTLVFEPDEEDVANVFGNLRGLCQRKVVTVKEAVVDDNDVVKGLLDFANKNLVHSIVIGASTKNHLPSLKKFKAFNDTPTAMMKSAPDYCSVYVISKLKIVSARSAVRSMANQTSPIKQLPFQVSSQSEFEGGIRSILPRTGETNEASESRSFDSIVGTVKGTARERPRSAGSNQSLDNIDLPGGRGRQWASMDEREMVTLAPIDDVNSDLDLIDSYGTKPTNLTSQELEAEMKRLRRELKQTMDMYSSACKQAISAKNQVM
MNFKVEDKTFEIGVKTRSIENGVKGRDSFYQNYLWDKKLMAESLASARGGISHDEPESLISQQSHQPSFGTLQLDQELSKYLNITITEKTPFAHLLLEINSSSSNKSSGVKRDLNEKA
MNGTSLRRRRGGPYKTEPATNLTRWRLSNEEGRQQWWYVADDEDCGREQTGLEAHSLGLDTSKFFPTLPDASTAEEAAINGMKFYSGLQAEDGHWAGDYGGPLFLLPGLLIACHVAKIPLPEESKKEMVRYLRSIQLPDGGWGLHVEDTSKVFSTALNYTAMRILGVSPDDPDLLRARNNLHEKGD
MAASAVLAVLLASALAGAAAGGDIVHQDDQAPKIPGCNNDFVLVKVQSWVGGNEGDEFVGVGARFGPKIVSKEKQATREPLTLADPIHACAPPKNKVSGGVLLVERGKCKFTKKAKLAEAAGASGILIINSVTELYKMVCEKNETELDIHIPAVLLPKDAGQALRSLLTANTSSVGVQLYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREAVTEQEKLLKDGHEVSLIVEGGGSSGIVDINVISAMMFVVVASCFLIMLYKLMSAWFIDLLVVIFCIGGVEGLQTCLVAVLSRWFTSAAGSFVKVPFFGAISYLTMAISPFCVVFAVLWAIYRQFPYAWIAQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKSLFHESVMIAVARGDNTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLVVAFALRYDWAAKKSLRSGYFLWSASAYGTGLLITYVALNLMDGHGQPALLYIVPFTLGTLMSLGWKRGELRNLWFKGEPERVCTHQGYLQMAKPPPAAAAAHDNEDDEDDHEEEGKNTPSSSC
MNAPYIHRSSGQLALGLAFAFAGALAVLGLVSHSSMDVKEKITLQQTTDYAVLLAANVQRANLNMIRTLNQDIETLWEAHRVLLQAPHCYTREYIYPKTPSAFATFALVAAHTGKTYAECDLACDEYDSFVRKKIIDAYNSQRNLIVSNILTSITKSNQIAYGRAVDTFLTPSNLPSQLYAELEKKLGKNFRLQDVKNEYAQGSLYQDRNVENSEGYIYQIHEESSYDPLFVPGDEYRNFIYPNYRYLTLYDQNLNPYCQLGTPEGPKSSASRVKIVRKGSYTTHFLGGINYLAPPSGFEKTLSLLIKDPETGEEISSQSGRKIALFRKTLAMTAMAAAKPYGGTFPQSGDLAGLNKGNIGEEFKGAKLIGIADRSQLENQRMVRADNCFQSLDALGNSSGCVPYYAEDFLH
IVDNETEEFRADVLPANANNRTVVWASTNTGVATVNASTGLVTAVSAGNTDIVATATDGSNVTGSASLVVTVPLPSVLTWDLSTGTLSGPANTIVRVEIEIFGIGSATLRASGSSSVVICDGSDGCPYPRSVFFDVDLGPGGKTTINGNHFSSSNNSSNHSEVIFKSSVGNILETMSNNRIPRSN
LPVDIIDECIRAGMLLICGMGLPAFFLPYSRSDFGKVGVHLRGFCIHFGQAEGICKGECLAINACTADDVDVFVGLATA
MLLSSGEKQAMKLLLNEEANDLPSDFTKIIEDFYENEQNLEKIDLDQYIADYALYAIDKEEIHKVDKLDTQTRIKLNFLENTYALDEEYVKYNRLNAEDTIIINIGQEYVHSTQDFLTTNNKQDFARAQSS
MIRQKILQQLLEWIECNLEHPISIEDIAQKSGYSRRNIQLLFRNFMHVPLGEYIRKRRLCRAAILVRLTAKSMLDIALSLHFDSQQSFSREFKKLFGGDAANL
MNYNNAIEKAIVFIESHLAASFTVEDAAREAGYSYYHLNRQFSAVLGESVGSYIKKRRLSHAARELVYTERRVIDIALDHGFESGEAFSRAFKAVYHTSPACYRKNRLDVIISQKPKADQHLLAYLTDSLTVRPRIVEIPDILTAGLRDRTTLSSSPGV
MEWAKKMNAALDYLESNLTGEISYAEAAARADCPAYHFQRMFSYLAGFPLSDYIRRRKLTLAAADLQVGGSKVIDIALKYGYESPEAFTRAFGQLHGLAPAEARKPGARLIACPRLTFQFEPLGGLNMNYRLEKLPPFRTIGMSARVQTNTAFEEVPILWGKAAEQGAFARFIELGEPGGPPGILGICAGGSWGQGEAFDYILGTVSRQPAPGGWTDIDFPESLWAVFEAEGHPEKLQEIWSRFYREWLPAAGYELADLPAIEAYLHPEENRNELWIPVISRA
SGKWQQEQEAGIVHSRPWPRDLRGRIVLAKDRTLEVDLPGVICRGSAGAALVLNCRDSDDPWPIVPAALNAGTFPIFPGAGAPSVTIPQMGAFYAATRNFFTGAITPGVGKFKNVSKFYSAAFLPREKYLLWLFASTDGHIHMVDGITDQTSKLDWGSDVATLKTSCGAGWQILGTTYHEETGDSVRAYEIPDRDPVAVSAAVDFSNGEITALWTEANSDTAIAVVRNRETGRYEAFRLAVACSQ
MRKQFRGWILAGILGFAVPLACQDVSAAASEFAARIANRITPAAASLTIKNNSSLPVAAISLVESQLREQLRARGWRLDQAGESGNSIEVALSENLGHYVWTAQIGVGDKTEAVVFEVPHTHDDESPSRVISLSRTLLIGSDLPLLDIALLKGKTTEGAHLLALTPAAVQLYQFQSATWHLLQTQALTVLPVPNRDLRGRIVADQGSAFDAYLPGVHCTGIVTSGLSFSCRATDDPWPLSDDRHRLGFYVASRNFFNGVISIPGAQGENAGPFYSAAILNDRVLYSGIDGKVRMQLAGQHAPQAISPQWGSSIAGIQSSCQTDLVLASASADFDSSDQVTAFRAGKSDFVPASEALSFSGPVLGMKASIDRQQAVAIVSSLSGRYEGYLLTARCGA
MLDYDKKLTQSSTTQLKVDPFTDEMFTVAYLHALPYIIYYVIAGDGVICNSVLITNLEPIAMHDFVITNNYSILMDLLGIVGQRKY
MRFLGIATMSNRLLQVSVKQRFLELATFVGTPPFYFLICLLLLPLNQYLLVVFLVAVAATEVVCAVIKLVTRTERPTPRKRETLYDEYDASSFPSAHTARIASNMAILVLAYPTVILTVIGIVLVAIVAFSRVALQEHYLVDVIAGAITGALVSYFVYDYLI
GKNLGPLAPFTSGVCTLFFAGTGKVYRDVVLGLRDVSGCLETSCVYRSWVLILVSLLGSLDLLVVVIRSV
MKVSIIVLSYNHESYLHETLQSLTDQKTKFEYEILVGDDCSPDNSRLIIDQFYGKHPNKIKRIYPEKNLGPNANYLNCLRNAKGQFIAFCEGDDYWIDENKLQKQVDFLEQNPKYGGVCGEVISRDIEKKSEERIPLKEEGVICFEDIVFQNKIHSNTILFRNELINIDSLDVIKQLSIGDWYLHLLVTMQRPYYYLPQYLALYRVHNAGIFSKKSDFFKAYQKSRLLAIFMKSEGNDENSRLIKKSLESQVFTAFRASGRDNKKELKELFNIMLNHKIIKLNRSLIRGAFNFVK
MNVKSENSPVVSVCCITYNHAAFLAEAIESVLMQKTNFVVEMVIGEDCSTDATRAIALEYASRYPEQIRVLLPKKNLGAMQNLMATMSACRGEFIAFLEGDDYWTNENKLQLQVDALRENTDCSFCFHDSNILSDKFPEGLIFSQRIAPDILPIPSEDGCLLKFSQKHLIKRWFVPSASMLFRSNSLCLPLPDWCASIFSGDRTLQLLSSRHGLSLYIPRVMSTYRVHATGLATITKNTIFHFEKKIYDGIMFRKYLILPKYKKYANYYLSTQIALYANYLRDNGDYSKYNMLLFKNIYNLAPRYIPFIKNKIHSIIKKIAH
MNQDLKSVDVEKPLVSICCLVYNHEKFLKQTLDSFLMQQTSFPFEVVIHDDASTDSSADIIRSYEAKHPEIFKPIYQTENQKSKFKSGMNPRFNFPRAKGEFIAVCEGDDYWTDPLKLQKQVDFFNNNSDFVLCFHNVTILNSRGKKTTETSMIKNNQKEVFKTEDIIEKWFVPTCSIMFRNLDDFELPNWFFRCISGDFPFLLLLSLRGKFKYLPITMGCYRLHNTGASTKHKGYFKVYGMIFIYQSFNQFTNYKYESIVNKGIKEAINTHLPEIHELNELKKYHKNFLFTTWLKKKFRFLLRNNK
MSIKVSVRIITYNHEKWIRQCLQSVLDQQTTFPFNIIVNDDYSPDNTFRILQEIQNNNPDRIKLNKPEINLGVFENSKRALASCDGEFVAFLDGDDYWHDPLKLQQQVDFLEKNPHMAMVHSGFNYFIENKNQVVPFVNRNKKIPSGQIYEELLKNNFIKTCTTLVRRDVLLKFDDYDLYKSRNFRMADYPRWLSIAKKMSIGYLNDSLSTYRILDDSASRPESFEKHKAYVESIYKVKSYFISNYGCSEKVKNAVEENHFKRLLILAYKYKKPHLAAQYYKNLKNLRNIKFKNHIKYILYLSFSYIPFLKRNRHERN
MNKVSIIMPAYNRQKYIKWAIESVLTQTHQNWELIVVDDCSTDQTPQIVKGYTDLRIRYIRLEKNSGAQVARNEGKKMATGDFIAFLDSDDEYRPNFMTCCLMTLEHEPECGIVYCGYEVVDEQGRVLRSIRPKSQLRGDLKMYAVYHLVLMPTSTFLARRELFDNIEFDPRLPSWQDDDIFLKLAMKTRFAVIREPLCRFRQHNETRISGDLKKYADGHFLLIEAHRQEILSLAGPRVLADHYLEAAVDYWLAGDDGMCKKLLAFSNGAYHRFFPLLWFYVIIKLLKKMTFYAMRKFYYSFLNFYIGRDLA
MYNVEKPLVSVIIPTFNREGIIERAINSVLKQTYSHFELIIVDDASADHTPEIISKYSDERLRYIRLEENTKGTKPRNVGIKESKGEFIAFLDSDDEWLPNKLEKQINYIGEFSDQDILCFTGLIRKNDKQTKLIVNKELLEAEDIIDYIFVRDNVVQTSTYMLSSELAKKILFNPELKKHQDWDFCLRLKVHGVKFLNLTDCLTVQNVELRSDRITLNNKLEHSMRWIEEQKKYLSEAAYHAFMTKNIANTLFWENRKLQAMQIYWKAYKKKGISSKYLLKGLIRFLIPNALLKSIINK
MTPFFSVVIPVYNRAAVLGGALRSVRAQTEQDFEIVVVDDGSTDHPERTVESIGDPRIVFIRRDNGGGGAARNTGIDKARGRFVAFLDSDDEFLPHHLSAMRRLLEGRSGVAGYARIVVDRGEGRSFLKPPRAVGEGEDMATYLLCDRGFVPTSTMVVDREQAKAIRFHEDLAAAEDTDFAIRLTLAGVRFLMAEAPGVHWRDVGDPNRLS
MRSLNPLQLLPLPLLQNHNIRLLFLQRFIRLAAYGSSTLILAQFFSALHHPTALTGLFMTLTLYGDVLISLLLTLFADRLGRRKILLLGAALMAMSGVTFATASNYWILLLAAIVGVVSPAGNEIGPFKAIEESVTAQIVDPAGHTEVFAWYTLVGALGSALGSVVCGWVVWWIMDGGVEAERAYRVIFWAYAVMGVVKMGLAWGLTEECEVSGGDSSQRAVERERLLEEDGKEKRWRVLELLLPKMSKESLSILWKLCLLFSIDSLASGLAAPSWMTYYFTTKHSLPPSTLGTLFLFTSLASASSSLLAIPIAARLGLIRTMVYTHLPAAVMLMLIPLPPGTDAGTAVAMSLLVVRSLVASMDQAPRTAFLAAVMLPQERTAVMGVVNTVKTFSQAAGPVVTGWAGGHGAMGAAFSVAGFLKVTYDLGLLTWFLRGGSAARGGEGYSVISGEEQDGAEMVDVETSESEEGEAGRR
MGDKFSRMMEILNRLDNNSQCTPDSLASDFGVDVRTVYRYIKTLRDAGFPIDYDKKSHSYRFPQGYSLKKGDLSPEENLALALAKSVLKGMGADVAQIITGVEQRLLKKPSKLPRHIILKDLGMTQKVQRYFLELDRAINWRKQVWIKYKKREDDLSERTVDPYLIFFDPQERFWYLRAYCHLRKGMRTFAIDRIEELEVLDEVFIPQYFNLSEEIKSTFQAFVDAKPVNVELIFDREVSHQITRKKWHSSQKEKLMRDGRLKVTFRVNGIREIKHWVYSWLPFVEVKKPKKLRDLLVRELQNSLKKHQ
MHIENALNGNPDHIDDHGYPEVKKMDVHDVTDALRLGIADFKAKPSQLVFVYVLYPFIALFMARVAFGMDIIPLLFPLSGGIALIGPAVAVVFYEISRRRERGLDTSWRHSLSVVKSPVMLSVAALSLMLMAIFVVWLFIADAIYGVYFGGEEQTSIVEFTKQVFITAKGWSLIFVGCTAGFVLALLVLVTSAVSFPLLIDRDIGPLGAVSASIRVAWHNPIPVAAWGLVVAVVLFLGTILAFIGLAISFPVLGHATWHFYRKAIVWSDA
MTQTGMISHSATPQVRDLALADLRAALLAGIKDFRRTPQFGLFFSAVYVLGGFGMLSLGAGHVTWTLATSLGFPLIAPFAAAGLYEVSRRIETGQPLSWRAVLAIVWQERTRQLPWLGAIIVIYFLFWTFLAHMIFALFMGLSTMTNVSQSWEVFLTPTGLTMIAVELAVGAVLAFLLFALTVVSLPLVLEREVDFVTAMLISLAVVRQNPSVMLCWAGLIAALTLLALLPWFVGLIVVLPVLGHATWHLYRRALDFDEKGRHKGRP
MEHIGIRKIGVSDLFDALKRGVDDFMVKPSHIVFLCLIYPLVGVVLAAWTSGANALPLLFPLVSGFALVGPFAAIGLYEISRRREAGLDTSWRHAFEVRNSPALPAIAAVGIMLLAIFITWLLTAKLFYEYLFGPAPPESISSFINEIFATGRGWSLIVLGHAIGFVFAVVVLCTTVVAFPLLLDRDVGDR
MKAPHLKKFCTENNALKCLSFVIAIFLWLLCVNVSKPEITDTKTLPLSVINKGAFDADSKTWNIDRTTVTISYRVRSDVRSKISASDFNAYVNLGDYSITGSVPVYVDKLNNIDNLIEDLTIRPSVVKVNIENVQEKKFDVETKVIGKPKAGYSVSNVIVSPESVYLTGPESEIGRISKVGIHINVEGLYSNKQGTAELLYYDANGNQINVQNVTSSQASLNYAVNFHKEKTITLLSSVTGTPATGYQYESMTISPDSVKLAAAASVIDNMSVFELPAVDLSGATDTLTVSYHLADYLPVGVELSADSPADISITARIEKIPETEPESTESAENNGNSPFVPSESAGTAEHENGSNQGNDDNNASSPLPASPGNKSAAEGSSPQAAAASSDTQHDKTSQQHDNAGDNPQ
MFRLAISPRAKHYICMKSAFLGEGGFGIVAKCRDTTTNRAVAIKVNKRSESFLYDNGVKNHFFVFVCHT
MIPTPLPRGVRLPTRLTDAAARTILTEISRRTGWAIAVWNRADAQQALTDLLHPPHDDNPLTPAVEVTDAEWARIASTRAWRLDLRDRAEDAITRDEVLEEALYQAGLICDTCCARLHEPPTVTGYLCPAHRTGPAGQPAVVDPDTATLYWLDDDTLMTGPRKTDAAHRPRAGQPYTGDPDTDPHTHQQAMRAYAALTAKRTTGTGR
MPDSAESTTVVVIGAGPAGMAVATLLRHSGIDCVVLERRSRDYVAQRQRAGIVETRAVRMLDSWGLTDRVLGGVPYDGILEFRVDGRSHPVSDSDGSDGPPARLCPQQVLVQKLTATYL
MKKTHLKIKGTHCIACKTLIEEVCSEMSGIKSCKVDFRTGEAIIEHDEKMDLAGLKKEIESLGEYKVM
MIIRRENTPRDGFVMLELTIALLVVAVGVLALFSVFRVSHDTVARTESDIRISLFGQEVISGLRAGSDEALQNGTWELFWERMATNPSQTNISVAGSSLIGVWDTTSMVIVAGMLVTNVFTNYAYHSDAPTNIVSHALRYRLDVQPTNTTPLYPWTNRIMVTLSVWDGIFGSTNLEDGIVFYSEFSRLGEVP
MRYFGGKSPRIPKSELVRRREGLIIGSACEAGELFTAALEGKSDAELDEIAAFYDYLEIQPICNNRFLVEQGRVDGDEELQNLNRRIVACGERCGKPVVATCDAHFLDPYEEQYRRILLAGQGYQDADRPLPLYFRTTEEMLLEFAYLGEEKAMEVVVTNPNLIADMCETIIPIKDRMYPPNIEGAEENLRKFSYERAAEIYGDPLPQIVADRLERELAPIISNGFAVMYMIARALVQKSESDGYLVGSRGSVGSSLVAYLSGITEVNSLPPHYICTKCKHTEFDLSGTYEAGCDMPDAVCPVCGAPCRKEGFDIPFETFLGFKGEKVPDIDLNFSGVYQSRAHQYTEELFGVGHVFRAGTIATVGDKNAYGYVKRYLESHGETKPRPEENRLIAGFTNVKRTTGQHPGGVMIVPSDMEIEDFTPVQHPADKSEKDIITTHFDYHAIHDNILKLDLLGHDDPTVLRMLGDLSGIDVRSLPLTDPKVMSLFSNTKALEPLTADIGKTGAIALPEFGTHFVRGMLEETMPTTFGELVRISGLSHGTDVWLGNAETLIKEGTCTLRECICIRDDIMLYLMRTGMPPEDSFNITERVRKGKGLTDKQEALMRTYKVPEWYIGSCKKIAYMFPKAHAVAYVTSAMRIAWFKVYQPLVFYATYFTVRADDFDAETMTGGRAKLEALIRAVSRQEATAKDEKTATIAEVAMEMYARGFEFLPVDVYRSAATDFTIEDGRLRAPLNALPGVGKNAALSIVKARAAGEFLSKEELCQRAGVSSAVADALSRNGALGDMPESAQISFFL
MSVDLFYSPTCLCHALCSRDSNNKAESHGEDPCARTSERGRAKCSNPGLDSRFSRRTSLSLNSAGIGFISSPRLHTLRALLCRKCGDDYEYNQEAETKQWFAALYNECAESDSNSSSCCCLASTRHSSACNPHTNQWSTTSSASTMGTMVLSRAIFVNICYTLCEPTLAS
MAAPPPDNAVNVTDSTAAADADAKTLAGEQLQQDAEMVQEWPALSTARQLLLVLAMTLAMMLNIMQVQAIQLALPTIGIDLGIKTTNLQWLISAYSVAFGGLLLLFGRIADIYGHKKVFVGGMVWFVIWSIACGFAQNEVSIDFFRAMQGAALGAAIPSALGILGTSFPPGQRKTTAFAIFSAGAPLGGSLGAVFGGVLTEFAPDTWRSVFWVSAGLGALIGLLALWVVPQDRAKDHNLQVDWIGGALITSAVTLLTFSLAGGEGAPNGWKTPYIPTLLAVSVVCFVAFWFYERHLERHTTSAPLMSTSLWFKGRFAVVQLIGALGWSAFASYMFFCSLTFQDYMLLRPILATVRYLPCSITGFFLNLIVAILASRVPAQFLITLGALGTGLAPLLFAVQDYEDSYWQWQFPAMILSVFGADFIFACGILYVSMVAGPGHQALAGSIFNMSTQIGTGVGLAISTIVQDRVTEREAQRLGVDYDPNARNTPPAAIRKGLDAAFYTAAGFAFVAALIAATCLFGIGKVGHREKKSKSDTGAFEDERIEEGVEEHQQREKPDEAAV
MVLSTSRTWLLIGCVCGANVLNNFLQGALTVSLSTIQQSLNISEPNLQWCLSAYALTFACFLLLSGKVADVLGRRLLFVLGTAWIAILSIAASFSRNQISFIVLNGLMGLGAAANTPAGIGILGSTLSADVKSMAFAAVGGAAPIGYITGLCLGGILCETFASWPSVFWIESALATIFSLMSWFCIPSDRGEQYHETMMKLLGRIDWVGASLSTLGFATLIFSLTDAQSAPGGWSTPYIPVLLVLSVILLLLFFRWEVYRERTGAATLMPPSLFKSPNFGMILSMVFCAWWAFNAMTYFATIYYQLVLQLSPIQTALRLLPLGIAGVGINTLAGYLISRMRPVWLIALGLIGSLAAPLLFAFVQPSFSYWWIMFWVMLFIVGPDAAYAVSNIHISAAVPAQDQALAGGIFNVTTRLATALGIATSSAVATAVSNAAQRADGDLTRSPSALLSGYHAAAWVCFGIAMVAMVIDLVGLRGLPMIRPSQPEQAIVVSVELQPVEARP
MGCYSLNAADAVGVAVALSLLGYAVGNLIRFLPGVSRSTRASAASMVDYAVACLMFAAFAGGIVGLLDSVITAFVPAPYLEDPASCKLVEDFFQNSVWKAAAIIGGLGGIAAALPFIPVVGAAISIAFTVAVAPLLIILGSIILPTSALNYVIVAAFKWAGPFLFPAGVAAIATPNRLLKGLGAVLISFAIVFYTALPIIPYAVISIMGWSGPEEFLKTLGEYESQIESLKESPTAGIFDVFNITKFYETVIDWAVACLLTAVFVAIAFAAARGLSQSLGGVSASV
MKPNIILLISDALRAMNLKFYGYKEDTTPYLSRLYNKGELQFIENFYSTTDQTDPSFTTILSGRYPLTHGILRHGPDVRKEDIITFNKTKTRLLSELLVKNGYQTIAIDFLGRWHKRGFKIYGEPDEIHNTKTTISKIIRHKIIKKVLKGTYIYAPYESLAIRISQFYPKHNIYFKLDGISYMIGAIKILDQVIKEKKPFFLMIHFWDTHTPFTNVPRSLWKKYFKKGINRTPMNEMLRKIKNDKWREIVKNYHLRRIKYVEEIEPKYNGEINYVDYAIKMLIEYLEDRKIYEETVIFLTGDHGDNLVRDGIFVGHGGLYQRVIKVPLIIINSPFNKDIRKKHSQHIDLVPTIIELTGIEIKNYYLDGESLTKVNSKKTIFAVSSVARKRYAVLSDDLRYKLIYSPTIDDGMDKYGGLWMKDRIELYDLTKDPDEKINVANEYPDIVKELEAKINTIVTRLNRIRMKLSITLHK
MGDFILLIDSDTRVPTNCLLDAVSKITNSPQVAILQYSSRVMNVTKSYFENGITFFTNMVYTQIKYAIANGDVTPFVRHNAILRWSILEATISEDFDMALRLQSASYLMRFGAYMGDGFKEGVSLTVYDELAR
MHNGGVKALFISIAVLMSADAAFNHGNVTKNTWQIVRHSFSATGGAVHDSVFSH
MSLKNTSYIKEILLKNLSRLIAIGLLLTLSSCIKENIVVPADLTSNPKSSVIDIKVDDIFNTHRENINTIGLSIGILKNGETHFYGYGEIKKGSNIIPNENSFFEIGSITKTFTSILATNMLLNKNLDIETTLKSYLPSNLPTLNRNGTEVNFKHLLSHTAGFPTMPSNFTAKPFSDASNAWGKYDDRRLFSFLDNLLLRSDPFTEFLYSNAGLAVVGATIEQNYNQEYGEVLQSELLMPLDLMNTSAYFKETEEWTTGYSFTGEETGYFKSLNALDAAGVIKSTPQDLLKYAEANINIPETSLGEAIRLTHEVQFMEYEVNDFYKIRSCLGWFEYINNTLPEETFIWHNGATGGYNSELFINLEHQSALVLLFNKRTLDNSDRELFIAEMLQLLLE
MKIFYAIQATGNGHISRAMQLYPYLQKFGTIDFFLSGNNASLDIKLPVKFKSKGCSLHYSKCGGLDYWNIVKNIKPRQMYKDADALPLKNYDVIINDFDSITSLACKLQNVQSVQFGHQASFISEHTPRPEKKSFMGEMILKHYAPSPKNIGLHFENYDSFIRPPIIKDQIIEAEPKNLEHITVYLPSFDKDCLEKAFNKVNQVHFHWFLNDVESPYTIRNITYYPVNQKKFNKSLINCEGIITGGGFETPAEALYLKKKILSIPIRNHYEQECNAAALKKLGVPVVYDVEDDFNLIIESWLDKEILYPTIKANNIPETLEYLFDTYKD
MKKLLLTEGLLACFFLALLLGFLGKYLVREREGQETNANVSIEETREKGTLWMDAGSVSESFSPLQYQSQGEKNVLAMCFMNLISRDARGKRINKEVAQKWKEKDTEAVHISVIYDEKKKFSTVTIQINPKLKTASGTALNADDLLFNFYLRCDMAGVENEPFGGVHIVGQEEYLYGSKNLEKRKKEIQDSLKKPSKELQKQLQENIVKKELSGELEWVRSLFQDDAYEEVIAEYGEPKDLFAYYYAYQTKYSAKGKTEQQVFDDVVSQYGWHYDWLAKVTNKDYMKQAQELARSVLLKQNGKDTVKQIAGIQKKDAQTVVIQAEGEEDCVDKLCDFWLLPMEEYGNRELFDGEQSFGFQKGDTAQILEQSSKQYCGTGAFYTKKINAEQILLERNKNYAGGKAKLKKIVVLRKNYEEEKDIVEDLLCQNVDIVITTDTVELNQLIQSRATHASYLIRKKVIETEQAENCLLYRTSYVNAPSIPQKLTEYQSIFEVIHTLKVNTL
MVAGMVADMVADVVADVDSTRPPTPTSAPVIPVAEAEVEGVAPVVPQMPAAAATVEVDVMGEVVVDESSPPANPPRVATPPRTPPRTATPPQATSSPQVSTPPGARTPPRAASTSRDSSSTPTARQISSLVTHAISSPLPTLPTPPVFTSPTISIQLSLPHPRYSSTRSRGLKLCLTATLLGPCSTPISFLRRGTIFDAACPLLGRGGFWGVADSQRRPVMLDAADGGKRCTRMHAQGNGEGFLGFDENFTTLHPSIPQVFEKLIPASLLVGLSAGSSYTLYSRPDREKKRPSTARCKLGGREEVAESAYWLNGDDEDGWAKLDVSHIVRDRDWEEILVVVSNSARFVVV
MAATSVNNNDQITNDPNQLLLTDVKLFSIIGDEDETDFDETTAVVSTEKESTVKPSEVSVPPRQVSSFSMATSSVTIKSSKSNSYRFVQLQRALATTSLLRKLDDQQKFENDYLTSLQENTFEEFLQNYYRKIYDHNQRIKQKEQAKKERIFTFVDLEKNFFALDPTRDIKILFVDEPTRSSISRSTYVYVDKLFLQSLSKMLA
MGSIARGEDHPGSDVDVLVDFTDEATLLDEVGLRLALRDLLRMEVDVIAADSLRGEVRDRLLSEAVAV
PTPLPPAGADPPAEVRIAGVPALAENASAALSCTSAPSNPPARLRWWLGGRELPPTDTAHAQGEGRGTVTVSNVTLVGRREDHGRPIVCEAAVAGLGTRSATLLLSVTHPPQDLWLETPPPNATFRVGERLRLGCHARGGHPAPRLAWSKDGRPLKEGTQGSGGTVTSRELLVTVTPSDNGATYRCEALGDPRGTPLSATTRLRVLFPPLSVTVSASPREARPGQTLVLTCLAGSAHPAPTLRWLRHGHPLPGEPLPPSPAPFGGVATGSRLRLRVGPGDQGGAVTCQATSPALGVAVSAAHQILVRHAPQFAAGAGALVVAREHEGAQLPLLILAHPPVESCAWSLGGRALHPEGSPRHRLLPGGGLEIGNVTRGDGGTYGVECRNAEGRGQAWLRLLVHYPPAIVRAPDPVLVAEGGAAELLCEAEGSPLPPAGLRWGRLGEEGPEGLPEELQPEGGLPVGRLRVRGARRELGGPYECRVDTGVPPPARAVVRLVVRYGPELEAESEAEPVPVLVPDGSDLAQLRCRAQGVPGVELRWERRGQSLRPDQASFQEHQWREGPWTSSLLTVTNITLDRARLRHQYHRLDWDQYKNRHRHQYRNWDQYKDWDEDQNRTLGTFVCVAQSPLGTVRRRLQLRLADRPDPPQDLRVSGVTPTSLSLTWTPGFDGGLPQSFLVSARGPGAPPPPAALLVPGPTVTLGGLRPATPYDVTVRARNARGDGAAAVIRAVTSELVVDSPPAGEEPAPPPAGFAVPPALVGGLSALGGLLLLGNAALWGALLWRRHIRGRDPGVLGGDPGLGAKAGTPNQYSPVTVTPESVELSSMGSWATRSDPHPWDPPVGDFHPYEDVAEWGGYEEVAPPDLRFTHQGELV
LTEATLPLVGPATGAASMTGDPLVFPIKASYRQEIDKMVSALVTIGVTRIGVLYQDDALGKEVLAGVERALKPYKLTMGGTASYPRNTASVSAAVDKLLGADVQAIFLGATAEPAAQFIKQYRARGGGAQLLGLSSIDPCILLRVAGIDAVRGYSLALVMPNPGKGVNPVIREFNR
MFSRSDNWFVVLPDVELPVAAAGQLHQHSTQVLRHSSGRPWVVGNWSPDDLRTEVTTEGAVAVLGLCGATPAELRQAVRDTNGGARARPIRFAGSGSCHVIVRGRSGVRVQGTVSGVRRVFSTELAGLTLASDRSDVLAALTGAPIRPEVVAAKLLYPMGPTAVERVPPWRGVDSVPEHSFLLLHAATGKGECVQWWRPPEPSLSLREGAELLRSRLTAAVELRTRSGGTIGCDLSGGLDSTPLCFLAADGESRVVAFTSGGRNDDDVRWADRAAAHMPGLVRDVVPPEDMPLPYDSVSRLPVGGEEPFVGTANGARIAFTAKRLAEQGCRGHIGGHGGDEVLAAPETYLHDLLRDDPREGVRHIRGYRALRRWSRRETVSAFLRRQPYERCVEEYVAALGGARPAASSPPDPWGVEVLRTPPWATGHALDIARGHVRAALSSPVRDAIDPRRPARQAQLDLVRGSGNAVRQVQQLMAASGLPMEAPLLDDDVVEACLSVRPHELTTPWRYKPLMVEAMRGVVPDDILARETKADGLPEVHAGRRRHLEEIVALCEDSRLASLGLVDGKRLRDHALSMGTNAWPVALWRTLACETWLRALERSRTPATPPAPRTAVVPDRAEGVQP
MPSLAKRGMYLATLALIVGAIVAETVAKNHAARGMMTLARASGGRGDMEAAKATASGEVRASGVWTCVGLGLAALALGSWAASSFRGEAGPWAIPALLFASYAFWLMLLV
MMTKVEGGFIKLEVTKRKIIMYFPIEYKLDAERIFPVVYLHDGDFFYLELCN
GKLVVRDAMSLTPPNSSSSAFVKKGKPAWAVHKKMGEGAIVNDVLGDVHGGVFRVRKKSVFKKAEKWQERLGKDMAGMMHSFWTTKDGRVFGVEKNLYQPDASISTMKKHHNKYRGTNGRVSSAGRKDLVIGRHVFMDKMFVSPGRFKAYMKYLKKRIGKGKGGWNAAATALKVGRPKWIKQHGSSGGRVRVSINHPIHPTIRVTNEIRYMQKHGIRNRIMQKAIKSQTNNLRKRTEAAIAHAARKSKLKG
MDIKVWMKAINDKLDQLLPLKETIDGIEDALQVLSDLYDNVISRTEQNEREVKELKKRVERVEARHSEVAQLIDDLDNLEWRNRRLNLEFHGIPETVNENLLDKVNALTAKNKLPALTESDVVTVHRLPAKKDKTAGIICRFARPADRDAWWLNRKKLQETGGTIIILENLTKRTRALLFEAKTWAKVKNYKYVWHSNNRVLVRKADGLNKQVVRSADDLDKLA
MLQSPEIEFYGQHIGLVVAETFEQARHATHLINVTYEKREPKVDFEKLASEAVLPKDKEKADAIRGDAKAALSAATYKVEQVYATPIEHHHPLEPHATIAEWNGDHVTLYNSSQIVNGAQTAAASTLNIKPEQVRIISPYIGGGFGSKGGQWANLVLAAIAAKQVNRPVKLALTRQQMVNSVGLRQRNFQKVSLAATKDGKLTALAHEITTHSAIKNEFVEPCGDGSKIMYDVPNSLITYRVVPMNIILPTYTRGPGKSTGSFALESAMDELAYALKMDPIELRIKNEPAVIRPMESPGPLEQRFNACRQGLKLLVGKNGRPSLARTSRGIT
MKPDFSGILVRIESTSERAILTIHRDGDFEDISYEMDKLVGRFATLECVRYRHEFSLNPNGTISIIKCQH
MHLENFEGNGLKTRSWNAGAAWYLNAAESTSGSSYGSNNVASYTYSASNNKRHTFTLHKAPGNRSEIRIQDNYDVGDIRQFEGDITINSSVFASNAVFQIWGGIVINGSQRATLLQIRGDGSVNGGQLRVVPNGVTNEVGNRTIATGLLAKSTPTKINVVHFQEIASRPGRIVIYVDGNQVYSCEENQKPTNGDGTNYMKYGNYGREDEDANETNSVVTWSNVRYWEIPKVTVRQGCNYTGWSASLSVGDYTLSQLQALGFVNDDASSIVVPAGLRVRVYTDNNFAGTTASYTSSQSCLNSTFNDRISSIRVEQIP
MPLTFPSHLAPVGVFGLQWSSVTDVAWWTVSDLANTLVGALLAVVLAARLGRREAAKVGVCRVAPSPRRPALFWSVAALVSAAGLALVPLLPGASALAATIVRSLHVAGLALVAGAIAVRIRSRRPGAGHGRPAADAGSSHAPHAGAASNCRRVSDPAGSGSGRGTTASGGQPSGGR
MYDVLPTLTIGWSERERLQTDTVMWAILKSRLSRSQMDSLEELKSEESQKSDFPKILDLISGPSNGTGTQSTPSAMRNFTWERFRNSPDICRKRVTAYINAHLREVDATVGLLRYATPELHRLERELGLLNIKCRTFYEHFAYNRCLRQHANYILDSFDLSAEKNIEEIVLSAPPLLRDSLRLYQTRWRKAVFSTKWHNDLVAAKEELDAVQKRLERCKQEYERKKMHLEMYKKIQDAKEEFVDLSEEDKLKKVESLLGMKAPPCRSKESTPASRSESIIVTFTTTVDKFVICAIKNPN
MTDENKTGEEVTKSPGRMKKAVYHITGISDIQFMWKSLRESISLLNERASFVKKQIKNLEAPDEKSASNQSFEDVVKKSSIPLEQHLAKAGKYKKYWLCCFFIAVFVALFLIAGCVKLIVNTEYNVSLLKVTLTLGLMFAVCIYSFVKAMTYEFLGWQLRNEAHSEEEFGTLRHFINDNGVRNTFNFEQAGQIRGAHE
MTTTHPHITVDLHQTTSPMRHIAAGNLHLFDARTPAGWLSDGITVNAVRGQAHSRKDGYADYEYLPGFFDEATYRRLHEAYPHAMLMIGVYYGFKNRLGNAWKDVADDKQLAARFTEHIHALMQEAKDRGIAVTSWIPMNEPDAQWNAEDWSLERPERFYEIAFRAVKSFDSNALVQGPEFSTLRTLPDNPAMDKMKDFLRYCQRHDCMPDILSWHDLSNKPPKLEQDITAVNAYISDEFGAKTPPIAITEYQGIGYKTDADGRKAEGDYNTGLATHYIAAMERAYDQGLIAGLRSEWGLTGDQPKSSGDMGEMVDFETKSMPTGLWYVYRFYGQMSGGLASVTKVDTPEVLDAVASVSDDNHHREARMLIGNSGDSDMRVDLNISNLPVSWATDGKVRAYVDAIPETLATPLRHTVQVLDRMCTIDVNSEEGRRLTLPLTVPARTSLAVTLTPPTSGTTTIHAVSLKPSVAEHVTCQIKRMGETAYVELLGARPASHVDKSGQRTYRETGDAIAYTLDISHSDLYELSSTLITSPDGGLFAVYLDGIQMGFPVDLNDNETKVVVFQHGQAYLSQGEHDLSFRPVSQYGNATHDDNMRDNGNRSGTALRTVDFRIVSLG
MKQRKFILFTTFALVVLAALLFLKSSSGATVFIWNLSSGGTKLFPLVSLAALIDSINPCAFGILLLTIAFLLSLQKTRSNIIKTGGVYILGLLTVYILIGLGLLQALHLFNTPH
MNFTKPNRATIRYTNSQWLLEDATEALVGKVAVKNPVVNPTLRPSTVPISFPSARDPATEVLVGRVVVPKTVQKPPSPREDVSKVPVGRGSVPNTGQKNALPRRDATEVPVGRVAVPSRL
MAWRKEMQIDTMLTDYKPPEVLVKYAATSFICFDKEGSIVRHVDCGRIDIKGTYTFYRILPVFRKLE
EFLALQQAPDAGAAEHAAQRLLQGLRDAPLRLPQDAGTLQLTMCAGLAESGPDESMASVVDRADKALYQAKAAGRDCWRWARPPD
MRYAIIIDVTGTAPLAIADPSVAAAPGDTKAAWRTTARKIARATYASPGWCDPTWTNKQYLYGEEGNEKNVGNKCS
TAQSALQFPLYEYLKGVSARRAGCKSADQRPTVESAVCGSIAGAISATLTTPLDLLRTRLNLRAISLHEGGPGATMSSGDLLKEEARLIYLEKGSLGFFVGAPLRALWMGLGGVIFLG
MVATTASDAVLTPMDVVKQRLQLRSSPYFGVKDCVLRMIREEGIGDFYASYRTTVVTNAPFTAVHFSTYEAMKKILSEVSPENASEERLLVHICAGGVAGALAGAVTTPLDVVKTRLQCQVQDLIQSLDLHISTSKAM
MEQRRIQHDTYSARKTPQCEPHRPVHIELLAKQKRFDVSCERTHPDQLELNLFKEVEVQATPVG
MYRAILHKDEAVLTARQSNALREAGILGTAGSRPTLNLEKEGPSGGSSGGGGSSSGTTFAPQIVINVNGGGDSADTIAQKAKEAAKKALEEFWNDMNLAT
MASIPSNSPSGARPATGRTTGAGGQQREASIEDQFASCREAALRQGWQVVPSTATGRSPGASMFRAGIEALQRDAKAGRFDIVLSEGMDRLSRKLSDISRFHERMEHAGVRIWSITEEDVDDMKIGLKGTMNAMQLRDIALKTRRGQRGRVRQGKVVGGNSYGYDVVAGSRTARAGSRAANARSTRARPRWCGVSSRITRAACRREGSPRR
MTNRSKMRNPPPGARRAAIYARFSTDFQSDRSIEDQVGLCTSVAHRENLSVVATYSDRAKSGASIFGRDGLQKLNKDSKAGVFDVLIVEALDRLSRDMADLALIFRDFQFRNIELRAVHDGVADTVTIGLRGLVGQLFREDGAKKVRRGMAGVVREGRYAGGRAYGYMPTPGEPGKLRIVEAEAETVRRIFAEYAGGISPRDIAAGLNRDRIAPPRGDSWNASTINGNAERGTGIIQNSIYGGEIVWNKVRMIKDPSTGKRISRPNPRSEWQRCAAPELAIDRRCRLARGGARTKKRRASEGAKGPAPLYPLSALRAATVQLLRRRHGQARQLVRPAPHPLQPRR
MREVRAGAQRIRPADREIPVHRLQNRRHADARAHVARTAYYDAAQRLLAGQDFKREAAIAKLYSSTIAVDNARDATQIHGGYGFMNEYPVSRMWRDSKILEIGEGTSEVQRMLIARSLGL
GGRINIASCSLGSAQRCLEYATSYTKERKQFAQPISEFQNTQFKLAEMAQAVHSSRLMIREAARALDAKSPEATTLCAMAKMGATEQCYKVVDDALQLHGGYGFLNDYPVERHLRDLRVHRILEGTNEVMRMLVSRAVLKE
MQYFLLTIFLLGAGAWFGLNSRTMARLRVPPSLFTGVALLLFFTILPTAKEGLFYGHLKSLPAEFIALVFACFFLRKPEPGARTRHGLLQVMAQMSYVWVAVMGQVLLALIATICIVKPVFGFPLAFAALLETGFAGGHGTAVAMGPLLVQNGIAAGLELGLTSATLGLICGIAGGVFFAKRMVPVAPLPTAAMTDAESARLDVNKLLVSLALIAAAYGFGVLLKGVAEQEVLPRLAVSESLKSFSLPLFAYTLVGGIFVNLLCRVSGQQRYIDNHTIMLLGDFFLEVLIFAGIAIIDVRLLAAGLVPLLILCALGLVWNIYCQVHLRKHMLPMPYGEELALINFGMLNGTSAIGLMLARMVDPQFKTPAVQVFAESSALTQPFIAGGLLTLLTPFIVMQFSPVASIAIFAGLLVFWLFFGLATAKRIRRDSARESVSS
MLHAIVFVCALLLVGVWLRQQVAWFRLGLIPASLIAGFLGLAVIQWFHWSERDTMQPIVSALGPWPSTLIAVVFAAMLLGKSPQDPSSTNRHLVRDVMQQGLMVWIIVLGQTVVGLWVTWLWVGPQFDLPSSAGMLIETGFAGGHGTAAAMGDVFKHASIGWEEGLDLGVMMATGGLVYGLVSGIIWIQLAVRLGWIQVESSAEISPKDASQTANASDEPHAETNPQPASIGRARIDGSVIDPLLLQIIWLALAMGIGVAMQSSVGWAAEAFGTDSVAQSAEPTTAELSGPADAGESQLRSRLTLASIVGSFPLFIYTLFGGLIVRVLLRAIGQVRLIDETTIHRISGTSMDLLVVAAVATLNLAAVASLWMPLVILFVAGSIWSTFCLLFLSRKILPTEKWFPLGLINFGMSTGTTATGFVLLRVVDPDLKSGAAEDYALAAPLSAPFVGGGMITVAMPLVVLSRISVVWPALLLATLLVVLIVLGIRSRRSC
MYQFHQHNHQHTHQHTHQHFTPYPPNMGSTAFEKYPGKIENLYKQNFFPSYAPVISALSPVLPPNVSFGSHQGAFQPKSTNSELASRCSVPPAIGHKPPQLSDQFKPRRSGRWCAMHVRVAYMILRHQERLKLAQGTHKCDFPSDVLSCLPSGLGSFSSAQKLAQSSSFFTGVPSHSPSTYRATPPVATTLLPPADPFYRTSGFSSLNGAFGGLGSPPYNASAMFNQKESPTMHSFSNPHDPWTRLHRTPPTFPTASPQACPRIGDVDPHASILIKDEKDRSFLFTRLGTSSSSITPLQRLPGGDERGPSRNISPYVGIRPCSSSETKPHMTPDHPAKVKEEPEVLTFEVGHSSHPGLHLPHLSPALERFRGAFIREQFPHALETWRDIYRRGDPSTGRFPQALPHPLYEQREERAHILREDFERVRIYGLPTHVTATPTMLGPLYSHPSAGLLQKTPPVNLLSAPPPLVSSSRPGSPHRRDFYKERDSR
MKITYQFFTPATESPKHSGVHKPLVTTFQAPSFGVAENIANVSSDRMITSQQLKVTIISESFAKKNDVARVLEGMVRSRSYRRDILLVTSLDDASDTIRANLSKLGSTSLDFIENMRNQHTFTGFLPVETLNDFLIANESGDTLAFTGLVALNKQSSSGESTNQSENRIAGQFPIKNGDDPLQYIGAEIYRGDRAAGRLTGQETRIVLLLQRKIGTFLMELPDIHHSDRRNTLLLRQIIGPRIRAHLDHGQLHFIIHVPLDATLDSTTAEINYVQDTKQRRLLERVFDQKLQHETVKLLHRCQKEFRGDPIGLYPAIKWRFLTEKSWRQFNYTKHFNKARFIVQYEIEITNFGKQRAPFGAQ
MYWRHLLVGEELASAAGRLCAQARLNRDSEASAFSAASPHH
EENQQTISKELKVTEARDYTVIVETDWLAKRMTYINRQPTPLDRRGKEQSRRPGGFNLSDNEEEYEPDEIIEEKSYIEQDNMDESHIVKIEKNCISIEKKEKSIEKYRQIRRKIQAEYEKIGKELENLNKLYLYRKSINQE
TKLKKQINTNKNKLANLNYYINNYEEIYGVNFDDYYNYYEEKEKCISEWEKEIKSIKQLNKELILEVLKKKYLIKLDAWKYEDEYRFIIYDHNSKDRVTFLDGINEAIEEIIIGVKANDIDIKIVEALNKNIFNDKLKISKYSDLLNEKICNDKYVYK
MLGKIGTQDKDKQAAVISQLFGEEVVGAVSKLTANTDLLRKAMRLAGDEAAYAGSMESEYQNKAKTRQAMLDRAGAKVDRLIINLGDLFLPLMDEVVEPLGDLAASGAKLMETSEAARETAGWLVKAGAALIGLKAGIIVFKGVKSIFSDMFQAGRIMKAKLGGATDKTASSANRAAAGLARVNRQLDMMGGAGGPGGARGRAGRGGKSRSRLGKLREAEERIAGAGRSRGRFGRFGKGAGLLGLGAGLMMMPKGAEASEMVKEVADVGGGAAEVLAGAGKTAGHVAGKVIRPLGMISAGSELYGAAMTGNTAVIGGATGDILGGAAGGWAGAAAGAAVGSVVPVIGTAVGAAVGGALGAWGGGELGNIIGEQIGKWFATDKTALATGADPVKEVIKQETKKTEISNKFNLRFDVRASGDPEQDNALVEKIKAQLSSFLPSLLTSSLSLDTRTDASLAGLGSD
MWPRNDVHMDDGSMTPEDQEPVTDNDMEYNDNGEESSYSNGSSSSYNADKLSSSRPLQHKLDLSASPSRNNDLNPRVEHLIALFKDLSSAEQMDAFTRLLQESNMTNIRQLRAIIEPHFQRDFLSCLPVELGMKILHNLTGYDLLKVAQVSKNWKLISEIDKIWKSLGVEEFKHHPDPTDRVTGAWQGTAIAAGVTIPDHIQPCDLNVHRFLKLQKFGDIFERAADKSRYLRADKIEKNWNANPIMGSAVLRGHEDHVITCMQIHDDVLVTGSDDNTLKVWCIDKGEVMYTLVGHTGGVWTSQISQCGRYIVSGSTDRTVKVWSTVDGSLLHTLQGHTSTVRCMAMAGSILVTGSRDTTLRVWDVESGRHLATLHGHHAAVRCVQFDGTTVVSGGYDFTVKIWNAHTGRCIRTLTGHNNRVYSLLFESERSIVCSGSLDTSIRVWDFTRPEGQECVALLQGHTSLTSGMQLRGNILVSCNADSHVRVWDIHEGTCVHMLSGHRSAITSLQWFGRNMVATSSDDGTVKLWDIERGALIRDLVTLDSGGNGGCIWRLCSTSTMLACAVGSRNNTEETKVILLDFDAVYP
MFLMCGVLLDLNAWSKLGLDVDKNKSKTIRRGFDDSITLLVVFYGSLLLIIVFFNQFNNEVMKNNYVIIGYFIMTIEFVLFNYLSIWNAKKDTIKLLKDNK
MITRDVVIDWLRTDMKAEFGYNLLFAKMLSLDEFKYRLNYTCQGIPPYPYDGEVLVNNDFEKTSLDKERILKNGISTRTPGGCWGVYPYTEIRWDGGTGPGIELQDWSSPCCRKNHDWDGYPDGFAQKVEKDKETLNDKACDIKDTDKAANTRKDMVDDSTGNNPSLPTRYVIELDSDWGAVRQGGKKPMNANSSIFKKVELHPGYYEISVWYNGRKSHFKDPSNPGKLITAEQTNGIDVTLQQLRPQLGTIKPVFNMRQGPGMENPVDQAIKWRYYQKKFTVDTYSVYKLTIAASGFSDSVGGIITGFELRYIGRYGDERR
MKTVGIWRAGPAALALLLVAAIAAAYGSSGPATIRPLPLYFGFFPRTTTQRLA
MTHAWRALVVVTMVGAAFALAFGCAEDMDDESALPEADGDDAAENEDAERLAAVPRAAIASRPERYHLRPASSMDASKYADILDAMSRGAFDSSWLADGLVELALVPAAPKLGQSCSGDAECQDTTYCNGDEVCEDNVCVSGNPIVCEQDTDFCNGDEVCNEIVEDCASVGRCNDGQFCNGEERCVNTGPGEGECLEPLAVGCPDDGLFCTGIPLCNEERDLCDDQPVFCEDDGNICNGYEYCDNEIENCTHSGDPCRDDGEWCNGEEYCDVELQACSRRNDPCDDGVFCNGHEQCDEDTRECSPGVPPCEREETFCTGAATCLEDDADCVYEGNPCNPEFERCDVVQEECELLEDLETGGDSLGEGDNDDSCCGC
MIKRSRSFQEGNMNAKTDQKKRKKTGNRDAIDNLGQRYRLTGALGEGGQGKVCLTNFPNVLVKVRTSKNLEQNKEWLRHVKWLMRQDLEQLQIARPVSIIKKPKSVFGYVLQLMDGLEPIKEMIEKTHISLCEDGSMEGFSATGGLKRRVYLLKELAKNLNKLHSRGMAYGDLSLENIFISQSADDHQVWLIDCDNICFSEREGHGHIHTPGYAAPEVVRQESGVNIATDCWSFAVIAMQLLTDNHPFTSSFFCDDAEDTERVLEQAHSGELPWIYDESDDSNCWDESGIPLELVTNNKTFTLFERCFSTGKNQPYQRPSMAEWQDILDQSYSRLVICENSQDCGSHYFYNDKHVCDFCDSEQVLNHSLKLDYFFYNDEFDKNEDPETSPWINTDYSQLVNLDQTIDLHLNPAGSEHYHESPRLCSIELTAKALRILPQNKSKVLMIRSSDQKTLEIKRQASLKQEVKKGEQFELHIWYCDSTNRPAHIVWKFTW
MTGFHGIHAILYALFDDQERLDRAAMRHQVEVCIAAGFHGMAALGHATEVLKLSEGERRTVMDWVAEDTAGRVPLALTICGTSVAEQVAQVKHAESVGADWVILQPPMVGSYGAAEYIRFFGRVAGTTNLPVAIQNAPAFMGRGLSSADIVDLTQQYPNIRLI
MFTRKDIIILGMMIFALFLGAGNVIFRQWKGLQQARIGQRHLWVLY
MIFRILGMACIFLLAIGLTVGCGGEEKSKNASQSSKKAVKASKAKSTDHSTHDHSTHSTEAPKKAGKVSKAKSTGHSTPNQSTHSTNSHSTSGGTMDAVYTSSVLYSCPMHAEVVSSSSNTSCPLCKMALPAMDGAKYKSLQSSQPKGCPMCAIVVEGNSKTNKCPVCKMKLKKIADHRGHNH
IPGSSTTSTGPCKTCTITAQGTSMFPSCCCTKPSDGNCTCIPIPSSWAFARFLWEWASVRFSWLSLLVP
GDRAVSVASTDSPGNTADSGADDEKAYVLDLIVEAPLSTPADGGTTTQSNPFLTTDFTAGGTAEQSSVSVVSATLQEASLAEVDVTSSVIASADSKTFFFQPAAALNNAEHTYVLKVEDAAGNELTVTVTFTKSDRSDFVLGLFAGWNVVSVPSNPLVTDIGSVLSNTGIKQVVAFDATTPSQPWRIASKVGSGPYSSQTTPGLTTITAGPGYWIETSDFEDQKIALEGEAGPGDARPGLTTIATGSGWNLVGVVDQSRKQTQSGNEGATLTRPNADGSGTTNVTVATYFNTVNNGRAYVFNTVQSQFNELATGDSVTIGSGIWVFISPQTGGDLPPIVP
MTIDLGRNSGALKEAWRDVLSEKTETNWALFGYEGHSNVLKLVSQGDGGINELKEDLSSGKIMYAFVKLNDPSTSLDKCVLINWQGEGANTVRKGLCANHLRDVEKFFPGAHLTYNARNEDEVDEDLLIEKLKMYGSEYRFKMKVEPVERALPVGTRYQKVNAVKEINSHERDKFWKKEEEEEKRRLEQERQKKQEQQLSLEVERQRQEESDTKNREQEAELRNEKIDQFKQSERDNFKQETERQNVAPEKPVRASILKANAQCNNLPKLASNLRVSSPEPAQAGSDDECDQFATIKRSPKDSSNSSAKPAESAASPTSVDKGDSSPFVEQTVQMLEQDFVDEYIFGMAKEGYKARALYDYQAADDTEISFDPGDFITNIEKVDEGWWQGLAPDGITYGLFPANYVELVE
MQVSAQTGSAGEDLSTLRLNNAVLQYSDPDGGLEEMVEELNSGKVMYAFCRVQDPNSGLPKYVLINWTGEGVKDSRKGVCANHVSSMATFLRGAHVTINARSEDDVEPDDVLQKVSRASGASFNFHKNTESRDAPRGPVGSVYRKTNAVEEILKTKKDDFWTQTEKQREEEEEQRREMVQENGYKKSGINFAASVQKANEAKSLISQRPFNPERFSSRESRATRSALLHSDQRSCRVPSSLRNRRAEFLPPVSPHLSHPPHLSPPHLSHPLTCLTLPGVLHAPPVSCLTSPVSLSFLTPLTCLPLTCLPPLTCLTLPGVLHAPPVSCLTSPVSLSCLRPSGDSSITCSRCRRRGWSDEFEEDAKEAPPAEEDLYEVSNPVLDEDLYEDLTLISKGWWRGFGPTGHYGLFPANYVELI
MNLNTSFQSMIRAVAIALLIGTIWLLGFPAFSATAGSYVPKDTTVNPTAKDSSIAKAAKQRIESVDDCSKYLTTGDKDTTAHLDKPLDRSGSTTLPSTLKVSDNPAPTVAEVEFKRCLEQKGIAPKP
ISVPLNRNNRPRHIWQRQRSFPGPTVDESTNVSSSITGSIDAEALGVYLKKCKSNIKVETYSLQPNLNVVGKNTNHAFDKNLDEVNEGEIIDTRHHQHQQHICSCEGACGCANES
MSSHQSLEVVETDKGKSGGKERKGKGKVAALGLPYFYRWTSHVNTLKQKRGPKPAGTQDINDNIECHQSRCRRHSQCR
MDFLDAQGKTRSNFLKRISAKVRRPCLRLARPDTYLEQGESNAGLPDIVSLGTLKKQSACPPNPSLPQLIPKPSRCSKRQEAALHNAKAPLLRLPDELLVMIGTHISPISKLLLHQTCQKAFDIFTPSPGVFPLNEFDPEIALLEMAHLPTSITDTPPPNARPGIRIWCSECQTRHADSFFSASERALPPPTRGCAGTRDRLRLCAHHSFTLAEITAKMHNGSVDFKCSDTSHQVPHWPWGLLRRPHINGPGSRRINSEGYVSVRSAFSIMTVSRKAAVQISELQRELETSRETICPHLDVRDPVIANFISKLVAARQDGSLTWHRREEFACSHDAFLSDACVRWHRRRDSVDFIAAQGAWRSDSWEMNCCLTDFLEVDGKRSFHTVCGCTSLLEVYMRRLPGADAEEIIMEVVRLAKLDATSREWLAAIGHEWPADHPVRRLRPRDGWDGIITTPAAKAWVHREDGERPESSRP
MPTSAVTALPMVACAGAPAATASRSLAPPHRLYSAFGSALPPLDARYLLACVASDGATPSALGLATPTRALPAA
MTATWVAPERGIHVALGTNLDEDVPTHVIVKIVSEEGHSIRWAMDAPQTRQLCAGLLDLADQLDP
MDADELLRKYAAGERDFRNAILRELDLIGVSLKSADFGRADLRQARLGKTDFRQATFREADLSETILWGADLSDADLYRAILREADLSGAKLMQAGLNEANFNKASLCGANLTGANLNATQLFEADLRPSSDQRTDLGFAILTNADLSYAELSATLLHHANLEGAKLCRANLSQRAQWGGAATDLSEACLRRADLSYADLSGAILRKADLRSADLTGTILTDADLQGAIMPDGTVHE
MALAWCRGFRGSAIAGCYVAGLFLAGTGVVFAADFSVRDMTERLFAADAGTPVDFAGHDLSQLDLAGLNFKAAKLSGADLFGADLSGADLSGVDLVRARLDRTVIISSRFVRANLSEASLLRPSTSNELVPRAGEAADFTDANLTNAKLFGRFDGASFRGANMSGATLAPFGRTGFIENIWRSDLRSADLSGANLTGANLGHAMLAFAKLRGANLRDARLCDADLSGADLSGADVAGADFTGAILDGAKLNDTIGLAQAIGMARKRELQ
MDTDELLRCYVTGERNFRGANLKGVNLSGVQLSGANLLGADLSETDLRGAVLIDVNFSNTDLSGVNLSGANLRGANLSSANLKRADLRHALLQGADLRGANLVESNLIDTDLDRANLSRANLSGVNVSCANLSGANLFGANLRGTCLLGADLSGAFLLGADLSDTDLSGVNFSGADLRGSNLKGVNLRGADFFGVDLSGANLTGANLSRTNLSYANLSQVDLTDADLKLAEVENAKFGENVGISQEMKLDLQQRGAIFESTNEGTGTESCLTG
MELISVTPTCSRQTSTTPRPRGRIFAKADLRGAHFHGTALRGFNFQGADLRPGIFIRDPFGGREPDPVSMNIAISRITEDPIVDMYGADLEGAELGGALMQRANLSAANLQGVKADGARMNGANLRGSILADADLSKTELYDAKVSVGTTNLDFDLQRSLRDHAMWVDTSGELGSRAVLTGMDLSSADLEGVYLSGADLSNCNLEGARLNRSGLILADLTGAELKGANMMNADLKGARLADVNMEPLEIKGRGGEGTGQFKRTDLSNANLPKSMLNDANLNKAVFSGVNFRKATFVRANLFEADLSDCNLEKADFTEATLDSANLDRANLEKTLFRNASLRYANLANTDTTACILTGAETAGTVLQYVAKRAMPQRQAPGPVDDIGGDSVVSGVEGHVSDLEEARMEDINLADALEVGEEFEIGDAR
MAQQPRAAHNAKDITHTDFRHHKFTGSYTRTCFPTRADLTGADITDADFSSAVLEAANLTQVKGQGSLLQGVNFKNANLTAGQFRGANISYVNLTGAVLYNADFSCANLRKTDLSGADLRRADFTGAELTGVNFSGAVIDETTQIDENARSIWRILNRGVEGVDIKAIDFRRQCCREVDFRGVDLSGLNFHRCDLREANLRGVNLKNSDLSYVKLHNADLRDADLQDAILKNDIKNLRATKLKNIKVRLEYGSKSTQGISSTLRFLFRLQSGENCIYSFLGHVKNKKRFLNYFNFSYLKLQAQDLQNLQLKGACFDYADLSNTDLSGSDCSNSSFRGTVLRGAKLRNICFKNVDLRGADLRDVQIVNLLLARY
MAEITRKELVLALIAAGDRPRLQGLDLRGLDMRGLDLRGANLHRVDLRGADLSDASLVGADISSARMEEVTLIGADLSQAHLSNATLVKADLTRAILRGAKMCRADLSEAVLGEVDLLEADLWRANLAAALLGGAALWKANLNEAILTGADLSGTNLIGTDLSGADLSGANLMGANLSGLRRAEMHGAVLGGANLRAANLIGANLQEAVLEKAILSRAILQGADLRRANLMEANLSEAILQGADLRRANLNYAALKGADLIEANLGEATLVRANLQEANLRGADLWMANLEEANLQGAITTNKELGRASSLSGATLPNGTVYLASEGQVV
MEAEELLELYAQGERNFSRVNLSGVDLSGVDLRGIDLTAAKLSGAYLTNANLDGAILNQAILRETDLSGASLRGAGLMIAKLSGAILWQTDLTQADLGGANLLQGDLSHAKLVEADLSRALLGGANLTQANLSQANLWDADLSRANLRQADLTEASLRGADLSRAVLQGAVLSRAKLSSAILNGANLREAKLDGANLTQCDLSSANLREANFSEADLTGTIFNRAILTGTILPATHAPEAVPHA
MLAAPQLSAPTLFAAQIIPADGSAHEPCPGPDGPEVIQHPTTILVAHFAAIQFLDYKHRLLSAWGAVNVRQTDPAPVLYPGRPDFSTGYVFVDHAPAFFNLLGSCPDCILAGQALTFGRFPDPGYVAYHSDLSGANLYETGWEAVDNNTGFPTGQPVQMVGWNLSEADLSGAPGPRGANLTKANLTGAVLDGTDVTDATLTGAVLRTDLRNVIGLNSATLDGADLTGATLDGVDLSQGKLAGAVLTGATLDGTGLSHANLTGAVLRTHLEQVVGLNTATLDRADLTGATLTGLDLSGVTLDQATVDGTIFDGADLRGAHLLALKFADPRVSSRSRWVSSVGPVRRSRISICVTST
MSPSWMFAPDWGTRGRLRREGRALVTRTKPAEERWAPRLEVTAWPFDDRARRRLGEFRQARLQHLDVSFSGADLDLRGAHLCGFDFGGALFNGSILDGVHLLGANLSGAALGGASLRNADLTACLLSKADLSYCTGRGVVLAWARLGRAEIYDADLREADLLAAEFDGAVLTGSDLRGARLQDVRFSRTSLKRTRLAECLVAGARGSVVGPIDVSKTDEPHLIDGQELIGWFKERKAQVTLART
MANPDHLALARRYAAGERGFRLELLDLSGADLSSLDFSEAWLRDTNFSGALLCGCRFAGAPMPGTNFSGADLSGADLHGAIAAGAVFRRAILVEASLRSADLYGADLTEANLARADLSGADLSLVQAAGAVFTGAKLARAILFKANLTQADLRETNLAQAENVSSAKFDEALLPPDYQPANNPRRSRVARGFFGSKRQ
MLVWFCFLVYAYGKSLGVLDNRLERRADKSHAGTFEFAQTGITWTNLGGAREFQWQSITSAEEVREGFLLTVRRGMIYVSRSWFADNADQARFVRFLQLHLGSRARLTSGYWSFDLRR
LNSPFDDNGNFVSIDIDLKTNITKNTIRLSSIKECLVIIKENGNETVKCENIDIFHWECIESIRLERFWDIYGDNEVIFEELLIGFLDLKE
EVKENTTDETTDEEGVAIQNEFAYIIGVVVSNKQDAVQPDLDLLDVFADQLNYRNVISANLQNFTPTFVNRLEVEATVQKVGEE
ISSLLFTYICFYLFFIYFAFNFRPSIPVNISISLQAIIGEEGREAYNSLIERKSSLGAGLGMGLSPAATLLRHLDTNEFELTTAKTLPRRSMHLQERNEPARSITCRDNGDQIVVPLHNAPRPMTLPTRGAAGRAKKLDGTTGARLVNGCDTSESSEQSATSPNCNGDGNGGGGSPCESPS
MKDYKQRTVSKIIQETPQDFFDRLKSIFNFTLDVCALPENAKCENYFTPADNGLEQKWMGGGMVQSSIW
MNTRRIAQTLELIVGLLAQGRFADLHQLDVAPDLTADDLATCLRQYGGQVCLPPTPQWYYDFYPIIGTDKLAIDCDLFMDGELSDLTLQCVLWDEGVQQVYPFTINSIHVM
MASSSARSRKAHNFSQEQLAGAASVDVDTVGRIERGERRSTRPATVAKLTTALGSSTIPGLDDLMSHRSGTRHRTAPARSCAFRSAPEREAH
MNHHQSSQQDPYETLNVPRSASQSQIKSAYRKLALKYHPDRHQGAGISSEERQRFTEKFTNIGNAYEIIGDTRRREHFDRFGADAGTENARDHNHRSTAGSNVNRHQQRSDPFGGFGGFGGFGSFFGNGMAARDPFMNDPFFNRGMNTGRSSQGQGGNQDFTDPFEVFQQFFGDNFSHGFHNEDYMNHHHNSMHNQQQNNQQQQQQRSSHGNFGHGGSGSGSRFHTGGQTSFFSSSSTSFGGSTSESVSTTTRIINGRRQTVTERCRTNPDGTVERTTETTGDDKFQLRDRQGRR
MTNSTYTSSAPSPLWQYWRGLSGWNFYFLVKFGLLWPGYLNFHLLLTLVFMALLLMPIPNLRLHSI
SYKDDCKLGRRKSGIVGHWFDAADQAEQFDYAALSTPLSFLQRSVDSSRDGSAGAVGPANTNQFLDSSSAIQTLHGTENFESLSSENQNALLSHLDTPQMHFLRMSRELGGGVFVQEKLESTRNNPAYSRFFKPEPTLPGSSALHAVIDDVDDDDPDDKDYHLPAPPDIPHIVYIKKVGRGFNSQRKKFCVDQVPSGSSCCTEGSEDGWSPIEEELDVFVPARTKAELEREGEVLRQAPDIIERKRSILEPTIYQITLQGLDLAYDSTMKPEYVYHTEHVKDRRAQKAKLLDDVDNMDSLLDEE
MPLCPNLDRLELESSSSKVLLSSFVPSSKLKSLYIRRAEDIEYVPEEGIGNLTLLQGLEIEDCPNLVSLPDQGMGRLISLQRLHISKCPKLASLPEGIGNLKSLQLLWIWDCPNLASLPEGLRCLTSLKRLIIEDCPS
MNLLELKAALLEAKDKFAELKQTIGLEKLNARLKTLDQATLAPSFWSDQKQAQKTIQEQNEIKAVLTQYQRLEETLETLSFSYELAEVDQEFDFQEVEQLIADFNLNLENLTI
MKRLIAILTLLQIFSSCIKNPVDSGDVNTSINLVNNDIGVVRLSWDKTNISTFKEYILLSSPTPIKIYKQLSEIPTNLIKKRISDVEENKFIDTTNLQSTYYRVFVDIGSRLLISNEYYFKTSTFF
SVLLLTVLGCVPWLARNYITMRYLGLRSNFGEELYLGNQPGADGLIVQWKHPIWNNAELREYQRLGEIAYIAAKRRLALEFIRSHPGTFTVISLKRIVYFWCGAPDDPRVHPSNVVVRTTFLFMMTLLGLWGCLRAIRKEVPGAWLLLATLVFYPLIFYITHTHVRYRHPLDPVLLLCAIYLFASHSGGKSL
RKVHLLLEESAQLAVEGNVGAALEKAKEAGRKERALTRQRDQAGLTEQMNIDLTYAVCVNLAQMYHMNKNYNEALNAYGQVVKNRTFPLAGRLRVNMGNVYFEQRKYPNAIKMYRMALDQAGGANKDIRFKIMKNIGVAFVKMGQYNDAMQSFDAVMENVPDHSTGFNLLVCFYALGDKDKMKNAFHRLVQVPMFEEQREEDEEEDEEGINLDLIDDPLRKYLKERQVKVHRQVMTAARLIAPIIDRNDSAAGFDWVADQLARAGLVKESNEIELAKATHYMTKKRFNDAVEVLKEFEKKEKEMKARSDQPELPALPRGRHGERRALCGARGVDGQVQCPRSREPWQRHDGKGGVRAG
MAFNNPPPGREEDDEEDDELYGGFNDGTPLTYTTTMQTRAQGAPPPTGGFGYPPGAATRGLGGGAPPPSRFGAPPPATGAVPEDEGPVRPMTSIKAAGFSSRDDGRGFDPLKQGNKGPAPALQKKSESSPEEEMREFEKKINHLIDESAILQQKGQLAAALDKAKEAMRKERQLCKQREQHNLVDMINIDLTYSVCFNLANQYHANKMYQEALSTYNLIVKNKQYAQSGRLRVNMGNVFFEQKKYPQAIKMYRMALDQVPNTHSKARFKIMKNIAVAFIKIGQYQDAKQTLENIMDGSPDLESGYNLVIAYYALGDIEKMKLSFERMIALKDPGMERDEDLEEELTQTAEEDDGLNALLSDDPLTTYLREKREKCTDKIIRAAKLIAPKLDKKNFEKGYDFVVEALRKEGFNAIANELDIARAMTYLKKKDFKKSIETLKSFEKKDVNLAARAATNLSFIYFLESDFPHSEYYADLAIRADRYNAKALVNKGNCLIVKGEYERSREMYMEAIGVEANCLEAIYNVGEFSWASIGWAASVMVGKGQNG
SSLPEGDAAPLAGIGLNDPAGAHQVLADFAQSSGVRALSDSARARLDRVMPALLHAATRASQPDAAVRRMLGLLQATLRRTSYLALLDEQPSALARLVDVLSRSALLAERLAAYPLLLDELLDTRISGPRPDRAALHAACADTLHIEDTEAALRELNERRLALSFRIALATLDGRQQAVESTRQLAWLAEA
MRRQIVLPLGAAILGVAACAGDGMAANSTPVPPPANAIGTATATHQAAGAVQFKIPVSTADSADCTAVLLVSFVDLRTLDAGSASFDVDCGLTDQIEDVTVESDEDDGVADAGDTILVKFHLISIDETTHTVVSEASSPQTLTAA
MVHVALVAAIALCSVWSAYLQAREVAQRVEERHVQGVTTLVARDPALVSALRAGDAPVLQAGIDGWIAEAQVSWLTVMDPDGMRLASWRPEQVGVRYPRPVDAVVAGDTVVELSSTGAAGRSVRALAPVVDPEDGTVLGVVTTGVQISDVAIMAQAQIPGILLGSAAVAAVGLLVAWLVGRYLNRVTLGRGPEQIAEQFLLAETAMDSLEAGVVVLAPDGRVRLHNDAAARLLGWEGTGEPRLPPEH
MSAVTDHFTDLSLARPAGAAGSPAPLSRDGWSLAVVIFAAQMLVVILVVVGLAVATYQHQAQVIQDDKQATVATISITLANDPFVAEALAGENPTELLQPYTNKILDRGQGVDFITIMTPDALRVTHPNPEQLGKQYSGSIRQAQAGQTYNEVALGTLGLSVRTIAPVYYDGELVGMVATGITLESLESIYRSELPQILAVSALALLLAGFSSWSFSRYVNQATLGFGPHGLRRLYAFYFSALHSVREGLVLLDRRHRIVLYNQEASRLLGFPRKSLPADWISARCRFPKPCAP
MCPAPCFYTRSDVRDSSLSVPPCVAVRRRAYPQIKNER
MSDASSRLLVQPKDWIDADPDPRTRSTLDELASSPELLASYFGTKLSFGTAGLRAERGVGPSRMNRVSVRTAARAIGGYLHSRGLAENGIVIGYDARPDSDVYAMDSARLLT
MEPNDQKKKNHKSGSLKRKEYNKKRLNEIASKSKKLKNYFPPTVLSQNFTEQQINPASNEIECISEKCVPNSPLKSQVHNITSNIDSHSFSSTSTSISTDIQIHSDLPHIDIVDKINFNYFIKPSGKQNIQRFFSFHPHHPEKKHDGTQLPFNTSKAYYVAIPGSQKKIKRNWLTFSYEHNSFFCSICLVYSSKKSVFTSVEGFEDWVHVYERIKDHEESKNHREASKCYMSDNRGQSVDGLFNRVQWSQREHEVIKRRKVAESIIEIVKVIGKQGLPFRGFRYEAAYNLNNTAVNHGNFLEIVLLIAKSNIDLNDHITKAIVESEKRAKSGKTGRGNLFTFLSPTTVTNIIQTICKLLLLKISEEVNSAGIYSITMDSTMDISTHDQCVFVLRYISDRTEGTRSIIEVVERVVALKNVISSSGQGLFNLLKSTLNSMNISLDNCVADAFDGAANMNGQYSGVQAKLKEVFPRHIHTWCYAHVLNLVLQQTTSCSVTVISFFGLLQELFVFFKESYKRLNVYENQNNPSRPSHLINIGKTRWRSKNDAVIKIFGRIDMWNERTKNDDRKQFVYVQIVFALYEISVTNDFSNSVRSTAQSLLGRLTRYETIIFKHGEKIDGTIKSLKENQREFLPVSTGAKIFIEFVSSIIWEDESDIDIELELPEKRRKTIPKRSGENSSTNQNIRDGDTPENVYKIKTFNVVMDNIIACLECRFSGSKELYCDLELFDPRRFEEIAKNGIHSEALHKICELLPHIDKHKLGEQLSSFVKFWPQISKTDIKDVYDVYQNQSNLQEDSDFEDFEYSRENDNNMCTRKKKCNSCLLCVTNVIYEFNMYSLEYNELFEVYKFLLTIPLTQVTCERSFSKLKIIKTRLRSCLTQENLESLFLMNCERELLADITPDQVIDLMCEKSTEMLRMLKV
MTWNSSTWRPLEPHELLDPEVPRDPKARFELWATSPSGIGVAASGQGLDLALLVLHMRGYEDEVYGVLDKIGADEMSCTAAIDFFVGYDMSGHVARNLQGFPAACDYVLALPATSVDEYLNERIRRAQDVARAALAKKYAIEAIGEIGLGLAKR
MTEQYRLLSGDEVIELLGEMLNRLAERGVEVETYIVGGAAIAVHLGRDEVTPDIDGLFRPMDEVLVEARAMAREHGLDPEWINSRAFSFMEFSPSDDVEALHTTIHDRPVVVASKQRLLAMKLAASRPKDRTDINRLIVDLTSTTRMTLSRSLVRPTASTA
MCVVWAPISNERAQCSTSAKYTKGNKTSTISANLQYGTARGSEKLSVFIRKFTEDVLKTSLLRFRDFAALGKYRRMEQVVSLRGEARDYVLVEQYTYPSAQALCAPTGCQGVPMAMDQDSIIPRGVGEASWELGRGRKGWEKAFFVRYIPFRLVKTLLAQHCRRDDSKPSNDICVKYIIVICEDDPYYFLQLPAYAPSEHRIAKEAVEMILRKLVKSLVPTFLSLDNQGRVIRLETFSKTLGPGNCLGYFVRNPLFAERLLRATEVMSQMPSGWSQKVVEELLAIWNQEGSSRWLAGLRHSYGIRT
MNRKMNEQLNNFKAITPSEKRKQAVRTRLATSLQTSNVQRKTQKWKGFAVAFLTVFVCSISIYALLNGGWYDQTAEKSNVSFSWKVDSIISETAGTQNTLYRKSDHAQVGVLKRVNAHELEDILNKIPIFMEKELPYFPYPTKMYIEHVKKNEIVQRYHFVIEAGSQTVYASFDYPKAEYAEIFHAISTISIEDEEPYVHDEPIYVTFGYDHFYYPATLEPVEITHEREVYNWRGAAVEDYYSYLTYLQAHAIGWELIKDGGPEVRYRDRYENTILISFEQGKLIYENITAGP
MATPSSSRSGADRCPGLLTPFVSADGAMVRLRVPGGRVAASTLAEICSLAGAFGDPDVTVTSRGSLQLRGLPDPLPRALITAIGDLGLVPSGEHDKTRNIVADPDAALDDLVAELDAALLADPGLAELPGRFLLAVAGSSGPVLEEPWDVAIIDEGGVLRCDGRASKGGSARVLVDGRSVVVDRAAAAQAALEVARRFLAARPDARTWNVRDLPPEARVDLLQGGTACVVEAGAPPAPGPVGEDLVALLPLGLLTPTMVTALGEVLSASRGSSQSSSHLDERGQSTLRLTPWRSVVVPGGAAQAAELET
MLGAVPSRQIHDRCPGVLRPFAADDGAIVRLRLPGGRTDTTELVDISALAEEFGAPFVQLTSRGNLQLRGLPEPLPEPFVRTVERLGLLPSATHERVRNIVADPSPELDWLVSELDDRLQRDDALATLPGRWLYALSDEMGPSLDAPYDVAFQRFSPTSGRLLAGRQSRPCSPAEAVSALLDVANRFLAVRESEAVWNLRDLPANSPVFDGFDDDDDGGGDTTTAEHGRGSDPTGVSSVELTELGVPLGFLTPVLADALVATLGSSARIVVTPHRSLVLPADTSPEALDELIAAGFHSATDPRRRVTACIGAPFCRRTDSPTVEIARTTPAPEADGRLHIVGCERACGRPTSTHTLVVAPRSADDVADARGDR
MMLSNQWVVHMRWVMLDELDELREAIKSGDYSQALALIDELDEMSRDDKINKIGSYMRVLLIHLIKQYNVPRNLDIELR
MKCVLFLTVLIVVICVAASHKCPATFQQEENKYVLRLTCYKISCDPVTGNFGAVNCPPAVAPTLSPCKMAALKAEGCHEGWVADNTEVYSYCCPVYKNKICKGDPGFDASKVPIVASTIVPC
MCNTNLAIVSLEPLLVHRQGSHHACLNSKLRQKTNQTHLGREKICTTKIHIRKKHSRCGQLVLHP
TSDGSPIGGRSMAKFSSELRFQIAPNPTIYGLFFMEAGNVWQNFP
MDNPSETSPIIYRDAATFALNIGAENQELLNALSTAINNDDHGLALETSSSISFISRRL
MIRRGVKWGFRSGVLCILQSIGVIKDTALRPTVTTLRTTPKEISELQKILNRSNIVVTTMSLLQRFSDYYLTAISEICDTLIVDEAHHEVK
MKTFKLLTFLLVFSMLNVPGPVMGRAGVPLRDTLYRQGEDCCIYIDRDGNSANVREFIDRVKPDRYVSIEVQDQIRKMKDNGIRLSHFDLDDLEGTYIRLRTIGGEKVTTGYVPHITYISDSLIVWREFDSWSYVIRNVERVPGAAVVETMSYSGEPVVFTIKEADPEKRVIEIGTDSSGYVPEGLYVHSDGACRFPHIVWECDGEPDGIRLPFED
MIILDGEKVVLLANTVKWKERAVASPEGQRVAKGPMIGFNEHLETNISFIRKSIKSEMLSFEKYTLGT
MDAAAKARAFYENAKLCMFFHAENGNTKEFEMYLDAVEDANVRDDFGRTPLHIAALMGHVDIAKILLEFRDCNVNAVDSHGRTPLGVVLQNGDTRTAERAQIAELLREHRAIEATSYTKMGPTGPEVKLKVLGNPLIPVPSQEACSYYGEGRSQSSRPGKPCIPPPPFWPYKGLTEWKTELGSRALAAVTPLEELTGRCGGYYLFGDQTWCNEAFDGSHGYGKIGLSFGIEERDIWGELVTQKFGLPVKLFDCFIEPEKSPPIAGTPPNGTKCPKNHVGEPKLDYICYGAPYQSFRLCLAGQEVKVDGRMYGTLEAASRDDAAEAFQLLRRGAVRADSSHAELLLELEHKRQVMTFLAEFSQSRKCHRRQASSVFRILLKEQVWRDAAEEASILDMLPEDMRDVRELLGEKPTPGKAHAVPHGRSSALEAVLEATAELQQLDFQCEPQAAAAEALTKLCQAVALIVQFQAEELPELAKLEPKSQLLHFLFAAHGSFPYHQHQVLRALEELLAIDAWRDAAERDEDLMESLRTLHVAYTTPSSRASSTEELMAQPHLCARWMWQDAGKRYGGIIGAGLARLNPTRWSQALCIDDTIADLSQYLEGSHRDRPVRIEIVGDGRRRVEASSVHDAVQTLLIDNPDEWDKVRTLDMEIHFGFGSAAELSQFKALDLQQKLTRQVQIMEGLTRRFYCTGSTLEVYRQGWSPQDNCGTEPCHEPPIYLPNAFSVTAFAVSYVHKELVG
MSGKKTLREQFAAIRAREAGKSSRPTTSNEEEQDITESPVAQPEAAKLNPHGRAGKQSGEQMRASLTIARIEQRNNDNELQSIRRRATVSHAQQNRSLFWRLARQTTIPQAEIRTDLAPEIEQLSYKLESQNEILRTLGENTDKHTEDNRVLSRAVDESIAKAKEYQAKVHEQNLLIARLESELATIKAKASALQTSNETCSVDKISKDEKITKLFRLLAESNRQLRESQEARAAAPKSPSPSIDAAHIQSIKIKDSAADKEEITILNSKVSALEEELHAARVQLKELTLKARQMAENHANEMAELTEAKDDLSIEMTCMKQSLISQKEAAEKQLEEMVILVKKLKLELIESKGNFRSWLRIRPPQGTLGKQPISIKGGDSVEVLDRHGTAKTFNFDRVFAPEANNAVVFGDLSEILEAALQGYDITILAYGQTGSGKTYTMSAMLDMAMEQIFTQLAKSYGSDYSVQGRCIEVYEQKVYDLFKPAREQLKVSTDCSGMWPIRDQLLQVHTAPLHSLESVQEMVQEINKNRTSGQTAKNDTSSRSHMFLSFQVKASKTEQSGAITTTRSGITFVDLAGSESLKNVTDRVSETQAINAELSALRSVLTSMGTNQPRIPFRDTQLTRLLQDGFTTGKVLFIQAASLGELEESIHTMDFGGLVSKVTQKKPAKNVITAFAGTEPAAAGEGRTTPTTPTTPTPMKSATGTPSTRGARGGSRGGASGKSTPTPRGGAKKRGV
MSKSKKIYLVILMIIISITVIAVLAGTQSEEIIQINGVRMNYTGIPGVLVSTDNNLVKLTTNEDIEKVYASMDPITLQPSYKIPVTVITEKDPSDIQIADSFKASVVVNEFLTYELTFPYILKDGKKQIVFTEADYSLIKIPNTDNYLVEKGSLLFQLNPNKEELDFYSMKETMGYSYLDKNVINDIEYAVVWAARPSFNQNGTKMVFYSERATSETGQVWVKDTVTGDENPIPNTACFNRVLQWRDDRYAYILSNGKLIEIDTVELASKEIYDTGNKGTSILGFVYPYLFIPGRDKYEIINVTDSDIRSYDDTMYSRCSAVYPGNNGYLILLKYSYPKDVNPNHDEAVVLDLETGKKCVISIPDNYSIAALTSYDNKKIQLNIYKYNDIYSQLTYFLSFTDMTYME
MKTRSITSTVEHLRRRAELIKQSHQYLETGGASNERTGGRVHCPLGDEYKRPEECLGPKLIVIHKLHEIASAWRLLLCEAGRLVSGQDLVFLRISEKSPPYSAMTSQPHRVIGSTAISTTQSQGTGDR
MFRNELQDDGDLRTEIFHLKKNLSNISTENRMLKVKIRKLQNEIIKKDKQIDAILDPKKNKYSDDLNWDNEIGQIQIKVPTSKNVKHTIFNDEIKKKTNRSLSWTCEAESSVEFNKKHQNERSKSGGKDQYKNFLSTIKDSNSRQTSSRKSSPESDLERIELLCESPHEEIQQLHSYFLDIIAELGHLKNTVTELQIDKNKTDSAIKKENFTIDMLAKELKNLRLNKPKPNRNYSRRFHSADLVAKVYTANKVTNKSVKENKSKDKTKGKKTGSEGTNGPKFKFKNNKTQGTKSVMVQVDDLNDNTEFSNQCLYIERDNITIKEKSKSPDDREDEVLTLMLTTSVWFFCIIMHIIMSTRSHLDLHVSEDVAYIVVFCGLYYITFNYVKNQHKIALLLRDLSNFDKFGVPPGYENVETKLGFYSKFLFAYVTVAGVVYNFMRFIEKGECENMTGKDFNEVCGLMSPLWIPISLNNNSLVYCAIFLYVLICSQMVIKVGLMISYHATEMAHHIILRIDHLKLMIVKCFDLEYNELQRRKLRQCILYHTEILDFASRLDECFSTGMFTHIFMTGAIFACIEKQFIDGEHQFCAAVHFIGWVLTLFVACLGGQHLINAWYKADKELRKDLLFMLMRCQKSLKIRAGPFGVLSYPLLVSVLKMSYSMLCMLTS
MDGAVWEEEDWEIFHAYEIFCSRVEIACLLQEEASGQCVVIAYVPIKTLVIDG
MVHWDRKAFRRRLMLQIGVLLLLLIIISLTYQHKQEGLDKDAKELQLIAKEIIPLKEISGMATFKENGKTEIALIGDNKPRILLKSEDKDYDFKNILVERFSLCQSEDFDDCNRAIKKLTKNWEGLAVDGLLRFFALQEHSQAIVVMNRQMDRVEGVLHFNFAAAFSGAVAMGAKKIKRNALGEGLVLLKNGHVIIAKEAFPVAFVEFGPEGESALGLTPETLLGEGEAFLMKEGVFHHKLVPLNTWTLATHGKCDISDLAIDENKTLLALSEDCLNIQRYDRLIPGEEAVTRDIYILPGEIKSPEALACVNGEWIVGSDVSSKKQYNYYRLSPQSGLK
MQIDQVVVRDVAPGGKPLEWLGGVVLLTSLGAATIGWCVLLGIALWKVAYWLVDQAA
MTDRRTALARLCVGSALVTLGIGSANAVSETEASLQISYRNGSQRTLTFNDLNGLTQHSIVTATPWHLGTPTFTGPLLRDVLQLANFEGDVVLASAVNDYNVTIPVEDLVQHRVVLAHSINGERLTLRTLGPLYVMYPLDSDETLRTSAVYARCIWQLLQIKEP
RAARVRYCVMTNVPFDPAEAAHWRPRARARSGRFRSALRVDPLLAGDWAAVARALGAQGLPGTAEGARRYLLGWADTMKPEYLMASTPHNFFLSDQTEEKSNPPENPDLLEEVLIPVAIQLNLPIALKLGARRGLNPALGAAGDGLAPPGEGVAALAALCRRHPQVKFLATFLARGAQHEACVLANKFPNLHLYGCWWYCNNPSVIDEITRLRLELLGAGGWTAQHSDARVLDQLLYKWDHSRKVIGNVLAEYYTALEKTGWRVTRGEVRRAVARLLGGDYERFLQKDLRPLLRPGGGGGETRNYDDGNKQLEGVVGIGGSLLEENDSETASITSKPNKKRLKKGSTSFK
MKRSMFVLIFFLLLAGCGHVTEQTSPTPSHSPKPIVVPSPSPSPEPSLPPDELFRSAKTELNEGRPLTARKMFYQILNNYPETKEAKEAKSAIEFIEGVSAEEKAQKLAIRQGNFPPTPSPTPADAQPTDTSDWIPVDDNAKMTMADFLSIKEGMRLYDVVKIVGGTGELLSEGYGTEIYSYEGVGDLGANAIITYTDGKVVSKAQYGLK
MSPIVAAAVPAVLFFALIFGIWHYQRRQRAMRPPAGKSGGEAVPAAFADEPDFPLPFGYSSQWFAVRTTDTRAVADLLRLNGRQRANWRTGLAGADAGYCFVTPPVNGWTLVIQPAMPELTGDGQSGPLPALEALSRAFGEACYFGTHRVVGYRAWARSVDGVIVRGFAYAGSGAIVFDRGEPSEEERTDNRLFTGPEAADPVFPDEEDVRRIAGLWSVDPAMAQGGYTRGTGIIGVLRTS
MPNLLSSFQALITRYLRPMDHSRFLPSLLDKQDGQSCPFQDFHSQYLRCLGDYFHSVQNTAGSERPLLARCRISRVELMKKPSLAQHEYILMYITIDGAPWADQPSRVGKLVCERAGAVPEDSSSSSSSSSSDTTRQKLPESIRLSGAPGPRALDQYVVYGPDSNVGHLDDVAYRQEMSPTSSLPLTFLFAIVDVIHEDSQYYLVLTRQCYYFALLLYRALIQQRAAELKALTMRDPNLIVNEGDHTTTHSLLAGTFQVHFKLVGGDDIEKHNARLDASFTQAFAAWQAKAQGREDIWSVGKDKDEALAAKDEALAAKDEALAAKDEVLAAKDEVLAAKDEVLAAKDEEILRLRATVAATQMAGSNAVSTAES
MKKILNKDSNVVEEMLDGYLSAYGRYYEAIPGANAFTYKGRRKNKVSLVIGGGSGHEPLFSGYAGKGLADAVACGNIFASPNPQLIQSTAKAVDEGKGVLFIYGNYAGDNLNFDMAEELCDLEGIKTAHVRVWDDCASAPKERIQDRRGIAGDVYVIKIAGAACDAGFDLEEVTRIAEKARDNINTIGLATAPGSIPGLDKPTFELGEDEIEFGMGLHGEPGIERTTMKPANELVDRMYRELKAEMDLKASDEIAVLVNGLGSTTLLELNIVYHDLSRHLAEDRLIVHDAEVKSFCTCQEMGGFSITILRLDDELKKYYDTPCFSPFYAREEIKA
MSNFNPKLLNDPLAAADELLEGLVEAYNGQCYKVGRRSIVKNDIPEGKVALLVGGGAGHEPIYHAMVGKNMADGAACGDIFAAPAPNIVHEATNAVDRGNGVLYLYGNYAGDVMNFDVGAELSEADGANVRTVLIADDVCSAPPERKQDRRGIAGLVPIVKIAGAASQTASSLDELAAVAEKTCLQTRSVGVAMAPGSIPATGKATFELEQGKIGLGMGIHGEAGVGEIDMTTADELTVKVLDLILEDYEKDTDVDALKSGDEIIFYINSLGATTMMECLVCMRKAKEYFEAKGIEIFDVVIGPYVTCQEMAGISFSVTRVDEELKQYWSMPCESFCYSKMEGPLKVSKPKAAIPVPSRTRVQKVTGPITTKLDPSLTQSSEGLTLEEAQEMVLEIADTIIKAEPILSQADRDLGDGDHGLGMARGFTALKNEVEEHTFANLRDLYQTAGSALLSTMGGASGVVFGSLFLAGGKTMAGAEYFGSHELSHLLNRSLKDVMNRGGAKPGDKTMIDALHPAAEAAKENIDKPLSEAIHAAALAAEAGKEASKDMIATMGRAKTLGEKTLGLPDAGAISVSLILKTMDLYINK
MQNFMISSFLEAERGRLLTLIVQIRGGGAVAPAYCWLTETSSTKGAKTYTYAVLVTQKSDRKPKSQSLGRPGSQKHRHWKDAIARREAIAELEQQLSMLQALMERQIKNSSLFNH
NEFRSYWFETGTPTYLVELLKKHHYDLHRMAHEETDEQVLNSIDSESTNPIPVIYQSGYLTIKGYDERFGIYRLGFPNREVEEGFVRFLLPYYANVDKVESPFEIQKFVREVEAGDYESFFRRLQSFFSDIPYELARELELHYQNVLYIVCKLVGFYVKAEYHTSEGRVDMVLQTDKFIYIMEFKLNGTAEEALQQINDKHYARPFETDSRKLFKIGVNFSAETRNIEKWLVEN
GDYDQVFRRLIKGLADRNKVVVLVDEYDKPIIDHIEDVETAQQIREVLRGFYTVIKGMDEYLRFVFLTGVSKFSKVGVFSGLNNLEDLSLSTEASALLGITEEELRFFFREHIAAFAQARGISEEALLQRIRKWYDGFCFARDCRHVYNPFSLLLLFKQRRFANFWFETGTPSFLIKLIRQQRYDIRKLDHREIDELGFSSYEVDDLRLLALLYQTGYLTIKGYTPETGLYRLHYPNYEVENAFLRYLLADLSPVDNGEAGSYLWQLIGALREGDFEAFFEVLQTFFAGIPYGIQIRRERYYQTVFYLIFKLLGLRVSAEVRTRRGRIDAVIELEEGVFLFEFKLDGSAEAALAQIKERGYAEPYRRSDRAVYLIGVEFEMDKGRIGEWRVEPV
MSDSSSASSPPQQQEPPAKASSYKVLPTTPTNNNGSTSGVHSRSRTTIRPLPGSGGSTLVAGHHHPSSSPTTSTNNTNTTTTMGRPSLPVLPSQDNLGAHTHRLVSTMVKTALDYDQFAQVITTSPSLLSALYSQRLLNSSELDEMASALYQLANALSTLCFMNKVLIGIEFERGTDTSTTPTGSGLVIMRGNTIATKIMDNIVKSEGVDYLKSILLEPMKKVLLDEALDLEIDPNKFESNARMESDTDLPSLENTNFEGKPNHEMRVEENLENLNLFCRYFLDRMLSREALESMPFNIRYICKVIYEMCRDKYRVENAETLIASFVFLRLFNPTIVYPESREILPTEVKIHSKQRRNLVLISKVIQQIANGFEFGAKETFMIPLNPLINEYIEKAKKFLVECATLDCEMKLEAMEKQVPEKVKSKVISNDDKLIQDAMNVQMLIKTHEKTILEKNNQNCKDLINVLNRLPKIEEAIKTEIINHSSSSNNSSSNTLTSSSVTSKEDKKYQDSERYLELLEKAKQEDVRDLEAMNVLTCRHTDPQGRPVVIFSEEKIRKEDLERTLLYMIAKLDKVVENDYVMIWCVSNSTSQARPGFSWMLNVYRTITRKYKKNLKSLYILHPTMMIKVIMKCFSPFVSEKFWKKLHLVDSIQDIYKDIPEETLPLPPTTVAYDIMQNNIKSNQYALFGVPLEDVMTNRKDCIGNLVPTPIKKCMDFMRNHHADTRGIFRISGNQATVNQLKILLNHGSNVDLHHVDCHVLSSLIKLFFRELPDPLFKYSIYDEIINAVKEAGSSTSIIIERLKIIIAKLPQYHFELAKELFSLLYYLQTLSEENMMNAHNLAIVFGPNIIRSNSPNPLLALQDNGPLTYATEIMICYYDQLFTTNQSVIIENNARPVSRNHRPQALNDGDLEKLKSLNFDQQ
MERHGGGGGDGNGDEKCEGCGELDLELSLAPPELRERPAEDPLPPVHVSQELRELLPPQSEQYQQQQQQQESISPSPSLLPLEQRQPSLSSKKSVLFRKLQALRSSRISHKHHQARTQIRRTDRIFSVRRIRRTGLAASPNAHRGRPSPIPLGGRPPATVRPINWLRANGITHVHGEVRCGSCGVQKVVSYELESKVGDTRDFMYSLRHYLDDHVQPVWSKAKLLPCDSCGGSGCVGPVIPARDEDINWLFMLLGQTLAALSLAQLKNFCGKTRIHRTGTKSRLLFNAYVELCRQLIPDWKINTDQKRPSLEQMIKNLVVDTRDLNSNPS
MKQLTWPFCICFTMFLTAGSITACNKKIHTWVAAEDTLNKTTGIEKTAAVFPDTFGFGQSVSTEYIRKFDIDVRPDGRGLPAGAGMVKEGRSIYLEKCTVCHGKTGREGGPGGKLVSSPPDSNKTKTIGNYWPYATTVYDYINRAMPYNAPGSLTSKEVYDLTAYLLYENGIIDSVFVVTEKTLPLIEMPAKKLFVPDNRLDEIKSGSR
MKGVEALLALALAGIALLATACRGPAGLPSPTQSNLLLAPQAQPTPVGPSATGPGYRGAYGLGRDATPEEIATWDIDVMPDGTGLPPGSGTPAQGATIFAAKCASCHGDKGQGGLPATSEVLVDTRPWFERGNPRPVGPRTIGNYWPFATTVFDYVRRAMPFDKPGSLTNDEVYAVVAWLLNQNKIIGEKDVMNAQTLAKVQMPVRDLFVPDPRPWPEYQ
MPVASFLSRLMPAVITLRGTKRTFSSARRTAKRVAYLTDHPARFAPPKSIGRRVSVALTVDDGWPLYVLSPRGGAPGAPGTGGTADSAGSAGSQQVLYLHGGSWIGEIGATQWSFAAALVEQSGATVTVPIYPVAPVGTATEVTARVADLVERLHAEPTRVSLVGDSAGGTVVLATALELLRRGVRPLAVLVLVAPGLDLTFSDPRAADLESADPFLAAPGLRYAASLWAGGLSLDDARVSPLFASPADLSGLGPVTVFTGTRDILNPDAHRFTERARAAGVPVTLHEAEGMLHNYPVFPIPEGRAALAQIAALLR
MRGRADRGEPRSTVPRRYRGHPLSGADLGRDRGDLGALIFDPGYRAGLDRDGDGIACETK
MIVKPFALSLSKGYDGSTGSPRTTSFYCALALYQWIDTIAMHFPSLSKPEALGLALWSFGMVVARSCSLTAVADVLSPLLGQKYNTLRERLRRLPGWSGPVLSSLKAVFF
MPATWKHLQRVPVPFGDLARDHVARQLPEATEEELADAVSALLTRARRPAR
FLHRLPKGHVSQLHSDVSTLQGYLEERMRSLQCTHRKTGIEKSLEAFLKNNGAYYITLGHVALDRLQKYLQKLVRNLDQLKTC
DCLHHTPTERLL
MVCLYHLRFDSITLSDQLANEKQAEQLQVAAKEKLLEALVAEVSTLKALRSKQLQSKASIAALKEESKTSLATKEERLNSLETKCEALQSKTSAVSSVLSTGDNTASDCLAVISEDERLLADIASESQSLHQETLRFSDFYDGADFLSDENAMQEALHAKYDTIQKKLQLFHEGSCDADSILREARDYVTHCSEDHAQALLPSMQLYDAIDNLPKIIEPSADNLLNVEQLAIEYQSLRDIACHLQSFNDRELPTQYRTTLEDLSATIKSTMERLRSLKSSFNDLEETMSKDTVKITEEQGWHRLLQSQLQYLQDSNRALQQFHNSTGTDEEGLNIADHPFKKVFDDDSIPMDIRHSFAQECEDTRNCATRQQCNLKTLVNEWHGLDESCRETALRISVFKVEAQLGARVCSILIHAYFE
MSTDGTTVTGSTAYDPFGTTTATNGTTPDIGYQSGWTDPDNGDINMAARWYQPGTGAFASRDTWLLDPVSQQTNRSSYLDGDPLGGTDPTGHIRQHDSGSGWMASRCVV
MQANATKRLHQFRNRSHFSRDAKRIYEKTYYSHASSVISTVTLVASATEYAAPGHIMFQLARYLRTRNTSNGRNALLKKIRDKRFSWFPGKKPLCETFGRRKSLQHTLCEILPQLGEQPEPTHELDKPKNRTITHLLTISCSVSYSVSSDLNNTKNKTQATLGACLHLTDTARWPRWLEHKFTDRKIRGSNLTSASRLLLSRLGQPGSIPALVLPTSGMAARHRKGVTAEPLLLLTNMAWFCQTL
MKRVVLALLVVLACVSSLSAQTTRHEAGHFLTPFDNIRDFSEQPGVEVKRVTATETLSGEFDTIIVEKTGTLKCGPTMRFRTIQIQGGTFECDIKSVLTVRNLPLDFGFDPSQYGNGILNIDGTLKFHGEAKDTFLRLAQEPLAGSRTLVLSVVPINWAIGDELVVPDTRQLAGADLVLPTGNLRHNVRRKESQSETGRIAAINGLTITLEQPLKFDHKGARTPEGVLAILPHVGNLSRTITIRSENPAGTRGHVFNTRASNVDMQYVAFEHLGRTTADPLDSTTFDGEGKVVHLGKNQIGRYPLHIHHVPGTCPAKDYQFKVVGNAVVDGLKWAMTVHGSHFGLVQHNVIFDVQGAAIATEDGSETGTLFDHNFLVKIESATVKNPFEDENNTDPFGRFGNGFWLRGPHNILTNNVVADTREGIGLYSGATELGPTSFEIDVRIPRFPCADTRVEGQFTVVKLNDVPNLEISGNEIYSTSMFGLGTWFFKQPIANRVPIRNTTIWHFAQVGIFHKYWNGTIDGLRILNEFGEGAAIQQFNNQDPQPLSELKNFDIQGVNTVYDG
MDCPHDFYSLCSMVVQDLIGSDDSNPTESPLLSGGPHADTDQDELGNVTRVLGSVDSDPSVSPLRCNSTHAGTDYDGLHSAGSDDSNLSDSLLPSSGPRNGTDYDEPGNLASEVGPSVSNPSEYLAIDIAQDEHNDFGQPHHRTRVTALGLTFMLVGLSLEMLSAGFDQVSSASTPLYALISLLLAIVAVLTCILELIHNGIKDKDASPNRPGFGMLPDIFGLGLAVIQCVCSAVQYYFLHHRENNPMKLSPVPLFFFACFLVIYSVWLLAAPKVIAATVASDPTKYSEAFLGKPNEEYCAWILDSEKWGGAIELSILADYYGREIAAYDIQTTRCDLYGQEKKYSERALLIYDGLHYDALAMSPFEGAPEEFDQTIFAVRDSTIGPVEGLALNLVKEQQSKRRYTDTANFTLRCGVCQLGLVGQTEAVEHARATGHVNFQEYR
VAAPAAAPASASASAGVINMLSIAAPQQVLLEVKIAEISKSLVDQFGANAGFARTNGSW
MRIYSSGVLRVRFDRSSNGNPIPYEETTAKKLLLSQTHTNTHMSTQSNRSACGKLRSSDLQLSRTLLIVTSPQMKKQLKPTAIRLLECYCFKTVPEFGHRSTSLQAFN
MSGRTVRRFVDNLLNNRRSERAHPDDIEAREMKTAIQLRAARAGSDAPREEFVTELHRRLTAHLEEGGDIRRAGTGTRRAVLVGTGLAAASAAGGIVIGRNLLTPNRSPSQGAPPPQTELEPNAGAWYAVGRSAELPDGGALAFDVGAVNGFVHRSDAQLEAVSGVCTHQGCKLWLDSAEGRLRCPCHSTSFSLQGETMTHQLPTAPAPLPKLQVREIDGMIQVFAPTKPA
MAAVVRRVMERVRAEPVPGSFSRPVEKGWESRIATDAERALRAVVEAMDGVTAVECFLSPMAGRGSGPWFETHGAGEGAGDHRSIVPLSVRVELGGGSAPSSEALDEVRERVREEAVEVWGLDVRAVDVLIPGPGAEEPAGCDGRSTDSSLPA
MKKLFILVLVLLMGIMGTASASKSRFKDPNYSFSNIMNVYLAECTYAPKSNQPHDLQEDSNPTGRALNSLRTAMAKKNKNLIVPPDEPTRARLDLHLTVHTLATYTYWKEPWFEEVYENKKITERDRDGRERTVTIPVKRVVQHPGHWITNAYAEVEFTLKDRSTGRTVYNCIDTRERQDSGYDGMLNRICNDFVGDIK
MEYDGRADHVGAQLRRLLVERTHRLIELDTVLRARGEPGVLQRCESELAQLEAEVLGAPLATCPPGPDALTDAERRDWVRRHLRVLPGGAEQLGPA
MKRLLAPSKYILFDKTPPLLVYPDTPFVCFPRHPLRTFARTPPSHVCPDTPFARLPGHPFRTFARTPPSHVCPDTPFARLPGHPLRTFARTPPSHVCPDTPFARLPGHPLRTFARTPPSHVCPDTPFARLPGHPFRTFARTPPSHVCPDTPFARLPGHPLRTFARTPPSHVCPDTPFARLPGHPLRTFARTPPSHVCPDTPFARLPGHPFRTFARTPPSHVCPDTPFARLPGHPLRTFARTPPSHICPDTPFAHLPGHPLHMFARTPPSHVCPDTPFARLPRHPLRMFARTPPPDTPFTCLPGHPLRTFARTPPSHVCPDTPFARLPGFLR
MSILRYHLAICLNYRKTQIDLRGDAIDYFLTIRLDIDTSVTSIPSDIQAIEEEYTDSYRFHRGLVNGSMRVELDYPWIGRSPNLVSGCAICLVVMEEVLALMDWAEEYADWMTDGVDETSPLKIKLYRCFQSPNQNSKEMSLVTGNLHTCRKIEIRV
MVVAQWSILHLVSALHLYEYWFKENGQWQAKTQKLSRLYMDYLTLLDSPKHVLQRNGIAKLKTVCDSLTSCCAFGEAIKKEESNKLFIKLFCRAFTRFVKPITQSWLIKEIKVKKLKKFATRTNKQSTCLSKVLLMSKAFKMQNIRATFQFHQT
MKKAKILLLAVALILSLLPAGIGQAATDDITGIKLEAELREAIQKGILTGYSEGVYKPDNKVTRGEFATFLARALKLPEAPHKFSDVAVTSKLAPGINAAADAGIIAGGTDGNFKPDNQITREQMVIMIKNALDYKNIDVPFEKPTILTDFDTVTASASRLAIAASVKLNITIGFPNSDGKTYRFEPARQASRAEAAAFIIRMIHAIEDFENQLPGEPGQPIEEKPYVISTIDQNGELVESAKKYKTFAEAEASWNSSSEVITFNGKVMKMASGLAYASPSIGKATTSLYSGANFRTVDAAVPAQEELRYIESTEEAVKVYIGGRTAYVKHSDIHLVPAKMIKNQNYYTVNARAELVHSIYNPGTKQYGSYEIGKAPSTLKQGVRYYSWDGTKFYTNNLGTSTSYVGKELYPYYQYLSARTKTPYTAAELDRFIDNKLAELEATGLSVYKDATVKSKVKGLGSYLKKVEAESNINALLVLGWAMHESQYGMSPNALRLNNLFGIEAYDSNPEGAVSYPKATDSVDALVSKYLNKAYAVQGDWRSNGAAPGNKGMGFNVRYATDAYWGSKLAGHMYRIDKALGFKDYNKKQVVALTNTPGTNIRSTPSSAAGTTNLVFTYKAAKMPIVIVGEEKAADGYVWYKILSDADTTGKNPYAYIRSDLADKIETN
MKKWCKAILYIVGIGLFLSTGAGLSLEVQAEEYEDRPVTGVDEEGNVYEVESEAGVVEEGFSTFSTATEQVVNFNTKGNAKTDYKADTGDTGYTNGAYGADAAYLGTSNGQVKFLLSGVIGSVPQGNVQVINKASAKSISYYTVSGGVLKHRITTDLRYNEYASNLNCGTAPSYLKEGGQYYSYDGHYFYASDKYGAMLTDYINNNRNNSINPNTPYYNYYQYLPMRSTSSYSSSTMDTLIAGKTASTSKMRGIGSSLTSSQNTYGVNALLTAGIAANESAWGNSNYAMQKNNLFGLNAGDSNPDDSYAYSSVSVCIKAFTETYMSKTYLNPNDGIYWGGFLGNKGSGINVKYASDPYWGEKAASMVWLLDAAGGNKDQYAYS
MCEEFYTRDVNGKGLSLHELLEELDDIPEYIRTIAINPPFEESGVETDENSDLSDIEARGDADHLPRRVLEEFISEIVVQSNIYAAQKGNHKVNITAEEIKVVFGFFSSEYHSLPSKQMYWKVEPD
MDLIRIGDKVVSRYKIDFLISEILRLRSSGLSQTDVAGRLGIDRTFISRLENLGEVRKGKSVAVIGFPIANREEVCSMLSAEGVDFILLMSESERWDYVRQKSGIELFNAIMDIIAKVHSCDQVVVIGSDKRINLLRAVLDKEVVGFEIGESPLKEDKLVNPAELLAVIRAVKARN
MPPKKRSATPGSRDAPPAKKPKPAKPLGSFPALSDGDVAISVGDLALTLHSQTLRKSCDYFANFDSTSTKFPDRFVLKPASLLLGPDADHTLAFAVVSPADADADADSNNGGDDARIKRARSANEAIFRLLYRQPLSCTKPADFRDVAALATCYGCVDAVKDTLVTAILKASLGTTLFRDGPCALLNAACNLRDESIFSEALLHAVGRGCSDELLPSTVADLVDKHTAGLEAKVQGCWQAAMRCCTTDSIPRALAAVLMRNYVDQHVACTLVSPLRPEVYGVLFALHTMENIKPLLQAGLLARVDDVDEALVAGQDFIVAQDVDRYGDDDDYYAHLYRVHRGLDECVNRRSIEGELRTMLQNVKQVIKPLFVGDRNAGYFTCVQFAGPFPWPKTPSNSP
MSGLRNSVLWRSLSEGMYVFHDPALLRGKKNVLIILGHDRSHPLYQEAKELYEAIADDLAPYDVELRFEDHTGGLLHEKFECDQDAFRCFFINKQGETLYGGREILEPIKLYTMLGQMS
MKLIKFFNVFFISSLFLFSCKEPVREYFEYWSSTCQISGVEYATPHVMIGGKPNVSACGDSNNDVVIYLKLINPQQHNTLAVHNPGDLFSLSSRGQIKSLIQKDFNTIEIIAALNYADEGKTITLSGCLWPDNRTGYADIEELKRANPELCYSTTFIQNTPPDQVTDLDNQEESHSYFQQTEKHFISFTIPDQSKEWNKDAVYEICCYSKTNNSLIAKIEKPLSELSSYLNVTTSTFSYAFDEQEDNLYYNYTVQVKGKNGLKSSIVSTNSALGEKILKPPVIVFTSNGNQITPNSQKDNDGFEYLEVESLNDTVDVTITSEQGSKVTGQINGSPVSAEANTYTKNLTEGNNTLDITIKQDGCQNVKVIRKIKVVQQLQEPSFEFYKEETFTNIIEKSTDYEEVPGYSDYDYYNIRLIETEKLYFNYTKRNDADTVTLYKPNKEYTKKFLQLGYHILNIKVQRQYYQPRTFTKYIYVQGVLNDATLSVVQVSQNGATETVENNIPLWTYSYLKTDDVSFEVTPGNNGNTISEVKVNNTTLPNNNSHLYKTDAFTKDKTIVIKQTKPHCKSRITTKTVKVRIKPIIATVSIYSLDCYLSDSSDDLCEINGDLYLYIDGKGQKILKSFNKLTIPVFNKVDPRYPKIFSATDYSLTMDSPYSRLICSCDNLEEDDSDEGTENEIYESFYSPFQLSTIINQLRSSNNVFIISENPYCNQFPDEHIQFYIYLTFSE
MRLIPILGVPLDHNSSYLRGSARGPFSLVGALHCDSANLWTETGFDLGPVLDHRGALELGEPEAAFQVIEEAAAQVGNDRPIFLGGDHSVTYPLVRGLKRTVGDFAILHFDAHPDCYHEFEGNPHSHACPFARIMEEGLCTRLVSVGIRTAHGHQRKQREKFGIQWLEMKDRAHWPVLSFDVPVYVSVDLDALDPAFAPGVSHHEPGGMSTRELLDVLHAVDAPIIGADIVELNPDRDLNGVTAMVGAKILREIAGMMISA
MEFIENIDEADFILLGAPVDQGTENKGCSEAPAKIREKMDNFFFSESANNRTIHDSSDIVEGETFEITMDRIFNKVRETLKMHKPIVLIGGNHSVTLPVIQGYSRHFNRMGVIFIDAHPDCQPDYFPYGDVIGGVSSIPEVNKTVLLGLRNWSKTEYQFLLENNIPFITMKDIYQNSMDYVISRVKEMMRDVDAIYISFDIDAVDPAFAPGTGWIEPGGLTSRQAIHLVQELAKMDRVEGFDIVEVNPEKDTNGITSSLAAKLILEFVDSYGSQTESY
IGGDHSVSYPLTKAYLQAKKKQGKRAAIIHFDAHTDLLVERLGIDLCFGSWCTHILDDLHEKHHLIQIGIRSSGRPQSHWEETFGVRQHWAKDIKSHGISQTINNILAQLSDENIDELYVSFDIDAIDDSFASATGTPEPDGLMPENAMDILRALAAKYPITGADMMEIAPFTDSCGQGVAGQEKTLKVGADISAFLLKEMAK
MVSPATDPVDETIADVTTPTDPAAAGDHDAVLVGEPFDAAVIGRPGAREGPAAIRTALAGTGTHHFDAGPITDLGDLGSVVAPDDDPATIQGAVRAALGRVHDADTLPLVLGGDNSLTAGNVAPLLDGSVGVVNLDAHLDCREVRAGPTSGTPYRQLLEAGLDGYAVVGARHFETSTVYHDYCRARGGAILTPDEVRDDPVAAADRALDALGDVDRVYVSLDCDVVDAAAAPGVSAPTPGGLATADCFRLLRVLASDDRLAGFEVVECAPPLDTRARTATVAARALAHVLAVAT
MLITVERMMQYMKKWKWLACGLVALTLTVGLFARVAFAQEADGNNTVTVCVTMEKFTLGQGYKIEPILVTLPAGTKTAQVITDLLGPGNYENTGTVADSFYLSSIKDGDTDISGVPQYILDKTGPLSPKDRSDDQWLGEFDYYTNSGWMYAINNSFPGVGASGTTLAQGDVLRWQYTLYGLGADLGADNTQWGGVPLINAANKDALTWRVAEINGMANKADVLAQGENQKKYDGATAVLKDMESTQDSVDTALAALNRLDEAPAVTGDADGDGYITGNDLAVVNKYFGTTVETGQNGDVDADGFITGNDLAVVNKYFGTTGLNE
MYGSGKKGYVLALSTKEKGPILDAEAVHVSFLEILKAAIGPKQNKYFSMVVQ
VVGDAAALAERIRTRTDALDAVFHFAGWRPAPDEPATLVDPEPSFVYNVLSPFVLTNALEPLLAARGGRVVCDVSAAWATGRLDWSSEALASSVAELDEESTAPEDSQTLLAAGAGEADDTEVLPEGPLPDPTVAWADAQAARLLWTFALAQRWQDRGMGAFAFRPGWLDLDVFPHLVPHEKFGIHLLSIFTPSLEKLTERALRLAESAPLGPSGVWWPTEHPHRAARLADPWLQTCLWERLILWSSPGL
MMVMIASALAAAVLYGAGAAVEQRQAAGAPQSSAGRPGLLLMLARQPLWLLGIGAQIGGFAAHTVALRFGTLATVQLIVAAELIVAVGVVRIWSGRPLGRDSWAAALTVVAAIVAFLALTSPAHGHVAGHYSAHAVGAIVGAVLTGAGALVAAVAGLRSSGNRRAVLLAVAAGLADACSAVVIMQFSHVASHGVVAIFTSWTTYAVAVCGTGNVLLTQSAYQTGRPMVTLPIIAAVTPAASAAVGIALLGETPRLGAVGAVAACFAVLVASLALGWLARSAPHPEVPEPADLAVEVEELTVLTGVPRQGTERVRLPLATHGAPRQAA
MDATRPYAPTDGPAAAVRPTPRQHDDAPRTRRRRTPQTLPHHFAHHLAALLAALLLCGGATARTLRFGVRAGFRAVDHRVDPACIDGMRIEAGGLRPGFEAGVLLRLDVARRFYLQTEASYTHTRCRLRFSGTTSGTATLHARRIEFPLLLGIRAGNVVRLFGGAAFRTRPLGRDSAPHGLYVRRGGRTTAITGGIGFDLGRFCLDLRCTGYPGARAWRSVAADRTSPPCTVRVRRDLSYGCSLAFLF
MTAARADAVLVLLAAHALAAAAAPALVRLLGRRALYGLAAVPAAAGAWAAAITGHIAAGGAVSGAWPWVPRLGMEIAIHVGALGWLMVLLVGWIGALVLAYSARYFTPGDPGLGRFAGVFVAFAGAMLGLVASDDLLTLYVFWELTTVCSYLLIGHDPIRRAGRRAAMQALLVTTFGGLAMLGGFVMLGAHAGTYRWTQIATTPPGGAYLTVALLLVLLGALSKSAIFPFGFWLPAAMAAPTPVSAYLHAAAMVKAGVFLAALMTPVFGGDAAWRWTLLAAGAITVLLGGWAALRQNDLKLLLAYGTVSQLGLLMAAFGGGTRDAVLAGAAMLLAHALFKASLFLVVGVIDTVAGTRDLRRLSGLGRRAPALLVIAALAAASMAGIPPTAGFVAKEAIFEALLHGTAADRAVLACLVLGAALTVAYTLRLLWGAFAAKPGVPEARPQPAGWVFLAAPALLAAAGLAAGVAAPAAGAVLARYADTAPGLGPGYRLALWHGLTPALGLSVLGLAAGAGLFAVWLRRTRPVRLRLPVTGADMFDLVVRWTGRAAVEVTGATQRGSLPFYLGVILLALVAGPGTALLTALLDGPPAPVRVWDVPVQPLVAVMVIAAAVFAARAKVRFTAMILVGVSGYGIAVLFVLHGAPDLALTQFLVETVTLVMFALVLRHLPARFSERPIPEVRRARVAIGVAVGVVAAGMAYVAGGARRDPPVSDAYPGPAVTFGGGDNIVNVTLVDIRAWDTMGEISVLVVAATGVASLIYRRAAALRRRAAGPAARLREQAPGQWLLAGASPEARRQSIILQVVTRLLFHTIVVFSVFLLFSGHDAPGGGFAAGLVAGLALAVRYLAGGRTELNLAAPVGAGAVLGAGLLVAAGTAVAPMALGGQVLQSAVIDLHLPVLGQVHLVTSTFFDVGVYLIVVGLILDILRSLGAEMDRLADETPGAPSGTGTTTGDREAA
MYAGALVTLTAILFSTLLLVAITMVATRFLGRNAGWIAATGLGVIALAVGLRIPEILESANSPSGLAAVREVTPWIPSLGISLGLRLDGLSALFLIIVLGIGALVMAYSARYMSERVVHPHTGYFAWMLLFAFAMTGLVLADDLVLLFVFWELTTLCSFFLINRSGEKASAPAVRTLLVTAMGGLALLFAVVLIVVRTGTTVLSEALTDEVWAQDQGFTAGIAVLVALAAMTKSAQFPFHMWLPDAMVAPTPVSAYLHAAAMVKAGIYLLMLFSPALGGSIVWQVILLSTGLITALLGAFFAMRRFDLKEIMAYSTVSQLGLIVALIGLGTTAALATAALYTLAHALFKASLFMVVGIIDHQAGTRDIRLLHGLWRIMPVTFITTLLAGLSMAGVFPLLGFISKEYLLGQMLDAGTQIWVGIALTAIAVLASATTFAYTGRILLGAFFSYRGTNHADEPEGTHGQKKEIREAKPLFVGAALTPALIGLFLGPSIAALYPLLGAAGSAASGEAYAASFKFFGGFTTEFALSLSIYSLGLFAITQRRRLDTFLERRYLKFTAIDVVEGIRTGSLALGKRVGNTTRTDSPAKHLAAPWVIVGFIAVAALFIVPAPAAFVAGDSWTDVLWLVLLVVTVVPALITKSRLVALILVGGAGFVVALWFFALGAIDVGLTQLLVELLTVVALVLILRRLPGTFHPVARSRQFVTAMVAIGVGVVATLATLAFTGQRDMSAVGQYFLDEAPKDTGGTNVVNTILVDYRALDTFGELTVIAVAGIVLIGILRSRAMLSQRTPDLASWENTALARTEDNTLPIRVVARWAAPVIILLSFHLFWRGHYEPGGGFIAGLVGATGFGLAYLGARADRSAPVKWPYQGLLASGVIVAVLSGIWGYAAGSFLKPVRFDIPLPWGGEYGFTSAMVFDLGVYIAVLAIIIAVINELGGVRPSNIEPRSYAGAVLVASRFERFEPNSPRPERLGEAGSSGGQATPKTGSSAVSDSLETGASS
MRARRRNADEEPAAVKAFHVALLLSPFLSAPLVLALGRRLGSRTGWAALAAPLLGLVSCAALYLDPQARGTTFSLSWVPSLGVALAFTADGLALFYGLLVAGMGLLVTLYATHYLDDRYGRHGSFYFTLQLFMGAMLVTVFTSNLLVLFVAWELTGITSFFLIGFQHDQPKAQRGARMALVTTVTTGLGLLAAIALLQQIFGTLDLTRILATPAPPESRGLLSVAFGLAALGILGKSALVPFHYWLPNAMAAPTPVSAYLHSATMVKLGVFLSARLFPVFGDLPTWGPVLVAFGFSTFLLGALLALLCYDLKAILAYTTVAQLGLLVGQYGWAAPEALHFGDLLHVLNHSLYKACLFMVVGIVDHCTGTRDLHQLGGLFRRMPLTGAVAMVGLAAMAGLPLTSGFVSKEILLETALHRPGMLGMFSLASLTLGSVLHALVALRVGRHVFFGKMPAQLEESFHAPSFGLQLPPLFLAAGVLLFGLWPAGFGAFAGSFGPATVPHLALWHGWTPAALVSLGIFATAGIAFWLTEHRGWARLFMPRAARMERIFDWAIDGIPAFGLRFNRALGFGRSSLYLVFVAGILFGSLMLFVIVRAGEIAALLGALGAPAPSMDRLLRWGVVAIVSVGTFLAMRWERPIPQLFAVSLAGFGVAYYYVLYRAPDLALTQLLVETATLLLVLLVVVRFKRDGADLEPLPPRSRRSRLARVAIATAGGLFFGMGVLLFPSLPLMERAGGYYLESSIPLARGANAVNTIVVDFRGFDTMLEITALLIAGLGCLGLLSRKRLSPVARFEFPHRDLLPVPRDFILQSVALGAFVPLNLFALHIFFRGHDAPGGGFVAGLITALSLILVSFVLGVYGFRRRLRVMPMVVAVLGVLLALGVASFPALQGLPPLSHRHFHLGPFPVGTPMLFDLGVYLAVVGVTLKLVLPLMKSVHGLPAFVQDEVANFTSALSEPIDYRPARPPAARTKEVA
MNPDDSANNHLEDDEFLYSAEILSKLDFKNSHVDFNPPISISNPGENLIVRPLCLSDYHKGYLELLSQLTRVGDVSEKTFRDTFNEMKFYKNRYFVTVIEDLLTNQVIGTATLAVEKKFIHSAGLRGRLEDVVINNDYRGKQLGK
MKKKSCKKSRQIRSSSICSSKRKKQAKSKNCLQSSSSQSRLPVTCGYKEGLLDLKKYYKRQKCILSEDRWFTPTEFERFGRKEKNKKWRTSILCKGITLQKLIEDGFLLPKTFMKGRIHGEKQKKRPEVLQQQVLESAEKSNGSQGEDDDDIDMTKFEGATLPVACGSNSGVLHKCRFAGERCGRCIRTENSWLTPEGFIKLNKPDGIWRKDIVSNGVPLGRLIKKKVLELHTINCDCEICQELDQHLNDDVCFVCNSEGNLVCCDECPRAFHHHCHLPAVPEDSSGKWSCIICVLKNMKGSSQKNQQDIMSSPVSQYTQHCQCLLLHLLRECMTDPCTNIPGGSENICGPMMLGRVKQNLENNNCPTVQVFVSDIEYVFHHCTSKRDNDFSRMMSRLMELLETIFKP
KETSKVEVSKFDPEAIETIRFVAARSGKPTHFFPMALATYRLLPPPETVDVVMGEKRVVAHVPVNLWFGEELDMASLAPADADKQGQREMRANAVMEMVKAGYSTIGGYDQ
MTGPVLARLGLAPTVGLGNAAKIQLRARAALRATHPDRTMPLIRVLGHHAQVFDVMQARPPADPEQRCWVYLGEDGQRDDTLAYRAPALAPGPRYNAVTAAAAIPVLEALLPGARPLRHSTAAPAGLPGGYPVSISDGSIALDLPPPRCLRG
MRRPLLALLALLAAPLSAQDAPPTLSTFSIVACDPADGFLGVAVQSRVVGAGSIVPAAEAGVGAVASQAAANITYKPRGLALLKQGRTPEQVRDEFLRTDSLSARRQFAVMDAKCHVVAHTGSGTSAWAGHKVGRHYSAQGNILAGPEVVEAMGKAFEEAEAEGRPFGERLLAALKAAQSAGGDRRGRQGAGLLIVKPGGGYGGGDDRYADLRVEDHVEPILELERVYKVWMTAFHPLDHFVPNGFEPIAAPAGAHVCALPAMLAREAHGGAPAPAAPGQP
MNQKGQTLAEIVVALGIVLLLVTGLIGGGTTAIRTSDQSRLRSLAVSYNQEAIELTRKLRDENWTEFHDMTGLWCLDKDGVWTQAITACPINIDNTFTRAVTFSWNETNLRMDIVSVVSWQDGGATHQSTLTTFFTEWTINI
MNTDFDLLREYAERQSEEAFATLVSRYLNLVYSAALRKTGDPHAAQEITQAVFIILARKAKSLGPKIILSGWLYHTTRLTAANYLRTEIRRARREQEAYMQSLANETESNPWPQIAPLLEDAMGRLGERDRHALVLRFLDGKNLEEVGAALGVSADAAKMRVNRAVEKLRVVFSKRGITVSAGAIIGAVSINSVQAAPTGLAALTVGAATKGAVATASTAALANSTLQTMTWWKLKTAAAVAAALLAVGGATSLIVSQSKIGRVNWWSNSSANSALLIVPQKSVGPVRADMTIPQVIAALGQPDKRTGNFLHYVRSGFSVISNPDNDSLRAIFCGDSLGRNGPMTRAFKGRTREGIGMGSTRAEVIAAYGTPSDTRAAAINESLQYETLGLTFTLSEGKVVYLVVNF
MAKDSIIEGNELPAYFREKVQQALTHQGVEATEMTEFYLVNLLHEFRHSDKLFTREGDHVVEKPLAILLAEALEGDTATRIRSLKKIGDVALYTAGYFAQKFHRMLVDPNYFISMGCSAYHNLAGMMPGEKVFGALYSELAQKFPALVNILKEVASDERGTPNVNLLQTYERWLATGDARLMDVLRREGIFPQPIELKTQ
MAALFALTTWQVVAAGPLLRLDERVGEGLIGHGPRGVAQVFSDLGGMPVALPVLACALLFALWRGARSTVLVAALTMVAVPLLVVPLKVWVDRRGPLVPYTGYYPSGHTATAAVAYGASALLLMAYTPRRWMAPVAAALLTAATGAGLMLRGYHWPLDVLGSLWLSGLLLVPLWCVRHRPGRTATGSVPAGSAPAGDATAGDATPGEGPGRAAGAGGVPAGDGPAAGGPDQPK
KLGTVDEITGMYKMTPSQVIATIIGAMDDELDDRRPNFSAAAEAGAVIVLVGISDLTKNLANLKSIIDAFNVFFGGQGVKDKNGKTVPTTGVAGGMAKLGGLVSAALLQVENPDENNVTLKVNNVCKVRGTEEDKKRLMRSTPPIPYMLEGVFEVGDFVVGPRVKFGARCQGYVAEVKSTEVGDDIVTEGIAEGAYQTQELVITGLTNLDAIGWRSLSSGAKLQKVASTLKYNTFVDQNTGRAKTTGPFNDFEYLPNLPQKNEYSVTN
MPPLWKSLNSTYQLLNSTDPNMTVNCWLCYDVRPPFYEAVGIPSKPELVGGSNPPQCLWNSTNNPGITMQYVSGQGTCVGKVPPKKKALCASEFTLEKEPKVKWLVPANNTKWICPKTGVTPCVSLRVFDENTEFCIQVMIIPRIMYHPEEFMYNHQSPSTFGHIQKREPITALTIATILAIGAAGAGTGRASLVEQNQKFHSLRAAVDEDLVPVEQSITALEKSLRSLSEVVLRNRRGLDLLFLQRGELCAALGEECCVYADNTGILRDTMAKLREGLEKRQRDRQALQGCFESWFSHSPWLTALISTLAGPIIMGILTLIFGPCILNKLVAFVKSRLERVNIMLIECQQLL
PQTGNNTMKIMRLWIFQGRLRRALGGTAIALGAQGKASRRSMTKQTTARAVQTATSEDASISAPCSTGYESSWQWSWEALTLAITGVQKQSEAALLHVRIDGVVRRVTDYPESVFICHISNTTC
MNNEIKFSTSESDPCSGNTYAVTLNGADVSLSSIPLTSVGSFSDTVHIKVEMPPSDTPVSLSSALIPENAVLVETPRGLVLSVADGAGCVSYSSISTTADEHGRHMMPLAVSLFTSCQPDDGVETDQPGHMFDGSSVPSDSDVVNICQQPGNMFDNGVVTTDNDVVGICQQPGSMFDSSAVSAENDIVGVCRESSHMFEAGVVSADGEMVSQPGHIFDGSVVNSDSDIVNVDDQPGHIFDTVTVTGSLVHETGFSQSSVTTTSVTSMSNGQGQQILETKKRKGGWPKGKKRKSSPEVHTPRAPMTGYVLYAIDRRQEIKESNPEMLFSDVTKILGQEWSTMPPDKKQKYLNEADADKRRYIAELKTFHQSEAYHNYVRKKKMKNYCGDGTTGVDSGAYTTPMIDLEEDCVSELYCRICRQYFSSLHNKKEHMFGRQHLQAVTGELEKEIHKHQQHEVMSTTSLLVVDMASESLGSLSDNDLNADSLRLSSPVNIENFIQDFVHKNYEREQEIRMLKCALKNTQDSNLNMCKEIQELQVYEAKLEHDIKNLKDISLAQSAQIDGLKMVPTLFGVINFS
MAVSQNGKYLAMFTHDGRLLVVLTDFSRIIFEYTCETTLPPEQIAWCGLDSVLLYWDEMLLMVGPNGDPVRYMYDEPIILISECDGVRILSNSTMEFLQRVPDSTVSIFQIGSTEPGALLYDALDHFDRHSAKADENLRSVRSKLPQAVEACIDAAGHEFDVSRQRTLLRAASYGRAFCSQFPRERFPEMCRTLRVLNAVRNHEIGIPLSIQQYKLLTAPVLVGRLTNGNHHLLALRISEYLNLNIEVVIRHWACTKITASSSIDDIALLDILLEKLKLCKDISYAAIAGHADKSGRRKLAAMLVDHEPRAVEQVPLLLSIGEEDSALGKATESGDTDLVYLVLFHIWEKKQPIDFFGTINARPLARDLFITYARHHDRKSLEDFFLSTGRPQDVAYLLLEESWELERKPMATRGSALQGPRIRLIEDAQKLFSQTKEHAFEAKAAEEHAKLLRLQLDLEASTKQAIFVGSSISDTIRTCIVLGNERAAMRVRAEFKVSEKRWYWLKAFALATARNWEALEKFSKEKKPPGGFKPFVEACIDAEEKTEALKYIPKLTDPGDKSQAFARIGMDKEAADAAAQAKDSELFGRWKLNLAQNSGTASILDTLRDRLSFQGVY
MTPEYQGVSRVLKHWACCKVQQKEEGDDVIARTISVKLGEAGGISYSEIAARAYECGRTELAIKLLEFEPRSGEQVPLLLKMKRSQLALSKAIESGDTDLVYTVVTYLKNEMNRGDFFMTLRNQPVALSLYRQFCKYQEQDTLKDLFNQDDDHQELGNFYVRASYQEGKLEARLSLLQSAVDEYNKAKNEFAAKATEEEMKLLRFQRRVEEEKGERVLGSSLQDTMHCLLTAGLHKQAEQLYKEFRVPDKRYWWLKLTALAEKEDWDELEKFAKSKKSPIGYLPFVEVCVKLHNKYEAKKYVSKVTPEQKVKAHLAVGELDGAAEAAIERRSEAEISLVLSRCSPTTDRALLDRLNRARTTAAKK
MPKPVNLIPPRRLWIRRRRRRRRNSGQPDLALVASSSWENDTLQFDRNIVWKEKMDPVTVDQVMIPLEQYPTISEDSLLFESVMALEKAHCNERGPSYKYRALLVTDGAGRVIGKLDHISVLHALEPEFQDIGDISMLTRHGLGPSFVRNITRDFDLLQKPLDDICKKAGKLTVRKIMHIPAKSEYIGQGATLNEGIHQLVLGRHQSLLVENGDRVVGVLRLSDVYHYILEVMQKCDIT
MTIEQLQEENAKLKQAIISIYKNTEEITLNGEDGYYAVKQSVIDDVIDLTED
MPYRFIAVDIGDDIDIKKLMSEKLSYVEYVRWEEPFKFKYGDGMVFVYSFGAVVGLNVSAKQFSSLISEFDDYVIGSVKHSAPDNLEVTQSKDREIEVSQNTVYLPRVDDGALSTIAFVLAQSISLHRIEQKTEFLIDEIEKFLSAHRKRSRGKKALDLAMKILKTRHEILSDVMILDKPEMAWENEMYNEVYQKLTRYYEISRRYKNVTTKLDHAFGVASVLLELHSESKANFLEWMVILLFVVEILVSLFERFFP
MENDTFAGSSHLRENSERYQVGATVVYGLHGKCTITRVETRQISGESVEFYRMEVQKSPLSRSSKAEPAIWVPVANAIDKGVRHPASKGAAASALQILSNREYYFSLKDPWHIMHPRLEAVIRQEGVIGLAKAASYLHVLKTHTAAPSRDILKMRENVNRLLLRELSDALDEPISNVESRVAVMMRRKLIPSS
MLAFELGRHQILRAVELIVIPQSGERIRFPLAKEALGSEPVH
MIEMKRVRETAQRMAVMDPQLSFASSYISPREWDEVFWQHLPDLDPESIRTKLLVLAANSILRITTGGPNQSLPHFPITPLTRDIRAGFHCLANETGNEAFIAGQASKAPPISLPHVVADASAKRRATQIFRAWALRYPGSAQRVLILHVNVQIGARNPKTGELSSAFIQVQATGQSQSMHAGETGEQLALKLWGTTGRINDLEWKLDVSLDQGKIESTSTLRRIPWRLFDSFKDGFHGWLEELERYESVVRLIMQGWEPVGYVDVKADSDSYGDQIHPDPFDIDLQVDQATLSESLQRCQKIRLALDLERFWGGRMFSWRDAYFEKNLTPELISCASNELMDRHGLRPREGVASLAALIPEHGREIHFKVNPGAIYFEYARSSRRT
MSDTPRLTSCDSTLFEGDELAVPSTSRLPNLEFALLIMDTLKKKLPPPSNLRVSTFTSCQSENSEVLADFLFEDPKKSGSSNHNLNTLLPTMSLDTFDSDLAINLNWDQDTLNLTWALEQFEALVSSNSLATSASSSVYHNSVFFSPVPTSTGTNELPKFALSPAPASSIMNPLLLSPLPGSDTLSSAAGIRSPVQPSYASISEKPLFLAPPGMQPWLSPSPQPSRMLLDTGSLDTFLSNASFNPHMPHDFSHDQQFNYQQQQMQYPGPSSSAPSFNFIPPTPINPLPPTLPHPQNMFSQQLQLPPFSQQQQQQQQQQQHLQNFNQPFLDSQPSLQLHQPQRRSSTPGTPGSSTSTSILHKTANNQINDTRSRDFQCSICLNKFLRKQDLKRHEATHGGKEFVCPIGCGAAFARNDALGRHVKTGRCARKLGK
MGTSHRATTTTERATGTGRPSEGPEGPSGAGTEKSSACVDNGAPRCAGVNHAYRRASLLPAQGERPAPSPGSAPADGSGSQHGQPGDKDFVPRRPMTRSCTRMTPVSLVSETDLSRARPRVAVRRKRMADKSTSTSDPVTEDDHVQMMFIHATRCRVLKQLRVESAPIVNRFDFAQCKKLDMEQVLDQILRMPPERNRIIYMRPMHQIDSVGLERQLFQGPYPYHIAIVHEFSNPPNIRNKVRIRSWMDTIANISQELIKYEFFPEASRTEEDVKKGGGRGPYSMITDFPWLRTLRAADPNSYARYDFEDDESTTIYAPRRKGQLSADICMETIGEEISERRQSKRGVFQRVVVLFLHHCDTPGEPVDDDYI
TTVGMADCILTGPDASQILPNFKKDVSVLEILHEAFKRNGDSIAQIETDTGRSVTFAEMLQRIRTIAANLTKHGVTEGDTVVLCLDKCIEAYTLFIAVIFTGATAALWGTFFTKDDFQHHLKICRPKLIFCSEEIQDRMHLSEVPEAPTVFRDGGAFSDVSGEDFEVHKVKDIKRHFPLIAFTSGTTGISKPVLIPNVTFQRYGYSFPSNCTQNFYVIAPFCWISSTITFVSSIIENYTMLLSVPNPVMQLQLTQEYKADTWMITPTMMLALLRVPNLADYDLSSMKTIIVGGGSLYLPVKKMFLQTLFNNKVNLHLLYGSTEAGVFTSFHDVDPDVVRNSSSSGKLSAGSQMIVVSENGDRVGPGIEGELRVKTDCMMEKYPSYPEATSKAFDSDGWFRTGDLGYYDEEGFIYPLCRISELIKYKDNKLCVTQVEGLLVSYPAVFEAVVIGKPHPKDIEHPAAFIVLKPNVEISPAEIQNFIDDRVSDNYKLRGGIHFIDVVPKLPNGKVIKHMLMKKFQE
MAASPKGSINVWPELATGVVPVVAVDPTITVPALEAWDLESLEAELDTDANAANRLIQVIITDSSGVEISRGPIDGTAIIADKVVNYHLAQFGTIPADTATDHYDQIATAPKIRVPPGGIIKFVTAALQAGDQFTALKVMATKYSLPED
MGAVVPEPATVALVTCGVGALLIAFRRRRGIGKKRRVSGKILTL
MFSLSTYYDMALEKWLNNHPGRVVTLFQISQIFGEAYLKACTPMNAIKGFKKCGIYPLDSDIFTDVDFVAAETTDQAEDHATHAQKNTNMTKVDGEPFDQSSLNPGNTSAEPTVLTGSASTSSVEETDLPDDLFQPGCSLLTSCHVTDFGSVSPADVKPIPKMKGPRAVTKRRRLGATVLTSSPYKNSLVDEKRKRDEKEKRQQMKMQGRHKKAVRSLAKMNIKKRTQSLDDESSDPEDGNNSNPECIYCSDTYLRSAGGEGWIKCQMCQHWAHEQCAGIDDDDDIFVCDLCRTCKTPKSQRNLNL
FEEKFVDTSEDLEKLCNDGSLMFQQVPMVEIDGMNLVQSRAILNYIAAKYNLYGKDIEERALYGIFPALSSTPHTGADGGDLXXXXXXXXXXXXXXXFGSTLRLCSCALQALKTRISNVPTVKKFLQPGSQRKPPADEKLVEKARKIFKF
MTAPTLDEEVLVDPVGVIVRLVGNVERHLDADRIRGIVCNLVRTRAGRRNLAQALHEDPSLLRTGKPPAPFRVAKLLMALHEAGAQDTAMPHCGECGRPRPYVGSRSGGRWGCSPCFDTPAVCAGCHQRRRVVSRDRHGQPRCAKCPDTDGDPLKELAQLITGLDPALDAGTIRTALERATKRPAGQRRLAWAVLDRPELLTGAGHEAPTPAMLRFIGELVAGGATTVTRPACPRCHGVKALSKLLDGQRICRACFARHAAVSCARCGAVREPATRDAAGQPLCPNCLIRDPVNLEVCVGCGRRQPVAVRLVDGARCPSCRPKEIRECGHCGRTAPCELSRATGQPWCDRCQQRWVTCSGCSTVSQARGGTWEEPLCAKCTNPDSGFWARCPVCVITWQLSPRPCQRCILDQKIRDLLGDSTGTIGPELAPFHAALTSAERPDVALAWISRERARDLLERIGRDERPVTHEVLDELPPGKVLAHLRSVLVATGTLPPRDERLVALEKWITETVQVHTDPAERRILHGYAVWHHLRRLRRRIGDQHTTQLQDMNVRCHVTAADNFLTWLAAEGLTLGTCTQADLERWMTDPAFTYRDETGHFVRWSVQHRHAHGLTYGTVRWTGPQGTIDSEKCWADARRLLNDDALPTADRAAGLLLILYAQKIATISQLTVDDVDITGETVAISFGTSPVILPMPLAALVRELVATRRGKAKIGTPDDVPWLFPGGQPGRPLSDSQIGQRLHKIGIRPQQDRSTALFTLAAELPAAILARMLGVHIQVAVQWQKASGGDWAAYAADVSQRASQQTAPPADNRKQHSR
MNGWEYEFGASGFDALHFIQEHPGEEFEEMKRMSPELWWIVLNSRCPHCGMDGIKVGGTFRVPKQAGDKGWKEVRRSSDQGEQFSYCLKAEEEKELKREARRVREREGGKEDWLWEKRNRMQALGLLKRSSLEPDMVGVLI
MNDEQVVYLRSLRAVKRVSCNRIRYTEEFKGHCMERYFNGDSPAVIFREAGLDSAIIGYKRIERCIARWRKEGYGIAGKGGTMTDGEADDGIYKSESARKTTSGGDLRDTLIAQQVHRIDELERELKRMRKRCHLLEVRLEEERHVTVGRDSVETRRA
MSAFLAEAGRAALRAEQAEEQPPFELVTYGSEGSQAGVNLDQPSALLAAEDEARYGK
MKNFIFTGSTLFFLVVFVKLPHLLWPTGVILAWFSEKGLVMYKDFLNFYFPLSTYFIVPFMKLSNWNLRVEPFISLFIALASTGVIWQIAKKYFTVWGTFISLSFFTLLFYFFTTAIQYTVEATIGLVVAFLIYQIFTYFTKQAALNKSSLFLNGLLISVGELFDQVATPLLGVIYLGFIYLIVKGKFTKKEKTSGVLLLTLGLLLPFILTGLYFWHLQALPDFLDNNIFYYLNYATLANSQTHSAKLPWEEIFIFYTPLLISLPLVLKIKKEKETLYFLIFGAISTIPTIIFSVFHPHHFLYALPLLAILGGLTIDYTFKLKNRSLKIFLIIVWLIFAQQMIFQVLPWYWQKFQSSKGMVLVNDLTTQDSMYPPVVWVKDNTSQDATLLVTGDTLFYLKADRLPANKYFTVLPWHYKPLDKTISTIQSNRPDYWVIAPSYLKRLSQSEEWNSPEITQLIQDELQRCYSKKIEFPDWQIWQKICL
HLHSTSHRVTIPPLIDRLEGVNRMVRERYSIPYFVAPDPTSVIECLPTCTSESNPPRYFPISQGEYNRIRSMMHYLNPAAEAGGGIAY
MTTTTNTGGTWDVYFDDRRYRNLLGDFEDLITETKSLIRQGYKTDVIKSKMDNKVLSLQSKFKELGQILLDEHEEKSRNPTKRERIFI
MNNQKIIDFELSNDSTTPGWLTSVIDAPNKRDLVSVAKKDIERMTATTIRTTRKTTTMATTTATTTATHGKRRRTEALYERNLTKYSLDSKPRIKLQRKDIEKNLTIISSDLTTTTTFRTIVEKIKTTTKANDSKRKATTTTSTLSPLITNLNVSIQIDSNECINAIKRVDNRI
TSKSCVKVCLFTSTSVVSLKYYSLLTFFLFPFRQCAPYSSFCFCSTTRLMSLDKNVVVRSHARVSSLTLKNVQFTYAGQYLCTASNSIGQDNRHMYLEVRCNRLCSGLRSDIPSGVPWLFGLSFTPVFVSFSVLQITPDSQNDFGSYNCTATNVMGAESKEFILIQAEVPSSPEIQQVEPFSSTAVVEFEEPVSMGGVPIIKYRVEWRSLGQEWSGREYDAEDGEITIVDLKPETTYEVKMSAINGKGEGESSTASTFKTQPVREPSAPKLEAKAQGGNSLKVTWIKQDDGGSPIKHYLVRYKATHVSDWKPEIRLPHGSEYVLLSSLDWNTEYEVRVVAENQQGKSKPGTLSFRTAVEPTTIPDAVDGGSGLGTGAIVGILIVVFFLLLVGVDVTCYFLNKCGLLMCIAVNFCGKAGPGAKSKDIEEGKAAFTKDESKEPIVEVRTEEENTPNQEGGGPTEPNETTPLTEPDGKTVKDDNTKGETEVKNATAEVKTVPNEAPQTNGNESKA
MQKLDELLAIFMRVKAHPSLQNAAWTILEKVVAGFGVLLVTAYVARYIGPSLVGQLTMAAAVFQVVLVAAQLGSDSVLFKRVSRNAVSGARLLSATTGLRLGVYLAISVPVLAFFLDGQGRVESVFFVATCVAGFFAAWDVYSIYNNARLWSRVNAIANLIGLVCGLGSRYIIGAFKIDPVFLAFPIVVTAFVPFLIRYWIFRGEAGAKISSFHVRRYSRHLLGAGGAVMISAVAVALYTKIGQFVVFAVEGDRVMGVYSAALTIATSWAFVNVALINSFFPQIFAERDENRALNMAARLGRYIAIICICVTLLFYLFGEFAISLLFGPDFSGAYAPGVVLCLSVMFSALGTISSRYVVRLSGYRYLLVKTLAVLCFSLLVYYPLVHFFGGLGGAIGVLLVELVSLTLMNYFFREGIVLRLHRRIVGV
MPHRTAIKELKADFGLDAFSMRDFWATEAALGFAMPAYKLMSLFRQAVLRSRIQHMLSTLHGLVQAIGGL
MEVEQDVPASDVSLLYHEYRYEPLIAEDAVRILVLEPADHLESPLCCSITQQRRDSPSRSVSGCDYSAVSYTWGDCELSHQLLVRTDAQSWSCLRITANVDSLLRHFRVPHKARLLWIDAICLNQKDETEKTQQIPLMGRIYNDAKRTRIWLGNDEVDKAQHAFSVIRDIGREDQRKVTREELECLAEFFSRPWFTRRWVIQEAVFSHDAVFHCGTHKLSLSRVMAALRKINGTGDHELVGCGARMLLTSMGSRHVEKKGLLSLLWDFHDSECSDARDRIAAVYGLVTDGVRPLLHYGSMDWKQIYMDMASYFIQNSPSVTFTRDAASVHNVLLHLCHFGPVQSQTDADCPSWVPDWSRRRKSLLPFVLDEPSLYSCEVGRGTYNVVQLNHQTFRILWATPEPPDSAKQERMRMVRSLQVNSPACMQTEVIGEKLRLNYHPLIFFEQCGKVNMVIRCSTGPEFWRQVVEALDIPTILRHRSAELDVYHFYQDSLLGLLAQILVERDREAGETSKGLLSYSEEILEELHAHRDDPEGLSASNSTNLQEISTSLRHVSIVSIQASTRSYWAIGPSVAQVGDWMVPLLLPQQSGFVPMICLRTIDPEGEEIKELQSVSHATGIGERCLRFLGGSVAGVSCLHVKARFVGSGLHCTGRSVDFDRNDYDIMLEIILSIMEAARVEDLPGPVVFDIV
MTTAGDDRKVFENINLTIYESSGRVYVRIEQDVKNGSAISGEGKCLVEALRALADAIVWAHTNAPIWKHPKVIGERQE
MHAAARLTLPVTVLALLAGCSNISFKQGAGGGELKRAEAACLSTTSERSAFAQCMEAKGWWTRSMDELSEIGLVMVEDAEAGATDAGVTLGAPPDAPNRGVPPAAARPAPPKKDPLDRLRIAMWAKAGAGGAELEAARSQCLTTLGEAHHSDPAAGTVTRGLYECLRAKGWAGLTLR
MSPIHLRTHRAALARGVAPSPKPVFNTRSLGRTTVPMAASSESSDLAKLAEAATAKGKEYAAKAQEAIKSVDVDKIKQQVSGLSATNLRAALDSALALYGKYDGDGDGKLEIGEAVALLNSENVTAAVEKLTGQPHTQRTEADIKKWFNRADFDKSSTLSKREFAVLYTGLIVDKAKGGLQGFATAAISALDSDNDGIINSTELKALLASGPLAVVVNVIPDGKEVNYRELLSKLPGGAK
MSSRRYPLAEYYEDRERDFYRNGPRSERGFEEFEEEIIRPRPPPPPTAEYAGDPRRSAPDFLREDYGRSAAGPMVLRARSPSPPPPSRAPTHRSRAPTQYDREEIIIRERSRDRGPRRSRSFEEDEIVFRRGRRDNPAPPPPPPPPPPPVEEEIKIDIRETSRAPTARSRPPTEVDREEFAFRRSATARPREVDREEVFFRRGSVDARPRVRVRPRSPEDEEEIIIRHEEKKRGPPPSPPQTVHRDEVIIRNRSRSRSRDTRISRRERSMPPPRLIARETEEFVIRRRKPSPSPSPPPPPPPPPEPERERIIIRRIERSPSPPPKEPTPPPPEPEPEPEPPALEPIYRPPIHEVIHREIITHHRHIDHGKPKPVPTKTESIHSWMVGVERAKSPTPPPPEPEPTPPPPPPPEPPKDETLEIEIRRR
METSKTTISRKGSNCAICSYSWIERSPHCLPCQVAHIFCADCLVLFSKKNKLKTGDEFHCPVCRKAHIWPENGVNGFSRLPIFQDIETCEEEISTDGYSLLLPQGENGKNMEEIVLKGLERIACNKENLIESINDEESKLLLLIKKHKILLEDQIRSFFSHKENQIGELLQQIRMLDSFESGVGDDFQSETDEIRVKLKNLIDSSLGYDCFFRSFMNTDKFQFGNFSTVEDKPVGSFYIPREYQTLTCTSKSLYVLMEEKKMEDYFYKIREYGIRLQNEPADIAEWKGERADYQMAAVGRAVYVMKINGVKCLKVKKANKQLEIFFEVKNGYECKRIVSFKSGIICNGKKDNQNDIVKISDPLGVDWVATMDDKMETVEQMQVSRELLFILGSNKTVYIVLMENGNKFQEIQLSHTEVRRPLSELSNLDSLKLTIDCALFEKDSIIVLARIIKTAEKNEDFHILTFERIFTKGTVLGYFLTQTGVKFLIGQQHASNTLKIVFPKDDYEKLVKGGNGNELRKVILRGIEKVFEEKQRTRSDVNIDIEKAIEFIQKQKDFLEDRIITFYDEKESQLAELLQHIKLLESFETTIGDAFGSNVKDMWQKLTNSIDASLSRSFCIDYCYENLKFYRLIEKDAKLDESFCLNENYQSVALTEEKIYVLEEDVLSDYYLYKITEYDMDGLKKPRVIVKWKCDLEQNYDMKVTGDTLYIIEVNGFEIYKIKDFSRMIYYRCKNDKERLHDMDVTSKEVIITRYASEYNIVEMLDGAFQFKWTYRFDLDDIGDIKEMKVANDKVFLSGSRGIIRVLDVKNGKLVGDISRNKKRRNFPSSFLTDFHYFPHYILCSFETNYLTTIVAQPPASYNGIDDNDKYIDDIQLYTFPRNIFNEQLVGHFRTPTGVKFAFCHRKSRHSDLCFHHMFTPSLES
MTDPSFPAEILHRIIGLAAKEDDNEYSTMKTCALVCYGLSKFCQQLMFEDVQVNTTRGDIANWNHPFLRLSTAGFVKALSGHFGLSLGKAIWKLSFVISQSDYDDALALQQTLKAINHLEYLMVTQTGLKIPIEMRKLDAIGNTFKHLFELPTLYAMCISDFPVFSVGPGLKEIDLRASLPQPWEVFFKHPTTFSEHRPELESMTFHGHAIPALKRLLSSRRTDGTPVVGLSNLKRVAVHTLTNATLFECFSLASGSSVGLQEVAFMSQEIDENFLLYGGTHIYTAIEPSLKTLKRLTLDLPFTGHKGDSIFIDFGSRRGAARRQVTEKEVQETEQQQERSIYPGVF
MMLIPGFSEADWKDFLFNPKRLEKMQEGASIIRSFLQLVLSNGLLTGNVLAEENLNELSTRLVDTQIPSASRKVKSLAKLQLDSDSLSLIRFELTNLGNLAHLLQNFNKLSLMSKLNVWQYCGGIIPKEKILNQPGFIDKWTVRYVNISREDSLVARKTWFHGFNSRFWVYTIDYSFGNQPLPPGYKIGKVAEFVARFYPGLIPGRILETNNFSNTFPPVKLELDFNSITMMNGWIAKAFNNDPLLNEFVVQLVDVRMMVNQEQFYIVDNDRKWIEISTVDTSSFFNKDILWAMYAEYGGRSQSISLMFSKGRFYFLN
MALSDTLKRDLPTFVKIVALRYLTHKYAKPAKLPIGFLKRSLNIIFTLSDRI
MPAPLFGSPAYDNESNAWKLMTTDLVTVIIAFLLVLGRLYTKCFITKYPGWEDCCSVLALLIAIARVVGDFLGIYRYDLGRHINIVPESSLNPLVILVAVDGLLYVVSITIAKFAILIFLYRIFKVSPKFRYTSWAVGAIMAVWALITVLLVCFSCRPLAASFDYKLRFAPTTVCKPESYDVENIFGFCNIFVDFMLLLVPMPLLWTLHMTRAKKIGVSVIFANGAVITAIAIVRQYILYTSPDAADPSWDIVQIKIWMTIEVNLAIICGCLPVLQPLFRKLPLLLPFLPSHLRSRLTGGHSAMEQSSWPKKLSGPRHAGGPDLENGRKAPWREPDELSVSGSSVQSIFHQHQRQQYPMVQSALEPVAVRNDGLGGAGSLRGVAL
MIKKIMIMIVVLFFVNNIYASNVLTPNATSVTIPQQNASAPTESPRFEKCLQWKQVCYTNSYCFQRERLRNGCYRCVKPGRYGFHVYPRQVDRTTCNQDTADYLINMGYVCYHSYELSPCLQSREKEFCDSQCVKYAN
TTRKVTLDCLKLKTLQRILRFRSIGLQTPLSTHCFILKYAEEYFSNSQTNGSQWLAINSGRSILVGFFLHFILRKYK
ECQCCFEFHPAPRMFQCPGAHSFCDSCIQGHAGAQLGQQKLDIRCMYTGDIECQLPFEHSILEGCLPPALFSMYQRLRQQKDVKEANIEGLEECPFCDFACIVDVPLHEASTFACQNEQRCGVVSCRICRAKDHPGRTCASLGDDKGLKGRLAIEEAMTKALMRTCPRCSSPFIKDDGCNKMTCPQCGATSCYVCREAIANYDHFYQ
MKLITSGIVTNQYGHVLLIQRDDSLTWAPPGGILEHGELPTEGVVREVREETGLMVTPVRLVALDFAKGKNDTLLLMTFRCIQRGGKIKTSGESLRVGWVKGNDLPRSMLSYHRQRVERALAHAGGPPVWHVEENGGNRLHRLKRALTSIGKRKQQPGDTNASAPDWEIETAVRIQNSKNETLWVKSGQQWVLPGALSNGKQPPWATAVQATQSQTGHTPTLTTLAGVYAGEKKLTLVFLGAVPAAAIAQDDNVKFSFEAPDNADSVQAGWIRSAVTAEDVTIFL
MQSEVLANYNYKILDIQNIKFKDTDKQRAVFKIETNKGTKCLKKVYYQIDDLLFIYSIIEWLNVRGVKCPRLLSTKNGRKFVNYQNNLFILTDWIEGRKCDYDNINDIALTALNLAKIHKYSKGFVPIEGSKIRKNDSLFFESYNKHFLQLLELSNSAFIVKDKFSKIFLDHFDYNLLKAQESVYLLSQIDLTKNIGDSVSLNSICHLDYVNKNIIFTPDNQIYLIDFDKTQIDMPVHDISYYLRRILKREKTEWSFEIFKVAMESYENVRPLGKSEYLILLSTLMFPHKFWKISRDYYKNLKQCNKESFTTILKKIVSQEANHDLFCEKAKEYIEEKFKE
MTMAKAMSSVSHVRSVEAVDFSRAGSMWGGLGALGFGAELDSLGAESPVARGGDIYYGDLTGNVAHALYGADSSEVRVETSLRGIPAERNAQVGSTTITKGTAAALHLTHRGYLGDHHFFTNEECWYLASVPTRPARSAPGAEVVYRGDDLPFGGFTSPIAYTLVISGEPAEMRTQIEFGNGSGHTNPITTASVRAVLDAIPAVVDAEPGILVDDVGPHYRHDDRVRLP
MSEQAKFSAAQWAFDLLTADSQRTLLLQDQKKISAAALHEDVSRIRASLNQAGLGQDRIVAVACERSASSLAVILACLCENISPFLIDPRQDHDVLAKLLDAVRVHGLFCGESMKSEMFRARLPYLKWVGESESVMHASRATAPEESLEGSFLLHSSGTCGLPRALHHSGSAISWQAGALAKNLRLKPGSELWFTGSIAQPSVFSMGLCAVLSAGGTLVLDDPETKLSIAPRLSEGQRLLLLSQFTDSQTWNAEKLLALKGKVSATMTTDFSLSESFAVTVTQATDAPVWNGWSLAEVAGFLTVNPIPGVWPSESVGRPLAGAEVRGLENESTASDGLSRLFYRHAPVPQKVISLTFQGKSEHANLGNPTDDWGRVDANDFIFVDGCEKSVFYRAGFPIEARQVEAQLVSLDGIKESLVFGLPNDDVETEVATVIVPSNGKTDFASSISELSKTTPRYMLPQRLSIAESLQRTPTGKYVRHGLPTSGKPVVLQSDSKIVPPARPDESDHEMGNEDQE
MRLLSEMIRQLNLATLSLFGCALLAGPFLAAVLDNSVYYWDMLYCLSFMLAALVYTAGQRVSAHEKRQNDQGVSPIISVKWVGYLIAFGIVLAASVLFSKPQSTIATKLTYLGLGVVVPVTLFWSFRWLRKKVNGSEV
RADIVVRLAEPLRGDVDALSDLPIGLADGDYVPLKEVADLELVMGYSQVYRENGKRRVVVSA
MLELHRIARKITIHCETDGSGNAQIVVRANGTIIARHTNTGSGPFMSPYGRLGGLFRDPSLVTDPAGRQAVATLVSMSM
MTGQAISATSIVAMSYAEPNRTGGSTTVPVHELPPTLDLAVALVDAALAVGGERGITNPVRATK
MFKRSVVCAIKMRGIDFIEFKIHQRPILLIAKEGCSNCKAIEGILSGYHLNDKRPDNYEVLYIESRKDCGVIETYLWHKMMYRNRQKHSNRCLIIIKICFVGWISQLYICRCLNEMSDSPNIYYNRPGVKYPTVLMLSKYVLRCVGSTL
MKNITSKLLSGFLILAMPTVNSCSDCEDEDSKNSKKKEAINIKASDTILKN
MAIPFCAGLARSSRCAKAGVLITPFPAVTPSCGEATDLLSVEDKLAPEGPNKKLQALRLSTFTQHKSNEMAKSRSLILRNMTSIIHFLAED
TDIAGNIGSAGGALTLILDTSAPGMLANPIQLASASDSGSSNSDGRTNVSNPQLRVSLAGTNAVAG
MSIISALQVECIYRLRHTWSGLGNKDRSTYRRLEDLFSQDDNCRRQREHMNAISLPGIPYLGLYLSDLTYTNVAFPRVGGKPSVTWINKINDIIDVIAHFQQSEYNFPVDGTLNAYLCAQRYIEELQKFLEEDNYKTSLMLEPPPPTAVMESYSRCVSPSVKEDPPRKTSGFLGNLTGDGNSRGLPALTASFHGLSFRSPSSGTNASSVNGALVSPKTHRAGLSTSTKAALINSSFESDQAPPPSKVHCAQHFRQQSASFSSPSNILTGKDPTRIVSPALAAISTEPLADTPDSLPTTPICRISKRPGKIITGNQLFPECYGSDGTVDPDFEKVFLCVCICASVYFAI
MLAIKYLLLLMGWGLIALGIAIATYDFYLLWQYRRLLANQPSEKPPKPEPVRWRAGVRLAALALVLMLVSASIAVVPTGSAGVRVSQISGTLPGTLYPGVHFVKPLLEEIALYDTREMIFSTATAEEVRAGKPIEASVGKEKPQMMIGAVPAGGGAAGLHPQ
MVRNTSYEVWVHKESNGNKEPTLQIFSLQPRRGTSIGFKMSNAEELFPSEDEAPVQPEPEKRKRKSSKSASTSKKSKAEHAHRVTAAELFGSDSEDDDVTPPAPSPVHSANSEATNIMMHCQKCEIDLPKHKYRYHIMRTNLHKSNCLLKSEFNNIEIIATAFKNRIVTYRLNPTQEVEYLTPEAFLCEKQSDVLKVIEILLNKHNCIKINFELFVNFTLPETNAQQLKSFNTKYQVVYRSTDLNELYDDVVEKVKEKMIEFQHCTSGWSYFGISHLEIN
MEFKQSPWSAEQRRQSMRARAKDAESAKDQPLQMYLVPPTGDVTLEEFDALAAERLQVLRTFERAGHVGKQKFSVEWEEFILSDLKRQKLNAYYQLLSRRGDSSAAAVLSAEALRRDHISHFILRLAYCRTEELRAWFIQHEVEMFRLRFQSQRTMDPDVGKFLADNGIHLNEVEAAERDRLREPLVNGTARVTADTLELTQWYTVPFVEALDLVRGRRVFLRAGMAYIPARDLGSIVAAKFRAHLQHALAMSRRLLPEVEEDSRLKSMLNDFDKRYTGRDYSAKGDDNTVTPEMIDDLSRQSFPPCMRELHERLRANHKLFHGGRQQYGLFLKAVGMSLDNAIIFWREEFTKVMDNDKFHKQYLYNIRHNYGQEGKRASYSAMPCSKILMSGSNDSGCPFKHYDRDHLGKMLSAHGIDKTGSSEIFELTERSQPQLACQKYWELVHRTPFEGAMQHPNQYYEESRKTFGGHRAKTDRGLDRSHVIRASLPVKPSPSGAAAANTSATQAVWDEDGDVEMSEDLLQSLEATG
MSAFRLPDLGEGLVEAEIVQWHVSPGDHVVADQPLVSVETAKAVVELPSPQAGRIASTKGKIGDVVKVGDILVEYSSDEESEETGIVGDLPRAAVKASPAVRAKAAALALDLSRIRGTGPDGTITLNDLEVQQAGGQAENLVRLTPTRRSMASNMSRAHTEVVPATLTEEADVSAWPVTEDVTLKLILALVSALQSHPALGAWYDPNAQTLRLHKTINLGIAHDTPEGLFVPVLRDTGSRSAAELRRELDEMKRKVTDRTIGLSDML
MAYEFKLPDLGEGLTEGEIARWLVSEGQEIAEDDPLVEIQTDKTTVEIPSPAAGIVTSILVEEGKVVPVGTVLVVIGGAPDGERPRAAPETTQPERPARGRATPLVRKIAGELGVDLDAVAGTGPQGRITDEDVRAVAGPSEGRHEPLRGVRRVIAEHMA
MKTFHLPDLGEGLKEAEIVTWHVAEGERVVTDQPLVSVETDKAVVEVPSPRSGIISSLNAREGDLIRVGAVLVEYAEEDMHDSGTVVGSIKPGKSPEKESAANKQAAPGPEQDFPQEGLARATPGVRALARRLGVDINDVTPTGRKGNVTASDVESAGDRFATGIQGERLRGTRRSMAERMSDSHSRVVPAF
MRGLIEHHVGHRSHKGVFVTTSSFSAPAMDFVRHLSQRIILIDGAALADLMIEHGVGVRVSRAIEIKRIDLDFFDLS
MFSFNCSNNLTFSNRFLNQQSTMILHPNSVLQYLPY
MGWRPFGSDGTLAAQFGKRVAPINAYILATEPLPTGLTKSLLPDNRTYIEARRSPNYIRLSTTRLLFGGQTGHMSGAPQKVCAALVKQMTSLLPDLDGVRISHFWHGVCAAPRDLLPRVGVRNGIHFALGYCFSGNAMPPFLGEIAAKHILGRSSPPSIFEESDLPYFPRLARPEQFISGLATVNGWMDTLFDVQINHTDRMVA
MSTYPTTTGGLSLLAAVPATNTTSTNTTNTTNATSSPNNSPDGFFQTLAAVWSTALNNQANVMEQDAQAMNTSADNSPGSIVTMTADSLMFSFLAQSASTSDNSAGDALNTLARKQ
MIGVFSTQEEVGLRGAKVVAYKLKADYALALESTAAADTPGTPEHETSTCLGKGPAITIADRATISSPSLVRKLVEIAKANNIPYQFKGRMVGGTDAAMYRYSAWGIPSTTISIPARYIHSSLAVADISDIENALRLIAKFMESVSRA
MNRCINTSLSIYRGNIPPKAWRHYDLTAFLLLVPIQVPASAQGHSATMQFSDLVRAGFWTMLAVVLVIALLPNAEAPTIFASDKLNHILAFAALSSAAALAWPKVNLVIPICLLAVYGGLIEIVQWRMALGRQGDWMDFAADLAAILVGTLIGRALGSIIRRMSPEPDLGH
MDPITAKNALRSGNARRAAVLIGLAVCSIFFVMFQGGKLALMLFIFVCMLGIYLALGRWSGIAHVQAARSLANVGKDNKLAAGSPLQVKVDVTIPGLFPIPYVLFEEHIQSSKGQSYPISSTFIPDYRRRGEVYYETAPLERGVYAFKEMVFATEDIFGLFEHRGQVKSVRHVRVYPRTVDITDWMYYKQLSKGAHAHTATSRATRETTQINGVREYVYGDKLSRIHWNATARTGTWKSKEYEREAMPKLVLLLDRRKAAYPEQTVLENAVSAAASLIRYGSRQQMMMGLITAGKRAAVFPAKRGELHAESMMDHLIEVEADSAFTPMQLAEGFAGALGRGTMVALISPMQDKSVMDTLAWAARNHMSACHLLPIRRGEEEKAMQWSSVLRERGFVSYPFAQLKELSQMLGGRHS
MIQSAIQQLISRLGFTSDVSGNLFVKTFAQHKNYKLEVDLVNRRIVYAEPVKVHDKTTSHFDAPENFVVLECVNRLLEKGYEPQHIELERRWSLGRTGKSGKADVTVMGRDGQSLIVIECKTAGSEYEKEKRNTTASGGQLFSYLQQDRNTRFLCLYASELRNAAVHYENAIVQIKDRPEVTELVRTDPDTVAYETAKTKEELHRAWKENFNCYFAPNGIFDEEAQAYNPEFKPIKRKDLRPFTPEEGRQLFNAFEEILRHNNISDRSNAFNRILSLILCKIVDERKQPNEETGFQVKEATDTPESIQERLQRLYQQGMQDYLKEEFTYISEEQVDEIVSRFPRQTAQREIREIVRKLKFYSNNEFAFKEVHNESLFNENAKVLNEILALLQYKQFRYQRTDAHQYKHQKRYLGDFFELLLDAGYKQTAGQFFTPLPVARFIVKSLPLREMMQEKLAAQRPNFLPYVIDYACGSGHFLTEVMEEIQHHIDQLPPTYSDDVNQNLTHWQKADWTGEFIYGIEKDYRLARTAKVACFMNGDGEANIIFGDGLEDYTRPVKGRNYKFAPQFDAVVANPPYSIQSFKTHLGLKNSQFSLYDSLTESASEIEVLFVERTAQLLREGGVAGVVLPSSILSNTGIYTRARELMLQRFELRAIVEFGSNTFMATGTNTVTLFLTRRNDRLADDFRAVADDFILKNQFRPDDFTDTEALLAAYASRHNLSVADYRSLLSKTPTEVLLQSDLWRDYDRWFADLTETKNRRDTKKAEGKAFAKLSPDEQQQELTRLFYERVLPREHDRFLYFCLTYQQPTLIVRLPADGAEEKRFLGYEFSRRRGDEGIKLYQDAQGRHQTTLYDEEELHHPDKLNTLVWQALSGRQPTIPDALRPHANVVDLADCLDVTRVEFEKQIGLATQTTNSALYWTFVKQGIPLTTLNDIKNAAKTW
MKKFLDEEVTYVRDDEVETAFRFYKNDPVETQKAIKAMFRRLKFFTNNDFAFIDVHNERLFQQNSVVLLKIVKMLQDIRLKTNEENQFLGDMFEGFLDQGVKQSEGQFFTPMPIVKFIMNALPLDKIIATSEEIPKVIDYACGAGHFLNEYASSIRPIIENDPAKNLAEYYDSITGIEKEYRLSKVAKVSAFMYGQDDINIIYADALGGNEDIKNNTYSILVANPPYSVKGFLDTLKPSERRQFELLNYIDEKSYTVNNSIETFFIERAKQLLKTDGVAAIIVPSSILTKGKASSTSSSKNIYVATREILLKYFDIVAIAEFGSGTFGKTGTNTVTLFLRRKTTDAPSEADHYAERVEHWFNPIQNSNASTSTSVDTSTVFDDNHFIDDYCAHLELDADNYKTLLVGAPNEALLNSEYFASYVKEFDKWSEIKNLKKRQSFKALSTDEQKAVLDMRLVEYLKTAEKDKMYYFVLASLNPQPVLIIKSPSKTKEMKAFLGYEWSSAKGNEGIKYLGGTKLPEEQPVDEDSGEVALEEEDKRVLSNLYNLENINTPLYNPKDKQDANKINHLISQNFQKEAISISEELKPFVTKARLMDMLDFTVVDFNKAISLTLKEDIKIDTKWDLVRISDIAETSSGGTPKSDNHQFYDGGTIPWLNSGEVKNGLITGTKNYITEEGLKNSSAKIFPIDTVLVAMYGATAGQVGILKFTSSTNQAVCGMITNEKCLPMYLFYFLSTQLENLLKLRIGVARLNLSQGIIQNSNLPLPPIDVQKQIIDECQIVDHEVEKAKDSIKDISQLIEKGINEYYRKSYPIKKLNDFALFNPSKSEVSSRQDNLEVSFIEMSSVNEKGYIDYKEDRLLKDVKQGSYKYFAENDIIIAKITPCMENGKCAIVTGLTNNIGFGSSEFHVIRVDSEISSSNYVFAFLNRETIRQEAEKHVTGSSGHRRVPASFYQNLEIPLPSLDEQIELVNTVSELQDRISQAQALIDASVGRKYVIIQSYL
MARRFHDLKLPEGYFTHILIDEASQMLECEALMALGLAGPNARVVLAGDHMQMGPKLFSVEDHQRSNHTLLNRLFHYYQGQKCDAAFNSRIIFSENYRSTKEIVEFISTHFYGERNMIKVTGNIPAPVYQRGKLVRESYNRGYVIPFHKPTRHITIKGRANLGKTFTGDEVVLQKERVISITKEIESARVLVCFLEDEDHSKPRHSEDKFVKRMMIPLKKSEPKVRILISKTRRNFIPIWEQIDGQWVIVGLIGWKENCSFPLGKVIKILPVGKSLDDELKILNEEFKVAPSTYNLDRAFLLADEVGTQREDLRKVMTFTVDSKDTRDLDDAISVREIGDQYELGIHIADVASFVSPGSKLDKDAKQKGATYYCGNRKPIYMFPENLSTEHFSLLPNEDRKVVSLMFKVSKQTNEIIGKHKFQLSVINSNEQLSYDKAGEWITERYGVRPNFSDIKDCVTMAYCFAKSQRKIRLNKDWAYAQPDAKRFPGNRKAQLMIEELSVLFNALVSETLIGSEQTQYCTPLRCQAKPMWKNIQAAARSNDTDNMVDLVAADDIHPLLQPVVNKFRRCCSKTYMICSSSSPKENIGHYSLNVNSYTQASSPIRRYMDIVLQRLLHSVISNENVYYTPTEITTLCSQFEDKIRVAKEYEIKAEEISYAVSRAKQSASMNIFTEFLPIMYKDLKLEDQPFYDEKNQLGVNIVYYFFEFNSKNQRKFVDPQDEKKKQVILYCGPSNKSVDVVAGKLLCVFDKISSTFSCYDNLCCVMSFTQSTY
MKNKNCLSAWKKKKHGSHANDEDELVIDKSVQETYKDEIVTNLVDASTEQNSITELECKVPSCDTVLNVNATGTAIKKITDLTEVVLSKKISLNELDMSTISKYCADKFKYISSDNSAIPKIIEKLFSTEIKAGDEKLLRLEKDNDGSIEKLELMDITSPLESAACSKRSTDRIFPLSDESRRSATCNDSPKDPISTESALNENKEITTTNNPAFAKENPVKELPTLKTGIWFFDNINDYLDLWNDVVTGMNNNNLFKLLNLSALLRYFGDPAGNILLDKVCKSNMLPSDEPQKKSWKSILEKDDIEPTPTEMVPLPTFSNKTKHDRCTITARNDDKVNAEHYFKLYWESTEKWMMNSFGPNMTAISKLYVSTRQWFLRLTRPAQVMFIRLSNVFCTIPSSPRGLTFD
MFRFSASQMNLMRDCPRCFWLAHRKHVKRPRGIFSGLPGAIDRILQSITATHAGKSKPSWLLPDIEGIIRAGAKKMTTKDETLKYILTGIVDDIIVTDSGNYFIIDYKTAAKPYDPEKAQYYYGLQMDCLAYLCEANNIIPVKGAYLVFFTPSSSRQPPNNNSFPFKFSITHIKLSVDIQHAKEICAKAAKLCMQEHIPPAASDCEYCKFKDQTI
MRIVIDIDGDQVRVHRVIGDALPPSDVIEKATALNAESAGAAMLPGGVAALGAVGAEPSDAGHAPSSPRGAGGAAKSMAARRGSRGRSVSKSRTSRRTRR
MKVETSQKKMQLHTPNEFMIWTYHNVIDKYPIGWSSCDIFKRYEKESKTNRLLENTRDEFNKIIRPLYVKFIDDYFYDKEDCLDVRQRWEEIHHIHPLVYGGTNSLYNLIHLSCFNHRLLHNNPREQFELENHKALCYLGSLYSAPNLSNLFKKYDFHRYKYNETILKAAS
MMFGVLLIYFALLLLILQIMPKVKTNRVNYPEGWELIEPTLRELQAKMREAENDPHDGKRKCEALWPIFKIAHQKSRYIFDLYHRRKEISKELFEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKHLREEKVIECVHCGCKGCASGD
MDMAPGSTRATGICLLYVDDDPTLLETGKLFLERSSEITVTTAGSAPEALRLLCTKTFDAVVSGYQMPGMDGIEFLRQIRKDYGDLPFIVFTGKGREEVAVEALNCGADFYLQKGGNPQSRFAELSHEIHHAVSRRRAKRALQESEQRYRDIVEDQTELICRFLPDGTHVYANEAYCRYFGQDRGAIIGSRFMPEIHPDDRRAVARVFTSLTPKNPVVLVEHRIVMPDGGIRWMRWSDRAIFGEEGICREYQSVGRDITDRKQAEEELAASRALLNATLDSIPDIIGIQKPDHTIIRYNRAGYEFLHLSPEEVSGRRCYELIGRSSPCEECATEEALRTKRPEQAERYLPKYGIHLDCRANPVLSEDGEIVFIVEQLRDITDKKRAEEELRESEAFNRSLIENLPDYIVIYGSGGRLLYMNSSAAHALGYSADEVIGRPVISFIAEESRDNVASMITARREGGDVPAYEIEILTREGDRRAVIVKGTPIQYRDSPATLLVVTDITDRKRTEEALKKSRQRLELALEAGEHGFWDWDLETNEVYFSPRCYTMLGYEPGAFPPHYQSWLDLLHPDDLREVVPRISRCIQAMQPYGEAFRMRCRDGSWKWISSRGKIFRKDGSGVLCRVVGVHVDIDERRRADAALLESEAKYRLLADRMNDIIWMLDADLRTTYVSPSVEAMLGFTPEERLNQEISEQLTPASMLTVQDVLARERALEQGGRADPGRSVALELEYCRKDGSTRWLESLISGIRNDQGRLVGFHGVSRDITDRRRAEVSLQIANKKLQLLASITRHDILNRMMVLQGYLELMQESLNQPVQREYLRQIKKAATAIQRQIEFTREYEQLGHALPIWQSVSGVVAKACRGRLPVRCTCKRLFIYADPMLEAVFANLMDNTLRHGEGAAEVRVYCRASEDGVTIVWEDDGAGVPADQKERVFDRGFGRNTGFGLFLCREILSITGICIIETGTYGQGARFEMAVPEGQYSFGERSADAGNIMQMKERLGSPDG
MYSILYVDDEESLLEIGKLFLEKDGTMAVEILTSAKEALDRIASAPFDAIISDYQMPGMDGIGFLKAVRSGFPDLPFILFTGRGREEVVIQAINNGADFYIQKGGDPRSQFAELAHKVRMAVERRRAEQALQRETSFTQAIFDSVPGILYLYDSDGKLIRWNRNLETITGYSGAELGSRHGLDWFPDPADKQTIRHALDIAKREGQASVEAHLATRSGTRVPFFLTLRRLDIGNRVYYTGIGVDITERHRAEKALHASEEKFRGISERSSDLIYVEDEQGMITYASPSITRILGYTQEEVTGVAGDMFVMDEDQKKVRSGRDVKRQGGSLENFEIRVKRKDGSIAVLDLQAIPVMKDGIFSGLQMIGRDVTGRKKAEKALQESEERYRILSEHSQDGVFMEQDGAVVFCNRAFATITGYAPEELPGKPIIEFIAPEDLPRIMDQYARSMAGEPIPEHMEFALVHADGKTRIYITMSVGMGTCRDRPASTGTIRDVTRDRSQVQALKESEELYHTLSDSLPDYVIVHRGGTILYANRLAAGITGISQEDLAGHSIFEFIAPESRDLVLKKIQQRVEGKTTGWYEVAVQPREGRTSTALVHTTEIPYRGSPAILIVMSDITAHKQMEATLRESEERFRILTEHSQDTIMLFDRELRHLYVNPVVVKGLGIPHTEFIGKTHREMGFPEHLVRLWEDSIRKVLDSNVPVEVEFQIPGGIWVDWQLVPVHGPDGSVDQVLASARVITKLKLVEETLRRASRQVNLLNAITRHDILNQITVILAYLSLAEKKSPGAEMEGFLRKLKSAAETIRDQIEFTRIYEDIGSQEPQWQEVSATIVQHPVPDGITPVADLLPVEVFADPMLGKVFFALLDNAARHGVSVSTIRVSGQEVPDGYMIRVEDNGTGIADTEKKKIFERGYGKNTGLGLFLVREILAITGITIRETGKAGTGACFEITVPKGAYRFPDRAA
MSLLSRRLSPGVLASFLGGKLQKQAWVDQSGCASMCREGLGPQPPAGCAVIVTPPPPPPAPAAPVVTFLSWAPRQSQRDRARDFTEETSHRQLRPELTVWLSRPPPTGTADPDRRCASRGTEDGHADGQTGRPAPVFTEASRTEQTDKRVQWRRASEPSPPTDIVSGPA
MAMATSRCQGRRIAASFFSPITIRHSLASSEESAFSGVRSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAIQTKAENSRGLWQPFTALLGDLPSVEVKKNVAVTISSDKGLCGGINSTAVKISRGLHKLNFGGPETECKYVILGEKAKAQLIRDSKKDIELSITELQKNPLNYSQVAMLADDILKNVEYDALRIVYSKFQSVVSFIPSVSTVLSPEVVEREAEAGGKLAELDLYEVEGGEAKSEVLQNLTEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGEMLDRLTLTYNRFEVNGKRLVYLDNAATSQKPAAVVDALRNYYELYNSNVHRGIHYLSAKATDEYELARQKVSNFINASESREIVFTRNATEAINLVAYSWGLSNLKEGDEVILTIAEHHSAIVPWQFVAQKTGAVLKFVNLGEDEVPDVGKLREMISKRAKLVVVHHVSNVLASVLPIDQVVSWAHDVGAKVLVDACQSVPHMVVDVRSLDTDFLVASSHKMCGPTGIGFLYGKSELLSSMPPFLGGGEMIADVYLDHSTYAELPSKFEAGTPAIGEAIGLGTAIDYLSAIGMQKIHEYEVELANYLYDRLSSVPSVRVYGPKPSRSVTRAALCSFNVEDVHPTDIATFLDQQHGVAIRSGHHCAQPLHRYLGVNSSARASLHFYNTKEDVDDFIISLYDTINFFASFK
MVNAQAKEGVFDVDAIHRQFPILKQQINGYPLVYLDNASSCQKPQAVIDAITKCYSEYYANAHRGVHTLSQRTTTALEQVREQIRVFLNAKSVDEIIFTQGTTAAINLVAASWGKKYLHPGDEILISALEHHSNIVPWQQLCRESGARLKILPIDKNGVLQTELLEQLLSHHTRILALSHVSNVLGIINPVMAIIQAVRAYDKRHRDKKEETIILIDGAQAVPHIAIDVQELDSDFYVFSGHKLYGPTGIGVLYGRSNILRNMPPWQYGGGMVANVSFDQSTFVTPPRCFEAGTANIAGIIGLGAAITWLQELGINAIARHGQVLLDYATQQLSLLPSIHILGQSPIKAAVVSFIVDGIPAKVVGLALDKSGIAVQVGRHCAQPLMTFFGVQETVRISFAVYNTLQDIDLFISALNEIVKKY
MNSIEKHIDEIRNDFPILSLKDFAYLDNAATSQKPIQVIEKINLIYKTLNANVHRGIYKIAENITEEYEQSRKEISSFINASENEIIFTKNTTDSINLVAYSLLQKLKKGDKVVTTFLEHHSNLLPWRKISEIAGLKLELVKVTPEGLIDEEDYEKKVQDAKVVAFTHVSNVAGTIVEAKQLVKKAKESGALVLIDGAQAAPHLKVDVRELGTDFYAFSGHKMLGPTGIGILYGRKELLEDLEPPFTGGEMVKEVHKEGQSWNDIPWKFEPGTPNYVGAIGLAEAVKYIKKIGIDEIAHYEKKLAEYLLEAVEKIKGLRYVGPQKNRAALVAFETKGIHPHDVAAFLDMKGIAVRSGWHCAQPLQEELGFLNGTTRASLYFYNTFSEIDKLKVALEELVKMV
MRFDMDSVRADFPLIQGSDVVYLDNAATTQKPTIVLDALHTYYETYNANVHRAAHSLSDKATEAFERAREILAHRINARHAHEVIWTRGTTESINLVANCYAQSRLKAGDEVLISEMEHHSNIVPWQIACAQTGASLKAVRVQPDGVLDLDNFAALLSDATRIVAIAHVSNALGTINPIEHITSLAHSHDADVLIDGAQAAAHLNIDVQALGCDFYAFSGHKVYAPTGIGILXXRNGTPLQYRAMADRLRSDRRIP
ESLNLISYAYGLSYLKPGDHILITRMEHHSNCVNWQFVAEKTGAELIYIDIKDNDYTLDMADYEAKLSNKTKIVAFSAASNVLGTMPDSKKIIELAHAVGAITVVDAAQLALHAKIDVKALNCDFLAFSGHKMLAPFGIGVLYGKKELLSEMPPFLYGGEMIEYVEDYSSTFAELPYKFESGTQDVGGMVGLASAIEYINKIGLPTIADYENALTSYTKEKLEKLDYIEIYHAKQEMTAPVIAFNVKDAHPHDVATILDHYGVAVRAGHHCTQPLHRRLGQNATNRVSFAFYNTKEEVDTFIDALAEVRTIMHLD
MLSSRRWTISILQRLLRPSTASSQCRLFTLSLLSLLLDPAAVDDVRSDLFKRHSDYQIQIHDRSIKIDFVWSPFESNISSFIRSIEGSASSSPDVIVAGSGLWHMLHVTDPADYGGKLSEIKKEASKAGVLEHAFWLGLPELVETMLNTEEKRLNMNRTMQESYRAELGKSGMLKRDGGQFLLIDVGFLSRECGAMCTEDGMHYDQRVYDSAVQIMLNALLIESQQRV
MSVIQLSESEKKLLHHLNDQMASLNQLNDGKKN
MHWAPKNDPPNRDDEGPAPMLRPGIPLLLGTALLVAIVGCSDPPLPTQLPTSRIQHPEGFSVPRFPDWTPDADLQSPRILSYYQRKPAGRSDALFEVIRLPGPPDDSFTSLDEYEQVGDAYFRKQVHRSGTFDDPPLVEFDLIQQVNEVWFMIMLKTSIDLDNGPAFIRLIADGIEVREPAEDAP
MPHCPQDTKDEACSQGTVPILELWQGKSAPSWFLPRWTTKQTAEKKQWDDQKPGIDAGGKRKRRLLDHWRMGEIESRPS
MNLQYRIPPAVQKELDALAEKRHRLITLPAEKAMEEILADPKSTALVQSFPEEDLYLLIQEVGPEDALPLLSLASNRQWQFCVDMEIWDRDRIHPQRFARWMQLLLHADPERFIKWVSNEQLEPIERFLHMHVEVFILEHDMDPSDFPDDTFTFDGIYYIRFRNAFDSNEETPSPSLEIEPFLSDFFNHLYDQGNHSLFQNLLLESRWIIPSEIEEEAYRLKCVRLAEKGFLPYEEAISLYQPLDPEHLLPRTSRPTTLKDAGNAMSAIPSIVINPEQHPIDIGFDDFRNDPLFLEILSEIGALANQIAVADSVHLSSRDDLAGLIRKVRAYLGIGIEILSGAPFHPRTAAHFLRRYPLSAIFRVGYGSIMRLHRQTNQWVRKSWFRKAGMKLDFWEEEGMAVIGGILLPRPKCYDPSLSAPSYREFGSLAEIQKTQQKLGDYIMLDAILEILCTKIPSGILSAFRNKHLTYPCLLLTLYAASRMQTSSEELPLDPIRFQSFFDSLWVDPHADGQKRVSHEFQSDLLQWLSIATSVQPSEMLSRIGHLFHQWFQDIESELGWVSADRIDHRFVRMFWIGNM
MATVRRSLTPYAGVPSPEGAAAMRRSDPRIEASQRLALMLGDRTVDGWTLNASRGGIRIIAEAPLELGDEIHIRVGDDGPLRPGRVVWVQDEPDGCIAGIAYLDVPQDKAAEEPPAVLESDPNDGTER
MDLIPMKRLWAAGVLLLISHTVFASQRVSLPVTNLFKSPALESPVVSQALYGEKIRVLQTKPHWLYVETPDQYRGWVARSAVAQTDHYYKNSQPLTTANRQVHIYQTPSTAQHKPLLTLPFGVELAAQGSSLQGQQRWIEVKLLDGKKAWIQRGISTRGATIFRFHRWYAFFGPSRSPNKIDSDH
MNNDLTLTPADRQFGKAQQRGTDVEQLYSLFSELLERKLKEMEEHRPKRSIAGNRRRLESGADDEIHDDYMLQFGSGSKLHKLEEVEPESGLRIRRKSTSNIELSKIRDERSYTHH
MKYSILICHSFFLLLAFLCLCEVAFSRQTDQPDLPITIFGQIRTVEPSTEVNLEFWENPMNVQSGAPAPLTELIKLTDGTLHQGTYGSTVFNWTSDSISQPGRLTLSLGYKMLINDFLVFPGDSIQLYFDEYSGQLVFGGPAQSHFNLQNELQNLQNRLFFNSPVNIHTYRLESMLRNGNSYEDFQNIQKNNFGRKVNIEIIDPPLMLEELIGKIKSMKELDLMLSLLNENNEINPQVKNAIRNKLVDGRLTLYAKSLGTILRYSTKLQDSTTYSSALEFIENEFIPDLTSKGNEMESGDFHPYLTSISELLKITEGAYPSRNTVGHITGNFKDELRDQLLASTIFLEYRRGISTDNRLRDIASSINQGPAKEEVNRLLEKTKTGVPVRHFEFTGESGENISLDQLKGQYLFIYTYFDGCNASSSYYNKSIKPVAEYFSDSKDLRIVSVSADRTKNIWHSSLESKNYSDTNILNLYSKDKGVQHPFFSYYNLIGFPSQMLIDPQGNIAAVSGFNHTAEEIIRRLEDFMAPKDNPDHIIH
MSFRNFLLGATLLLPTIFLRSQEGDGFLQGRLLDSQTQEPVVFATVRVKSRAVGVISDQTGGFKIPVSFRTKGDTLLISSMGYMTRTIRLSVLEEDGINTILLDPAVIELQESVITAKAKRLKAKDIVRYAIENIKENYPQEPFSYIGYYRDYQIKDDDYLNLNEALVEVNDKGFNTIDTLETEFLIYEYRKNRDFKIDSFAAKPYDYLNHDKVIPSAKINSYGGNELLLLRVHDPIRNHRLRSFSFIYRLQKDFIENHVFRLRGKTIYNGQDVYQIGVYRNDSNFKVEGTLYIDVTSFAFRKVEYEVYQLVKKRKIQDAVFPYGHDNFFDTADENLLFKVILEYRQNASRENIMYPNYISFQNKFNVVRPPKFNIDRITLDATNRELEVFLNKPVANRGDLKLSDFKLRYRDKKVKLAKVVQHDDKTYHLKVDKDAARQRGLLNLLFSKTEDEEKRSLQLEIKNMKDRDGNLLGKREVETLFQFREFFVQEIIPFQQDLQLQKYFMKKNRPLYDSVQPIRMPDRGKGYWMNTPLKRLK
MFDFETDTIKTWAGKGSIAIIDQAFSSGSNFLVSVLLARWSSPKEYGAFALAFALFLLLSQLQQSVLLEPMSIFGASYTGARLKRYYGSLLHMQNSMFVAITVMLALSAMFVQRFQHWQELSGALWGVTLASPFVMLLWMARRAFYLEHIPSPAAAGAVVYTGATLAGLIVVRHLGSLTAFSAFVVIGAAAFVTSLAMFWRLRPEFRRETSDPGFHQECKEHWRYGGWALAGAVAMWLPQNVYYAVLTGAAGMAAAGEIRALLNLALPLQRFTVAFGLLLLPLAARVYSEHGRRGARDFTVKICMLFFAGCIAYWGVTLLFGGQIFEMLYAGKYSSLTHLLPWLAVSSTLNALEAAIVIGLRAMRSPASVFYAYGISGLVNVIVGVPATWWWGVNGVLFSFILANVTAVIASLAMMRRNDENDSENIAAKDCLLASEARAFHPFRDVQAS
MAGGIVAILKKERSILVDRWLDNKRSEGAKTLVRIMDLDDQIDRAAKQEGVRTGKQRRI
MLNRTALKSPVFLARVKAAVVRAVRHSYSPERRALGIGHAVVRAKRTGRLSLVVVARQGRGLEFFDGADRDITDDVLSALQAFHAEVRAVAAKAPTVVDGCQGVESFPYGREALGQVQLCAA
MFHVKFKVQRSGEKGGTRKVARLESIRHPNEVRSPYMASEASHIRVTKPSVACCPFPLVQPAQQPPTVCGRVEPDFPPPDELCPSGTRFVAPQPAANELRAATSTTIANATIVKCYMVVRTEGVRDARKAAERSAGGTFHSA
PILNVPSDIAFLLDAQPETTTEAVLIAALREATEEVKGLKQRVVELQASNILNEAYCNKLRFQLAMKEEKSKAKGQKKGKLMGDGLPRMLTSDKFHEQVVQFTEWKRKDEEG
MASPRCVRCGYPVDETWERCPECGYEVLRSLQLLARADCREFIRPYWACGAADYLRSSRNWFWNLMVTWLLFAAVAILMGSIGVNQTVFLVVTTTLLVLFPVFILMMLVRSVQGALRLWEGSNDALYRSVAIAILFYWIMAAVASTQVLYGGSVLYQPLYIACLIVGRVAFVQMVMRPKHLPKLSKALLLLVMCYPAYVLYRMWGSTTGFHIAIAADMAIIGDIAIRYLSNATKDMECETRVASGQPLDGMSIDKSSSTR
MVSVNCEKAHAYHSKCLQTWLNVRLQNPLLAPQCILCTKKITRIGTDQIKIDATPILEDARLGRLDRLNSALRKSCPLDEDLLGSALLVAVKNNQLRFVKTASKHNSMTLAIREQAMQVAMDVRGFKMLELLLEDGPVSEEMRLDLLRFNYYVRYSDFGEKVIQKAPLILPEQFSYAAALFLSNGETEKVHALLESEVIFDLEFLADEAYLKKNLEVIDLCLSNHRPIGSKALGSLAIFFAGENDIDRLEKVLSKGDISDEDRGNAWANADQWGLHEATSLLTKDGFQPSTFIRITSAVTKPVLLTVQCGYGALKVLRLVD
MREESFSTGHLPPCPRDARGPQRHQSKARSLPVSLHPRVGPSRRAADDERTLRTRAPAPGLALRWAPSPLPAVRSPPGSPVLEASPLWPSGPRGVHFHFPTRRRHGDGHESPAAPALTNGSARRRKTRTSLFQDGGASVASSRAGGKPAKPIFAAATAVAMRRG
PAYVVHIFPACDFANDSLARIAPDLLHRVTEIAHLVIVIATV
TVAANRSDLVSLVVSNLFGQNAPAIAATESQYEEMWAQDVAAMVGYHSGASAAATQLVALPAAAVAQYENLGLANFGYANVGIANNGFGNVGILNFGTFNVGIGNYGAYNVGVGLTGTGQVGVGGFDWLNGYGYYGGYYPYYYY
MFRSVVIRHLVLVGALIAVAGPATAGAHPSVVPVLGAATEVGTADPLSQLRDAVVNGPIEPELVAGLTATLDAAANAVARGKPTAACGPMKGFEATLLAHAGDGIPLGYANQLYVQSLFVQETLGC
MISCDEFFGFLTKLQNSKRSSDIINFCKLLSIVGPNLASSNAKKLKESVRFLESQYSNPDMTVVFTIMDFIDVYKSNFSSEIRVKDDACIAEINRSRLIYSSGNKSINSKEISRNMKTDADNFQVVGKGNINYDVLIYCYIIG
MFYIFARAVLRIFYRIFYPLQLRGSEHVPNEGPVLLCSNHISLLDPPAIGIYLKRKVSFFAKEELFKVPLLGAAIRRLGAIPVKRGAADRKALSATLQVLEKGGVVGIFPEGTRAKSGQVEAGKKGAAFFALRSQATVIPVGIRGPYKLFRKTTITYGPPIELSSYRNGKSAAAVTDEISDLIMEHIRRLV
MFSLIKFLVRTYYRLLFRIRIEGEENLPQHEGYLLAANHIHLHDPLVIGAFFPVRMRVMAKKEIFKNPLFNWVLTTMGAFSVDRGGNDIKAIKQSLKILKNKEPLLIFPEGTRNPYKGRRHLPGKAGVPLIALKSRVRIVPVTIDSSYRLFGVVRILYHPPIALWEKYPSKLSARDYEEIAEELLDQIYERVALNQ
MLKFLRMLIGAVFCVPMLIVTYPLNWLVRLFKRCGWTKAADGLMWTTLRFLVTWIFFFFGARVHIVGKENIPHQPGRYCYIGNHTSMFDIVALLYPRKFRLSFVGKIEIKKLPIISGWFKALDAVYLDRSSPRQSIKAIIDGSRMIEKGHGMAIFPEGTRSKDGQIHEFKAGSFKMATRVGGTIVPVVLKGTRTVFEDGYSLLPRPVYLAFLPHIDTEGMDEAEQHQLPDRLRNMIQEAYDKLPPIKGLRIREHVPEA
MPPPIPYAYQVGQALAWTIFRSVGARIEGKENIPASGSVLLVSNHQSYLDPLFVSMGCPRRQVHFMAKEELFDFPTSRHMMLGLGAFPVNRNGPGKATLVEVLKLLRDQRCLCLFAEGTRSKDGRLREFQPGFAKIARKTKTSVVPIAITGTRQLFEGIQGVSLPIWSKLIGHPAPVMRIGRPVSWELSAEEIAAQTQSSVRRLLEE
MKLMDLLIRLPQPLQTKLAQLYLNQELKKKVRVTLRGTEHLREVKRPCIFVANHLSNIDGVLISRLLKESFDPYFVAGIKLSCDPFTNLFKSLLKTINIKPNSADLESMRSILNTLKQGHSIMIFPEGTRSRSASMNEAKKGILLMARLSGAPIVPIGLMGTEKVMPINDAGKMNEERLLKGEVTLQVGPAFTLLPKAPGEAKHDYEDRALRDLMEHIARLVAEPYRGVYATASSKDRS
MWLYKLLRFLITLIYRIFFPYEVIGVETFPKSGGAVVSPNHISNLDPPLLGISLPRQVHFMAKEEMFRVSIIKKALYIVGTFPVKRGGGDSQALKASIRILREGKVLGIFPQGTRDKSGEIRKVHVGAAMFALRTNSPLVPVAIIGPYRLFRKVRIVYGKPILPAEYLVDGKSTSDGVDRLSARWKKEVESLLHQYR
MNLIRTVLLCLVALITFFIGSILTILISFFYFPKDKVFIFQRAAIVWARLLVWISGIPINVYGRENIPLNEPLILVSNHQGMADILIALAILPVRFRFIIKKELFSVPIFGWYLRKSGYIPVDRGTRKGALDMFVLSKEVLEKGESILIFPEGTRSPDGKLQEFKRGSMFLAIKNKVRVLPLAISGSFGIMPKKSYIIHSVPVQIKIGKPVSLKQYGKDSDKANEEVYRIIKEML
MSGEAIRAINLQICGIRLILWYTHAMRKARLFGARLWSAATWIVIVGLTVFFSTLIAAARVLCWWIDPKRTIPHGLASLWGQSIFWSQPGWRVQVIGRQHLKANHTYIFVANHQSAFDIMALYFLRRQFKWIAKEELFRLPFAGWSMRLAGYISLGRGRVRSIHATYERARAWLAEDISVFFFPEGTRSTTGKLGAFQRGAFQVAVDTGVSVVPIAVQGTRELLPRHSLVLRRRGRIRIVVHPPIDPVARQMTAVDLRDEARRLIAGTLEPS
MKRLGGRILHQYVTLGEYDVVTFIEADGNDAVASIGAEISTLGTLAMETFPAVEMDRFQKLLKIEPYRTEPHRWQTSAWARVVRKAGRPFVVDRYVKRYCRPFTVEGTEQLRDFRGGAIVIANHSSHFDSPVALRTLPSRISNRILVAAAADKFYGARKKRTWWYSLFINTFPVHRGGGTKQLEYPVSLLKRGWSILIYPEGGRSKSGQVQKFKHGVAIMAMAAKAPVIPIYIEGLRNVMPKGQREPQPAAVRARIGPPVSLDGVASVPEATALLEDAMRGLAGLPTRRSAAEAPAAEPALSPAGGGK
MTSAASPASPAAQQKPNLFVRVLRVLMSRVARVTVIGAEHLDGPGGKIVVCNHTGWADPLWVGYAALPRKLHQMAKKELFETKLGAWFVSSGGGFPVDRGSPSTATIRQVVSLVNEGQLVLIFPGGTRNTENAEAKRGAATIALRAKGQIVPAHYDGPGEIRASHFFTRPRIRITFGPPIVLPPDATANKESALALTATMDEAMKRVAEQGPA
SNHIALIDTVFVGSCIRRETAFMAKKELFGFPPLRALITCHNAFPIRRGGWDSQAIRLLKEKLNQGLAVLVFPEGTRSKTENFLDPKPGIGFLVRQNGVPVVPCFIRGTNMGLRELLGRKHKLTARFGRPITVAEIESFPSEKSGYVDLSRLIMERIAGLKEVS
MKLLYFISHTLLNFLFRIFYGLKVVGYKQAPLKGAVIVAVNHQSFFDPLIAGTAVERELYYFAKQEIFQTPVIGTLAKAHNAFPTKRGFFDIGAVRQAANVLQEGNALLMFPEGTRSRTGKLLKAKMGIGMVAYHNRADIVPMYLHGTFRLRECLFRYPGLTVNIGQRIPIRKYLEMKLPRKEIYQQISNDVMSHIAELKRQTLQKIKKRRSS
MKGHYLSTTAGYHRHELDSLLPEVTVSLMLEDPAGPCRSILEKDGSFGDLLYDLLEQSIPMCRISRIIEVGGGYGYLMRDLLKRNGRLKATMLDLSPYLLEVQRQALNGFDARFICCDFLEEGGPADSVLPHMDLAILNEVAGDLPTACCIPRSALSCSHPDDALISEVQRIFCSYHPPPPPGESFNFNLGAVQAVEKLCSARVPYIYISEHSCQAKVPAAYPAYCRSPHQASLSASAFAAMTSIPSASRIWNVVASSLGYDTMRGSYLDFIRPVIEGGSPSSCALVPAG
MRIELDPLPAPHALEATLRDGRPPDVQTAPGRRDLNVGAFVVREKSASGLVGDAVLIEAPVEVDTGDAVSEDEAEGCAGGARGRVSAPRARVSN
MKMMRFGESSVTWVFAQQAVSRLLVAFKFFLLARLLGPQSIGLIAACLMALSIAESLTEMGMSHALIQRRELLDQRGLDALWTATALRGLLLTVVLFAASGLIARLMNIPEGTAVLQMVALVPLARCIASVRVVVAQRERRFRTVALLTSSFVVVDFVMSAVAALTYRDVFWVLAALPLSEFLKAIASYIVFKTKPRLNFDTKPLGEVMGFGRWVWASSLLTVVVNQLDRIITVKTFGVQTLGLYQTASRLAQFGVADFGIAMSQYLFPNFSELARRDKSRAAHYALALFSQLGTIALVVATFLCVATRDIIQLALGGQWSGAVPFFRIFVYLMAAGVLVGLLTSYLRGTGRPSRVTRATVVQLACLLASSAVLVPWLGPIGVAFAATISVFVCAALMLWDVVSDDREQIRQLLPLATVGVPVTALIVILAQVFSSFPYHAVGLGFVCAVALVLPLQRLRAGISLTVRRENVMRVMHVVLTPRHSGAEMLAATLAEVHTSKKNGVAGVMGINPCEVAFRPVWSKLEEKGVWCASPARLLGRLGRLRFIRATLREFRPDVIVAHSVIPAAYARLAMKLTTSAIPVVTVMHAATNDDYAGGLLRLSERILKGDAAAVVTVTETAANNYRSRCGAPRRLLIIPNGTDLDRFAFDENARCRLRGEFKVDDSVSVVLQVGRLSPVKNQAASVLALNDMLLAGQSVELWLAGLTEDPSYGDALKRLVEQHGLSSKVRFLGSRPDVAALLCAADVYVMPSGREAHSVAMIEALASGVPIVASAIPVFEFATRFSGVVTVDPASHRDYAASITKVLAQAKIRQQRDLSAYSIERVAERYAALFGDVTSRPRFGTGTAQEGAT
MTDEVKVAIAYSTAGLAVSCVASSFVDEEERPDTITDTFNEPTERSMIKQYPAMTITANKLMGDA
MKRNILSYLTAACLAALTALTSCGEDRSGEYYALISSKTWMYQTMQDYYLFYQDLPAESELDFFMTPEQFLNAAASEKDKKNGVLYSHIDSVKTTSTTRTLSEVPTYGYEAVMLQAENGDYALQVLYTQPDSPAEEVGLKRGDLIIGADSIKIGSNDYGKYVSSPTTAHKFTLGTYNAELTKMDTTGTVQMPAPRIVEMQNLLKTSLISSGNRTAAYILYNEFGEEDGSQWQSLYSQLASTHPDDIILDLRYNPGGYVSTAQLVATLLAPSTALGQPMLYMTPNDKIATVESYNFDASLLPGGSNLTYRNLYILTSGNTASASEIVINCLRPYLTGNLYQVGTATFGKNVAQARYTDEQAPLLELWLTTYYLSNAEGYQDYDAEGLQPDFTLSESYGGTLGELGSADDLLMQPVLVHMATGAFPSSETPAATRTGKAIPAGMRIIHHSIDDKPHRTRTDRRPDVLSSSN
MMTESIANTTPINDIHAIQAKRFEERRPITLEEMRDLNVFFGNRGVFIRKEAE
MKRGTLAGIVAALLLVSYAIIFAPLPLALKSLAVLVVTAYVPGALLVEWLIGGGDNRPDWWERTLYSIAAGYGIAVVLTLLLSYLPGGLQAWQMIAAYTTLTLLLLAAIWRRERLFAAPDMSPNWAPLWQAADRRWVLAGMATLFLVGAFFRIPNLGYSEFQGDEARAALRAAGVLQGYEEVLLIHKKGPTEIVLPTNVYALSGHLNEAAARLPFSLANLAGLFALFLLGWRLFGPITGWTAAMLLALDGYFIGFSRIVQYQSVVFLTTVLTVLIMYRTTRIAAERARYWLLAALILATGLLSHYEAVMAALPSLLFVGVLLWQREPLLNIVRQLVPALLAGAIVLALFYVPFVLHPNFAATYTYLTERRIGGEFPYNNLADFFLRTTVYSTTYYVVLMIGLAVIGLVLAYWRGLGRKALLPSLLLVAGMAVSSVNPTWLTVGGRDYTVLFFAGAFVLAWLMPRMRCEERILWIWFGAAMILAIFLTEKPRSHVYIFFMPWALLNGFVLERAFHAVRARWGTQRAAGLGVALATLAVAVFGAYAYFYFIYNQVEILRTWHENRPPGFWVVYDEPDDKALFGFPLRNGWKVVGTLYAQGVLNDIYETNEKEAWVPDWYTRGQERCLRDHTVFFFIDNLEPEGDEERRLLHEKLQTEYQLFGTVIINDQPRMEIYRKSETPIEPQTFYVEDVEAFFDNTLSAPDFPLDDPTILPVIDHPLNLRLGDSIWLKGFSIDRTTAKPGDILNLTLYWVTTENLFEHFTVFNQVIDFEGGKMIGQRDGEPGCDKFPTSKWKPNEMVVDRYRIPIFPDAQPGTYPLITGMYQREQGYRLDFFTEDGQPLGNYYQLTTITVEAP
MNPASLLSTGGGGFSGSSGVTDQSSTTSNSATGTKNIGVSANPNATGRAVEKIFTNPLFILGAVALVFLFLKKRR
MFRLLVPLCAAIFVTLLIAGEDKGQLRPGLAAAVADGEGIVVLERRRAPEVVAVAEAVEAEPAGAAPVVEPVVETASYTPPAEPEAARTVRPEPVFTLSALPTTTMDMEEIPAAEPAPAPASGDVWYVTANAVNVREGPSTGAAVVDRLTRGEAVAVSFEPGSEWAHVRIEGDGLEGWVALRFLSPEAP
MDKDRNEMTKRILHFTLETLHLLTGEDEDLMDIKVEVKDDEEETDLGADQQYGVIDRNPPERCPRPLYSQDCPEGNVPEKHQGGDLTNNKVEDEEERMRGHHPCMREVKEEIPGGVTPENPKKNYEENFMLSQSFKVEDGEIMERSSGQDGEIMERSSGQDGEIVERSSGEDGEIMERSSGQNGEIMERSSGEDGEIMERCSGEDGEIMERCSGQDGEIMERSSGQDGEIMERSSGEDVEIMERCSGEDGEIMERSSGQDGEIVERSSGEDGEILERSSGEDGEILERSSAEDGEILERSSGYDGEIMERSSRDYGEIMERSSGEDGEILERSSGEDGEILERSSAEDGEILERSSGYDGEIMERSSRDYGEIMERSDDRLVQV
MSENLQMTQGLDVSPKKIRGKTGSFQVTVSNKEEQANEYQLSAEDPDNMCTYSFDTDTMTVEAGATVTVTLTVSFKNNLPALTPKICNFTVSATKATGEVETAQGQLERPPLLPMWALVGGGVAMAAIIAIVIAVTGGGDSTVTPTFTLTMVVNGSGSVTATGGPHEYSEDEVVNITATAASGWQFDSWTGDVANSNSASTTVTMDASKTVTANFSEIPPGTETLTLTMAVNGSGSTTPAVGTHQYSEGEVINITATAASGWQFDGWTGDVPNSNSASTTVTMDADKTATANFSEAEEPVVTVTLTMAVNGSGSTTPAAGTHEYTEGDAVNITATADDGWQFDGWTGAVADSDSANTTVTMDADKTVTANFSEAPLDLSGVWRLEVFNIDSSCGPEPGWSSDVTVVHDGNTLETTGIKGTTFIVTGTVVGDTVTIGPGAFPESPGTTTATYILTIISDTHMEGREEWTWTDGGTTCDDGTADVTFTRIE
MYRDHITGKPQGLTVLAGGWREVAIAVWVVAQSLLLTPESPAPSAAKAQKPDTVATDPRASPFLLQICFSEGFQANPGNNSAFAVFLVDLSLADLQIDKAYHALLEASGGCSAERLSSAALVRRRQPLDPVAPTALAWSDLQWSQTVSTLPNQETILET
MAMALSGTEALHSVFSAIADRAGNTERGRVAREKENERIASFMRTAMASQERVAEFQKKL
MRQQRRLGEIEAVLAHEIGRISQQLALVREANLDAEAEIAAGRSELDEATHKLRHDERGLAQLRRECEADADELAWQRQRAQQKLADLEAQRDQLDRMEIDLKRRRRQLAYELRARREDPLRELDRRKEEVPRRCQSTGENEAAAQQLALADQRVAELERSLAAANRRQSEADAQAALANQRVAELERSLAAANQRPAETDAQDDLREALADMQRRYDLAMDDLREQKRRVTELEQQPARPAAAATAQPDGKLDWEAQKQRMLAALEADTGDDDAERQGERLKIRDVVARTDEALAAKDRQIEELEGLLAAQSANIGEVAVGAAAFAELLSGDEVVRNERERLQQLQHEWEEKLRQAEIDLSVQRAKLARERAEIEERQRSLHDNQAGPPSSSGGAPAVVRPDRGRWLARLGLKEEGS
MGVNDGVKYAVKDGVNDGVKYAVKDGVKDRVKDGVKYGVKDGVKDGVKDGVKDGVKYGVKDGVKYAVKDGVKDGVKDRVKDGVKDGVKDRVKDGVKDGVKDGVKDGVKDGVNDGVKYAVKDGVKDRVKDGVKDGVNDGVKYAVKDGVKDRVKDGVKDGVKDGVKDGIKYGVKDGVKDGVKYGVKDGVKDGVKDGVKDGVKDGVKYGVKDGVKDGVKYGVKDEVKDGVKDGVKDGVKYAVKDGVKDGVKDGVKDGVKDGVKYGVKDGVKYGVKDGVKDGVKYGVKDGVKYGVKDGVKDGVKDGVKYGVKDGVKDGVKDGVKYDCYVCCRFDVENPLGMEFRRCE
NQDNNREAELEVMIAEPSNRGKGRGKEVLHLMMRYGIEVLRVGLFTAKIKLSNTVSRKLFESQGFILASTSSIFQEATYSLEVDQQFQARLRDSTPAYTLKLRH
MTKENYTENMLTIEVEESEEVINIKLLGKSIDRTPGAFITPILNRALEKSSADKKELVLNFLDLKFMNSSTVAPLVKILDRAKRGDNRVTILYHKNLNWQELSFSALIVFQTRDQRIQIKGI
MACRTRFSVNPLSTMTRQRAAVVSRRADPDVVIKAARVLPIYSERLMATTGGDLTAAIRMTEKRHGLVPRMQIQPLCDVA
MPQKIMCKQKVTTLRNQKLFDYAFRAQQLLSKIEDMYKYQSDDSLIRLRLVPENQEKQRSLTKNQSGKKKFSTYTTYVKKKDIISALETGDIRNVRAFSDFNQQKQSFSLSKLNYVDGYAIHLLPNLGGDHDADINEITAQWFEIDFKIFEEYQTSDYNQVTEKMAYYEHLPIIKEVVMTTQKNMKGEISSYRLKAIRTTEAIQAEKEKWWGDHRELLQDEEVINSAGGPHVYFYYDKNAGDIEQFTLIQLALAERFGGDVKVSNLSRPMRAPGFANMKQQPYYVELIQRSSKRYISGQELIEKYNLNLDKARKLVMERHNNAIKPVQYKKNRDSNDEQTISQSSDKQFHFVDRINDMSPPKNEMTLLEAKEYIKTLDIQLFLTNNDLSNEVHHSCYFHRPDHNPSAILYKRKTGEKIISCFACGVRDIIDIFLNEFPDCSYIDSIKQLAEKCGIKVTSTTLETDSYHTLGLNHKFYFELLKGSIHEKYPVLGKYLPKHRIRILDFFNSHAGSQPIVEDWSILWGNKSYPVFYLSQEDTRLHFTDEKDNLIYHRSVIESSTVLLRLFGFIIKVPEDQVPKTLIENARRHLDEHGKKHYKTISFYIRPCYYDVLSEANRIAQLLDDSGIKVYKNMHKSHLEVLLGKELADKAFPDDRKLPAYFSKVEVELEQKLQAEMTESGFTSKDSAMSIKGLRISAGTGRIRVNKSHIQIVLDIVWNEILERNQWKEVIQSKALAEQYGFQYRKGKRIVIPKVEE
MDEVMNFKTAAISGIAALAIASTGTFAIGSAFADTTPDQAAPVSVVSEAPTATADPIPTATATETAAPAPKVTESAAPAAADVVEAPAAPAAEAPAPAPAAAEPAPAVVEAPAPAVAPAAPVQAAPAAPAAPAPVAPVAVPAPPAAPAAPVAHAPSPEEAAAIWATKPTAPDPALVAGDTSTRGNLPTPPPVPGQG
MVSGEDLKIVHSGQTATLEQINPLDRRKVLIDAYWLDGEEERRDLYVNTPVTLYVQVKNAKAGEKLPLTFTSEKDSKVISYMGMVGANGLITINEFVLRNKMTSKVTSIKAFSEGIIGESKLELRSCLCDRDISIQELKDIVKKIRDNTFYDIKEKKKEIDPKTKKTIVKEVKVTKPISYFHKMNLFYDKHSNVPVKDRTFEMFTKVLNDAFRKYGITKCSHKIHFIANMYVETMYFTATRELGEKLRYDPYRGRGFLHLTHKENYQKYKDATNVDVIKNYKLVAHDLTIAADTAAWYWKMMKLNDYAEKDSIFNTARLINFPNAKTKVVINGYKEREMAWKQLKRIFSYPQACNTAIKERGNNEEK
MGLAMCLGAIAPSLAADAPAVAPVPQLPVNDFARFPLLRDVVMSPDGKYLAGSYEVDQTAGTNSKFQLIVFALPSLKVTARLNFAPWHMPGLITWVGSTRLVVSENKVTGSLAAEQPTGDIIALNADGSQQRTLYSIAARGTPGASFNMMDMVDGNPTVVGPTHGMNGHVFIELHPFPMNVSQHDETRTAPFSTTWTVLVAIPGR
MKIVIVGAGAIGMLTGAYLARGGNEVVFVEKDEDTVIAVNQYGVGLMALVTDEPTALVFAPARAFSDPREIKSSDLIILAVKSFDTHTAISSVA
MDDESFLYVEIVTPVKVDDGSSFSKSNTRQTHPLLILLFVGTSLEIAIAPFSR
MNYIFIDSRDEIERVGVVEEGQLVEFYIDEKDEGGLAGNVYRARATNVLRGMEAAFVDIGEGRNAYLYVKDALPRDYMYR
MESDTICMILRTLFTFTLFHFIVFSMILLNSTFYVRHHSSQHLTITSSIRVLLFGPRQSIISHQMDDTHSKIEARYESDRIRRAI
MIRFLTAAVVILLAGVAAVVSYRHAYEVVIANGESGFTAVLVPLTIDGLIFASSMVLLDAARRDLPAPKLAYFTLALGILATLAANVMHGWAHGPVGAIVAAWPAVALVLSYELLMGLIRRAAPVYSSKPVEVHEPVVPAQVTPLPDAGKRDVEAVDDAPVVDVEVQPDLVPEPDVDAPYRPVAVAHFLAEVVEGEPPTVRTIKDRLNVGTDRARRLQAYLGGLVEVTR
MLVFQLRMIIEHIWITNISLSHMKAICAHSSSVNCLSCVRPLNLVHLPTWSSNGAILKITQVDVSALRVIVITAYEVHICIRFVVAEELV
MKKLLLLIAGMLIFAGTAMADCGSCEGDAEEAKEKAACELKTAAEKAECDMEAAEMKAAEMKAAEMKAAEMKAAGEIKAAEMKAAGEMKAAEMKASSEVKAAEMKAAEKQEAAEKKMEAQKKMMEESTDKASEQAQEKQKKWWKFWGGE
MTTIDTGFSALPGADPVPQLAGLPSAAELSALANSLFPDLTKDFETAGLKFEPTVCAEGIDKLVKTGDPSIVNTAAAKAETAYNHLAHSQRESEPLPQAGVPVRWIIDAPAGGINGCNYKMLLQAYDITYTFQEGENILEFTPTEPGTISYSCWMGMIRGTITVTGGS
MLNTNAFHNILNVLIALSTSMIAVLLATGCTQFADGTLECSQSFIGPSFAAAAAAALSTLKIIINITRDGVGGLIKPQPPVDK
IEQLFLKVGFDRLPMSSEAPDIIVVEMFFPLQTYP
MSLPLSCLVGPSNTHTTFSATSQTCVLNGNDDIYGIELRLGLYLQWAFLIVATWIAPEAARYARSIANIITIALLADALKPPQVGSVIVLQWWIHGFDTFFL
MSPAPNIAYRLHLLETQLEIVRSKAAQASNDSRHEWDRLITQMEKRYGALLDIAHMTAPGLIEPCDAAHTHC
MYFILCPLKDQLRSPDRFNSKVKRHKIDSQPSGRKPLKSSKRSSPNDFATDEQSDIAASSCFHSIGEVVNDIRQRARINLSTGGCNKFPVVLVFLKQTAAGLDTDKPKEGQKQMLDSVGFRIPQDNQLNFDHLTEDEAASVLLKAVIDVRENILVLNKPSGIASHSCFRLFFVCLLKFLYSTLCASIAGPSQRYSVMELLPRIAHGLRSHPSFEAIEDSDYQIVHRLDKECSGLMIVSRLVWCLTLWMCIHPLGRKVQTAKDPDSHLSDLDFSEVDSEDVWSELRSGYSDAAVTRYEKLDRRNGASLLLCSTLSGIKHQVRVHLAMELGTPILGDHKYSHAAYLAPQRLPHRLCEVLGVRQPKVRHFALHLHASHIRFGPEKSKDCQAFAVPAGFFQFIQARGNKSPRGLDLIAKPPDFFTDNMKRIGLQLPRYLGYLRIR
VNDTIDNASGKVNDTIDNASEQVNDTIDNASEQVNDTIDNASGKVNDTIDNASGQVNDTIDNASGQVNDTIDNASEQVNDTIDNASEQVNDIKDTF
MSASSSINRNSLSLLPPPPLFWSGAGNNSSLLGSSDDENRGRPNNSFKRGVDSNMGKGQELRWRTPGDELHGWDGDNDMFADESHMHGRQDLDHNKHMMNGSGWGR
MTGVRSNLKCTVLSDGSGRSSTTGMFDRATRLVGTISEISNVALMAGSSQQGKQRRASVASNCVTPAYRSSPLAAKLNRQGGLAGRDFRPEHEAGRFLPRIELYVLGGELLVAERNRRRAELQVQCVERDAIRCFHDLLHRGVTLEREGGQVGLQRQPVVLGHHVRGQALKLGLAAGRTTADHTPDDCLGAKCTKQPLLDCCRCKHAETRERGGVFRCSRNRYRCHADVRPTVAAAAGDVTADGELNK
MAGHDHSLHRQGSLCLAEPLGVRLRPLHQRQPRRRLGARQVRHRAGPDPGLPGPGGRQDGQHSRVKGIGPVTAVKLLREFKSLQGILESRSIQKVARQVMRDREMALVSQALVQFKTDVSIGLSWADLRAGDGVGRDE
MEVWMFRGFLCWLNECWELGEVWKRASVCLCSSHVCAGRTLTVGGAAQRDLAMPSRGNASAGVCGNTGFDECLERSRRNS
MIKKTILLVSALLMSASASSCWKSEELASFAQDEFDEKARFSIKDVVTCRPIAHAKLSLGKMTFEADEQGIVTLPLPPENMDRELPVRLQKSGYITANENVMVVFGSYWNNLFLMSKALPIGSARFALSWDKKPSDLDIHLKSDNYHISYRTTKSIANRVKLDRDAMKGYGPETITVDKLDKNDTYKVLVNRYSKSGNIDNKTQVRVYINNKLDRIVRLKNTTATCVQVATIHNNKVKYKLKELSNSECR
MTKYGTAKGGFQFLDDTAKRFKLTGSDVFDLGKSAEAAAKYLQILYKKFGSWDKAISAYHAGEGNVEKGTKIGPVNRQYVQNVKGYIAGTNGFDGSTKQLEYSLMYADRMNSARDKIAQYSMNPLDYQKTALASSQSQAYASNNKAYSDGHVCRDIELLNETYEKMGLSKIGEGYYKHQKTGLQQHREFLLNKEQTIDLVTGYKPELRIKINRRWAELEEVVKQNAILLPNFSNPAEAARAWAIEYEAKQIAEQERDHAIATKAEISDRKTATAMATASVKSRQAEALKEQLGESKKYASVKAVENKTGGKYNWRNLKKWCLEKGREIKDIADPNFGTVKIYPKEAWEEVYGVKLKSIFNS
MHVRDTLLEPLERPVQDLVRPAFVLEADAPVYEAPTQIRAAGEQLAAVMHQGRFVGVITLSDVLRRVLPTELASERNTGLRVERSPLVRFERAHARGTAQDLRAIPFPRPTFTATLRQQRQSAESLTDQGSGGNGTGCSTRHFRIWDHGGHD
MIRLIVFLIILIASVWLGIEIMRHPGFLLLVYQPWMIQMPIWFALLATLIIFITFYLVINSFDQLQFTWFRMKNWLRHRREHQLLSKTQQGFSALIESRYKQAEQLLVGSVDQTLEPLINYLSAAKAAHAQAAFERRDQYIQKAYEMAPSETFAIGLTQAELEFSQNQSEHALATLTHLRQKNARHPGVLKLLKKIYVHLGDWQHLLLILPDLRKAKLLTDEETQLFEKNIYCEMLNAAQFTDANAAKLMWDTVPKHLRKNPAVITSYLQQLVRFPEAHQQVEDLIRKVLKTHYQSELVLLYGDLNFTQLNRELITLGHWSKQYGMRPEILLTLGKLCVKLKLWGKARDYFEKCLSLGPNPAASLAYGELMEALNDREQALQIYRTSLENLLQPAIVVKSTVQII
MSKSSSTENRYPLSLRLLLKFGKWFGAGFVPDMTVQVVYNNGLYAGVRGPGRFRYNRFTETLGAQIAVGAQRHSFVFSDILSQDGLPITVRLSLLYGYDPRRAPQFAWALVRTTPEMRLTLVTSFAERAARISVSRRNSLELPQAQVLEAAEEEISRALQADMSPLGFAFPSNRPVMILQVQPPATLTNRHEQNAQRRAQILAGEEFNPADYRRALITEFVENLAKTGAGEAIINLNELVESYVADAKPRVINQPSADQRREPPAEPPLPPKSDRPRSRLS
MAGLLNFISTLLIFVVANSLTITAVGSYHGGDRSPQMAIKGGYWPSPNDFPPSAINTSLFTHIFYAFLAPNDVTFKFQISNSTAVSLSNFTSTLRYANPPAKSLFSVGGAGASTDFALMASQASSRKVFIQSSIEVARKFGFDGVDLDWESPESPKEMMDFGLLLKEWRWAVTKEAQLTSRPPLLLTAAVYFSAQFFLSEVNHSFPVPAMRESLDWINVMCYDYHGAWSNITGPNSALFDPTSDINTIYGLNSWIRAGMPTEKMVMGLPLYGRTWKLEGPNLSKMGTIAVGPGPVDGALNFKLVTEMIEQEGATVVYDVDTVTVYSVVNSTWITFDDALTITTKIGFAQALGLRGYFFWALSFDKDDQISTQGTSIITYFITNLKVMGPLTSENKDNYCCIWSAADEGKVNKQSVETKQPGIVSAVKVLVLAYAWRGQKGRGVMAWRASEAQSVARVQMLFKR
MWERHRFVDVTAVFLCCILLNPLLSNALMHVCYYAGPQPELKIDDLEPDLCTHIILGFGRVKNDVLDLEALGGLEAVRDFARLRDRNQGVRLMLSVGGDSGFRRMVTTPSSIARFAKSVVTTVRSLDMDGIDLDWEFPGYAQSRKLTLLLTSLNAAFKNESLETGRDKLILSVAVPAPVTLIAGIYDVVSMAEHADFVNLMTYDMNLYKWYTPYTGHNSPLFGRQSDAHYFKTLNMKFAAEYWTSAGMPKSKLMVGIPTYGLIWKLSNPNQTNVGAFSTGRGEHGGGYITYEQMCMFLDDGAQRVFDDESKVPYAFKNYTWISYDDEESVALKWESDIRRNVK
MMYSKILVFCFLVASLQIAAISAKKVVCYYGSWSVYRPGDGSCKVEDIDPFLCTHAIYAFVGIDQTGSIKILDPSNDVDNGGFRRFNAMKSKNPNLKTLLAVGGWNEGSENYSKMAGNPSLRTNFIISAINLMNQYGFDGFDIDWEYPAQRGGVPEDKVNFVTLIREFRQALGNGRVLSIAAGATPSHIVSSYDVSALTHDLDFINVMTYDLHASWDGVTGANAPLFSSSGVSVSQCIDAWIASGAPSSKLVMGIPVYGRTYTLANSQVNGIGVPAPHPGTPGPFTQEEGTIGYNEICLQLLTGQWVVVWDDAQKIPYAYKENQWVSYDEQRSVGVKVQYIKQRDLGGAMIWSIDTDDFRGTCGTKYPILTTIKNGLI
MHKQNAVHMLRQAKKNALSMSAALNMDFSYVRFCGEGCQNGCDEVKRPSGGTGNSARAKTIGYYESWSYGRDCDATAPEAIDSTMWTHINFAFALVNPQTFEISKMNSYDDMLYPKVIDLKLQSPNLKVYIAVGGWSAGGKVFSDMVSSAANRKAFIDSAVRFCSTYGFDGIDIDWEYPVADDRGGKEEDYTNYVTFTKELREAAKGLGLTLTLPNSYWYLKGFDVKGLEEHVDWFNIMSYDIHGVWDGNNRNTRKEVNPHTNITEISLGLDLLWRNGISNNKVVLGFGFYGRSFTLKDPSCNKPGCGFASGAKAGKCTGEAGILSNAEIGRIMDEKKLKPEFDEKAGVKWITWDSDQWVSYDDADTFKLKMDFANKLGLSGIMVWALDLDNQDSQTAQYLNSGGTMNNSNGFDRQKRVVNKKQALTGKLGYWTPCMSEKARKSTGCPGGYHELVVGHGKAYDSDALKETTGCHGKDNRILCLNNEVQAKNCNWNRQKDGVRKNFTGFDACFTC
MGYAMKKAPNSILGNYKRVCYFTNWAQYRPGTGKYMASDVDPHLCTHVIYAFAKVVGNNIQPYEWNDINEWAKGQFEMIRDVRIKNPALKILLAIGGWNHGSAPFTKMVTTHSNIDEFATNSMTFPRANGFDGLDLDWEYPANRGSPPEDKQRFTQLVKTLRTKYRNEVLTSGRSRLLLTAAVAAGKTTIESAYEIDKIAQHLDFINLMSYDLFSDYNSVTQHNSPLYESLAPNEAFNVICTNINNHGWTEGWLEDQQVPYAYKGDQWVGFDNPKSIEIKICSDITTCLQEGYKSNNGSVDVVLGILLAVSLATNIVLILFIFKLKGYEVMLWRVTIKKIKARQYNNLQESSNVLPNVGYVSEKVNHQSETDQSVSMESRF
MLDGNVRYDRNKFADPANVDFSKYDRINYAFFQPDLDANIFGTDEWADPQLLWGPYDYNPQEQNCAYHKISEGIIARAHAVGTEVMPSIGGWTLSDNFPTIAASDTLRRKFAQNCVKLITEYGFDGIDIDWEYPAYEDHSGTPADTENFTLFLQAIRDALDVLGQSTGRFYGLTAALPCGPDKIEKIQVEKITNILTELNLMSYDLHGAFDVLTGINAPMFDQGWTDKSKRWSVHGCVNNYVDRGVPLAKMNLGLPFYGRSFRKATGMKQVHSGPDDINFHLDEGSPQYFNIVKALGRMTTYRHEKTQTQYAVFNDGQRGLFIMQIREGCTASSFGRYQEICLTTATHP
MRWEVRTSIQSLSLKRNKNLKTLLAIGGWNFGTIRFTNMVSVAESRATFISSAVSFLRKYRFDGLDLDWQYPGFRDSPPEDKNRFTSLVKELREAIDKEGKDSTNSKLLLTAAVAACLEVSDAAYDISEISRYLDFMSVMTFDFHGHWEKFTGHNSPLYRGSIDQGKYIYYNIDFALKYWQDQGAPAEKLIVGFPTYGRTFTLSSPATSVGAPVSGAGQVGVLTREPGLVAYYETCVFLKIAVSQVIADQQVPYAFKGNQWIGYDNQQSYKVKAQWLMSNHFGGAMVWSLDLDDFSGTRCKEGPYPLVNVLKSLLNINGCQESITIALPSIDIQTNSTVPITLITPTNHGMNANSWCEGKAEGIYADPIDRSKYYLCGAGKTLHSMCPELLIFDENCNCCNWL
MKLIVPILLSLNFFFSVGIHSAKLPLNATSLSVSGFGVASNFNTWINPASLANNQKTHFNFSSNSWLQDVEGSYINYTFDRSKISVHTWAVDQLEQYGESPSDAPLGFFGSRIIFANYSKGFSKSGHSMGFNLSYIYMTLLELDDKGFALDFGYQKKITELLTLGFSGKNLFCDFKSGDSLPKLITLGIKKDFNSLPISIFLDIYDDQDKGLGSFKGLKYKNKFFELIGGLKYLDDFDKIDMSFGFNIVRENIKFSIATLVKNDDSIGNPVFYQVEYSF
MPLPDGVSSKMLAPVMYAGVTCYNALKTANIVTGAWVGISSVAGAAGSLALSYESRLDINLSQLTEESSEDLSSWMQEQRSI
LQLQYVSKGLQSAVVVIKERNGQVKQDSSIGTGSIVAIYNAVDRIFKRDAELIDYRIDSVTEGTDAQAEVHVRIIINH
MLFLELWVFLRTPKSIGICLPISVSTRRYLICVSLVCFQAMAVVDAFRGLDKYIERSTKRWKKWDNTFIIFLTITIVAVFQHNIHLVAKWLGTLEKLLEQYSEKSHPDFHVCVSAEPAPTPEEHVIPQGTVGNSITITSEPPVGMLANLHAALYSSDQDTLELCTGEGEFESVLFPLCCFHIAFDAFCSPGWVPRGDLCSLFSEIMYVGHITDAWNRRLRCMYLQELISPPALLGELAVAPGFFTPSNLDYAGHHEYTDEMLPSESAVLYGLHPNAEMGDLTATPDNLFKTLGNATHEFIHRRQIRPVCRGKGKVKNILDDILEMLPKKFNVAEIMQKTTARSPYSLVCPQDRERMNLLAEIHSWTSGELMFSPRMEVLQSTLFYDAVPDTWTELGYPSTYSRSYCLFSFRVADLLMQHRERDIWTQDLVPPAGVRFCLCFFFFFKCVMQSMARQNSWPLDKICLTADVTKKTTDYGHPPWERGGLRSGDIQLETTVEAQLKELTPATPFVFVRLLPVDRRETKEVYECLKPAKWVLAGVVLLLAL
MWLTQLTHTHTHTHTYTIFHTIPIYIPIANVTLSSIVSCLAYCLVCFFFSWICPTYVCFIVHTIIHILTCICLFIHICIYSHNEIHRCSYVYLHVYMVYMRKIKKIR
MTSMSVSCGTFCMRSFSFVRSAAAIKASEEFFAPLTFKIPPSRRPPFTSRCGGIIFLLIVATLLILERTAYPAIMPSGFQIVQ
MRDEAEIKGHRRTYIGALPGKFINAIKTSKTANPVIMLDEIDKIGASFQGDPASALWKYWTRNRIRIFLITT
MAFTTTTWSSITATSMSSTSCATFTASFASTGSVPSNTAYTSSVVSVALSCVTFCSLTTTDDPASRRSSRREVSGLAGDELLPLRRVLCSTRRFLRWCGVRSVTGRGGAVCVLPAGESKAWWLGRRGAVGDVGGRSLRGTLAMMVV
MLRPLRRTDVSMPQPAKPLSTRLRAHVATLRRVMGSKAGLIGSDYATRDEAWDMLEGLFSPFAHGLTAAAIVLLTWYNWHAHDSLSSVPAAVIVLATFSLRLWFVRRYRARGPDARVSDWVKLVETSSFIAALGWGSSLS
MLSSHDVIVVGNGALGSSIAFELARRGIDVARIGGQARRHAASTAAGAMLGCFGEVTEPLMSTEHGRSKLALDHQARGLWPGWLDELSACAGDDSGLLTADGTVVFLNSVGTAAIDSTNFAVIESALAQHDEPYESLDVADIDWMRPNELFRPLRALFMPREHAVDTRRLFEKLDAALVKARGTVVEEHVTSLLTDGDTVTGVVLADGRVLTARHVVVAAGAHSVNLLAGLEDVHRRIPPMVSGYGVSALVRTHDRSLPRSVLRTPNRALACGLHCVPRGDGLLYLGATNIISDAPRDQADLRDLKFLVDCSIDQLHTDLEQAALVATQVGNRPIPADGYPLFGATGVTGLWMASGTYRDGLHQSPLLAREMADLIEKGTGQVRELADFAPVRAPLAPGTRDQVVANAVAHMIATGYERKWSLTGEWPAVIEQALTDKYRATADALDEDFTPPAEFLVTMTERKWTALRRYYAAWR
MKLHLLIGLGLVTGLEVYGQNVQKIDVADTLTTHEKKIDEVLSHYPKHLVIFLTVRR
MSSTTWPIGYASSGKRANGWRRGRVFLITGCPIGGAAEKVVKAIEDNGGWVVGF
MKKNISLIFIVISLTSFSFFTYAQLFANGVPVYFSNHGPGAAGVYANGTMIRFLNTTNNMIQCGVTQTATGLWKATVWLKPNHYASLDLPSGHYTWSCSY
MWRVDVRPCSGRASSSSPLRGPEATLHRTGQRRCSGPLAVIPSMSETVCIKAAMSDNYSSFKPNSRVPSHICIDETSTSDYKDSLKTGDPLYHSDLMHALVQRLTSLEETVRGQAEEIENKGKKISLLEQKLQTQCESGRVDGSSGRDDLETRCQKLQLQVNEMEDFLGDYGLTWVGKGKSSGRRSHIDFDLVLQNIYELNAIAAEEESYVHTTARGGKLSRKDPVQLKLFRNGMVMSDEPFRSYQEKRTQQFMQDIMDGYFPSELQDSFPVGVPFQVHDMRRVDFVDGPAWDAFPGKGYSVCGDEEEEPPKMIRYQLQGLTGKDQLVNNLSKVSSDSRKSSTGIHLDTPALQAIRQSSCASKDVTVLKVKSEDGNRTYFVTMFLSETVGHLRRYLDKHRAGGLPGYQIISASPPRRRFSDDSLSLLSCGFTANTTLLLLGRRKLPAWINKTSEV
MPPLAGEGATDPTSCLPLAGESKADLAIGSTLDPNLTLLARLGMAVHLPLVKGEAVRGLPVWAKRGAGVQICSQVCTQAP
MKNQVEIQNYDAYELGVKHGREYDISRKDLLDTN
MRTDTMLFARDVVATSRWYQDFLGMQSGHGGSEFEMLMDGDTLLLELHEIDADHNHGVSTTTPLGHGVLVFVHVDDPRAALGRARELGIEVLSDLQYNEQANMTEFTVRDPNGYAICICKSHWT
MSHFILVTLHLFAAILFAGTVFFEVLVLEGIRKRVGSDVMRTMEAAIGWRARRLMPFVIMVLYTAGITMAWQFAMTSPGLSKAVSQCCFRSRSHLRSAFWCTSSRP
IFFFHILSLTVEVYLLCKLLTSVIILTNTTHYDSTTIPIATANTTTTTISPTTFTPPTTTVLKCDNGGKPENGVCICPDEWTGVTCSEGKKFYRLESDGSKTFSNPVEIPADLETLATSTQILTSKAEELTAENVTAAAEIVQTFIHICVCAECQSVSSSNSQAVCALLFQNISGTSLYDFSCVFWNYSQKDWSTSGCSKGNTSDGLIKCFCNHTTNFAALWSFRENYEYAEALGAISIVGLSLSFLGLIVTIIYNVKEIRTNCSWKSLISRLCIYFSLLAFIITFVSGVQNSSRQIDIEVQTDDRTNEILDSDERIEPDRGSCTAVAALLHFLLMATFMWNSVNGTQILIQKIRRSLPPHWTLLGMTLGWGVPALFMGITLGVTYRVDNPLRYRQEEFCWLAALDKNKHFSFEKPLFWGFLLPVGLILIYNIVLLVYVSIKATRKSCFRKSFLECFTLAVLLGVSWVFGYLVLVTSGTMNLVFSILFCLCTTTQGLQIFIITATTPTFRATMSRSVQYLSSASISFNNVKYRLWKNWHKNHSEKYRGK
MENKIKLIKYSASILSITLIFTFIGSSHGLQAKLKDPTLPTHTLAVQKTDQQQWILNSILHAPQRRIAIINGKSLTTGDTINNAQIIAINKDTVKLGSNKKAIILTLKSRKIKEPR
RCLLHIKSWHRGPQRCSHGEQGHRALLQKAILVNFTAGTVSRRCRLPVCSCGSGLSGEHISEEGHCWIGVDISRAMLDVAVEREVEGDLLLADMGDGIPFRPGTFDGCIRGEVWCFCCLSNCCTRLLTA
MPISVGHYLFIYLFILMPSGYVYLVFENEKSVRALLQACSQDPFHPEDSREYYFKMSSRRMRCKDVQVIPWVISDSNYVRCPAQRLDPSKTVFVGALHGMLNAEALASIMNDLFGGVMYAGIDTDKHKYPIGSGRVTFNNQRSYLKAVCAAFVEIKTPKFTKKVQIDPYLEDSMCQVCLRQPGPFFCRDQACFKYYCRSCWHWQHSMDILKPRFQLNPDLRAPRHSTRHWGITVGNVHFCTCSIFAVHSGTMHSDLVGSRQGARHALKHFLRRVATDVSQPAST
MTGSPDTGRVQTWRPGRPVPAVQAALAAGGLDRLDREQLEPLIRALISEVLPPSQVAAGRGLGARGARRVLDWLEQQDGVTWRQRWDAGGGPNGSGWNAWLQAMSGTTRNVSRMAIDALIVLQAIRPTSRWMLSMSRARLWSLWTTYHDADLFDRIRNLLEAGTPAIRVRVVTLIELSRMSISSGRSLAELRAADFLAEREVLIEAGMRGAMYVAWTYARRAGLLAGEPFELGEVLRVRQRTPAQLVAHHGVENPAVAAMFVDYLTERQTSCDYSTIAGLARMLLKLFWGDLQRHYPGKADLALTVSEATQWRQRIATLPNGKPRRDLGHVVSAARALYLDIASWAHDDPARWAPWARPCPFNGRETSRMQRQNTQRQTAAMAARTRSLTMVVPQLVSSIAETLRIAEKRLRAATGIPAGEEFLLDGQAWRVAPNTHTGGYTRTQVFVLSPDGRQLDLTAEEDAAFWTWAAVEVLRHSGIRIEEMLELTHLSIRAFRKPDGQVVPLIQIAPSKTNMERVLPASPQLTHALSRIVARQLAHQQAAPVDDRGPMLPLVSRRDEYELTYSTPLPFLFQRRLGSGRRTVMSGAFVRNRLDKAAVAAGLRDTDGAPIRFTPHDFRRLFLTDAVTNGLPIHIAAQLAGHDDINTTRGYVATYPTEVFAAYDSYLARRRAQRPADEYRSTTPEELQEFAEHFGRRRVELGNCARPYGTGCSHEHACIRCDYLHVSADQAGRLDAIEQDLHKRVDRAQQQQWFGDLDQLRVTLEHLKAKKEQVALSPGSDGLPIDLLLTAPPMTIPTGQPGVAVASRRGSGGCAGPAVLNHHGVA
MATQVMGQASGGAGLFSSSGNINMALPNDMYDLHDLSKAELAAPQLIMLANVALTGEVNGSCCDYLVGEERQMAELMPVGDNNFSDSDGEGLEESSEHHTRAGDNERVYKCIICTYTTVSEYHWRKHLRNHFPRKVYTCGKCNYFSDRKNNYVQHVRTHTGERPYKCELCPYSSSQKTHLTRHMRTHSEREAESKTTLALASASATVNESQEIDEDEGIHSHDGSDLSDNMSEGSDDSGLHGARPVPQETGSKSTKEALPVKVVEGDFVCIFCDRSFRKEKDYSKHLNRHLVNVYFLEKAAKGQE
MQHRRKRRRLGCLVLTVAIVAMFTVSSVVKDALQHFASPYNSESVFVYNLSDQVEVINIQGDKKRAPASLVKIATVYASLQHLEDLSVSAPVDQASYELAVRNDLAMAGFVPGERTTYRDLLYGTILASGGEAANALATNVAGSSELLVPDMNRLAKELGLRNTRFTNVEGRDEFGQWMSARDIAYLTEAALQNGHFRVLFTTSTFTTSNTSVHPSGIRLEHSVFSKVNLAPGSDFRILGGKSGTTKKAGLCWVVLAEKAGKQYVIVTMGCPYDDINNPGDGHIQDTLRILRDL
MKKKIVFIILLLSYIGIIITVFYTKIVKTEVDIPAVKVTTYAKSYIAMETNTHRVLSASNEKTRMLPASITKILTCITCIENYNLNDIIVVSNLVNQCEGSSIYLKVGDVITVKDLLYGLMLCSGNDAATVLAYHLSGNVSDFIYLMNRTAKKIGMNDSTFENPSGLDTTTKNYTTAYDMALLMSYAMNNTVFREITSTKNYVANLLDNKKMYFSNKHRLIKGDVAIGGKTGYTKLAGRTLVSVFEKDDMEIVIVTLGCGNDWEFHQNLAKECFNTYHNKQIISKFELYFKMKKNKNYFVKKADLLVPIAYCEKIDYRVINNNENLVIQYLKQEEVICEKVLNKRS
MRKIISLALIGCLLIMLQPTFMTHAQPGVSAKSAIAIDMDTQEIIYSKNIHEQLPIASITKIMTAIVALEHKELDSKVTISNEASRMIGSSIYTQPGDVYSIEDLLYGLMLRSGNDAAYAIAEHVAGSEKGFAFLMNEKAEWIGMQNSSFQNPHGLDEDDHYSTAYDMAVLTSYAMNTSDDFREIFGTKRYLSENVGYHWMNKNKLLMTYDQVCTGGKTGYTSIAGRTLVTTAEQDGSEVVVVTIDASDDWNDHRLLYNYAFSHLEDRPEIPIFFGEEPSEPSLWERFMKSFRYLQGAI
MNILPNILKLFDYPQKKNRAPLLALSLHYDFYNFDDDGRKLTSNGVAGTFALSELVARTWRIKENTAIARVPAHQDRPLPQPSPTLHDSPQLSPLLSPTSPEAATAVEHGEGGGDGF
MPDSRDITIGMIWMYAQGLYENASTRGDEIETFEKRVLPWLKDLVSASIGQAAYLTHMLNSDCRLKGRLKQEIEKIHTQLLQSKEAVAYIQGTDALDDFSETQLARYGSHFKPLREHKPKKFERMMARLEKTYEKAQDLEPVLKALAKPTHR
MRRTAAVLAGTAALSAVFIPQAVAAPAEIIAPNARAAAEVNFNGALERQKNVTASHRVSQGLYCVIVKPSANINLASALILANSGPITTSVSTTGKPTMACDNRRDAITVVTLKDGLPVSSDFTIAVL
MDNSVKPKSLMEAISDKFALITKEKDMQKVRESLQDVVNADGIGVATLAAWLHGLAIGHIPLSENGQSSRNADIIHDPESCPYIFVHVPTRVFRSNVKELTERKIMNAKVDPAWLIYHEDGLIGTFSFPEHPSIKKDDVRLALASLEGQGILTRANINNRQIQFKNSTSRKVIEGHLKNYNEEVYVRVQTQASADIDGESIFLPDHLNSNENRKWFQKIIRQKSQCNYCSVQILNPNEATLHSAPFHHSLACSSPEKKSGEPAFLETVRNYQFGFTYAPFGDPQEVCHFLAWDFPNINETVMNMDPQPYSFSDLIRLVMVIHSNILAFASKHDIEEVVSIAGACNHWGGNTIYHQHYQFFCIPEIPLLHAATEDAPLTIFRDVMVKKYKWAAPVYRISAADGKQASNQDIMFVADRVAREWQLLNDGVDASYGNGIEINNHTQNIFASITDGAVTAVFIPRHRGKLSTSKEKNRIQKINAGTLEMMGYFIIDEKSQFDMLDNEPASARTELGNSWLSELAPKQTSVESFEQRLHNCLDGRVVEFEKIIEDALHLQDSWSACYDMCAIWQEIKVSKLDDGQRFYLRNCINDQWRKRTGQILIPVGQSSHSEKSPSRLLQALNTNAVIQFRETAAG
TVDTYSGDKRTAIQYSVTFYKSASPANTLNRLGITNPALLAWELLPYSFVVDWFLPVGNFLSTMDATMDVQFYCGYKTLYSKSLCHMERTVNGLSTSNIYENTRWTEMIEDVWVERTVLPSFPSPAFPSFKDPSSIEHMLNALALLSQLFRK
MENTTTKNIQSTLNSLQDCKIFWRVPIPFCSRKTGSKIEFSLVTPTFHNFPFLTAPFDSKVLT
LQGFWLGIVGLALYYRQLQHDFPRALIFSTDDFFFREDGAYEFNPDFLEEAHEWNQKRGDKLLSALLGNPIVHLDCSCCKMKKFCGRRLI
MEFGNVVAVLLVLAVVAVVIERIINFRRNRPRPAPPMTAEEWAAAQEAARIEEERADNAFWEANRPLLKRLMQEIAQHSNRTDLRLIEDSTTYSMSLRGRAPTNGEYAFVTISTRQQQFSYTARVPSETGRGDQESASVVMATVDELRAWLTDQWTYGE
MAAAIHHSDFSDAPQGYSTLDDDGSVIKNPCEARMATMVHHNDTSDSPQCVGRFFESRKIAEEAARLFKAAFAPLLHQNPRNPLMKTPKNDGTSRLKTKVSLFHLE
MSLKAVNTENVSDDVVSESSGLPLVSPEALKSSILCPSQKENVPPKGIVKPRKVRYCPG
MMTTNRKRHRKRKARPVSKPLTLSQDDTLVLTCNGPLSLKGIPGQEVIARGVAALDWQRDGQQVHVHADGPLTMAAPQSVRLALEADGPVNVKGLDESDIEVLSLDGSLRINGGASVRI
MAILLRPIELAVNQALKQDPETRERLNTFENRVIVIHIQDWQQYLHVSFHQSAIKLTHNDEENADLLITANAISLLKLAKHPEHLFSNEIHIHGNVQFAKQLQDVLDGFDFDWEQQIANVTGDVIAQPLNYAIKQGFSWLNATQRSLSMSLSEYLREEAHILPDKIQIDDFMSDVDKIKADIDRLEARINRLETHH
MIEPTFLSVPELAERWGASSRQILEHGINRALPILFAFEGLAFDQADRWLMSHGAHDEAMELEAKTKSVESSEAHLRRNAAGNVDEFTRLSQEEVVALRQATNANQDRIRELSDLLERRDRTRLDYRFMGYMRAPPRVLWELMQNEETPFPHLAFHPLSDVHLVSIDGRTVWEGRMMTLEPDITGAWKGRLRISDLLIPWASVKALEAAQKSIKEEALTTDKDATKPVSRMKAQTAAILAEIARLGHDPKALPARSPGKSGVRAEVSKAMSERPDLFSTNSFKKAWEELRALGEIADDKSGAQ
MLDQRSPSTTVAPLPESQAYLPSLVVDKTLTESGVFGESVLQLHSQDPVEARTDAEIISPEATEGYRSPWPEEVSTDGVCHHPYWLCSTCQQIDLGVLGMRGDGSCDSDRAVQLGHGLGGVKSGRESCDFCDVIWRSLGFGIKRLESDFPDGTGLTLELGTLSYEMRGLDSDQTNAHRMQMTGKGYGRSATNAIRASTRLSGDLIRLVNATDVQKPYAALSYCWGDSNHSSSPITTITSKFDEFQRKLPL
MVHPVSSAVGDADRIVEAVNAWAEAFQSGSASADAAELGRTVEDLLEQDTSYAEQNAKRLRLVCDTLGTGDFENKVKIVDFLLGPLDAIINKMLRRTTVLKQLRFNETRNGETLQELKDYSRLVFLSWTSGTLGRETIRSFLSNLQSLDLATFCHDSDDVSMSWTCFELTLFAMSDVWRRCCHAVSSFPWILFDLSSCSESDFCTKWTAFRATMQRCPECVDAGFSAPLLRALDVAALDGRDRQERVKDVQQLLLDIATFTPLATDTVENLHGQNQSRLFVWRGRARGSPAAAEISVLSALASEHLHLKTLIMPATMPSSFRIAQMQRNIGRKRKAADTVLPHAKTRLAAATCVEPRRLSGWNVFLREGMQQFSSTELSQEEYSAQSSALGRKWRLLDQEVREKYTIKANYEQTCRDELQTRALAAGSGWQKSSTGSDVPVGDVQSADSLSTSQLEKVAGEHFCKKISAKRLVLNDEEHTADPRWATYGLSLQDRHGALRRDLIDLCTPQPTVDAAVHNCMHANLEEPEDGSFANDKGIPSSTCHKLFGYCQLEKFHNFSARFAKLLAKETVQRKLPAGSLLRLQPMRGGSALAIPDDQAFFLGVLCQKPLTQVLVKAWPVTSEGGAVSFAVTSGSGRDMDGVLLLPQFHTSFEIFRNLAVLCDGAVDGITVEIIPCSFEHPLNQWQSMQQLKVVVSSQPPSTFTLHATREEATTSAAQVQLPFGLKFPKKKRAKAKPKARTKKPGPARARGRGRGRRRRTGESVSSKSSKLESSSAASAKPDNDGSDSRSGSDDGADGAGEEDEAVGTLMMPTDDARNEERELALAAGDFEETQQKKAVLADAHRTGGSYFSKEIGFDEGSLAPTGRSKCLHCSGPICKGAPRFSYFWHERRPSRYVHDTCVRRFVQDDVAKEEQAIVAMRQIVRSTPVPEVKSAAERVLSALLPEASASST
MTYQLICGAEIHVELKTKSKMFCGCKNDPFHAPKPNLYTCPVCLGLPGALPVPNKKAIENTIL
MGELEAVIGLEVHLQLKTRTKLFCRCPVEFAAPPNSRVCAVCLGYPGSLPVPSREAVRLALICAHALNCRVNLTSSFDRKNYFYPDLPKGYQITQHYTPLAE
MKLFILVLRCLQSRLCALFIFMSFLLILGKLFHERRALAYKSMFKNGENFMFFHRPYHRFTNSSAFIPGMRLKDIEPSQRNFCNFKFGDTNSFKIDESDISLTPEHSENGIYRVVYNVIYGDSYHNTSEESRNNVTYCTHITPEFFYYMAEIVDRWEGPISISVFVPSTDASMTLCLIERLCFCLPGMSRVAVHFIFPVEYPPDHWKCEPSLIVPQKCSVPEVVAKEKLETFRNREGLVYPVNVARNVARVSARTTFVLVSDVELFPSRQLVSRFLAMLQRLKEKSGKDFRTLLKYFVYVLPVFEVESDAYVPETKSELLNLHSQNRAVYFHRWVCLHCQRFPGLQRWLHRKLPQLDRIVQV
MNKRAAGVKAVTLADIEELGGLNFLKSCERAERRNLPSIARKTALHPEERSETKAVGHPEWLATDAPTSFFEDEKARRFLVLHVSQERMDGRKT
MKHTLSDNQTPTRCATRNRLAPTETTKSNRSGGYVTNHAGPRAPRRCLQEEYDHGTSPPSDPQIKFSPGARRRRMSTTTEPTRRNTTSANAATVGQCTNWTGIVSRSPAPRVAKRLASGADLLLLLKSWYPFAATFEELVPCRWPVGCRVLVRGVLFRAFLGESWELSARVCKPITPTAMATRLLYTVLFRVGTVHSVSQAIEATPLDSSESSFDTYRSPPRPLPYDDPRFSPPLRDWFASRQDPSSHSPEESEPLRPNHDEEIETMSSVDKPSKTNYDTKMKRSSSAYGDKLSRKESGNYFTYFSPSTEDEDVCPTCLEGNAH
MNDQQILAKLAKSPQQGLALLMEQYTGLIWHIASRYLHDPEDIKECVNEAFTRFYFQRDKYDPGRASLPLYLSVITRNLAISRYRKEQQQTALSTAAALSCPEDQQISLSELRADVEKAMETLQPEEAALIRMKYYGGMTTKEIAASLGLPYETVKKRHHRSLKKLRRSMLLSLILLALLLLSACTYCVLRHYNIIPSLWEILVGEGEPPEEDDKNGKDKPRPLFLSSDRPLSQPDSGTPEAVSREETGSAVPGDSFSSSEDDSWDIPHSWVDGYGPVLSPDTTAYSLAEEVHFETEYVTGILEDAVYAEGNLKVSFLIQAREGSFSELASRYFPNLSYLALLPDFCSIYQEDLLLADTVSASTRHTGPDYQWTCLVFSGVDLPRQDSSVELSLTSTLSQESLAQIPCELGIPFTLTPAPVQETGNLLYELGDDYSILAVPRRENGSLVVSLCPFSADGVPAILSSLIWGSYGSKGEGEIALAGEDGSVYTGTCVQYSPGATTKDYYDWDFGPVPPGNYTLHIPYLYLSSVLPEDFSIPIDLETCTWEDKSWTVGSGSITVDSVTPIPLVPGQRIPGTYVSASDCPGERAWKICLKPQTDTSGPEIFDLFLSQKTDFLPDSSHICNAGSSIGKSFLEDDPQVIELLVRLDTFTRDPSSFCLTSDDPFHSGRDGVNYRWNVEPAIPLTVE
MLDGCPGTSLLLSLNVLPTGSASGRPLSIPRCPRSCIAWVGVLLADLAVPVKCNDVQDRRVALLDHVASHGRNEIILPLYLHCQSHIRRHTRRASVFCSRCSPAESATVRVWPVR
MKKIILSSLLIIVLILPVTVFAQDLNLNSKQPADPNLKNKMDSNIVARINGEKITKKELAQKANTNQLLMQINQIDQQFLQTLTTTESGQKVLKEYQKQQLDNLINNLLLQQKAEEKGISLSQKKKEKLYQQQKNAIIKNNNLTQEKYLSILKNRGFKNEKEYKQQFFKNPQLKVNKLIEEVVVSDLNVTKSEIKNFYEKNKEQFTKDDKVQPLEKVKPQIKELLKQQKRNNKISQYVKNIREKAEITKNI
MSDFSDVDKLIKYHLNHGNSLDIAQGVNKESISLVEAKLNIIFCEDYVHFLQRYGALCISDVCVSGVFDGAELEESEGCVHYDTITFYSEYPEVRSGFTVFNAEENEAYELLDHSDSKIYFFDPFSKSITPRNQSVPDAICDILETFK
MTDAQGLAVICDESGRLTALLRDDFTLPVAAGAALAEIVDTGDRAKLARFQATVNTRLAAFDWAINVMLAGRIETLRFAGAWVEGRVVVVAARTRADLVALGQQLPLGSSRELVRLRHVLRESARQLEERSAQDERMLDELTALNNELATTQRRLTRSNHHLERANAELRALYESLPLGIFRLQRNGRLAQANSRFFELVGGATPREWLAAVHRRDRRDVVSGLRQALRRGARWQGGYRRATTHGDGGHLRVELVPIGEGGGQPPGFVGLVEDVTATVALEDKRRELERQQALTELTAGIAHHLNSLLTVIIGTHEQLREDLPAGHPAEASVRLSERAGERAAKLVERLMLYAGIKPMPPGQAPVDEVLQRTMRQWRDGLPRPVQLECDLRARDVQLPTADTLLAEVIEELLDNALAAIRGNGRIRLESALKTTARGPEVRIAVRDSGAGMDPDLLARVTDPFFTTRDAATAMGLGLTLASGFARLAGGRVDIRSAPGEGTSVELVLPASTSGCPAPSTG
MPASSQFGASRRVHFDTSVAKSPCNEPTVTRNSVNDEYYLRMLFAEKKMWIISSMISQDKLEMSDKEHCEAQRHIQFEPEAKGIHEHHHMNE
MKLPCTIRSITSSHFRNSFRNVSSVIDSAQEECRIAEDKQFVDAVKRIVRGKRSWEIALSSELVSRRLKTVHVEEILIGTIDDPKLGLRFFNFLGLHRGFDHSTASFCILIHALVKANLFWPASSLLQTLLLRALKPSDVFNVLFSCYEKCKLSSSSSFDLLIQHYVRSRRVLDGVLVFKMMITKVSLLPEVRTLSALLHGLVKFRHFGLAMELFNDMVSVGIRPDVYIYTGVIRSLCELKDLSRAKEMIAHMEATGCDVNIVPYNVLIDGLCKKQKVWEAVGIKKDLAGKDLKPDVVTYCTLVYGLCKVQEFEIGLEMMDEMLCLRFSPSEAAVSSLVEGLRKRGKIEEALNLVKRVVDFGVSPNLFVYNALIDSLCKGRKFHEAELLFDRMGKIGLRPNDVTYSILIDMFCRRGKLDTALSFLGEMVDTGLKLSVYPYNSLINGHCKFGDISAAEGFMAEMINKKLEPTVVTYTSLMGGYCSKGKINKALRLYHEMTGKGIAPSIYTFTTLLSGLFRAGLIRDAVKLFNEMAEWNVKPNRVTYNVMIEGYCEEGDMSKAFEFLKEMTEKGIVPDTYSYRPLIHGLCLTGQASEAKVFVDGLHKGNCELNEICYTGLLHGFCREGKLEEALSVCQEMVQRGVDLDLVCYGVLIDGSLKHKDRKLFFGLLKEMHDRGLKPDDVIYTSMIDAKSKTGDFKEAFGIWDLMINEGCVPNEVTYTAVINGLCKAGFVNEAEVLCSKMQPVSSVPNQVTYGCFLDILTKGEVDMQKAVELHNAILKGLLANTATYNMLIRGFCRQGRIEEASELITRMIGDGVSPDCITYTTMINELCRRNDVKKAIELWNSMTEKGIRPDRVAYNTLIHGCCVAGEMGKATELRNEMLRQGLIPNNKTSRTTTSNDTSSKS
MKDNRTTKDNLQMAAQERQFKIYKEHVAQKLMQSDMGTPPDQRPQQLLESNVETLPDSDTMDVDSDTSTKAVAQDEIQTEVINRNNSEVPIPPARVTALSQGPHVGAAALSLGSKGQHSTPVSVVPKNPEVRAAGLESNPLERVQELEAILENMRRENELLKSKAAPEPDSFVQAMEKMSSKIDALSRKIESLEEQVSGAGSGRCLPGLKYSKREFISAWIRQLDLHPVIRSQQGCHC
MRAQLVSEPDMPSLTITGMTDRTRTWLDKLGNCPVALYVLQRECGPADLFTNYVRGLMVEAAETERTYQNYAHHSEDNATSIKHAFTGSRLTEFVKLRVVSAANGTLGANELHDITGNRSAQCFDTCGKTVQPGDFRVAVSKANTQSTVYYATDGSDVWFAAAAYPFSAGVEAISAAVVDTPTGYRIIVASQASAGKQGLVAISDDLGATWLSVTIGGASAGEGATWGGGLVALDHDHIWLAGANGSIWFSGDAGITWTQQEAGVLTTGSYLAVHFVDENNGMAV
MSMNKKEFRKNQIQKLQKLSKTWEKKLDELNLYKELFKTTEWEKADNVAITLSEDFELDTFPIISTAQQQNKKVLVPKTFPNRMMEFVELTPDVKIVRTKFGVLEPESENYVNKENIDLIIVPGLAFAENGARLGFGGGFYDKYLSDYRGNKVALVDRSRYFQEPQWDVDSFDIYITNQIRI
MTIHHAVQKKATTLGFTLIEVDGAVKAESDRVTVFADTAKEALNLVQQAHAFIAEYPWFILELDADEYVVFVGEDEISRSQDLAEAIATALEEQPEAPEDFDAPADELPGTIVPEKYKNEYKARGDATRCGDWLCENLDPYVTNTTGKRRNTNLTLLKEVALANGIDRDWPNLNNGQQAMNTRNMIRSKVKKTGQLVIPGSVVHSKEDLTLKNPEWSPE
GAPVTVVGVHSAKFDNEKDSSAIRAAVLRYDISHPVVNDRSMSVWAALGVSSWPTLAVVSPRGRLIAMLSGEGHRQDLEDLITAALQFYGEKGQLDGSTPLPLALERNKTQPGAAASPLRYPGKIASDVAGGRLFISDSNNHRILVTDLNGRFIEQIGGNGPALGDGSFDSAALNRPQGLTFSPRRNQLFVADTENHAVRCCDLVAKTVVTLAGNGNKGRDYRGGRGGSAQPLNSPWDVELDASEDYLYIALAGQHQIWDLELSSGTAALFSGSGAERNQNGPTPFTTSWAQPSGLSLAGDGSG
LSDQTQTFLEIANDTPYPIRLAGLLDAPSPARVEDLGRNLRLVPQAATGGRQLVIDLLPYGVSAIRVGAAKARFSDITPYPSDAVLTSMEARYHELSNQLARLNRGSGSGIGEPPNPGFESEPLAPVQPAHNTPGDPAASSASGQLPGGWKLEGEKDCSIAIDASNPHSGQGSLKLTAPVVPVSVSSGSFVPNSASSVIIQAYFRTEPQDSQVRLWIQGEVGGLPYLRRSEFKVSSAWELRAVRAVDLPAGGLDSARLRFEMLTPGTLWIDDVHVVGEAVPKAVRLNAQRTLLAALQAYRTQRYGEFARLAGSHWARHPGILAVSRQNRPAELSETSGSSRSGPAAASALSPGRTVR
MVMFHDGIENRPEFVRYEGLGSSEYSGRENVFSPFNITGGAGYWLAGDLDGPPVRPASEAPRRWLPGLS
MLLREIRQISSMPICASSVYVDDLIACYKAAANMVKIGNFDIFYEKEIKFSSKQIIDLVWR
MTNDLAARPLTWGAFQPFRFILAFEILIFHSNWRLAPQGYLAVEIFFVLAGFLAASRYDRPAKKPPSSAIASTIWKIYPYYFAGVVLTLVVFPWPALPDLLLAVTMLQSTGLNKFIVNGPMWFLSCYLLVSITALLLSIYIPRQWFLAGGAVAAFAGYAMILNYSGSINFTWEVRFGFLSMGMMRAIAGFGLGAVVYAVYSAYPQLHRMPLVVATALECACISIMFYYMVFAPVDASNYLAAFLVVSGFFILLLSNQAGAVSKGLSLINGQWKFLADFSVYLFVFHGPYLRIVDTLIPGEPQSALGHMLFWTIVAGASVATGLAVNATLHISSSHAKA
VTVERQMFPDITLLEDVCPAEGSFPPEHGRVFDHRADVRSHYEQQVGDKMRLFNNYIPGVDTDVPYLKVIQNGKFIVWNGNFTNGRYAFKSEASAWNDKGDVAIGLGYVPPKNTSIITTRKLPPGNYVAFTTWFHENYGHFVHDHLPTIAFLKTVVPESTKFLLVDAKITRKVLSVLDPHFLETRVMWIHSLDAVEVTGGGTLTVAVGNSLPANNGCCRLFDFLRQWMAATHPDRHRDEQRSIIYYSRTASSDVYHGRILDEEHEKDVIRHIRAKMQQYNRPERLVTFTGQWNGETMDVLNQFATFRTASTIIGPHGSGIGGNFVWTLPFADKCQDRVQLLEFIPGPDSAYVQALYASYYVNWRKWPLDYNVVLYTNQSTPMTTFIDLNALDSALDYMWGGPFGKKFVTSSTSRG
IYLIWFCYQEPYKWTQEKDRKLIEMVEQFGDKSWSHIAKEFVGHTRSHVRQRWLTITKFQQNNPGVKDRLPISRNIFPKRPVLRSVKALEKTRNMVNLGRSNTVLSDEALIEVRDELGLAVRKRPGRKPGQKKALIPAEEGFIDFYRVAYQQPPGRRKGIYNREELLNEANCIKHFLEFFGAVLHIPTDESAIGADVWNEGYHNKQILEILRDGDIYDDSILIKMETHRKLKLRQEKKRLYSLRCKINQKKTLTNDNDNTDIDLENSPVQDSKPSQIVSQTNIYNDLDTILGISLPKKKCTFWLAEHVKNSGINIATGSATIVPSTSSQSATTRNKKASNIKLVESSPVDNVNVTQEKPTCSSVTVKQNNVSPKQMVPSASSLASKGKTLSSSSGFDASSSDFENVRQKVILRNKSQSHIIWSSQSRDVAFCLPPNQTSLIGCRTLLLCRRGLSKDIENFHSSQKEGTTQNESPLLDNSSDSSDLDHDGDTKQYPGKDQGRQNFEEASTLFKKRFTSLFLIPAIMSNRKPQCTDLFSNDETIDTFTDLILKNDDSESEESKGKMYHKYKGQKQYKKKSRASFKKSDKTCVVDSENTSIDLSDSVHEQDCMKDVSPKKLKEKQEATHKETLYAL
LPASIFALLLLPLRPVLHLRQRCGTEGLAVHRQRREPVEQGIVLRGRRSGGSRPWRLTRASISSSSTPSVRKCLATLSFRNPNAAQRQELPLNDDITPLRFRGRAIQ
MTRDFQPLDFEPTADGPAVSKRIYDELSGEVRGSKHGNRFDIRDFTLEPQNGDPSPLNVDLPYAQPIPTTDEERGEIAELAGSLIMPEDDWGALVEGFTEVYKRDDVSAHLASGGIVKFIDSHRTYTGQVAQQIGSWVSLRSMDVVDPHEIQHTIISRVTTLFRLQLIVDLFEDEKFQITHHDGAIVEDILLRYGGGLMTLPNSPSGNRLIQMLQDGVTTRRGIVERTKIAYEHIVNRGGSDGQIIFEGSSGAENYLKDIKGVTHRMIGEVKHRTAELTTNYNQVEGAERVMAIPVFMESDPYTNDPENPIEPKPTAFAFLEPRFPKSQREFDLMMAEMAAVGTVIKASGEAPYRYAKTIIGRDLNPRVVFTNRPVAAKALGE
MECALGAVGRQRVSAVESALSNIDVLGHLATFLEAGELCQVRATCKALGSSDESTFDGLSMAEEAARRIFESASDDEKAMLPRHNGEGWIELYHHLLMFRARLTFDQLVGRNIEYQEGDEAA
MTVQDAATVNASDSALRQGRTLASSAHMLSPSLGAEPGIHLGVYLRTVDEIPWLRGFACDDLRVFAPENRWILAH
MPRERRTAVKFKGDPDGPGDLKISGQPESPSSKKRRTANTPNQGVSNLPDLAPPQPLTGFGETIVASNPFDDTIPSTPTTTVPNMTASNMTHISGPPMHTKPVPMSSGKLYPPDQPMVFNPQNPNAPPIYPCGICHKEVHDNDQGILCESGCNFWFHRICAGLSEAAFHLLHAEVYAEWVCDKCLSTKNIPLVKFKP
MRSKFIPGIQLCGQFYAQIVQPLLENHFPQLDYSAALLGDGSETLGYDTPMSSDHHWGPRVMLFVREADMALAPHIRQMFAENLPYTFLGYSTHFSEPDPNDSGVQHLTVVDSGPVNHRVTVQTTRSLLADHLGLISAENLFPVDWLSLPQQKLLTLTAGAVYHDGIDLEVLRKRLSWYPRDVWLYLLAAGWARIGQEEHLMGRAGFVSDEVGSALIGARLVRDIMRLCFLMERRYAPYPKWFGTAFKQLSCGAELYPTLKKALAARTWRSREKYLIPAYEHIARKHNALSLTDPLPEKTIQFFGRPFEVIALHGFADALLAQIRDPQLAKLPIIGGVDQWSDNTDLLESQALREKIAGLYL
MDSAFIPGLKLSEMFFQEVVKEIIEAEYPGLKYTAALIGPGSEVIGLDDAVSADHHWGLRLFIFLGLKDYEMHSDSLKKLFRKKLPYEFKGHSTHWSEPDPNDSGTQLPSLTEDGEINHRIEIHTVNNYLKEHLNLENLELTDFDWLLLPEQKLLEFTSGRIFFDTLGDLRTARQYLTYLPDNVWKYKILSEWEHITQEMAFAGRTGGIGDELGSKIESSRLVRYIMRLALLLNKKYIPYPKWFGTTFKKLPIAQKLEPILLAILREEDWKERDNILCKAYMMMVEEQNKLQITPELTVRPKPYHTRNMTVIDTQKVVDALKKAIKPPLDTLPPIGSVDQLLDVGGGLSSKLAQKTRNLFEN
MMEKSPALFVTRQTSISEDKIMTKGKTFTVYVVVKNSPFQLAVSLKNCEVSLKHLSFDILLIYDMPDYNKEVAYVSTKPVDYKTAINEKGDEIVFDAKISALSSQHEDSFFRLKINVWDPNSPHLQLSALSHPVKVISKPVNQRKPRAKKTASTPVLRKQPSKSKLSQGSSSPDDSSPVSDCILDATSHSHELLTRIDAQQQETVSLLHQLIATSSKRPRTVFEESAPHNPYPPPQYPNQHIKNYPLDAYRPLPGAPPFRPSPSPASDFESSFSAMVRSFSLLSTEEKQTSIFKILSTMSAYETDQIEELIDTCGAHCRKPHNLPAEYSFYSEPGPNCDVQFRPESLPYDHFYENMF
MGDVTAPAAISPRELATERGSIRKKPKKKAGTKPVKKPARKARKVQVAEAAAEADSEPAELSQSARTPVSPTPPTPPVSPPRAAPASVRVAAEKLDALLAQSGELLVARRRVQSRVDDIDELRQMVAEYRTEWRATVKPLQRLTNQGTQASGEDVQRLLETVNLNRESLTRLERGLERVGTQLSRDGGLLKQACTALEDEVHRVRMLPFAEACGGLDRAVRDVARTTGKQVKLVIVGKDVELDRAVLEGLKDPLMHLVRNGVDHGIETPQERTAAGKSAKAQLTVSAALHGAQVEIVVEDDGKGFDLERIREKARSRGLPEPENDRELARLVFMAGFSTASIVTDISGRGVGLDVVQSQIETLQGSVDLSFVAGRGSRFALRLPLTLTTTRAIMVRAGQQTYAIPTANVQQIVRISLDEIRTVGKRRVLTLGGPPIPVARLTDTLGMEGANDQLEDSKILVVVMGSGHTSTATIVDELLAEQEITIKLLGRRIRHVKHVSGGTLLPSGETALVLNVASVMRTQFNREAATPIVVRQTKQENDAPRRLLVVDDSVTTRALMQAILESAGFNVQTAADGRFALEKLDQTKFDLVVSDVDMPRLNGFGLTEAIRKSDRLARLPVILVTARGTDEDKARGIQAGADGYIVKADFEGNNLVETIQQLL
MGGFDLSAFFGQFREETEENVRALTTGLLALESNPGDREAIDTIFRAAHTIKGSARMLGQVDMGRLAHTMESLLSALRSGMLAMNSSINDVLLASVDVLLVLNSQVNEPPPTDPNVDRLVEQLNALAAGESLPAAPIVAPVTEPEPEPEPVVLEQPKPEPAVAKPAAPAKPKKSASAEAPKSVSSTRSTVRVPISRLDRLLNTAGELVVTRQLHLEHVADLEALDKLLTKSERLSQQLSERLTGQRVTFQQRREASELASQLQNLAQSTRNQLRLLTERWSSHSAASEALVDELEAEVMATRLQPVAGLFAPIPRAVRELARSLGKEVNLITEGETTEADRKVIELMADPLVHLVRNALDHGIESPDERVKAHKPAEASLRLEARSLGGTIEIIISDDGRGIDPAVIRATAIKRGIIEADTAARLRDEEALELIWQPGFSTSAIITDVSGRGVGMDVVRAAVTEVGGRVDVHSVLGQGTTFTLILPITLLTTRVLLFDVAGTTYALPSTACLGGRRVAGGQIQTVEGRPTVRVDERSVSIVALAPLLEQRGPLPQPSDISNLVILGPANRPLALLVDKLVDEREVVVKSLGALLHEQRLCTGAIALPDGRLVLVLNPLAIAARAREWGKPVALPAPTKLQPAKLLVAEDSFTTRELLRSMLQSAGYVVETAINGQDALDKLNHNSYDLLVSDVEMPLLTGFELTRRVRAHDRLRQLPIIIITSLARDSDRREGLLAGAQAYIVKSQFDQSNLLETIHQLLGR
MGREQLLLDFIPEGFELIEVCESAILSIEEINDRSGDYDEELINNLFRAVHTFKGSSGLLKLESLVKISHEAETLMDILRKEKLLPDEDICQVLINTCDQLRRLLQKVDETKSNPELDEESETIIEVLKREIKQLMQNTNVSEAEVKTSEPKKKSYEIFGEESENVSAASVIKKPAFEIFGESSTPTPPQSEEVKNPEILKPQINTEKQLQDSVTQTKTPIDKDGMNAPTAVHKKEIKVANEKLDALMDLVGELVIAESNVTQHPMLKSLRNEDFNSSINRLRKIVLDLQEVALSTRMIPISGVFHKMSRLIRDLQKKANKKVALYISGEETEIDKSIVDLIADPIIHILRNSIDHGIENTEERLLSNKPEVGSIQLSAKQSVNEVWVMIRDDGRGLNREKIIKKSIERGILSGDTDQLSDKEVYNLIFVPGLSTAESVTDISGRGVGMDIVRQNVERMGGKIEIHSRYGMGTSFILRIPLSLGIMEGTVVRIGFKFFTIQTTELREFISLRDREEIPLEDNQKVIDVRGVFIPIFDINQILNHREEIPYDGLDPLMIVLEYEKKLIGIRVDEIIGNQNVVIKPLQGILEEANGVNGFTILGSGNVSLILDVKSIFQKMQRID
MNPRDPMLEVYLYESEQLLETLESTLLQGEKDRSLEDEQINEIFRIMHTFKGSSAMMGFDSLSKLSHAAEDLFSQIRERRPPAGAWGTIFDIVLRAADILRAGIVGLSAGRAPEADDPASHALIEEIHRILGGQAEGGGPSAAQEAEARAEEDLSYDPDTPFYKIRITFAPDSQMEHLRAFGLVNDLAPHCVRLAHIPENLMDKEACEQVASGGFLLYVQSAENPDDLKALLDHVMFLQSASIIALEPDSDELPESLRPKTGAHPAARAQQTAAEAVRTPGADALAKQNFISINVNKLDKLLDLVGEIVTTESMVTKNPEVLRLNLESFDRSSQQLRKLINELQDIVMSIRMVPVSGTFHRMNRIVRDMSKKVGKEVDLVILGEEAEVDKNIIDHLSDPLMHLIRNAVDHGLEPAEERLAKGKPTQGKITLEASNAGGDVVIYVTDDGRGLDRSAIIKKATERGLTTKPEADFTDREAFSLLFLPGFSTRDQVTEFSGRGVGLDVVRRNIEKVGGTVSIERASGEGTSIQIRIPLTLAIIEGMKLRVGDLMFIVPMLLIQESFKPNLKDVFLDPDGNEQIMIRGVCYPIVRLHEIFDIPPEFTDLAEGILILITTENCSYCLFVDQLVEEQQVVVKPLPTYLQRHNDNMHGISGCAIMGDGSISLILDINSLFVVQ
MNASSSDQLVRLVQRLGDQLQAGRSGAAALGRAQASASELEQQALDADLALLAGAAICLQQRLDQIGASPMADEELAALAQAWLAHGPQLLRPDNDPALDRAWHSLQPLLTVAIEEAAPPAPRSGASASAPAGPADLPLDTGDFLASLDGGAAPPARAAAGPVPQAPAALDSAAAEDEHVPPEIVEAFTHEAREAFESMEQSIIAWTKNGGRLADLSNVYRLAHSVKGAAYSVGLRPYGVVLHRLEDLLEELVEGRAQAAPAALSALVLGLIDRLRADLASGEAARPLWRGISEALIARVMVVRASLTAIASAPAPEEPQTSGSEPAPAPAPPAAPAERPAPAEPPAEPEPLPAAGDNRPEQGTIRVETAHLDKLMNLVGDLLINRHRLNRKLQQVTSLRGELVRARERLLHVVGDFNTHYEFSQRRQSLAPLAADGFSELELDRYDDFNILARSLVEIAADAEEIVTQIDGHFGSFSEEAVQFTNVTRQLQENVAHTRMVPLDQLMRRLQRAVHDACSSEGKTVDFVAEGAENRVDKYISDQLFRPLLHVVRNAVAHGIEPAAERAAAGKPAAGRLLVRCHTEAGRLVLEFSDDGGGLRREAIVRVARARGLLGPKVEPDAAQLTEMIFQPGFSTTAVTTDVSGRGVGLDVVRQELTNLGGSVTVSSRPGLGCTFLFTLPVTLAINQVMFVQCGERSYALPINFVERVVPAPASAFSQSGGTEMLRLDSRQVVPAIRLQTRLGLPGAQQAATAIVLTVAERRTALIVDRIQSKLDIVVKALGPLLGRHPFFSGATLAGDGRVIFILDVPRLLAPAPAVAPGANADQFVPAAAPAAAEAPPATRSSTVLVVDDSLIIRRIAAGYLTQAGITVDTAVDGSEALEKLRANAYALVVSDLEMPRVNGFELIAEMRRQPELAAIPVIILTSRDAAKHRDRALELGAADYLIKPISRERLMHAVAQQMERAAPVA
MDDLLNEFLAETNEGLANLEKLMLDLERTPDDAEIIRTIFRIIHTIKGTCGFLGLGRLEAVSHAAENILSKMRDGKLKVNAVIMGTLLVALDRLRAIVSCIEQGSEDKFLDEDQIIVKALNDCLTLEAQFDDAMHESDKPVLEPIKTDPKKQNVPVPHQYIRVHVDILDNLINLISELVLTRNQLLQYSQSSIDPVFNIPLSRLSYITSELQEEVMKTRMQSIENAWAKIPRLIHDLSKDLGKQIELVQKGGDTELDRQVLELIKDPLTHMIRNSADHGLEAPDVREKAGKNPTGTITLNAYHEGGQIVIEIKDDGAGIDPEALKANLMEKGLITSDEASTLTDWQIINYIFKPGFSTASSVTSVSGRGVGMDVVKSNIEKLSGTIDLQSVVGQGTTFTIKIPLTLTIISALIFESQGERFAIPQLSVTELVRVSKRSMYKIEFINKTPILRLRKGLLPLIFLEDLLNIKKDDKPLDQRELCIIVIHIGNTGFGIVVDRVYDTQEIVVKPLAKALNTVKFFSGSTILGDGGIVLILDQNAISNQLKDINGSENIQSLVPQTKGIHEKTLLLLFKDQNQNFAVPLALVSRIAEVCVDQLENVNDTWLIRYQEHLLPVTRFSGFWSAEQIRRNNSVTMPMLIFSDRGYAMGLVVEEIVNIIEYDLDIEIANKKEGSLGTTLINGDTTSIVDCEYYLEKYFPGWFDHRSVEAMEGVRKIRLLFVDDSQFFRSLFTPLLLMSGFDVVTANCGLQALKICREQTDFDIVISDIEMPEMSGFELAVELRKLSAFQETLLIALTSRSTKEDITYCMQCGFNFHFSKTQQKQLIEQIHELRRNILNQEYQVYATNES
MGQSLNAGNAASLPGKCGVNIPYKISTSTNCATWCIFKGMC
MGYRKSAIKTSVFIQMLKAILWIGFIVYLLFTMLIFIENLPLEFLKTFRNWLLFSHTKTTLIVFLVTTICIFIPFVILITTQANEKINRLLSCQSDIKITSEENKETERLLEKYIDKLDSIDVSLKKQKENYDNVKNECCKLKNQAELNLYSSIDINDNLNKIASKNKHLAKTLGFKKAWQKYITSYKELYDK
MALATVGLAGLGCTPSASADDQVVPLPGIFRGCSFTAKTFVPTDGDGRAFAVISPIHDGTVTAQVQIATARPGTQYVVRLIQTPRPSIGCGPTDPGVATAALNTDGVGAAAVTVQAPALPGTTGAWVSIDLPSEYSQTPLEHYTSDYIAPI
MSARASSFRRLLLLLAGMLWVSAVSAQMQAQTQAQAEPSPEGRTPLRLDFREHRGYRSWKRLLPTHVKVQYAGGMGVVSAGYGWDYGRKCRWETDLMIGLLPGAYADKTHTTFTLRQNYIPWSIRCCERLAIEPFTCGLYMTLISGEKFWIHEPDRYPGDQYYSFTSRLRLHLYAGQRVTWYLKGDSLLRNITLYYELSANDLDIIAKCGNRTLHLSDIVYFSFGIKFQLLGDL
MRPEFNTGTQENNVDYNDFTNIYPSTKNVKRKVNGRLRTIVGREDKGPLDYKKLLDNTMVTMSMMELYQASPDFAKCSRKYSTRINEKRVKKGKIATSVAEEEEEILLAEATSSSAGMRNFSDPTQSRFEESYPMNCNSVTSNQFPQVNLISNVTLKTTARKDRAFRVPGEVLLSRDGRPGRIYLNNSMVCADQGSDLVLISPQLVRILRLEKNTLSSPQNQVITMGTADGASHRITEWVSFVFVSGGITRHVHAFVRPDKGMTNDLFLLLGLPWLHSVKAVINIVKSQIRIGDKRLGEKRTTLQGPTFECS
MGTGSTSCTTTVQPGYLNILQMGDTDARHKSRKTEYEVKPQYEELSKQLNMQHAINQCYECMKAIKESDSKTSLSTGKSNQASIPLTANQPVESSVRDHRGPSAHHSSVVDTRIR
MSGIPEVIISLYDRLPTNGGSALASGTASWPAQGEYFFDAFWHPVAVTPLHTYYLLITSTASFWGSADGYSDGAYEGTSLDGFTQPPGTDFVFSTFADDGVVAEVSEPGSLALLGLGLLGILARRGVRYRLHV
MGAAAFLMVEYVGISYIDVIRHALLPAAISYIALFYIVHLEALKAGMQGLPRSVTRTKTQALMSFIGSFAGLCLLGAGVYYGIGWTRQYMGEYALSIITLALLASYLVLLKVAARQPDLINNIEDEIRSTPVPGPTIKRGLYYLLPLVVLLWCLTVERYSPGLSAFWATLVMVFITLTHKTLIDMFRKEQTTTHLKEGFLDLIDGMTTGARNMIGIGVATATAGIVVGAVTLTGIGLVMTEFVEWISAGSIILMLLFTAIICLVLGMGLPTTANYIVVSTLMAPVIVTLGAQHGLIVPLIAVHLFVFYFGILADDTPPVGLAAFAAAAIAQSDPIKTGIQGFTYDIRTAVLPFMFIFNTELLLIGVDSIPQLVIIVFGAIAAMLVFATATQGHWLVKNRWYETLGMLLIAFTLFRPDFWWDRVYPPYEIYEGHTIVTQVEALPDQASARLWVAGETLEGKQVNQMVILPAGDQANAEDRFRNMGLDVLVDGDELVIDMVDFNSEAQKVGIDFDWQIHRMEVPADRLPKQLTWIPAFILLLFIFLGQRRRQSQF
MGVASVAPVIAHAATKPVASIDCPPLALDGPGPHSQVLIHRARTEKVTAGPAEFGDAGR
MRRWFVLVVASVMTFAGLMASPAQAAPVEESTPAPIGRIGETLRVNFEGLVADITVNSLDPSPVPPGFGYPPRPPRYQVWRAQITVHPIELPTSYAQIITYQFRGVTATGDSYEPRNTDAPDALALALTNAPAGSTVSGGVWWDCYRDLISNVVLLDKVTGQHLAQWNVS
MKKSDFCVVFDLDDTLYLERDFVRSGFRAVGKWCAEKLRLTGVGELAQALFDEGRRGDIFDAALEHLHSTWNAETVEAMVRVYREHVPEIELPEDAVQCLRQLQGRVHLCLLTDGNPISQVNKLDSLGIRSLFESVVVTGKWGSEFFKPHPRGFRSLEAEFASLQPHFLYVADNPSKDFFAPRELGWNAIRVRRSAGLHEYKRCPARLVRCELPDLTRIPDLIREIS
MNRTIVFDLDDTIYLESDYVQSGKRAVAGLIRDTVGIDLAQDLLDCPDNFIDLACTRAGFPASAADALIWCYRLHFPDIGQRPGMAALFDALAARGDRICIITDGRAVTQRLKLAALGLLHVPAYISEELGATKPSPVAFQQVMQDFPGSAYFYVADNCRKDFIGPNGLGWTTIWLDPGPLSIHRAPPDIAEHDGNRPGHSIGTIEQLAQLLLHEQRMP
MSRWHAIIFDLDDTLYPEQAYVLSGFRAVAQWAAVHLDIPAVQGYAELHQLFQQGVRGDTFNRWLHLHSLDADALVPQLVQVYREHTPLLEPFPEIPALLDRLCNTCRLGLVSDGYLAVQQRKFAALGLGTRFRRWCSPTNGGGRRGSRVCSRSRR
MIRRRMFLVLSLALALAAGVSCTSGQVPSGIDVEGEVPPGNGVTEPPDGGAAPVDSAGLPADSGGAVPPDSAGGPAGDGGAVPPDSSGSLPSDSSGVPPDSSGVPKGGFGEGVVALLFCDPLPYATTTAVIGPNGGQLLVGDHVLTIPELALSDTVTITAEQMVGLVNSVRLSPEGLQFKVPAELTLSYKNCWNVDPAKRIAYTDERLRIIEPLASVDSSSSKRVKSPIDHFSRYAVAY
MDLNYLIHSVRDARAIGYVVVASATIMVYEWSILLEQEVSLIWYSPWSLVKVLYFLSRYSQILDIGVHFQEHIQTSADPKIYYISHDIATGTRPTSAIA
MLKVGSNLAADPPVCVLEGDAGMMTMFNMDASRCPYYDQLEFIDTDATECADPQFRRAQRQFKS
MRAGADVLAFGADVGHTPAEQAPAYRHLLAQVQSDPELRRRLDESVQRILRVKAQYGLFAWQPTDPQAALQILGQATHLAAAQEIAHASVTLLRDEQARLPIPADGALLVVWPKNAGNLGRALAACHPDVQTLMVSADPTAAEIDQVMRQARRAAAVVVGTAEARGRPGQARLVNALSQTRLVVVALQSPYDLLRFPDTSTYLASYGDAPASLAAVADVLCGVTSARGALPVDLPDLYARGSGN
MKLTPCTSVEDLQERNTRLKLQAVYINSGLLELTGEVARLYFRPAGADGGGGASLFQAVSAMQVHFTSCNPDPMRSEHGLVLSALLDSHDIELQAY
NKHPSSSTIANINLIPYHPTSSSTPSHETTTAAAPSSALSSSPCTQMEIKEISIPQRSSPGIAIELCIYTMLDAIFGYMLDATKFSTTTLVFLRIRKVLLH
MSTPKPMALPSQEALARLASEDPQAFETLRHDLVENCILRAPERLQLRLRQLQFRIDGIRRRAGSPLGALIKIQNLMWESFLRMDSELQRYARSTAAMASNDPAPLQRPRAQVIDFQSRLLARQRGAAPI
MYKYLLFFTLFYLNLTGNAQAIQVTVLDSTGKLPISGCTVYFPHSDQSYITDAAGRIQCTSCKSGLNPVKVVAAGYRIFYDELSFDAPNVTVYLLAKHLDLHEVTVSSGAMVLKNKNPFHVESRKLSDLNAISSVNLGELIGKIPGVYAAPLGNGISKPVIRGMQGMRIVTLLNGMRLEAQQWGGDHGIGLTSLGISGAEVIKGPSTLLYGADALGGVIYLVDAPFVPLGSRAVDAGVEAFSNSMGGRVQVMFRESYKRFRWLFALNYSNHADFQLPNGTFAKNSRFNDIGGKLNLSYSGKRGLYALRYTMSHSIVGIPGHTHDSTATPLTFQVEERGRNYELPAQYFQNHLLQASAHWYLDKSDLQLMMGGTANRLIEYDEKISVPSLSMLLSNTIAQFKWTYKWTSKIRSITGFQGMFQDNSNVKNPSDTLIPNAQVLDAGIFTSLTADFGKWNLQGGIRYDFRGLNVDMQGQPALNYYGFNGTFGAVRSSGKSILRANVSTGFRAPHLTELFSDGYHHGALRYEVGKKDLKSEKATQLDLTFERQTEHGVLLVNPFGNYVRDYIYLESLDTLMEGIPAFSYVQNNQVLFYGLDLGFHFHPHFAHRLHWEVSVSYIAVNALGDSSVSLIPQPRLMNSLRYELNLGKKVSLRDFVVQSTLMGPQNQVAFNETASPAYHVLDAAISVVFGKKEALTLKAGVRNLLNTYYIDHLSRLKNIQMPFPGRNAYLSVSYALTSNFKSSIP
FQSVGACTSVVVKPLPSAVYKRCVITRHKNTHTQRSPSAMETSSVLLVCLLVICSVWTVHGGSFEVNIDDKEQVEVDISVKASKLPGVCWVCNWALKKVKKQAGRNATMEKLTSMLNSICDQIGLLKSLCRKFVKSHLPELIEELTTTDDVKTICVNAGACKSKEFLYLLFDQMDKETPIEINEYS
MPVAPAKRVTALSGEGAFEVFVAARRLEDAGRHVVHLEIGEPDGATPPHVVEAGVRALHDGHTRYVNPAGLPALRDAIAASLPWRGVRGASAENVVVVPGAKPMVFYALLAVLDAGDEALVPDPGFPIYPSVVRFAGAVPV
MSNVPKPVGVVCSDVDWARDTNTAGKDLHCLTRGDSIGLTVVSEACLLSLIAVVYVFLRILRNVIWRLRRFPLRKFKMFHTPMDLLMFGLFFADILQAVGGVLDLRWIHLGKVEVGEYCNAQGIVQSIGETAVAMTTLTIAIFTFLGVWMGKNITSMFLTRVILAGIWLFIAVVVIVGNTVHRNPSKEHFQSPTPYWCWVANDYMPWKILGEYIWFWFTLGVLLFAYTPLFFWVRGNIVIHDPQWWKFHFQRADETDPEIRAKRKRAFVMAAYPAVYCINILPFSVVRWITFSHAEKNTAAATFAVTSIYGLSGLFNVILLLSTKPDAGLFGPRIPITSA
MEMMIQSTSASISAKSSNASATKNAAGTDKSGNTFGQVLGQSMNGQEAASGTGNTATVNVQTLIKSALATDSTGEISTDQLIEALIASLQEADGNIENNPDLLGQLQDWIKMADELLAALVSPQVSVQEPVNKLAESDLAADPGTLRFAVQDRLMQLATLVKEVGTAELQPPPVMNKSMGAEFMQTLQSMLGDMTEQSGTQVTKQSNAAGQAAQLIQTLQAILNKGNAVNSDSNVVRTMDLNADRAVQPTPLVGNAVKVTNENNSSLQQNTSNQTHSSLASSDDQPWLTLDNDKDVSPIVTAGQMALRAHDTTVLRPAAPVIQAQNFAPEMSQFIKQLDIVKLNGLSEAKIILFPEHLGQVDIRISVQNGHIVAQFMTEHAAAKDMLDMQMAQLRTALQSQGLQVEKLEVTQNQNLHSQMFHDGRQSNRGQGQGDQQTKNQAAGQEDGSISQLQSNMQEEQNIRLNGLSRGSSFHATV
MSLVFNMVSAGKSSGSARAAGAAAGGEVQGALLPFSQTLVQTLAGTAQTSAAAAETGASASLIQASPLLALLAGQASAETGQKVSDGAGLIAKLEPELDQLDEQLNADPALLATLQQWLVQAFVALKGSGDEQTEADTSSDLPPVAQNAGTVRFALQDALNRLVAVMEQTEGTDGQTQKAQVLTVLNQFSEVLNEAAAGSGKSQDAVMDPSATPTASLEDGANETGRNSAAEQAPRLVMPASDNARHAAVMNPAGHATLAETSETKNDSPKLAEAAVLSVKAEASGDKDSAKSEHASVGGEENRVVTAGQLSLRDGLNPSVKNETPQVPVQRFAQEMNTYIGGKMEVIKRGDALEATLSLFPEHLGQVDVKITMQNGLLVAHFATEHAGAKDLLENQMAQLRSALQSQGLQVEKLEVTQNTSTMQSQMYQDGRRSGSGQQGDQKRSKSRSDSQDDAVAAAELEDDWRELMNAVRNPFDSLRSNFTAEA
MSGELEHMAAGTGKGEERKEVQRKKQARFSGNDDADDDDDDTKPRRAVLPEKKPKKVKGAPPSADVSAMDLDDLGAEPGAAGGGSAGAAALMDSADPRVAAQARAQQRKRGKNKWGDTAFGGVEDVVGAEEDFQGEEEEKEAIEPFNLQQEREEGYFDAEGNYVEYREDTEATDAWLATAEVDPTLAAKAAARAEAAAAAEQAGEGGMGHAEMAAIRRRIADALNPGETVIQEVYSDKMETFQREAEGYEAILRARQGLLGGGAGEESTGEKGGGEERGNGKGGGDGVDIYYYNKEVGYYYDATSGLFCSAANGTWYRFNEATGEYEAVEGDSKHADAPHAAGADAAGDAGGVRGEADKAGPGRAADEGKTRLEQQRGKGRRLLLPLGMGKGT
MSTLDKVFEYFSSQEKDKHKFLTDDDLLRAIVPTYPPSESHLDRSGSLDGERHNTRPQPFWSKAHTGFFKQFDVDGDGLISYPEFLLVLTLLSIHERDVKTIFDVVDLDGNGQIDAEEFKAVMELLQAMANVHTSKVGRSHKLSIDSESGILVSFFGKDGDKKLYLPEFQDFLKKLHEEVVKLEFAHYDNMGRGSMAPVDFARSLVATADVRQVDKLLDRVDALEPELLRKRISFDEFLKVHQVERRLHTLQVALDFFAQVGRAVTKPDFVKLLKKLLNVTLPPHVVDIIFAVFTDDKGNLDSATFLEVMQRREIMWGRRKNSDTDGYPPGTLSRAMVCMRRCFYDD
MTPDDFARSITNYKGRPGEDLGSKNFKYNFQARYLAPKREQMDEYVALLQEVLADRRVTKEECARLVAARESLSIDAPSHLEALRRLDVTPGAFEALLEGCGASHRSAFFKLVDLDGDGLISYEEYMLFHTLLAIPPRRLALVFHMFDADGSGTVEAAEFDAFMQVLRHETRAGRLETPGARPPAHELSAYRSLFRGRPALSLPDFLAFARALREAVLELQFASWDADASGTLDGAEFGKFLASCVSADRSLRAALRARADAPAVRALTRPIALADFVAFHGLLESLDALEVAMAVAGTEEGVTLAEFVRATSAAMGGHMHGGEEGAGVSAAVAEALFAMFDSDGNGRLNRDEFIAVMRREKEAFDPPREIGVVDFLRGVAACARKELPW
MVNHPRELGGSDVSIPIRRFFAFPAHFILGVDTIGSDETVIRTDDRLGQRTGKYALDFA
MVLYDVIGKTYAQTRRSDPRIAGKLLEILASSKAHTIADIGAGTGSYAQVLADYGYNVLAIEPSAMMRSQAIAHPAVEWINGSADNLPLFDQAVDAAIVMLAFHHFTDFRQALREIHRVVGNGQIVLFTYDPAMISSFWLTEYFPSLIKDVETTFLPIPELIDEIQSIVVNSVNVIPFPLPNDLSDSFAAVGWARPELYLDRQIRAGISSFAKMDADDLKEGLSRLRVDLASGLWNEKYGYLRQQDQYDVGYRFVY
MNPIHWLRVLILALTPRGRATRRRHEESLRYLRTTPHETANRSEEARRAMRAHFRPKAYPTRAIQDRYYEQRWRLEQLQR
MKKDCWSVAFTITIDSLQVDFMELPEQYRIQILRRLFEGDTNGTLDHKEPMEYQKAVS
MNAFFSAAADAALAVAVALLVLGAIARGVLWAQLDDPRWQRLARQYLEPLSTWSVAGFIVYALTLVAAGEAATAPLAIALVLAVGAAVLRVEGEAEPRVAPANATAEGDGLAPGGRGVTTTAGDGFAARDASSVPAGHLWDGEAEPAAPGGLWAREAR
MNNIILSISDSLKIAWQGLLAIFIGIGAIYLVILLFSALSKKKKSKQ
MSQNRPQSGPYHCGYNGCTQTFTRRTGARRHETTVHGNKKFCCHEPDCNYRGGKRRNDFKRHMREKHPEHDDHLFIPENFSSPQESQSPIANCCQGPEIKYYSQVYGDFSQTSPLPSTSFDPRFNTIDINREPLTSTAIPLASAVIYQPYGIMTSPNTISLRPPDLHAFEVVNTPHPEFESVPAATTATGNTWGQVHPSIMCSTGLNLQDMSGMSVENGYETFDGEHDSSQYGQPANISDYKW
MLQKNILITGCSSGLGLALTNYYLQKGFKVFGMSRKNPNIENKDFIHICFDLSEVEKIKENLNSLLLQINSFETIFLNAGMLGKIKLLEELSIEELNEVYSLNVYANKELLDICKNLEVKNIILISSGASKNGYKGWGSYSLSKAGVNMLANLYSNEMLNTKILAVAPGVIKTPMTDYIRFEIDANIFPSAKKLNTGIVQTPKETAIKLDNLITRIDEFDSGSYVDIRQI
MDSRWTKALCIAGGAAAAAGVLWYVFREDEEEAAAEAGLAAAGGQKFCVTDPSGACIGIRKEPDVSSARTGLQLMPGEVFDVSEVLEAPDGQMYLKLADGRGWAFTRSSRDGRLLCQRVSDEEAEHMAGSQPSMQRMMAEVNALLERQPELREQVLASPELQAMLANPDAMRSAAQQNPFVASAMQSNPGVQEWMADGAVARFVIACDFQTMSRHVHALTQDPETLAQAMRSKVPN
MTTPNQQNEPAPKGSITGYGSWPTVKNKTQADWEASRNAEWSGKFGQVKDFGGDTRHGINQSIAVATAANENAGSAVDTAEAAANAAAAAEEKADIAYGLSSYWESECVVSSAEVVLGVNELLIGLCQNVPTDRIRKITDLHIALQSQTGGMILETKKWNAAGTSNSVVHTATLGPNVTRISYNNLDISVLDKERVFWNVSSITGTVAPNVLQCLVFGVIL
MRTPTSIVLLLAASAALVGCGGQAGTPTTPAESPAAPATQPAADALVPAAEGAATYPLTLTTPYGTTTLEERPERIAVVGGLGELDAVLALGIKPVISPEKADGWAWYPQYTEQLAEATVINPWADAMEIEAILSAKPDLIVAFSGDVENNFERMSKIAPVLGFETADGDPGDWRAVTRSVGAALDLTKAADLAVADAEQHVAGVAAENPQFVDKTLAVLINRGQASGIEFVNAAGSPAEEILSELGFAPHPNAAKFVGDNWEVSLENIGMVDGDGLLIAQHGGEGTAEEAESWLNASPLFQSLNVVKEDRVSYLLPDPKTGGLDIAWSFSHPTAVNLVWTVDALNEALEGSFPR
HQQQQQQNVNNSSDMTATAKTAETTGQGAAPVPAPAAEPNTWNNARYLHKKFKRLASTTDVDSLVADSQSVNAAGSSASSEAGSEVAPTRTTSLSSAASTSSISPPPATTPTPTAATNAQSTAPTANAAFVQSAIAALPLTNGHAHVAAAPAVATAEQSNYNNNTHSVKYSGATAQSSNHSESNNNDSISAAQQLEAEQIPQTLSHIYENQQSQQNNGGNSNASTGRYVCPYCNLNCTKPSVLQKHIRAHTNERPYPCDICGIAFKTNSNYYKHCRSRSHAARKRGIAVPISADDGLFGGSDQEGDPELSNSSSDVLSRTASPLEDLSTTSSPVVMSTTATAANTLTPQQLQQLQQQQQKQTQLQQQQQIVLAIQQQQQLQQRQQQQQQQL
MTHPSIFRSLRILPILLGLTFLGACTPDEIITPGLEEPRDLTATYTWQLDRWDNAEPIGSPRVELQWSIPNEWRGDPFRVYGRRGAGGPFNLIATVTACSSGLCTYLDTNVRSGETYHYYVSAVDERGGREAESSRVQANVPVFTVPAVPTDLNVTGLDGMAFLQWRQSAAQRFRVLLQVGQEYFDLGETDSQSFLDDRAENGREQRYLVAAIDTLGHFSRLSAVVIGVPRPDYHAEILYPMDVNAAESGFRFVASPESQDPVLAGDAPSAQWRLETINGAVTIRPLGATRVTQGTFTTALSCGPGAESDCIDVKQAPTASAFTDAPVQAQTASTYVFRVTGPESRTHYAKVRVLGTTRDTLGRTVLVFDWAYQLRADDVRLNVGVAK
MAYCLLFFFRFVFSDTPALSHLLQVSLSHLLQVNLSHLLQVSLSHLLQVSLSHLLQVSLSHLLQVRSVGEFANSFSPISNYVKAFVFMSRTGVLWIKDELCPRSFLEEMNYWGVRIRNTQRCCRISFEERQDELNEQLRIQKLLLDEVAVEEREDLFCHMHCGPARRALWNLMEKPFSSVLAKVVAVASSMFVLVSLVAMTLNTVEDMHFQTASGMLDGRIYGEGVETLCIAFFTLEYVLRLVSTPNLKQFGQSMLNTVDLIAILPHYLQMVLEGFQYEDIHLDSEDIETVGVGQVLRIMRLMRIFRILKLARHSTGLRAFGFTLRQCYQQVGCLLLFIAMAIFMFSAMVFTVEHDMSNTNFTSMPHAWWWAAASISTVGYGDMFPETNLGRIFAFGCISFGIILNGMPISIVYNKFSDYYAKLKANEYTAIYKARGKVHFSRRALRRLAPNRKN
MKSLKALADAIAETYVNEMIAKQGNTVFTFVNDGDTYTGTITKEHLSSGLVELALFCTENGRKSDPFHFIEDMINFYSKPWHITDWGNSAIKIYTEQSLLQIPTRVYH
MYEDVATRSRAGSEPWRPLPPDAAESPAAVPVPSDRRAYSSVVELASQELAGNFAGDDSRQGGLRRCTHDAHDGYGARLVSRAHLGNRVPVRRRSVGDQGPSVDAIRAGFQDSAAGEVIVRVKKIRPYEPVSA
GWSLAALDTAMAGRSHRAGPAKAKLKEVIEKHRKILGIPADYKIGIVPASDTGAVEMAMWSLLG
MDNSTKEHKLALQGSRTPLQGTHPTRPFSSTSIAPSRVGEASSVSRTKDTHCIYPTLPGWGNTSPPLPSTSYVDCFMSDMTALLDHLYPERGRDIKLYVAGGSTFRHRYCTVPPSRTDSRTGDASSACCS
MKTPVLALALSSILVLPCIAHANTTDNQRKTAIVKQVITKLNNRHITIEKHASPQLKRVANYADTVAERDDYISCAPWDMVGGQDYSQSRVTRSATYKVLKNGNVETRFRSEANSPINVVQFEFIKQNGKLMISDIYSNGDSFLSVTASCLEESDDL
MGRGGRQLEQIDEGGPTWEVAVEELRANFEELQKKVERDKRFYGIRSKIRPLKLRVTRMRLCKV
MVEKVYFSHDGGVDDLASLMLLLAAEAQHQIDLVGIGVVPADSYLAPAISATQKIIHRFSRHAEMLISASDARSSDPFPKEWRMDAFTVDALPILNELGVGQTKISSHSAADDLQRLLMQTSDPLTLLFTGPVSDLATVLLRQPELNKKIKRLVVMGGTFGPGNIAEPEQDGTAEWNVFWDPQAFKIVVDAGLKFQMVGLESTHEVPLRPVDRLRWAKLRQHLSLDFIGQAYATVPVLNHFETNSTYFLWDVLTTAFMLNAELVQTKVLTIDVITKGISRGRTMITPDGHPVEFVYQVDHDAFFSYFENTLLGLNGK
MDWRVAREDTVREILREAELYISAQVQLSIAADQRAVTVSGAIATILTAITAAGLAAFLSSSPNFDIVYAATVSGTIMMIALCCAIYAARPVPFRPPGNCPEMWYDDDFGVKINIQLGHQAEIYNAFIKENNATLARNAVWIRLSLFLTVAAPIAGVLVAVL
MFHLFALVPLNVLLLDFISLATTCKISEFKCESGDCVDLDRYCNGVTECPDSSDEPRHCTPCNRTYYGIKSSTYNLQLHNSQATKSSFSCSLTFIAAGGPNGDLIQLKFNSIFLSKISPQQEISNCRRTKLIIQEPDRQIYSEFCHYSFINKPYFSETNRLILTFVSTELNNQLNFDFKLTFKFIGKDEASVRYGNGVFRGDLLPGTPCSLLFNNCYKEK
MTLSLWLDEPSPARPALRGDVRAPVVIVGAGLTGVAAAYWLAVHGVAAVVLEATAVGAGATGRNGGFVLEGTSPDFVDVVARYGRQAARDLWAFTVENRERLADVCTREGLACGIERGGSVALAASPAEAAALERNAQLLTEDGYPSRWLDRAAVRTLLNGARAAEAFVGGLLNPRDLGIHPVRLTRGLAAAAEPTPR
MANPAQLLLDVFNKWNDGSTSARLSRGDDELTEHMRAARLIEQIGELLDRLDSTGGQTEVYRRYLPEWRRILFCYPKSWGTVGSGDIPQTPRDHLSTLAFMLRDLIPAPQAEKVENLRSYLEVIIEELTQDGSLPASVKDSAFTVINHMRCCIDDLEVLGDFAFEQSYERLLGALAIISLKSDDKSRWKRVFDNFVYPYAVNNLPSLSSAGNTIRELFAPGA
NLFQSFHKQTPFVDCTQALSVTGYPRRRRSTGFYRFQALEPSACMIPTVHSEVLALFRGGFSVS
MVSERVAAFEGCISAAVGSLMIRIMLRPTLRVIEVAKHYNNNVFHRCLGYDLILSSVEPHLVLNLRPDKTAAAMWNYLHTVYNEDNSARRFQLEYEMSNFTQGSLSIEEYFSSFQTLWTNYFDVVYANVLAAVLSTVQAVHATSKRDQFLMKLCPDFEIARSNMMNRHLVPSLDGCLSELMREKQRIVTQATMEHRANVSALVSVAYAAQGWNKGHIISVCLIRLERKQGIAYHASIGVSSSSALPAVSSVVPIPAPTALANPNTLTPEMSVKCAFLGYAIPHKGYVCYDPHACRSNLVLCMKDVVDMSQVPLPLCPIPILNRRLILLLVPLLFVGLLVLISRPPDWYGLFSLVSLVATLCTIYIPSCYKQAMEHECWQNAKQTKLQALEENHTWDIVPCPPTVKPIRSKWVFSVKLHFDGSLDRYKARLVALDNKQEYEVDYEETFAPIAKMTTVRTILAITTSHGWTASDGCEEYFSSW
MNSNLPNTEPQEAFFLTDEAQLRRWMPWLTLFRAFRVALGHRQLMVGFVAVWLLTSGMQLISSFEGTTQTPPASGEFHLSDNSLQVGGTLINYGVADLVMLTGSTTWEVLVGVKPLGIWSISLLKWVWMFGILLLFGGMSSRMAALELTQSQHCSLTDASSFVGRRVGAYSGGIALAAGGFLLFAFFNAVIGSLGNIPVVGSFFLWAGYPLALCFGLLLTLLAVGLAASWPLMICTISVDGTDAFDALSRSFNYIYSRTWYALFLVVVMLLFGWGLQTVVTYFVSLIQTFTDYSVGYWLNREVVDPVDTVLFWRVALSSVPVAFSFSYFWVGGTIVYLLLRKSEDATPLTSVYLEDQASDELPLVGIPAANLREKQNAGEPE
MEQSKDHLIQTISNALIGYAISKNLAFAYNGEPLSLEETFYEKGGLILFLYEAKSMYESIYKEEFIESIIGYKEENSFPIGMIKKEGECIFDYIPTINSSRNFENVLIFSIHALIQLSKEHDSKFLPLDDLHFSFYKTLNG
MKIIKAILMINLAVILTSAFVIGLMMAVLLFVIFAVLHGFVLLVDYGLSRGPVAPSADSRLKYFGILLGFFSMNPLIEGLSTSNWVEAFAALTLVLGVYIVFEDVSNELYHINVN
MDTMYNVFVRDSANARRQIQVHPEWSLRRFFEMAAVQTREPITRILVGLKEYSLERHGTQRLKNLGIISNIEVTLLVAFESGY
MATEPAITGDSQSIFEELENYPWDSDRKFQGGLSAILGPNPSPSQLEDLTLRAQCFYLSRQKGISIDFDAYKAYLARKEPSTSNQTTTLTSSTSTAPSAPPPANDTTTPTGASNDPKMAVSSTPTDPSSMNNSATGFVPAGTGPPNPGAPYPASFAEIVAMIQAGKEIPGYIEVSERVIGWENATPPVLPKRRKPWEKDVPEEIIQGKGPGCFGDERDLPEIKQVYPEGV
MKRHVISLLLSMLMLAPLNAQCGQTPDNSAPKESGWRLFVTQSVGYGECVVGLMYQGGKGVKQDYAQALKWFRLSSARGNPWAQYFIALMYDNGRGVPKSRAKAKAWYKKSADNGLSEGKEAYERVS
MRRRSLARVAAAAAVLATGAIVGRSDAAVEHTPPPAYKALICRAFGAACSHWIRIADCETGHTWNARSRGKAGEIGLFQIHPVHWYHTIRSRHAGSVYVSPGRLTDPAFNVRVAYVLSDGGRNDRPWTCRYAANR
MSPYPTYSHEPYDREIRFRKGKPVGRFLSASAPLDFFPPNPYEDQEPGLYYIPPDEAKTTMLPPGRIYVPFPKKPGGNHSGCFEKFPSYSSDPYIKDKEKKKELGPFLAGAPTSRSKYTNSTINYVTAISCNAQNYHDYQARVYPLDK
MFGIRALRIDVLRCLRSLAHRRTQAAEDRYRQLHLRTHEGVCARSLGKVQSRRFSCKPASEKRHDACAISSIAALLGAKAALRICSSLYSARQGLVAVRQAELASEATLYKALGGGWHPDTGSGG
MAEIRKRNVESAGHESDEASKAEEIKKAETADSEDEPLPDESNVESLVQELPQASDKTTEVLDSALSSLPTRWRNWIVRGIFTWLMIFGFFFLIWLGPLALVATKENIAYTMTIQFTYYLPVDVK
MKLCNENIQRALELSRELMVLSDKGDLEREDDSCGVLYGIVRDAAYSIRNSALSEKEKHVISTTWG
MTTTTNLSSSSTKERLPLDFSDRKNSVKDKIDLFERIVPPLPELKNIQRHPVLNSSKTQAHVIKAKPPVEPPVRDSSPASSQKFATPPRDIPENKAQMESLIGPYESFKMDSHEALVFLLKNGRKEFMRDPNDIEQFKRALLLQELYLKILKICWQIEGTDVLKVGRNSPMNEGELMLSMIQKLSKKILLRFSEDLLSKANKKILHLLPEWNLVDQKMLAQVKSLRLMFPPKNLEDKSKERQRSYSLSALPAPSHLSFVSHSLSPKKSMTRIEMERVDDKMNESTDRLHKGLLEELKLLKGHRTAIVNINKKIRALRDNISQEQPFKVDSLNIDKNHSWQKILCEHLQKIEKGQEPEVLKRVLSVLEVFLDHVYLNSLWREAVQVRIKEVIDINSINDQSPTVSQYLTGLYKDQNIQISDLERALEFNKIQIFDVDKNEIDLAAGKDVFSMFMLILDVFSEHWFDQKSLLRHLTLRLGNMQSHHDLHQEKMKKTENEDKTEGALKLNLCYMQLITLIKALKQKNKNENRLPTSKSFDSIPLVKNDFKIEDLTQDALIQLEKLLSEFSNYPRVDLLTALEYLQIVFTFSRQSVVYGLQDYIVTKKALTFKTLRNTGNKQFQDNQLHIEVQLLEGRKVEFKYFRKDWSVASGAMLQQNYSMIVGGPIEIQDKAKGINNKGRLVKFMVPHVGIPIDLHSHEMTFRCSSEIYKEKSELNEFEIQVGQIMQSLKLNPLKVEITEDAEQNFNSPNTNR
MTWRSSRSPRNQEPSPISAEPGPATGSGRLRPPRSGSPSVLSLAAPALVVLAAEPLYLLVDTAVVGNLGTVPLGGLAVGGGLLAWAAALLNFLAYGTTARAARRAGAGDRPGAVAEGVQATWLALGLGLAVLLFFHLLAGPLTRVLAGGPGPVAEAGEQWLRVASLGVPLLLISLAGNGWMRGVQDLRRPVRYVLAGSMVSLVLCPLLVHAAGMGLVGSAVANVAGQAVTAVLFIRALAREGAPWRPRPAALGRQLVIGRDLLLRAAVLQCSFLLAAGVAARAG
MKRTSSLLLLILLLSVFFFCKKDNTGGGGDVTSPLIKSSGWETVHTIKFSDVNTLQGGFRAEDPVIDGNNAGMLYGEVYNVFKSLTAGDAGKYKTTFTLSNKTAADISQAFEKKQCCSIAMSTIIFCASLLRSETINVRISHLSPASLQAGRSAVSRLT
MKTSILFYDLEGKNVVANNLECVDLPGPEISALLLLLSPIRDREEQQS
MTTMHADLASKVMQLAVSDLGLDFLLRADENILSDLDALKWTVEKQHSDRQIRRQAFAAAIPSAIATACLKSAEQSGLAYITESTAKPGYHYPKILTASFVITTRNKNSTSFVNADYSKTLGKQNGRLEPYNLDLFEVVDRSSLPLDKLFAVISAKADYLSIENSVVTVDIPFPSLKNCFAEYRLSELLQLAKEPPVPPAPIDPAPILLKRLGQIELENATN
MVTSCLVSSDDSCDELSVNNNNNNKNNHNNTRKNRVNKINSDNSGNSSSCNSNSNKATIFNIKKIQLQQQQQRNTSNCEMKNSNVNNFTTLRISLIGILTYLAVANTIVAVQGAAVYSSKCLLTSKIVLLSIKPFLIYIHKMVI
MRRHECSDAAMAVYGLSHRRSRQLYDLTILSIRQTLWNETVHREVDSNRLAGTKGLPLLRFRNFTTKDEFSKLVGLLRDLAPSLIILVRPTNEARIRAGHWDAVRKNLVIVLEGDPEATPLIRE
MNDMKARNSRSRQWRWPISPETVRAVLSSHSVLGLAFAGIIYLVCLTGTIVVFAPDLERWEIPAAPVISSLSDTAAARAIAEGARHAPADATLYLSLPARARDGATLVAYSPTFERKWAVAADGALADMEAIWTEFLIHLHVNLHLPRSWGEFIVGLTGVALLSSLVSGILAHPRIVRDAFHLRLGGSRRLQEADLHNRLGVWALPFHFALALTGALLGLSTVIVAALSLLLYRGDMGKVYELFLDPPPPVDARAVPMPDIAALIADARARAPGAAPQSLMVERPGRADMRISVDGGRPRLLVSQDETRFDARGAMVHDEHPQDIVTGTRLLGGIGQIHFGWFGGLPVRLAYGLLGLALCVVTSTGVTIWLARRRDRGRPAPRWERIWATVCWGQPAILALTALLALLTPTAPLPAAWLGLTILSILAAALAGTISADRLSRGWRWALAILLLALALLHGVPLLGKGAPLLIDALLLAGGLGLLASLLRGQKARAVAI
MKDLFVLHPVKKCVLHNKRTNWSLFRELSDIAFQVSVSLKTKDEITEAVLYFNKSVQDAAWSSTPPPPSRNMDTHVAKHIFDKIIKKRRLRRHWQTTRDPVAKKKLNHANRQLKRTLEKDLNDGVHTYLTGLDATASSDYSLWKATRKLKRPINVAPPIRKSDGTWARTDQEKARTFSEHLSNVFTPHPYDGSPEDAAEITNCLNIPEETQEKVLVTIPTVSNLEAAHIDEFGHLGHRALHTHILARSRGSTVAGGCEGCRMKPPRSRRNTARTLHSASSKLET
MRRQMLALKTRSTLTITTIIMIGLITFVLFQFTLFKELDNVIFNWFKSNFGNPQLNFQGGLLNDYLTVVAKYGDVATWLFVAIIIGIILLSKKSYLLGMWVILTVGSGGIAGIIMKKTLHRDRPYDHLIQDSGFSFPSGHALASTMVNYDFAIFDSTSANAIRT
LGVIARSLVANRGARAGLRLVRGLERQVETFGFHLAQLDLRVPAAWVREAARTSLWLPDGAPLDGAVLDRALTAGRTPPRADAPGMRAMEALARIRA
CGAAARAARVGFRGGAGGGGPRGAGGGGGGWGAGGGGGVWGGGGGGGRRAAGSPTRGGSPAIR
MGLKLGKQMRVRLKYFSEDVDRHDNVRCYVRLPGKRKVRIHALPGTPEFLEEYQAAIAAAAIAPMRQANESKKGSLRYLCIRYYASAAYRALDGSTQNWQRRALDEIALSTGLSLSPRCRRATCAEFATPKLKHRPQPISV
MAKKSRKGDLADIEKIIRERLGNVKNNVVRATARSTQVVLKEAVDRISSGGRSGRQYVKKSGVIHTASAPGEYPKTDTGELIRSIFTNIETKGSVVVGQIICDAEHGAPLEFGTMHMDPRPFMQPSLDSKSGEIYQIFAQEGYLKTTRGGGVN
MKNRWLATLALATACAAPLLVHAGTTKSEWKETLDCAVSTNLTAFDASPAGCWTAEKKGTINTFGEYHEFSSDRQFIIISLYLAGHNTV
MSRKPFSMIRFRAGKQPEEDIKALDEYEMQVKHFSVGYIYLVSADASLILTSGSTEMDQWMIYKFIISLGKLYYPLLAVFCVPVNIVTVLILSRGSCGLTKCVTHYLLSMAAADTLLLIIELMDRYFPILFQKSFVLEHFTEIYKIHDIMLHSTTDCCVWFTVVFTFDQFVAICCHKFKRKYCTEKTAAFALGSVILLSAIKNITWYVMLTDNYRLGYKDWFCCASTNVTETLDSLAAMRLHYILTLVLPFVVILLLHVLIIRHMLLSRTVCKRLQGSSNAQTTHQNRIAENGTDGNESLSNPTGWLDSGNGTSYKTLKLVFVVIVTGSLSLVTIIGNILVICSIKVNKQLQTINNYFIFSLACADLIVGVFSMNLYTIYIVTGYWSMGPVICDLWLAVDYVVSNASAMNLLVISLDRYFCVTKPLSYPLRRTTKRAMMMIATAWMLPFIMFTPPILVWQFITGERNVSDGECYVQFLSNAVVTFGTTIVAFYLPVTIMVILYAHISRASKCQIKDNKKMSESSKDSGCPSLMKDKMKKMDSTSITNVDDGTSPVQEQNGEITNSNCAQEKGPELFNEPTFFSGFPSNQMKQGMMQQRTNLPSEQSCLSKEICKLFCLKIARKSQSYNNSSTNSGIVPTISSENGTGRKVRADDKINTVTKSPANKKAITTREMRVTQTIFAILLAFIITWTPYHVIVFIKTFCSICVPNPVWTIGYWFCYINSTVNPACYALCNHNFKKTFKYLLLCQYRNVGATRRILQTSTALRT
MDNNTTYSPRQSPEVVGVTTSLMVLLSLFTVVGNLMVIYIFVKHKNLQIAKNYFILSLAIADVFIGTFSINFYNVYLAFGGWPFGNISCDLWLSMDYSCCNVSTLTLLAISIERFVGVHYTAFHRNQFTKKRLKRTIFIIWLISFLVWFPAIFAYPYIHGERTVKDGQCYVQFLYESPSMTIVTAFINFYGPIIFMVIIYILISRTLIKRYKRKYHLRENSIPPETSSERRPSSSRERKYSVRPSIASTTVISPERRPTIATIIGSPSEMDRMRKSYESIVSGDTNSNSKRKADKPKTQREKDYLSHKRAITLLLLIIGAFAITWFPYNLMAVIAPFCRKCIHADWWHFGYIFCYVNSLLNPLCYAFGNRHFKKYFKEIFVAIKKRICCPKAGLVTIKQH
MRESESEMRETETVRVRKNETEQERVRGRVRRGRLCERVRERGKG
MYVGMGEKGTGKTSMLVHAMRHIYGRGVTMLDAHCDISVFRLRLGRALDFAYSEDYRGGWLTTRELREGTSLLDIERAMNSLEKVAIRHRETHGRPLVLVINDIHHIQDDTEDARRLLTLLQQRAESWAVGELVTVVFMSDEYRMNVRDITVDLVVQSLKEYWLHAFWEEIPVETLERIYSMVGGRLSFVDEVARSRDILKTCELICERERRWFLKKCWILGRNMHEGAKEQLEYSIAAMTIAQALVKQEKDQKNPNSELPGIPLHEAQQLVT
MHKQIILISLLLSITTIYSQDFLIANVQQHDLWIGIGNPIFVIVEDIPCGSLIVSSDNGKISEVEGGFESYKCEKSAFIITPSHVGSAKIDIRVVKGRDTSYVGSRIFKVRALPEFIGYVGNIKNGTIDKKFLSVLKGVEAILDYENFPFEFDIVIKITCYSVVIIQNGQCSYFGEFSGNKFSEELKTKFLSLTENDKVIFIDIKAVAPDGIERNVRDVVLDIN
MSDFYIPPENIFFRLIGNDTARALFSRRTGTPGFGAHPANDVLTSSWFSLVRGTGNRDGLFAIRGRESGWVLYSRTAQLPLVGHDTENGRYDDNWFRLQPGTGAWAGMVCLVVPSTNTVIVLRASNPTLVTNYEALPGVVGRYSDQFFALDYEDMIIDRIEYNLSLGRIISNTPRVLASQTLHNQTSQPQQMIFEMEESVTHTSSFEFSRGITLSVGVTMSGGIPFIFDTEIRVDTSSTETRTYGQEESITKTYKATFPVNAGPYSSVRAVSRVNSGILDVPYTLHLRSRSTNTQATSHGTWRGVSTWELTHTLTDILPDGTEREHREVRVEAENTAEGENAAQ
MTSNKSPPPSPRGKASEAAGVSKTGKAKSKGPIPASAAPPPPPSSHAQPSRARRLSTPGSDPIVTSRRTRSRAQVAAAATADEFAAGGAPPIVSPKYKTKRALKQAIKDALGPAYVSPTPAPGAKASGSKKTKAVGTSKKAKSLGKPTKVTKKKKEVVVANEEEEKKKKKTDKKEKQKKTATSPTKPEKENEPLPPPVVIIPDVMPAGHSPYWSEDEVAPLPPPRKVPNKPKGTTSTHGADPGKTVEGKVEVKKKPDEETQETQEKPKLDLKNKADTDQTTTTTPVAAQQQPGPNDEAAPKKTTKAKPKAKTKATKKNTEPKITAASTAIASAAKVTKKKKKTSEKKAVPVRKGAAQSQDGPARRVTRSMAKALAKST
MRSRKRSPLGAALARIAARVRTQLGSPELAGLRAARRPLFTGIGASYAALAVPVQQLREAGVVTQRVLSSEIETGTAGFDTDCLIAVSQGGRSPRPSPPSGGPPPASRGPRS
MKTLFRTLTAAAAAFLMATSASAVFTDASPALLKQAEPIPVVAKGMAPVAVTSSVADFTADTSPLFDGKADTSVDVDLKGEGTSVVLRAATGFPTALSAVALITSSENEAQLTVRVWGTNDSTEKEWTPLSFALPVVRTGDWRILNITEPEGGWEKAEKYAFYKIEFTSASETGFTFGECLLIRPDLGEPVLSYGSAEVVPVGQTPPVISSADKESENVLPRKISRGLFFPGFTK
MKKPCSLRICLYSSRWLVVVAVLSTRCAAEIRAHQRFHKETGGLRATVGADGSASLHQGFLQAGGRSRSPFCQALCKKSDVEKNGLARCKDLSEKDCRSMQYYARGLYGERRLCLWQPRWGCSEDWTTACISELPCNSSGPHLPALSACEALCFKTDVKSFDSSCSSLSQPECDSGQFYETDANGTRKMCSFRSPGVCERSLQESCEASLSSCPSSTSARDSPLDAVSNSSLSLCRSLCYKTNVAVTGSGSCRGLSLSHCATSQFFETDAGLRRPCVPRKGGCEMDSANFCPAWQPLCVETFHGQKVPILQAAALSPSQGSTVTSTLATTTTMSTHTLPKPHSAGPSSISRPTSTGQPTGTHAGTGSEDSPPPFCFTLCQKTDVRSVNSSCAALSLTSCASGQFFETDGDGKRCVCRRQADHCEVDRSEVCDEWWPLCQVSHHSEAVVKLHYDSDTPAPSPVSGCFLYCDKINTRSRNQSCHELSHAECHSEVFYETDHEGSRRMCQPVGSGCAGNNKTLCEAWQPICDVNVHARIAPAHPPVASSDSRLVRSSHKASASSPETTSMAPSRGDLASMSPAAKEPASMAPPPPASMAPPSTDGAAIVRALHGEATSKVLAGSVAALLVALS
MEVIDYKQLLQKDEVLEAINRHKWLESEKAGHDIGFEKAAEDWLNRFAAAWVNDYLPKQNPSKEFPHPPKNIKPQRRPAKSYF
MLGTRFHDDVHLGGRGQFGKTPGRINANARKENPGGAQTIVRGKGGLGGTSKVVLNTPGYNDAETKRLFKDGKATGQQAQSISKAFALLDKTNKTPHPKSRKPVSTMTPVAGSTKKPGLKPSFTHVPPQATDDAPKATVRRPSAARLSIRAPRSGNFETPDARGRPAHWDVGGMGPGMDEHVQLSSDMEVKVAEVEDEENELEYMPPTAIVPDYEPLFDMPDLRAFGQAVISLTHSYWPKDEIDVLSTIQDDEIIDACFNQPSCHDLSILDPP
MRGGKVRVRANIQKVNKNTLVITEIPYGTTTGDLIDSILKANDKGKIKIRKIDDNTAEHAEIVIQLYADTSPDRTIDALYACTDCEVSISPNTCVIKDKKPHFMGVDDVLRYNTERTRELMRQELSIRLDEVEGEWHYTSLEKIFFENKVYKVLENDARTWEKQLKDVKAAMLEYQHLLRRPITDADILKLVEKPVRKISKFDIKAVTDKIRAIEKKEAELRYHLEHLTEYCIDYFQSLKDKYGAKYPRRTKITSFENIEATKVVASNAKLYINRSEGFIGTSPKKIEEAEYVSDCSDIDEVIVFIKDGRYTLRKVTDKQFVEKGIIHAAVFKRGDTRTIYNAVYRDGKGGTMYAKRFAVTGIIRDRWYDLTKGTPGSQVYWLTANPNGEAETVKVYLRPRPGLKRLIIDYDFSQLAIKGRGSMGNIVTKYPVQKVLLRAAGVSTIGGKQMWFDRDIDRLNEDGRGELLGEFRGDEHILAVCKDGTFYTTGLDLSTRFQGDLLIVEKFDSSKVYTAIYWDKASGYYYIKRFCFELSDNTAQSFIPVDEGARLVAVSEDRWPSVHVEFVPSGNKPKEPVDIDAEEFIAVKGFRAKGKRAGEKIASIAFGEPLQKEDPEDNASGSEDLPGGDGAQMQAGDNAPDAGNLPAGDFHIGDLPAEDLPAGEVAYDLEDLSYPSRPQAGAGTDGAGDTEAQEEDGSSHNSTAAGKEDGAKPGDVVEFSLDDDLTLF
MNDEIKDNDTLDEIEKELNVETHSDYRPVNRFDAAAVHHLSGMYQNWFLDYASYVILERAVPHIEDGLKPVQRRILHSMKRMDDGRYNKVANIVGHTMQFHPHGDASIGDALVQLGQKELLIDTQGNWGNILTGDRAAAPRYIEARLSKFALDVVFNPKTTEWQQSYDGRNREPITFPAKFPLLLAQGAEGIAVGLSSKLLPHNLNEICDAAIAYLRGEDFQLYPDFPTGGSIDVSKYNDGQRGGVLKVRAKIEKQDNKTLVIKEIPFSKTTTTLIDSILKAIDKGKIKAKKVDDNTAAEVEIQVHLGPGISSDKTLDALYAFSDCEVNISPNCCVIEHNKPCFLTVSDVLRYSVDSTMGLLRRELQIRKDELLEQLFFSSLEKIFIEERIYKDKKFENAENMDAAVNHIDDRLAPFKPDLIREVTHDDILKLMEIKMQRILKFSKDKADELIAKMQAEIDEIDRDLAQMVSVTINWFQFIKDKYGKAHPRMTEIKNFDTIEATKVVEANEKLYINRQEGFIGTGLKKDEFVCNCSDIDDIIVFYRDGKYKIIRVADKIFVGKNILHVQVFKKNDKRTIYNVVYRDGRQGFYYYKRFNVTSMTRDKEYDLTQGTQAQKSFILQPTRMAKQK
MTNVETSMGHSAGKARKSGANTWKRRSKGTGPIKNRNLYILTSAILVIAVIAVIIFISVGKNKNVKDLYFEIETKNFVNFMSDIIKKQNDSMAKTKPLREQPSRTRHEISVKLSNLGSGGNQGLNIPEQAIDVINSSKLVLNSRYDLKNDIQTGSLSFLLEGQSFLDINTFLDKNIMGLQIPVIYDKYFVLDKNNVSYAFDRFGIDMPAKKILIPSEINDTLKIPLVEFKDIFTDYIAFMEDIIAEQNISITKNVKLKQVEYPVSNYNNPVLQGKNEQQDNDKQKQQNLVTVKSETSSKFGAASLLGVVSKSDIKLEPETVYQSQESSNNRSKSGKYDVFTIKLNEDEFKAVAEKTIDMLCSDKRLFDITLGKTYTVCEMLKDAGYFDLSKDLEAAYNQAKKYEDINMLKQDLLNIIKYSSFPEGFNMALTVDKSGNIVDRKISFANKMEGESKRMFSLHAGQFFTRLVIEQESSESKSENSLGTDNSNAVIEIEIIRQEEASRNFIYINCVNNIWPDFKAAINTTKTSSEDNKKKTLNTNYILDIDLTCTELGIEKGNMLIDVKREDRYGIDFTLPEINEDTAVDIKSITEDGIETVKTEIQFSAAKFLLTNQYLINAFTSED
MKYYITLIWWFNSISLWLLGFSFQFPPYLHVGSAHATSRIDYHLQKLLSFAFHSMHNCYCRHCYRMHSQRYILCVMFAD
MLLDIVDRSCEYAVQTLRSRTQVRLADRLEGYPNIIVMAEAADRQGLGEAIMPVLGCIDGITENLHLLVTRDNEISLDPVTSSNSAPRKRKVRRN
MAFLLIALRDIGCLSLGIICNLGNRLDSGSAVLLEKARFASALIRLIMVVLPYHCFYSESVVVWILYR
MSASAIGRLKDGWLDEHTAWQKRDLSAKRYVYIWADGIHLEARLEDEKQCILVLIGATPEGRKELVGFTDGSRESAHDWRELLLDLKRRGLVVPPRLVIADGALGFWKAAGEVWPKTREQRCWVHKTANVLAKLPKSQQPKAKRALQEIWMAETKVAAELAFDAFIESYALKYDKAADCLNKDRYTLLAFYDFPAEHWKHLRTTDEMDKRFLPGRDDDCGDRCKSILLFGCAAGHSSVPATDLAAAARRGGQGWPHLRPPEGLVLTGPSTAARWRGMGLHSC
MNRESSMLHTLQSTSNPTLEEIARLGAERMLAMALEAEIQAFIEQYSSIRNAQGKAAVIRNGYLPERTITTSAGPISVKVPRSRSTVKNLKPFVSALIPKYMRKSLSIEEALPLFYLGGLSNNDFVPAFEKLFGELPAGFSSASVTRMKQLWLEEHKNWSKRDLSLSRYAYLWADGIHFNLRLDEGRLCVLVLIGATPEGNKELVAVCGGYRESTESWLEVLRDLKERNMASPNLCIGDGALGFWKAVREVYPEAEHQRCWVHKTANVLDKLPKSVQPSAKSLIHDIYRAETEKKARTAYQRFQDRYQAKYPKAVENLMKDEASLFTFYQYPAEHWQHIRSTNVIESAFSTVRLRTAKTRGQGTMATTLAMVFKLAERAQKRWRRLRGYKLIPKVLNGVKFIDGTEETLAA
MNSKANPNCPVCQGEGYDGSPIFGFPCPRCFPETVEDFKPKPSKQGGHPMIASIVIIAATIDAPPCEPVKTNDEFSHG
MSIVSLILSSPKYPMSEPKGTLEIQPLFPLREIEATFSFGPLVFTYKGPITSNTTPIRSIRSNEAWLIFDTLEDLKDPSTFQGSMGRDQFTTLVNFLNGVTIRVVLLSAYFPPADFSGNGEWNHTFGDEMKNDALVFNPAGTES
MCNTASALPCTELRRRLERAKFRSDHVGPITPPKGLDEEEFEYIQDLESRKEDSERRRQAQHEEDLAQFSKASTAGNARCCGSWLTVILLFDVDVVVARGAPKAAAGPVLSVQHLQKYPAKDDVKAVSKAKAPVVVVRAKRKVGKEKKTDSKLAESAKDQPVKKKQKVEEKETSKPPAANEKKPNTAALGLVAYGSDSDSDNDSTTS
MQCQEGRPSAGREAGAAAAPPGQPVFGCAPQAAAQHKGSYCQGLIQGLHSFSNMALAGGHGRATSGIHSGLAAAQTRPSKQEPGNNGQHGMNNC
LQLHRLSAERLRNPRPERHIPDPIVVNPGQTVSLVCITTGGEPPPLLTWVTANDSLPQRSQVKGGTLTLPAISSEEAGVYSCVASNNVGNPAKKSTTIVVRCEYTPD
MRARNRSRPQSNKGKHHRAHRGDGALSGGEAFEALNHLATLRSNCIVIINDNDRSIAENHGGLYTHLKELRDTNGACTNNMFKALGFDYRYIEEGNSVLSLIAEFKSVINYPRPHHLAYPHDERVRL
MIAVLAMIQHDKNRLWERIVARHGLQDVPRSRVALWEYGGYVFAPKWDIMSSMDKARRLGFAERADTPEMLTRLFGAYRAQRIIS
MISSSSCNLDLPRYMGKWYEQAHLPTFFQKDCISSTAEYSIKEDGNVKVINTCFKANGSLKEIVGKAKVNTKDPSGRSLIVSFNWVTEIVNFFNGVNYYVYFVDDLYKYAIVGTLKKDMLWILTRDETIDTETLQKLLDIAKQNDFNLSTLIYDIR
MWIIESCAYGLKLDGVILYKQFAQRRQVRKLQYIAELERNVQAFFCDFKLKWLSSLNFVTSRILSYNKALEQRLENLAHKKEKEKDYEHCIIKNINNHNHSNRSHCRNTSRNLDQQFANLTLKQKEAERDAVSRQLHI
MPKSVSFTTPPEVTVIDDGSYILVLLACECEQLGVRDLED
MIKLKNLLTELDGTVWIDNQTYPAHTKTALQWMRQQYIPLTPKAVERAVGKKIPVRSFHITSPDHLHRMKGVLASKKSLSTFTMTNAEEKLAKGGGIQTKGGIIFYLEGHLLAQRTIDFDTVPDKQGRRWVDSYNVFGDRQTWPILVKKAKLGWDEIERKIYDIEKAAEKLWLKDGELEYNEYKALAKKEQGPLIAKMIKDYIDLANTALKGYRRQFIDNLISPPKKRTIGWWNEILVYDVKIIDMFVLNRVIGDPKKNIMNHTRAEIEKLASQAKGSNPITIGTPAQYRKWFTKRKGKIVK
MEDKKQLQPRLLLPLNLQFFAEDPPNDPDDPLSDPEPVPNDQQDPDPEPKPDPLSEDEYSELIRLRKEKAITAVGIKDSDDVQNALTHLEGTVDPEKMSEILRDLLDDLKIRERMSGADPSPGNGARSRYQPSDGTELGRTMYQRIKNRRKR
MHRVFKRKERGNQWHRVNKMIYVNREGCQHDERGCNKEAGTEKIKTKRKKSKETKNQRAKTKIKKDSEESKATPQFSGLLEIRAVAPGVVAIKGYRSGRYLCMEREGRLLGSLSYNEADCSFKERLLSGTYNLYWSETYRAAVSLSNRRQRAHTRGRAFPPFSQFLPIRNTLPLRPLPADHSREAPDLDSLPSSVIQTNSMDPLDFTYEDT
MANKNYSLHLALIVACMVTMMATVAAMRMITNTIPRSSCNGTTLGKCIEAKKEFEMDSKSNMEIFEPKKEIGNGAIGKNGIPCSKKNNTTKNCKPGPPANRYKRGCNPITQCRAPPPHG
MDYLAVRGVDLKLVYEFIDPDREIHDDSLTRISAGIEFFPVPHQEIRFEYRHTLGNRDVNPDADLNEIILMYHVYF
MHVIVHTSLAVTSRVLGVHTAVTPPPPSAVHPRPMPSSPPLTSPLTVRHRSHPSRLSPASSFSSPFPQPPPKLMPTSSSLLARLARSLGHGPRAIQDARNELRWMKQALESPPKGIHPSATSLQEMVDRRVRHEPLQYILGSVPFGPLHIVCRPPVLIPRMETEDWRPTSPTSSVPRRPSPARSASSTSAPARAASPCFSATSSRRAPCTPPASTSRTTRSRSPLRTLA
MLDTKISAIQLSAAYSINFVAQVIRAQATGNVNLASGLTAGTAIDGVTVSAGDHVFLGSQADPAENGIYTVVSSGAASRAGFANSAAELARIGFAIREGTEGQGRTWSLPLDEADITIGTTDLEFSLIVERVDPSDTASNIRYVAETTTGSPDLLSSFTVSPAGYRLSGKQYAEGGTLDTVDLYMQEVGSPTIYVYSRSGTTFSPVASVSLGSKSVGQHNGLVVDLDIPAGGFVGLQPDGVGVKLGQWPAGVYLGSAETFSAASVTTTGNQSLCTFNVTETVVATGTEYRESIIGSISVEPIDEIEVTDAVRLGSPSLSTPFVVILAGWRANPRVFADGGTLETVDVHMAESGSPTIYTYTRAGTTFVPYRSVSLGSLSPGPHYGLAVNLDIPVGGFVGINPTGLYVRIGQWPEGVYIGGAETFSAASITTTGNQAACTFHATETRRVPLATYLDELSAATVLSPSAARPYVVSPDLYPASYLYAGGAGQSNMLGVATAITTRAEYGAKGFSVNDGTLKSLTAPGVGSTEYPGFGLAAYLRRRMMAEGLASNAGGTCPIIVGRNALGGTEIQYLDKPSTYYTAGLTQLSAAKAAANAASQPFRHLGQAWFQGEQDAGQGTAKATYKGLLAQFAVDYDADSRAAVSGDYDRATFVVQITAPLDLGTEAAWGIAMAQKELGAEHPLISLVCPAYVGDYIDSRHVSAQSSRRIGAYLARAMMLGRKSEPLMVVDSYISGNDIVLVYNRDGLKLDTTLRPAQTRSGFRVWNGVDVEQTSFTVAVDSRGDRVRLTCSPTPNEFYYWSYGHIMATGMSPYGGGAGNLRDSAGDTDVFEGWPLHNWAILEEGSV
MKYLNQKWFLLSVGGLLIGFTGMNWNIPLFVWVAMVPFLRYMRLGYSVWHLLIALILFQTLSTMRIVSEPFHIMIAVLSGIQGGIVFTVLLWIWNQFRIKFPKQISSILCFSFLFMISEWIGGTYSELGVWGMFVNSQLNNLILIQSAALIGATGISFLIYMVNASIEQTLSEQLSTGKISNSSSRFLLASCFLLVALSFYGTIRLTIPIEGRSIKVGTVTSKMEIQTIWSDQEQNQINTELVLSRTQQAAAEGAKVVV
MKFVACRDWFLNSFTPGEVIRQRARTHDGLYQAYVVGEANTRAANHQIVREWHTMVRERSDWERYCDRLLREAKDFEKQKAAFVEEKVARVLGSRSNEAARVLGASFALPRIS
MPSQTGSRAWHIEQRPMTAWLASSNPSASAKRVSTGVRGRAIASS
MSCNIFLLFLCFFFSLKFIVLLLGCAFCTIAIAMPNKPDTAREDHAKNIVGVASHVVTNSLLQGHSDSNANFVFSPLGFSSILAILKEGARGDTAKEIQNVLNFGAASLDEIRSSYEGALKHLSESNAQMSPQFKTWFYIYKNNTVEEEFKKAIEKYYSVDVKEIKRFDFNDDDTNEKDETEFTYPTTDPYEGLEFPDEMAKKEVLSEQNTDLTDTFKKLKQAIEKAEQNNQNENKIIEEEKESSKFDRNIEDKQYEEVSKIRETMKEEAKEKEAEEKIEQETVTETVTESPATEAMEKETELPQIEVEALKPENDAEIMQAAESHPIKKRIRSFIEDDVASALSGNSIVAKKDDEDGEEESKMLLFNGLYFRGNWMLPFQQLRATPADVFYNSSSEKTQVTMMRTRGIFRTAYLKSIEATAVEIPYENERYALLVLMPKSHNGIGSLIKQYQLNTLETIDRELREEHVHLALPKFRVETTGRAEKPLAKSGITSLFTRKADLSGISTEQKLHVDELVQHVAVRVDEGAITQNAFSATNAVRSEPIDEELVIDHPFLFFVRDIVDDIVVVAGGVNHVPANKPVTFFKKHDLKLNKQE
MNRNFAVKILLILAIVLQSFNAVSALLYAHQVDAQHLETVHDHASDTKSVIEDQVTDAGDDDHNINDCHHCGHCSGSHFSWVLVKAFSPNIVC
MIGIPAVKPVIGDVTPNSIVAEAGILPGMELTSVNGVKTADWESVNMGLVAKIGDDSMTMTLTSPDELGLEKQYTLDLREWSFDPETESAMSTLGFRPYSPEIYLTLATVQEGGAAEQAGLEVGDTILSVNGESVTQWQEFATIIRDNPGRSLELAVDRNGSVERLT
MISYSRVSNEKGDESYSHGAQHRVCSAYAEAHGHTVVATYEDTMTGMTLERPGWLTVLQLLADGKAEGVVVYRLDRVARKTGLVHLALDDQLAPLGAVLHLPSLGRAVDPELQSDLFLVGIEALVSQAERQNILQRTRDGRNEKMASGVWLGTGTTLYWLEKTGTKRQTTLTLDPDGVAVVQELLRLYLDGMGVRDIARHLTVAGHPTPSMKAGRLLTGRAWSPESVRRILRDERLVGVFWQNRRASGGKLKPKEERIKLELPHLALIERGTWDYIQSRIDHNSDKWTFAIKEGNQYLLSGGRLRCACGGATRCVTHDHGGKGRPHSYYRCDAKGHQRRECAVPTLRASAVDAAVWADLEHFLANPEFTLEQLRAVQSREQYEHQDAAGLLASYERIAARIKAEIDRLYDDYREGLLDRDGYKERRKAQEARLGAADDVRRDAEAALAARVLRDRDVEQIVSTATTLGELLAETGNLEFSDKRKAIEVLGIGGQLWWREDGELMLNVTIRNVPVITKEAGELAAGEAFGGRNGGHRGDGSGQTS
MPAYKNPKKTRQYTNEFKAKAVKLTHLSGASVKGVAEALDIHPFMLFRWRKEYREGKIVADKRKMVSKKPKALSENEQIRKFEKHVADVKEENDLPRPTATNQHWAADLTYIRVGKRWLYLAAVIDLYSRRIVGWSLGKQKTVSLTKASLQMALRNRAGPREARPLLAALCFIGGNEMFEDNLSKETTVKMPAGHLLVVWNILSEKLSSSYLNDEFGEEEKRAIWGLEDLCEKELINQGFSSRPEKEWNELMEKATEFVKTIPVDFLD
MDTCTSHLDIRRIDGPRIVEGGKPVFERIEVVGLRIVADGLAADERSRLLERRVARPHALHSRRDEGRTPFPFKRPVIVAQEPSR
MPEKTVPSHIEIATSAEALKFVRDLQKDFANKPQTPALRRRLRERLAKSSNEKLVGIAFRIFADLLRPPQEDPHRTTRTRAKPSGS
MSSNQVLINAESKTIVAKSEEAKVVLYAVKSDGMYRNFEMKINKGMRFFPFWLNVTNPTYAPEILYKDINRDGIKDLIIVLTRGYGTGARDSEVHVFHKIRTNIGEVDKENLVDNPTAIILKNVKTSLSKHQAIVRIGNRKTIINIDSLHIPQEHLFSDIALGNIKHYDVINDHLVASVSAQISPTTFIGMLEITYEFKDKMYQAKKIEFKRVK
MKVLGHPDRLKILALCLTKERTSRELREKLGLSKPLLIVNIKKLINAGLLEYRVEYDEERMIIRKYYRTKKGLTDMPR
MKISLITNLIAGIVFFLTLAGPAATQQNSDGVAVIIGNKNYQNQIPQVDFAHRDAAAMKRFVIDELGYKQGNIIYLLDASEGQMRGVFGSKDNYKGKLNQYIRPGKSDVFIFYSGHGVPGLKDRRSYLLPCDASPDTVELNGYPLQQLYDNLGKMEAKSTLVCLDACFSGDSPNGILTQSASSISVIPYEPKNIDHLNIITAASGGQLASWDSKSRHGLFTEILLQGLYGKADEKEFGGNGDNEITLLEMKKLLDDEMTYLARRHYNREQVATIKGNNNFILASHGNEPIVRPKITLDSKPLKQIKTHTFQNEPLEEKTDHMIFRIKPVKYKGSEIPGAVGIIGAPLGNMPDAIVENWPPQKGDITVTTIITKNKIEREDNPELAGAQMATALFGKAISSFTKDIPKYIYHYEVDVLITAKNTQSREVKTANGSAYLKSQNDNESGQILIEALTEGANNLCLILMGGVSQPHEKASVKRNNALMAFKKSKSSQDFNQNDTR
MTWLGPLLLLFGSSGPRFLRIPLNATVSQAVSNGHWNLPPARWDIAETLHVILSTTRVPSDANDMAGSNSSTLLTSSKSLDMVKIKIMIYGKPVSRVGSAFAEDDGDEVRKTAELEHKIKDMSTKKEELESVKEKIREHFKTDMQSEKIESVLEIVLESREAVCI
MEANDFDERTMAMLLPTQYVSQIASVAVLLVILHTMKRFMSKRRSRLPPSPPGLPIIGHLHLTKKSNHRALRDLADKYGPIMTVRLGAVRAVIVSSSAMAKVILKTQDHVFGSRAQCTALGILFGYQNSDVVMGAMGERWRFLRKICAQELFSPKRVELSTETRRREMLSTVEHLFEAGRDGDAVQLDINLREMGCNIITQELFARSFYNQRNTNAKASLEAEDFSQMSRDFSELGGMVLYECIPFLRRFDLDGSQARMRSLFFRYDRFLNNIIEEHRQEDASQSTTAKDFVDVLLQLQKDNNRLLTDDCIKGVLMDMLIAGVDTSMSTVVWALTELMRHPETYRKAQQELDLIVGRDRLVQESDFVHLKYLQAVLKETFRLHPVVPLMIPHESLSATNVGGYDIPEKTRVIINVYALGRDPSVWKNPLDFDPERFVDSPMDVKGQDFDLIPFGSGRRICAGINLALVVVSMTAAQLLHSCEFSLPAGMKPLDIDVEEVVGESAPKLNPLQLLVRPRLPLHVYREAGLDL
MDITGLDGAYYNYFLFSLVLLSPILLLVFTQRKTRLPPGPFAWPVIGNLFDLDGKKPHIALSRLAQSYGPLISLRFGARLVVVASSPEAAREIFMTHNRDLSGRHVVQLAKILPQIDTSMIAMAAECNERWRFLRSTAHSELFSAQALESFSQIRLDKAKEMLDFLASKDGEVVKISDILLATSANIMSNAMVSQDIVSWKNIGEVRRCIRRLLEFGIPGLADLFPAIGCLDFWTKQKAVECTRILRETWIDIVSKRRGGRADVAFCSRDFLDVLVENSFDDYQIYNLLTEFLISSETISTAIEWAMAELTRNQEASSKLLDELMKYEIEGTALSEKHLTQLPYLQACIKETLRLHPPTPLLVPRRASQTCEFMNYNLPKDSLVVVNAYALGRDEKSWEDPQGFKPERFLGTSLDVKGTHYELLPFGGGRRICAGYPLALKQIQLLLASLVYAFDWLHPPGMEPTNLDMSEKFGFTLARENPLLLIPRIRNDMQKDWAEALGLKKF
MPEYFDHAKTLADSLAASEHPLPEADVQQIILSGLDFAYDAIVASLTATVDDIMMDDFQAHLLAFGMRVEAQQAILTSQPLANSLHLGDWWAGYGYEVPVLQRAALRILSQPCSSYWCRWNWSTFESIHIKKRNRIQQEKFSDLVFVHCNLRLQAITQNRDRKCEPISIDEIDVSSEWPTESESSSLPLDDSWLDDLPFYYRDSCNKLIESLSEFCSWWWKGNDSNDGLVGRMLPLVVVALVVLWYTWMIFKLLSNKRIPVLPPGPRGLPLVGILPFLDPELHTYFAKLAQTYGPIFKLQLGNKLGVVVSSPSIAKEVLKDHDITFANHDVPATARVIAYGGSDIVWSPYGPEWRMLRKVCVREMLSNASLDAVYSLRHREVRRMVQDVYANIGSSVNVGEQMFTAVINVITSMLWGGTIKGDDGASLGAEFREVVNEITELLAKPNISDFYPALARFDLQGLQKQIKGMLLRFDRIFETIIEQRVKMGIEDGKGTKDFLQLMLQLKENGDGKTPFTMIHLKALLMDMVVGGTDTTSNSVEFAMAELINKPETMKEAQEELERVVGLDHMVEEFHIPKLQYLHAVMKEALRLHPALPLMVPHCPSSACTVGGYMIPKDTRVFVNVWAIHRDPSVWVNPLDFNPTRFLNEKRDFSGNDFTYFPFGSGRRICAGIPMAERMFMYELATMLHSFTWELPKGAKLDLEEKFGIVMKKARPLNAVPQARLSDSSLYA
MEMAFEILFYIILIFSLSSIFGRKFLSAKSQKCISNRLPPGPKGLPIIGHLHLLNNTPHQTFHNLCSRYGPFIHVRLGSVFCIVASSAEYAKETLVTNGLAFASRSVNIASDLLTYGSAGFGFAPYGPQWKFMKKLVTTELLSDKNMTQLKYVRSDEASQLVQLLLDNATSGTVVNVSNEVTMLSNNIISRMMWNIRCSGEDEDGKEIISIIRECTEILAQFNLSDFIPFLGKIDLQGVRKRAMNIHLRYDAILEIIIKKRHEERRKNKERNMQDAGGDNGDDHNSKNFLNILLDAMEDENAKTPVTIENIKALMFDFLNAGTDTSATVVEWSLSELINHPTIMAKARQEIDTIVGKDRLVQESDLPNLPYLQAIFKESLRLHPPVTLFGRESIQDCKIGGYDIPAKTVLFLNIWSINRDPNYWKTPLEFRPERFMPHSDQKEGDDNEYLLEYRGQHFNYLPFGAGRRGCPGMSLAALISPRVLALLIQCFDWKIACNDKGVAPKLVDLTERPGLTVPKLHPLMLIPSVRLNPFPISLD
MIISLVMLCTMALPLSWILKFSVSVMSFFGVCHLI
MADEQNESLQQDQQGAELKGVTPLQKDHTSELKGAAPLPKDHASPSELKGAAPLQKDHTSESSVDENVPPAETLQSATVLDGHAIEEVAPVNPDGTGPGNEVGAGAAGNDDQDGVLYTCCRDLWNCLYGEQFGRPYFLMAQAVVTFILVLFCAYEARGVSSADDSYQWEIAGPVFGSCVYVVASVILFGHKQQLKTTYENARTKLANYLCGFKGLRALLVVGMLRVFVHVSDILGERKNLDAFGLAFYYLSALHVITVAKLFTIEDILCLSDSLIAVGVLVSSRIEVEPLGKDDMHGALVKKVAVLVCGYVLVILVVCFKYATVPYGNQPEEPAAGARADEIGQAGDRAAVTGTGGTELDASDNA
MDEGVTAVRRQFPARIKAIDDLSARSEDFREICRDFADAQSALQKWNVSTDLKRDERVVEYQELIAELSKEIEGALDASVPPTAR
MSAGVSVACRLLPELARAIEERARRDESFSDLCEDLAAAELALEQLKRSPDTTSRERLAECEGWIASLAAEVETLVRRRDRRERLH
MNKQWYSLPRRDAVLLIGLIVYSAIFFLPWSYNIMIFNVTLLAWGAYGLHLIAPVAAILFILSERTANVSVDQKQDQQL
AAKVIEQRNALTAGILDSARALNYPAEVELNSADLNSLDKYAESTAKMVDHAKAVAERDAAILQKVNEVAGVVNASIDDAILTRPVVTDDSGQTKPGDYKVDEAFGDFKQKVEAVISRCQLLSTGVAGAVAAIDKHSWNEKLRPQTLARANANTLRAQLELLAADCQKINDSLKRREFLEDEYDKQKSVIGELEEKLTRLEGENEKQLSRLKKLEERVGFADADQGEKVESWDGIDQNTIGKVMRVNKDFGFVVVDLSEKKVMRDVRLAVTRSGKYVATLAVIKAMPYQSIADLTHGSISDINVGDEIIISARQMQPEQILRGSVSRDDDDSPAPAPAVLPTLAPAEQPAPAEAAAPAADDNTNLFGE
MKPVLAVYGNCQAGVFCHALRRVPAINERYEVVYIQSFGDEDDRPDITPDQAARCEIYWRQVDEHARFNPDEQLAQARRITFPAVDLGLYWPFQTHDPLFGPEPEFPFGRYPYGDRVVVELSQRTPPPEDTTTAYHALANERLGNIDRSLDLELHRLQRREANADVKIAAFIFSSFRVERLYWAYNHPTSILLGKLFIRLAVATWPEAGDPNVEMGRVVADAFRGWEPFDHQHVPIPQVVAEKLGLIWWGPSLKYKYLDLYLSEQEYIEEYYKIRRERLENSSV
MKRTSGDGATTKVGIPLVETRNGGMTAAAAAIDAAAASLPAPAISAILCRLPPPGRHVGSAPIC
MPRSGRTSSSTTRPATAARPHRPGRPDRCSPASPTASASPATDIRRGTASAAYRVEGARKADGKGPSVRDVFIREPGRIFMGSNGDVAVDHFHQFLRRLRRDGLFWSRDLIACHGEILP
MSIYEKIRLLAKEKKISIAELERTLNFGNSTIRKWEHQSPSVDRLQKVADYFNVSISTLINENNIHYSKEQECIEELSQFILLKTHGLAEETQAYLIEDFKDYLEFKSDKVRSEEK
MNLYERVKSLCKEYQITIAGLERICGFGNGTIKKWEHTIPSGDRLARVADHFHVTVDSLLGREPLLSGEKDSELLEMARELKENPDMKQLLELARHSSPAHLKAYVSFLKELQKKE
MEHAVMALEQAFRARAGAGDAPRTAVPWSHGELLVMPSEHGDWAGTKLVTVAPGNTALSLDRINGVYVLFDAATLVPRLFLDASELTALRTAAVSALATRYLAHTDARTLVVFGTGPQARSHVRAMLGVRQLAEVRVVSRDRARAARLAAELAVEHGLTVTPGTPADVAAADIVCTCTDSATPLFDGRLLADVAHVNAIGSHHPEVREVDGETVRRAFVVVEQPGAARREAGDLIMAVKSGAADDSVFEIDLHHVVTEPWTRPDRPTLFKSVGLAMEDLVVASEIAAKVLTP
MKTYFPQVLLFCLWIILPHILFAQLPTEAELERLLEEMGSEEEVDQSIIDLLEGYLAQPLPLYNTTVEKLVELPGVSLQTAARIIEFLQTESPSNFEDFAGLDWIDSYLLQLLSAFTTLELRQGASGKPPLSIQLRSRVTQDVQKRRGFSEKEYRLLIRRDLLTGDSTGLDTIALGSRYLGTEQGILTRLLLGHDRISAGITFEKDPGEELIYRDTAEFTYNNFEKVDPQELSSFTTRSGFGGFLSGHLVAELEPATIFLGDYSANFGQGLLFGRTFGGRKGSSPTRDPYNGRGGLQGYRSTGERYFFRGAGIILGRGDWLPSWLEVTGFYSQRSLAASIIPQTEGGADAEVLVTSIRDDGLLRTRRDIRRAGRLTEQIIGGNISGHISESRIGLTASTGQYDSPLGGTLPYDFHGSQWDLASLDFETPFAAGRTFGEFALTGNGAFAGIVGTAIRLSGIDLTLSTRYYQTSFFSPYGVGFGESPANPRNEFGLYLGVRTRLFPRAFLSFYTDIYRLPEATSTVPLPVSGIDAMGLFEYRITPALQLQARLKTEKRDDVIKTEDELGRGIEQLVDRIIANGRITAIWKPHDSPIEIRARLEQKVARYSNSIEDADGTLSFLDIQWKPLSTVRFGSRLILFNAERSDVRLYEFEQDVPGRLTNIALSGEGRRFYLMAEWSPEESFSISARYAETWYADREIISEGSLQEIRGNLSGRWTLQADWKW
MAYRRASRSRSTRAYSRPRARSARRSPARRSRRVSGRAQTVRLVIEQAPTGMVARSPAAANFIGMKAAPSPQKAKL
MKVNPKHGASLNNLGIIQAQKNNYEMAQELFETAIDYNPGYFDAKQNLMNLKEFNSEQEYKFTLRELRENLLQYK
MRSGSKAFAQLCTSIARALRSPQHQVGIDLDELRQWLLQYPGVGAFGYAAAPLPLKFDTVFPNSWFSRPGLPMNSTTYVDFLVES
MPGDRSLPFPYFEALRLDEAMHDLTIMATGLYGKPLPPQDGAPIRLVLPWKYGFKSAKSIMNIDLTAEMPSNFWSTLAPDEYGFYANVNPNVGHPRWSQSSERRIGELGRKPTLMFNGYDQVAPLYEGMDLTKFY
MDTYKGNNSGRLLYAGGKLTRDGERYARWMTAYERASTIAPTQDALEIRVKPSPKPEPQSVGAN
MQPCATTHGYTAGDISSAIETVGQVKTKFCTAPVVDAVPLEPSSPTAEVTSPTPSSYTTTSDTAAATVTATRDPSESPSVTPFANTNAPLAAPTLTDPGTTVVHVLAGNNDDRLYASSFKVVAGALIAGGSAFVLF
FLDEVLDFVHFLKTKIIKERLDIAIASESSLKKDWLKPEEDEAWQGL
MRALMKDKEDSTLIAIEVTEAVYDPEEQILYLRNADASYQVESIIAVNADAAIRELFDRGAVDLTCYPACQEDEP
MGVHSPVDNHDPDNRKGHIAGLLKGVRLGLLDAKFFKEKVERVDSIGPRAIHGTAVVGFDIYVIGGFDGRTSLSSCFCFNAATKTCREVAPMNARRWGLMVAVLRGSVYVMGGYEHEHREDLRTAERYDCKTNHWFFISSMNRERYKGSAAVLNDKIYVAGGRDGKNYLNSVEVYDPDTDRWTFVTPMLSGRRHFSCVAFHGFLYAIGGRNETSEKLSTEKYDPAEDTWTEIPGMNYYSGYLNAEVIDDIIYVISGYYDNVVACFNDKENRWQQVADMNICRYGISTCVIKDLPKFSDQCHSLGQEVSGAQRQINGGETQKKEGGVT
MPTARSDLTSSAVGDKIYAIGGVASSSNKLEIYDTTTNTWTAGADMPTGRGYITSSAVGDKIYVIGGYDGTDIYDTTTNTWTAGADMPTKRSSLTSSAVGDKIYAIGGSGGVYLNKLKIYDTTTNTWTAGADMPTGRFSLTSSAVGDRIYAIGGSGGGFLSKLEIYDTTTNTWTAGADMPTARDSLTSSAVGDKIYVIGGFDGSFLSKVEIYSITPERYPSNSLVFKNGTAKDVSIPNKTKLGIKYSQRIQFNSAYYFNKLAELVYKPMYFGNGQKWTKILN
MYRKNNSITKRVNYYAFFDVDETIIQEKSMFSFLYFYSKKMVLKLNIIKVIKIFYYFNLIKYLKINKYSRDHINEIYYKFYKGININTLNNHANEWFDKARRRRNFFNSNIINEIYFHKMNGAKVVLVSGSFQACLKPIANYLGIEEILCTQMEILDQKCTGNLLNIPIIGEGKVILIKQLLLKDNFSNYSLCYAYGDHISDLPMLNFVGNPRVVPNCKKLLEYAKEKNWVLL
MATISNTTPKVTFFEDFSNQIDISPNGRDSSGAGVWKSQWFWDSRYSDDKTDAVYFSDASTGYNPFSQSNGVLKITAKPVDQTGVPNEPDWVTHASGMLHTDEMFSQQYGYFEFRAKNAPGDGFLSALWLIPTDHSWPPEIDVSEVSGGEPRTVINTLHTQTGNARSDWTDAATSTTENFHTYGVNWGPNEIVYYLDGVETFRTATPSDAKKPMYVTLSLHVGGDGAWHGNAADSATSSMEIDYVKAWEWSGSGLPAGAVGGAPAEAAPSAPAPSAPAPSAPVVTPPPATSQPPLAAPPAEEPAPAPSTPGRVIDGNSRGNRLTGGEGDDKIYAGAGNDTITGGRGDDQIWNGHGRDTNVFRKGDGDDIIWDFAPRTDKLKLIGLKSGEVSWATERHDGVSGTMLQAGADEIWMPNAAISSSDLIFG
MICYRNTCSAKFTDIDQSPAELVYGRALRSRLDLLNPVTPSPSSTDLTHTVERKQSSQAKHYKGVQRAEFKGNDNVWVTKNRDTKKFSWIEGIIKKKIGHVMYVVYVPNLDSEITRHIDQIRPRTSSAASDDRTWDPDVIPDVTPPAAVHSQPEREGESAREPGQEETPAPPVDCQASPPRAGNDDVARRRRAISPIFSTPLSDPHHDSDISI
MSRFGIPHTLVSDNATSFTSVEFKDFCKRNGIEHVLSPVYHPASNGQAESFVKIVKRGLKGIILEGCNKRSLHGKINKFLFDYRNSKHSTTDQSPAELVYGRALRSRLDLIDPVAPSPSSTDLTRTVERKQSSQAKDYKGVQRAGFKEDDNVWITKNKDTKKFTWMEGVIKKKIGHVMYVVYVPSLKSEITRHIDQIRPRVFSAASDDQTWDPDVIPDLPSHAAVHCDAVAAPGGEGESAREPGQGASPVGCPATPLRPCNDAQRRRAISPIFSTPTSDPHHDSE
MIDRRLSPLKSTPLVPKHCWRIIGKHDHLSSVDSLQFNVLVNSTRHADLQGIHPVIQATFSSGFQVAK
MKKPIRALFRKLLETPALPAGAKLYLDFVKASHRPPRPAEKLASSIFVSFPYNSLGDVLALLPLLERMHAVHPDARIDVAVGSAVASFVQSVPFVHVTPVPAVPHRGFVFWRLRELRELVRCFRDNLSANRYAFSISPRWGSDTYARASRYLMYLVWAERYVSYSARVDGGPALLDCLSTDLAMGGELESESVRQIRLLERVGILSPDVTLASGLDSETKSLVDLAKAQDKSLVESVLRRSCGTAVGGYIVVSPGASRLSNRWPTERYAEVLSYLHRFYGLPAFAVGAPADLSSCEELEAALPGVVSSLGGKTTLHELAAILRGARLFLGNDSGPGHMAGALGIPTIVLTAFALRSDEAHKHSALRWRPNGPKVLQIMPTNPKSPCISGCDADRAHCILEIEPRQVIAAAEAQLTGEHKSDIHRDRSVPC
MGRSSPVAEGGYPESEPEPIHYILYYIRRHISTYQIKSNGPNRSPSYATVLLLHKIAPPSSSSLSFLRRSLVAPISLPHIVLLLLQFFSRLVQPQWRNWRCSSLSCAPSDHRRRPPDEGPISWCVEGSTVTPANPTLRPSPLLTLKNLDNGGSEAVEDIEWDALTVKKTCQEIEKRYLCLISAPDPATVRLKEVLEKALAMVSGRFRFQDSTG
MLRRTKISLWIIFSVLLVFVFIYSNYRLYQPTVSQDSHLPLSDDVHVAHRPIPKGVKIIRILSLNGGGIRGILTAHVLQYLEKVTGKPISKLFDFVTCTSTGCLIAAQLLTPDANGNPRFTAAEVLKNYDRQARAIFRNPLSHKIISLGGFLGPEYSNRRKEQILKRHLGSILFAQLLLPTVVTAYSLKERAPRL
MASFHYLLAAAVLAVAVFGGQSAMCPTYGVNESLFFYDMPLSCTASFDCWTEFCYAVNGTLTPTCTPFGNVSVATIQQAAARRVTCALSAALASVSSTDATCKKWGQSLTALYAAYYADQTSTNVTDACTADMCTLLTAGNATLASQVNFTSVCAINVTAVGSPCTYSCPDSTCAANLGSCGCTANAVVGTVDTNANLNVNSLLLSSTLQVVASASYGVLSGTCSNFSFTSSLKYVWSVTNSSGAVVLTANGSTLNVPANTLQAGNYTATVTATGLLSTQVSTKAVTLTYIVLDPVVTLSAPEKATTVRSYTLTAAVANPVSASSYNWSCVSQTVNASCPALNISTSALLFVSAGSAAGTFVYTYTYTTSKNSVSASVTVVVVSTDIPVVTFTAGKLSGGIGGASQYSVFYKTQVIAVSGFTVAFGSAYTRSWKVNGVSVNGTADSLTVNASSLSATSLSAVTSGDYVFNTITLTVTSSLNANDYVFNTITLTVTSSLNANVSASANVSVIVLDAFTSTLTVSKLGDNAATSAASLTDSLSFTATLTPALTTSNAPFGGALTTAIVVYQPGATTATSLYTTASGSAQVGVAPIIVNGTAAGTTVTFGVQVTLAGVVVASANSTFIVTLANLTAAASAVAASLDVISDPNEAVAALGNIGGCGCPWQHRRTAGLRLWLSLNACDCWRDMLTTTIVTGVQLSATQALSVATNVAALLSLSANKTDASAQVVNVMFVVMTGTSFNLDVASAVGGTIGQMDAQSGGAVATQLALVICAQVLVGQTASLDLGVAGSMTAASSTGAALAGLAVSDAASGVTVTLPSTFLLELSGLSADATYGAVTLALAVSPFSADNGTPIVGGVVQQSITSGTNTVAVTGLTTAIVIRMPAGSSGTSCVYRNETTNEWLTDGVEAVVVGGVVECHTLHLTAFATGSATAVALSVLVVALAVMLQLIAV
MSMQHAWIQYISLNIYYKLLLNTCNDGNTARCFFENADISSSITGVDVVIMKRFRTILKTMASGYTINLKLFEEYSLKT
MMKNFHTELFINEIQKRRSIWDTTSAEHVIKELKKRDWDEIISIFGGNNMSQADRHVLGFALKKRWKNIRTCFARELKRQKNYGSGAGRKSEYTHYKHLLFLTDVINIVDKDMDEDQEYIICVMIAMTSIYSTMTQ
GYLAQVKARANTEGKSVAAYTTELQTLSADNFVKECWKERLREFPLEFKMWDDIVRTKMFPVISTTEAGKVDFVPLIGAKNGSGATFKETELLWPISPDEIQRNNKLTQNAGYQ
MKHRPPTDKVESKQTPPTRPLLRLSLILWLISLPLPAFYIDEENSLWLGIFVLLVGLPLGWLGAGLAGLAVYANVFYYYAFRKLSANKTPRISIILMLLFASLSVFLQVVMVSELPSYAPVFAWGWGAVVWAMSLVALACAAWLPERFKSRRYMLPATLALLALFAAVASGLFILKYIQYQRANDDERRRYLPTFAAFSVARFSGLPYIKPPENLNITPDTVFELQGNLIEGQENMYLLIKEVSGKDKPLAIYDLPRKFQYGNYSWRFIIHRDFFDLYELTLKRQPDYIYRARLVNPNQFEHVLTDARTNRILWQAPVNFRDTYDKYPDYDLDALFNPKIKPEIRREKHVWQEEVFNQSCPSQPYTADPEIHDAVQWQGRILKIVRSHGRHNQHNETPGDLLPVYCSKHYALFLYYYSGLNVEEEYRVFRGWILDRNTSEALADIDFTYKSKSNTDYPALTDSGELPPGINFQNTRLTRYLPKPCNNDASKDCGDAALVIPTNRGDINVFINPI
WSVVGPARDNPEAASIAYVDTQIGVWFDTAQAICQQCATQGAQDRAKLPQEWINIRSALRLNAGFSGVLA
MQVPAAHLVLGSPAHVVRELSDTELEWKANGTRMYHELAVLSRERLEEVIPLTASEADRPALPFGSHDAVPIREARVTG
MTLSDECGRLANKISVHCFRRWTFPTLKSENGKMNLKFRGKILFMELAQKAFYLQTPSVANKCQKCKKAGGGAWRR
SFVELNGESPAGIAYADAAFEIFEQLPVMKRFAQTYKLRRFEGRPLMLKLLVDCYEEFLGRCPDRAPHIAIVDLKGMPTQNEFELFREYFEAEGYPSVIASPDELEFRGGRLRAGEFEIDIVYRRLLVNEYLPIIKQHPALLDAYRARAICMVNSFRSKIIHKKALFAVLTDARHANLFTEEERAMITGHVPWTRQVRAGRSDYYGDEIDLLEFISERRNRLVLKPNDDYGGHGIYIGWNLDKTAWDEAIHNALGNGDYVVQERVPTAREVFPALTADGKIQFAEQLVDLDPLLFNGKVGSAFTRLSSNELANVSSGGGMVPTFIISKR
VLKWLPRWRGSTCYRLLIWNAREISDVMKEPLIAFFANSKFAETFIFVKRTEEWDWDDVIEKKDSGLFNGATFPVVFIILDRKLENNPIFKMGMLCYMCPPDTALEWRSPPLRFTRHKEKSGHKRKMYLKYVNDVNNLVETGNCEFDMYFTQTHECLATTVLLQIIKTRLNLTVEKVDDIDDFEEHMSSRVSILMNLFSAELTTFEGGKLSIFLREQTIGKLFYCTGSEIFEPNSYSVFIRVITPTVWGCIFIVAVCAAFLARSIYFGVETLLFFTGRSILSNNKLAIAPLLPLTIVSHWYVSLLTMDVVVPPAPWVVAENKDLLGLGFRFVIPSLNLLPVMRSVGNRSLTRFKIPWDPNYFVVRPDIVKVGKDRQPDFFIKLGEINGVHHTQSDVVYIMKKLKLLNGRSNRYGNVSCNVVKQDWDDEQKYFWLVTGYLVEGVLDTATHLLENGLNFFWTVKMRLNALSNMQISR
MVDIRLRALVYVWFPENSRKMQGNHKQGTKEYGESNKAFHLQTIVIIKYKHFHDTHVNLKNHPNTSQTIVFFDYPSKKMVRKEERGKNIYKSDVPTF
MSEIVYLAYGSNLHPLRLRQRVPSCRLLGVVDLPGFRLAFHKVGMDGSGKCDLVETGDPEDRAWGVLYSIAASEKPDLDVAEGPGYACLQRTISYRQQPLEVMTYLARPERRDPSQVPFDWYRELVLLGARHHNLPQSYIGIIEAVTVSEDPDPERAVQHHRLIEEIRVFGDEPG
MHFGWACRTPCVATSKPAGLEVGRVAFINYFAFGSNLCAARLQHRVPSAKFRATAWLQGHVLCFAPGQALDGSGKCNVFQTGVSNDVVHGAVFELAGRDKPTLDAIEGAGFGYEPTRVLVQTTDGDMSAYMYITAQDSKHDFLAPYDWYLNLVVSGATELGLPEVYIDQLRGTPARRDPQADRAQRERDFVTPGQPC
MNYFAYGSNMSLKRIQKRCPSAAVVCVACMRKYSLRFHKPGIDGSAKADAFWTGSNDDVVYGVVYNIAHKDIAALDAAEGAGVHYERKRCKVISTSSRRVKAQIYTAMFVCDKDERPYDWYLAHITTGAQENMLPEDYQHALNAVVSKKQVKKVTPVVYSHYADVASDTTPSTQDSDDTLFNHMSFEDETESYNNEPSYDYDYEERLDELAMYGLDED
MPSDVSTAALDHAALKAGSDAHLQPVNSASSPVFALPGLRSLRAHSTWGNWYFAYGSNMNPARMQTRGLTVLEALPGHLPGYSLCFNKRAADRAPGRAYANIRHQRDGRVEGVLYRLQDAGEIAKLDHFEGTPVYYSRECLPIVAAHGVQPAWVYIANPAFREEGLLPSADYLAHLLAGRELLSEAYWSTLAAWSVHPD
MSQKVFAYGSNMCSGRFRDYGVAPEGDGSAAVLIDYRLVFNKKSRDGSGKANIEPHRDSEVWGVLYTVPDADLATLDYGEGLGYHRKRLEVHPNDNRCLGVWAYVASRPSDDPLLRPYTWYKRFLVEGAKEHALPHEYISALERLAGC
MIKLDDGRDLVITEGSDEPRSIGSVTALLYRNLDVGDFETGLSFAREGFVTDAVLQQPGKVKVTTTSAGSGSYLQEYYICIGKASLNLCDAGLE
MRFALPLALLALAAPVYSQSESAESSLPNQQEQTGYADGVGGAIDDRSQTEVIEGSLGFTFQREGTGKLGDRATIEVPAGFVFTDGDNTRKVLEATQNIPGGREVGLFAPESFEWWVVFDFDESGYVKDDEKDELDADAMLEQMQEATLAGNEERRRRGLDEHELLGWVVPPQYNSETQNLEWAFSIKSSSGGVSVNHNTRLLGRKGVMEVTLVCGPDDLEKSLPAFRERLEGFSYISGERYSEYTSGDKIAAYGLTALVAGGAGVLAVKTGLFAKFWKVIVAGVVALGALVKKLFGGGKKDEAGSASS
MYKTWVRTSCAKSVLVSIAGHGPSHQHQHPGLCLPAWHGISN
MLVTSGGDEEACSEPVSSNALLEKDTSSRTSGVKYREERASNALFNTGNEGGGDEEACSEPLSSNALLEKDTSSRTSGYKYREERASNALFNTGNEGGGDEEACSEPLSSNALLEKDKSSRTSGYKYREERASTLSKFEKNGMKTVDVIG
MASVWMYSLLLCFLILNHKALGFNVERALFDDSSDSSDDEQEELTKRSSSSYDMNNFNSGYTKDNFNVYFMGKKIHGASAMSFQPLAYGYAKDNWNVYYMGQKMDGASAMTFQPLAYGYAKDNWNVYYMDRKIPGASTMSFQVLSHGYAKDNWSVYYMGRKMDGVSPNSFNVSPSGR
RLGGKEYDDFIESFVQAITKRYPNVLIQWEDFSKQQAQPILDRYRDKVCCFNDDIQGTAGVVVAGILAAIKGMNGDIKEQRIVLFGAGSAGIGIAELITQAMIQEGMTREAAKERIFVMGRNGLAHTQSEELDDLKARFAQKAEAIQKWGVSDMQKIPLLETVKHAKPTILVGTSTQPGTFTEEIITEMKKHVARPIIFPLSNPTSKSEAHPDDLMKWTRGQALVATGSPYPPVEYEGIKHVIGQCNNVFIFPGVGLGVIASKATRVTDKMFLKAADVLSRYAPILNNPYASLFPRLTELRAISRDVAIAVAKEAIEAGMCTNPPQDIEKAVDEAMWQPNYAQIKKMKR
MPIVYTPTVGLACQKFGFIYRNPKGLYVTINDNSISKIYQILSNWPSHDVRAIVVTDGERILGLGDLGTYGIGIPVGKLALYVALAGIQPEWCLPVIIDVGTDNQSLLNDPFYTGLRRKRVRGEEYDSLLDNFMKACTKKFGRDTLIQFEDFANQNAYRLLDRYKNEYCMFNDDIQGTAAVVLAGLLAATRITSKPLKEHKFVFFGAGAAATGVAELCVKEMVEQGLTEEEACARIYLMDIGGLVTKSRYNNLPDRHIKFMKDMKDTKNLLEVVKTVEPDGIIGASTVAGSFTEEIISEMARINQRPIIFALSNPTSKAECTAEDAYRITNGSVLFASGSPFENFEIDGRIFKPGQGNNSYIFPGVALAAILFKAKHIPDKAFLLAARRCSQFVTERSLQTYARLYPRLKDIRELSVLIAIDVGNYLYKHNLATLHPEPEDKEMFIRQQIYSVEYDELINETYDWPAKDMKLGFPVPVIERSSMDDE
MFFRIHHTLHYHYEKPVFLEPHTLRLTPRQSTSQRLLSHSLTVREPAAGSTAVEEPGGGDTTEIWFTGLRQELWIHTTAVVETLRDNPFAWILTDRAAQGLPLRYAPATAQALAPFLGDAEPSVAAWAAELAAEAGHLTTDLLLLMADRIHHTFDHVGRFDGEPLQPAETLAQRRGACRDTAMLYVAACRSLGLAARFVSGYSMHHPPEVSEHELHAWAEVWLPGGGWRAYDPSLGLAVADGHVTLVAAADHRLAAPVSGHYRGTGVGSHLHYRVRVEASPDGERFLRPHGSALLADPRHNRDTAFSPEERQRLGMEALLPAAVETLAQQVERVWQGFQALQGDQERFVYLDRLRRSNRTLFHAFLQQHIEAALPVVYTPTVGRVIQGYSHAHQPPDLGVFLTPEQEQRLPQLLRQAAEGPVELLLITDAEGILGLGDQGVGGIHICQGKLAVYTLCSGLHPSRALAVVLDVGTDNPQLLADPLYPGRRQPRLRGEAYDRFLDAVVAAAQQVFPGVFLHWEDFGKGQARRVLDRYRDRAPSFNDDIQGTSGVAAAAVLAACRGLGTGLSEQRIVIFGAGTAGCGIAERLLRLLQAAGLSPDQARQRLWALDRQGLIVAGQPGLTGAPAGLARPAGEADGYGRDGDGRIGLLEVVRQVRPTVLIGTSTVAGAFSQAVVETMAAGCPRPLILPLSNPTALAEATPADLLAWSGGRALVATGSPFAPVPWQGQERVIGQCNNCFLYPGLGFASVAVGASRVSEAMIDAALEALSAAIPAALDPDAPLMPALHQVRAVSRAVAEAVAITAVAEGLAGLATTPEEALRCLDRAQWQARYGDTPGAEGWGEGAGDSGVGGSRVSGG
MVRIAMPPQVYQDPVLAAHPILQAHVENRYVETPVADDVLLSLNEEIFHPSAIPGQSMTRKRSAVRSFVTAVHEAQAQHLYRGLLQGQPGPPGDIGPRGERGVRGPTGPAGSQGPRGPPGPPAPLGITKDEILVLFKEFKQEVKSMLDESNAEFKQEVKTMLDDSTAGLKMDIENLRKEIKMAPFIQEPPRHNASSISSLGIYNAKFVPVPSTIDERDSPDGLASLLSSCNTRHELCQWYEYYHGEVPEGGPFGEGGAVLSDRELRGAILSRLIPYVLWEDTGKLVPFTLNWGSSRTGDISISFAQDFLVDPATRNTKDVKHKIAAVGSDSVQSAQAFIVKLKKL
MVHLTAKIFGLVISAIKNLRELKGSTSREILYYISSVYKIPYTVARRQIQNVLKRGVTCGILRKTDGHYSLPTDNEVARQEVAVQEIGLLDLYCQRKVHRSRGGRSRRNRGARRS
MLRQSVENAFRFKTSPSVSTSYPSSSSSTKSSSTSFSSKRRSNRAPKRSCLVWSNKKDKNTVLLMTTLRGKDPTKDGTNKNDTVVLPLLSWEDLLKQLVSIHATPPLPGLPSITLKTPPSITPTHPIQHNNYLILILVRIPEDTTWCRPLVEYFSTEDMLYINDLLTELTREEQANLLRNVQYQPADDINDDDDDDEDDNDDDDDDNDELSTYSSIPASRSIGIILPQDNSTINGRIEQW
IYQDIVTCYYDKTAKECGTEEAKTLQKLIDQVITNSVKVNCNAAEMQMNNQTAELTSSNSLANHLAIYIPLAILLPIVLLIAAFYIRKQLSKKSQETIPKTQTKETQT
MMETSIMKLKKDAYRGIIDKHDFISQSKTPSVYIENKQGFDYVDEAYMRHVLNKNFPIWSWEIKSYELLGDHSIIVQGRLTIEESGVVRYFDAVASHRIAKNRQSGDYVDIGNDMKSANTDCFKVAVNRLCNIADDVYRKQIKDITLDSKDISKLDDYCKKLCQVGLDDVADKVQQSIDDSKINKSNLSSSLIKLDSLIDKQTKEK
MNNELLKKARNQANLTQKEVAEKLGIATKTYNRKELGVIDFTRKEILKLIHILNLSAKEFNKIFLNEQLPIGND
MTTLLALAALSAFAAARPEIVGGTEVPLDQFKYVASLRDTESGDTLCGGTLISPTKILTAASCVDTSANYASIGSHNSIGDKDGERIKIVNSIMHPKFNDTTLEYDFAILELESESKFDPVALNWDAIEPDTETWVLGFGATSFFEEIVGKTATLGKNSPVLLSAKFNIWSNNECQKVLEGEVEVSESMLCAYAAGKSPCLADIGGPLIIKRDGVEYVAGVASWDSLCDSKYPSVYSRVSVAREFIQPHLAVIATQPENYVSTRFHTTRLTCP
MLRIMKKLNIIISLVFIVLCSSCTSVSSQQKLETFNYNGKNLNYTILLPLDFNASKTYPVLVGPSETDSANDQSFYWRGTTDSQGWILVGYSVYNATNRINEVKALFEHLKSKYNVEGNKFHTTCHSANSAGIFDLVMEMPEYFAGITGMAGNPNNNNPEKMKQLKGVKVQFIVGDQDTYWMSSAKKSHQILKNFGIESSIEIIKNGKHVLKPLVGKGFLERAKRLRD
MSTAQGSTEEKKDSTEETVKWFEKTFPTTFAAESKKGAEKAANKAAKLTRWHQAHRRGSERYNLRPGRMFSLDTLPALKILMTKPQDAPSPTVVVYVKPKFTRLFYLWVKALSKKRLANTQHKAKWEKFIVQLDAAKGKVLELETKNWDAVDRGPYRDLQVTLNNKRLLFQLDLSTPQALEVGMFRAGSDDWCQPPYGDERKTTRLKFSLVYVGNINTKWKDKSDYHYDMTRSLKYHDALCTEEKQQKKEIGELEKKYTEAIGKVNTTLRKENVDTAVAELNKVNELQEKYSRRYKNKTTTYKIVKNAIDNFVVDNSGDQFVRWFVQNNDGKVTTDFGRLLCDASLASNLGGTCTVKTPDSRYRSKESCSETITGPDGTRSEAAAEQFVKTYNAEKLADFQTLIGKKNEWYEHAFSSEISGLANLVKNIARPLGEIVVTACENKVVADAKKAKEEAKVKAAEAEAAEKKRKAEEKAAAEKKFWKGIEDKKKQERARARKRACAAAVRKGQPAVDRWSFSTSTTTKKNVHPDVVRMYEATEALCKMETIPPETKTKILAILQPLKLPTVPKAYHLHSFKDRDDLSVLRVPEGEGLFEYALKSAMRSNRVIQTIRLGPGTHRRRAVQTLIEITNNINIVGEEGATLDVGKLHFQDNCAVVIRNVTIIGGVKAEGSAKVTLTGVTMQNIEDCGVKAEGSAKVTLTDVTMQNIEDCGVKAEDNAKVECTNLRVSGDDDGVGVYAEGCNSSITLKGENTKMSNLEVGLQARTCWKKGKEPRSHVEADAQPKLTLQGLTIESVCSENVTTKSKHVNSENAKRQNIIETT
MKIHILWIKLEHVVFPRVARVCKNDRGGSQRVLEKQWTSFLKTRLNCSIPGDSHFYFDILQAVTDVIHINGRDIVMATFSTPYNRCDS
MAKTSKKSKPQETIEVVSVRRAPKLFAFMATGAFVGIVLAFVMFAISSDSQKAQPGILGFLVVYIGGAGFLGGTVVSLGADWLSRARAKQVEATKLKG
MVLMAQPFSYRYPLVDGQGNWGAPDDPKSFAAMRYTESRLSRFSEVLLNELGQGTVEWGQNFDGTMKEPKMLPARLPHILLNGVTGIAVGMATDIPPHNVREVANAAVHLIENPKASLDEVMDFVQGPDYPTEAEIITPKADLKKVYRTGRGSIKMRAVWHKENGDIVITALPHQVSGSKLLEQIAAQMRAKKLPMVEDLRDESDHENPTRIVIVPRSNRIDSEQLMNHLFASTDLEKSFRVNLNMLGLDHRPEVKGLVEILSEC
MSTACGLRGAGLRGNVQPRGSLLQRGSPLTPAQQVPQGVASNTSRARRAGSLPDGSRQGIRTLPKSTASHSTYDPLLRPQNTTDDLTEHKRFLLLEDKAKNETRTPLPHKETCSSSTLDVRPGQGAQDRSTLGSLPRPQASAHRS
MLARELQAQHDVAQLQADRELAEQLQMKENSFQLPQATDPKTTPTSIFPPQPLLNSIKSKMFPQLKHVESTPTKEAPPTQSSLRRKLSSLIPRSFSTKPSPPPSSSPALSSSKEPVKPELVTTPRSKMAPRVRGSLVTTSTLLHP
MKQASERQKKNVSGEIQSKRKKKQTLESSAQDSTSNHRMISENSGRIVETVTKSHDQIEPKVDTGNSDNTTEVAETENQIIEGLI
MNENKEIIINQLIDEPLTIPSVLIKNYAKLGLTEGQLVLLLHLRKYMQEGNSFPTPQDLESRMTISADDCTALLKELLKRGFITIEENHDTDGKLAEIISIKPTYEKLAVFINNEMVGSKKQTKQFEEGQLYRRFEEEFSRPITPMELEMISMWLDEDKHAPQMIEAALREAVVSSKLNFRYIDRILYDWKKNGVRTLSQAKQHGEKIRQHHSSPKQSTTQKTRHPHYNWLQS
MQKTIAKLFDVHQNTVTNWKKEKKKGLLLLIKYFSKEELEEFIQTDKITRLENLEQNNFFIQFYLEFISKWIYSLDNLFSLFLILTNIIDNKPYSYETEPVKNKIFFEAVSNVKDKKFLLELANLDNYLCDFIIQLHLQGYSLASKNNTTLNYISTLYQAWRKGYKAQDIQIIVDETYFEYYGIEGSTNNYFGILNPDYLNTIQNKIKEYFLNS
MYYRCLFFFVLRCPTFLLSSTNRTAIDNGLSQTLRLRHKTKSKRVRGRGGGHSKDFEVLTTVLLLEISASSAGRRELTGHRTRGEARCSFCSVNGIEGLGCCGRITKTQLSYKGHKISEPLFMSFVIFTRLETQPQATSRTSLKRSRESSGEAGGARKYSVKLKKGSKKMDLELVILDRGGHSSSIVTLTLSVWCKGVRSTHPVKNLYKSPLPLFVVTQSAGGPSSSGLDRSYSGADVARSSK
MKALIIPEECDHIISISPRDLPGQIILNHACCGFIEKEEVI
MSARSTFMEEMDHLKSILTHYQLMAGEKHLQENSTQSGHLERKLMAAFIHKMQVYAFYTRYHMPRKADPGSCRHCTRSRNTDRMSMDITFGYVCIYRSECFPKRTVVTKRSPS
MKICFFGTSFLGALQLGYRHFTSLKNVTCDFYGVNAPALLRDFKDGKVVREKNVVSFLGHMPVFIKRQDTKNAESSNRTFKGMSRESNLSIDLSTYDAVVLVDMFYRFPLLNAFDFHKNGSISCQGTLISEGMLSEFHINGLCGFELNSHLEFGTVEYTASEQLVQLFKHENLYVCPAPKAPHTNISDKLEDIGVSVDHVCNVVEQIFLHDIRKMGARLITQEPETEHSRYSTRPEFSRGPHKKIDNYLDPHMNEEFGRLQLQRILNVVLSA
MAVAALVLLGAACSEREDPALVPDVSLYFPDATFLEITPEDLNAHLFIDGRDEGVFTGDVDLHLEPTELLNDKTLLLRVPTACGPFDLPIEVDGGEVGERVARHPDPLVERANVLMALAGPPPAHRPIYADADERVLVGEIRARRAQVMMIGACTPEVRVGDEVVGELPPVSDVDEPAVLIDGTGSRCYQLTTFTYGPGRPGPPPLRLQGAHLHSLPAATDVFQRAPTEQLADPLTGAGARLQLLRVPCG
MDNEKFILLTLEENIEVLEKTKSTLSKDIMGLINEFEETFERGNKVFVFGNGGCAGVAQQMASAFIGRFKSGKPSRPVISLSSDASLITALCNDYGFENIYKKQVEVYVKEGDLVI
MWIAVTDRTLQLFRDRPILPSASGFLSRPSKQLKMINGSNLSNAVQDILVEFGCNMLKSSYVVEHPDLSNYVSDGSEVTARISCSNRDSKNIQQQPPAKPVETNHIFSVSIKYVLKHNSHHHSFMEDLMQVLKETRLTKAHAFDAAVPTLVALRVADELGMKMAKGPTSLRMHLVFDAVTSCRFEVTDPGSEEVVLMKILQVFGMISELQKRTESQSRKETPYLQDFHPDSLKEPLYLTTEDILKYEQDYKDGCTVALRGLKFRYPDIAAIADTLALLFDQPSVDANLYLTPPNSQAVAKQKWAIQEASRNGSDVVLVDTAGHMQDNEPLMRALSKLIYLNNLHTEILLGTWVPALNIFESSLRRRNCAEAC
MSGVESLSSKKKWKREREVLVSFVRGVESSRDFRKGGFHCSDKAGAAELAFQLWKPSEKENYHILSSVDASIQSLA
MGSFVGVDSCGIVALHVARDGHSSWCLVPGPPALPSTPKAARSRDLTRLESEQGAVEDFAASGGESAGHGWPTEAWRAAFVRRRLIAMVKGARCALAGRMMDDAGARAPSITRKKDAPDKSDCKGVRRLLAAQSEQASMGQRRLAQ
MDVMMRKMVLLLLACGYLTSIAHSRELTLTDIIDRAASRVANIKVGGAEGTGYVFASSSTQVLIATARHVVESALDTSRLIPSVRWAFAPDGCSPDLRAKATYSVVRDGQSAIDVAVIVADADCSPDLGALPSAWVAGEPTPGSRFHAVRAMGNPPTKNDVGPFWFSDTCLKAGGCIDRKRFTLSIQGFTEKGMSGAPVVIQQGIVGLVLGGDDLAAWPALQIITALCAGKSQFQPHGFDISGLACQAAGSDVISNTLAEAEPTGPSTGAQGKCDPRIGKALRDSSELGEAYLCRLDNLARAWEAGSRRLNCLEWSACREPWLKIVAENGFPLGLSPPTEWADLLDLLGIYRNPADLVLSHPSRIADFRAAFAKQGFRDSSSADAVDASSTNLTHPSSTCVISRNWDAFVHPNGKPGDLLASTLSSSSDLVLASMDYGPMEASLSKYTVWKYVPPGLQFLGEKQDQEKNTFWHPVPGPTLNANYMFVTTVPLGEDSGYLSAVDLWKPGQPPIKIENGINPFASIRFQQGWLAYVPPLGDATAKLLFLGDGANVKKKIDLDSLANKYVGGPILSFNDRYLAIFGYDLARGIGDFVNVFERDGDQWKTAGEIKVPSGDIVLDLDIRDGKLAVLVKRSQQVIVEIREQSSQWKTSKSIKTDLTNKYALSGYKRYDRAKLLILGDLVVVGDPRWTDSDDWTEVYKGAIHVFSLTQQNTTDLTIVNEKRKGWDSFGNVLALVGNTLLSGKTHGAQNNVGQIISIDLKSLQDLMLGCSNR
MAFILSGASIDHVSEFIEIRYLIQKGHKGTGVGSKGYEFPSFTDEGSEDDDSVVSEYFVPYDITASGRGVKKSIRGIPEWTSWTNLKHELQRKWTSLP
MAANSETERDPLVSIASADSSAFLLLYDIYYEKIFNLCLARLHSKQITEDMTSVVFVQAARNIRRFRGKTRTDFINWLIAIATDQINRSLKDKEFAELVNKLPIDAAITPDAGHKDKFRAKILAAFDSADKNISRIFLYSVIAAAVLIIAGILLRPAKSPLQQPAAQQQKKTTAAPPAPIIEIKSSQESPKSRLEMIEQLADEENIDELLKILRQGDAASRLLAAKYLAELTDSDAAEILKLGALPERVDETNIVGGIVKNQYFEPIQGAEVKVLADTETARNQLVGVFKTDVNGVWRCESFPQNAAGASIIAAHPDYIPMEIYQQAAIEQLKNFSFETILESGVTVVGRVIDQEQNPLQATIIKGPFESGGENVQTCDADGWFRFDNVTPGVEVFTAQYSGFRPLVLPVEIKADMPPVIFTLETGHIIRGTVINTDNFPLEDAAVKVSSWQGVRSLNFETKTDTNGYFEWLDAPEDEVLFDIHAEGYMSMQKFPMKSGDDDYVITLSMPSTIGGSVTSSEPDIPVERFKITVGYYFDDTNVSWQESSSSTFSEMNYRLIITEPVDFQLKVEADGFEPAESPVFDHEQDIFYYDFVLEPIQTLP
MDSSKQGACSSSSSSSFTANLFGTTESAPVSSAGVFASMFPPPSTVLGRKSSGSEVTGSWQKQSYGNQTRNPKQGSPAKSQAVTYSMPDRDRNPVIQDERMEPCHLSSSLYYGGQENYSQSPGTQMAGSYPIFKKDGGEDDPSSSNPHSASRGNWWQGSLYY
MRNRRVPFRRFVTIREISGLVIATLLATGCTASEAVGEGGFPPGGGGGRPPVQPPPQQPTSRLLPAALVGVWNGQDSRGIGSWYLAFQADGRYRRSNERRGITITGTATVQGTVIMFSPEGGRPYSSTWAAGGGRLSIDGSVYLRADQGQGASALVGSWIDTSGNYWATLRISADGTFQLADQAGGGVAGKIVVSGRQLTLQAAGRNPVTCGWAIDNGVLRLVRPDGAVSEYVRSG
MNHHKDAINRRQDKRLIIVLTAIHRVSCLNRTVLPSPQKSLQDIAAAIANKIAKLTKEIKIKAS
RNWGRWGDDDELGTLNFITPAKVAEAAGLVKQGKVISLGGDFSSGGPQGAFKFRQNPVHVMTVDGGDASTLVQYGPEWLRNAVAADVSAFFADNPFRFNDDLIVMPLQAATQWDALSHVYYEDKLYNDFPADSVTSFGAFHLGIEKVADKGITSRGVLLDVVAHRGADVFCEPGNPITPADLDEIAA
MDGVAATGTLAEGSRDLGRWWKSFRKKAVVEARTQGLSQPRWCRAQAAMLTTTAVVPALALAVAATVDDGKRNAGPGAGIVSWTLLLMLTGRLNGERATRRGAEVAGRRLGMREHLAASGRFGRQPAASVTLWGRHPAYAAVLGLVPGAAAGLPVGGAADDRHAWSGYGGMWHGSPCAVPNGCSGRANRCPWNFGEVRLSEPRRELRRDPCREFRGEPRWEPRREQVPDFPKPPDPSVFAMSILTR
MIMSLFHRLLLLGGIFSLALALFQAVISVSPAWSVYFGAPASMAAQPLKLLAAGWIAALFFALFGLYGLSAAGRFRRLPLLRWGILAIGLLYFLRGVMVFPQLGSEGMPDRFIVYSAVSLAAGIIHLAGVAGAWRTIPGKQSREPRAA
MEGTEGSRTFSVSVVCDDASEPFRTESSMIAMGEGKMRVPRRAAVQSLAKKGKRPTRGCRWSEEATDSNPDETISVGFFFVLRPTVAGSGLSVVSIARGSSVSQHSRHCPARRRRWIERESAVESISAPIDNAFIRCTFEPQGSIIVSEMRKVFPRLVVALREEEGLVRNIM
MTDARDALIAAARDAASRAYAPYSGYHVGAALLLDNGDVVTGANVENASYGLTLCAETAAIAKIANEGWIDRLAEVAIVGGRPDGDALIGSEPVRPCGRCRQILNEAAERSRADILVHCASGDGTSIATYRLSELLPAAFGPKDLGLITD
MERELTIKYSIFDNIYELPYKIQKLMEEAIKARENAYATYSGFKVGAAVLLENGEICIGSNQENAAYPSGLCAERVAVYQASARFPKQVIKAIALTGTAQEPTQYPVSPCGACRQSISEYEIRQKENINVYFMGASGKIIKTESIKDLLPFLFDGSLL
MMDPVLAEQAKFAFQQAYAPFSRFHVGAALRTKSGKVFFGANVENSSYGLARCAEQSAIQTMASNGEREFTEVVVYSEASPPASPCGACRQILFEFSPEAKVYCYNHLGDVIEGTVQDFLPHGFRLNPDR
PPEWKGPRHDWLGRLVGAVLLVGAAEVGLGQQLEAWPAWVMLAGGVFLILRR
MGIINSSVNYIFERITGEASRLAHDNKHSTISSREIQTTVRLLLLGELAKHTMSEGTKAVTNYTSSKTFHVKMTQQMQNLHLSNPRKSSGPASPSAAKRLYRNLSEKLKGSHSSFDEAYFFGRSDRLRKASVNVQSNEALFEAVEQQDLDAVQILLYQYTADELDLNTPNSEGLTPLDIAIMTNNVPIARILLKAGAKESPHFLSLESRAMHLTALVHEAQQRVNELSTQVSAEGLNTDISEKEKQLKAWEWRYRLYKRMKAGFDHSRVPETPSNVRLAVTSSTSLTVTFQEPLSINSAVVTRYKVEWSLSKDFSSLAGELILDSLQSGILRCTIPGLSTGRVYYVRVSACNMKGWGPPLLATPAFATPSNWKEFDGREPRSRGQNEALERLLQQVRNIHQRCSCQEPSKVQNPSRKQSVSRSLKHLFHSSNKFVKILKRGLYVAAVVYHKDNILVTNEDQIPIVEIDDSYSSSIMQDFLWFTKNLLGTHNLGRVYYEPLKDRHGNMLIVTVREVENLYSFFNGKWMQISKLQSQRKSLSTPEEPTALDVLLITIQASGDENKLN
MDESLHTIIVDCDGVIADKNNGGNYADAGPLQHGIDQVNKLYDMGYTITLFTARYGDRENGNIHRQYERGYVEWINWLKRHGVKYHHAYMG
STAGEELLTTYAGAALFLQRHASVVRMRAAAPGHVTNVILRDVNVTNVTGIGCAADVVSVGGEVSTLIGDSHTVDIERLTAAVSAERNAASIIAFERRVGTAASLVAALRRRAVTVS
MWKSNLIIALRVLGRNKKISLINIVGLSAAFAVAVLCLLFVRHETSFDTWHEKGDRIFMLYFEALVPVPGDLLNMHAKLPRVLYEAIPTTVAGISESVRMRAGDGTVSYGDATFEESFLAVEPAFLTMFTLPLAAGDAATALDDPQSVVLAHETALKYFGADMPVHAMLDKRIRLQLSDYDHAMETPIEEERTIAGVLAPLPGPSILDAGARTGVIMPAKAVDERRFLSRDGLFIELEEGVDPVEVEARLASLAVEDIGEEGRIRLQPFQEAHFGPNIMLVPVRISHGTIEHCYLLAGLAAVVLLIAAINFVNLAMSRAMTRTLEVGLRKAIGATRHQLSNQFLLEAIIVSLIAVAGGMALAEVLLPAFNQVVHRQLELEWLSIETGIGVLTLALVVGVLSGLYPAQIIARLHPVRALSRKAPEVGRGRIGRGLIVLQFALSTLLIVVTITMERQRDFMHTKDLGFDSDQVVRVHSDGHLNTLQIERLASEIDSRPGLVKEVAGAFPVPGLGGGFPPITLQFGENSLRAEFFHISPDFLRVMGIKVLSGQNDESGSPSGIFLNETAVRLLGLEDPIGRTVTIDDFGDEPVPVIGVVEDFHFDTMHHTIRPAFLTTSPRGWLGRSGIMTPLLRLDHADLPAAVEEVKALWKRVLPERELHSVRFLDESFAARYETERRVGVVMGWMSAVAIAISCMGLFGLVALATVRRTKEIGIRKVLGATTGSVLLLMSREFGWLVVAANVVAWPVAYFALNRWLAFYAYRIDMGLGWFVLAGMGVLAVALATVSSQTWLAARTNPADALRYE
MLKNYFKIAFRNLLKNKGFSFINIFGLSIGVAACILISIYILHESSYDQHVSNSENVYRLNRDYIDGERVDAGIHFSANTAPTLLTDFEEVELSGRLMSNGLFWGAGSNEIRFDGEVTQHYEEGFAYADQSIIDIMDIVMVNGEAKTALSTPNTIVISEAIAKKYFKNQNPIGRSMYLNGNNERPFKITGVFKDFKSNSHLDFNSFITLEGEEFGEGEQTRWFQNNYFTYIVLKAGIDVSSFENKMNSTLMEKYIKPSYKLAGFAGWEQAHEREKMYLQPLTDINLYSTHVNYESSFRNDIKVIWIFGIVALFILIIASINFVNLSTAKSASRAKEVGLRKVVGSSRTQLISQFLAESILISFIAFILGIALSAISMPLFRTMSGIDLILPWSSIGFISIVLTSSVIVGVLAGLYPSFYLSNFNPINVLKGKLTSGVKSGGLRSSLVVFQFTVSIILIVGTLIVNQQMNFILNSKVGFEKDQVVQIHSTNVLNDRLETFKEELKKIQGVGNVTISDYLPIEGTKRNGNSFVNEGKEGIDETTPGQAWIIDEDYIETMGMNIVEGRNFIKDRVSDEQSVIMNQAMVKALRLKEPLGKRVSRYGQLYEIIGVVEDFNFDTMKTSVRPLCFFRGTSPTITSVKMNTRDLSAVLVSLEAKWKEFVPNMAFRYEFMDASFAKMYDSVSRIKVIFTAFAILAILVACLGLLALSAYMVEQRNKEMSIRKVLGASVQTIFKLLTKNFLILILVALTVAIPIAYYLMHTWLQDYEYRIEMSWTVFVFAGIAVIVIALCTISYHAIRSAIINPAKVLRSE
MGQSGSRDEGFAAENAAYPQQASSSGVRPEQQQRESAVVPTVLQWSQGGHAVYVTGSFNAWGERIPMRRSGQDCVVCLNLLPGTYQYKFIVDNEWRFAADQPTVRDEMGNINNCVTVEDQTLFMREEPMSGFFNDNAPNLYTQALPDVITLAKEPPQAPPHLWCLPLNVAAAREANISALSLEPPLSVTLTHVSVLSSSPTLTLGVTRRFRHKYVTIIIYKPRERAREVGSGARSRGDASGSAEVGGFRVPALPVRDGWVRAGGKQPAAAVPAGAGAPGGSGVMAAPMGAAIGTAGGVPSFVGERCDSSDEAADLHRPMEISSRPASQAAFMELG
MIVLLTAVVLAGCGGSAAKPRSAHRPAPAQTFTYADNGRTVTLGVGRRALVKLDTLDWYIDAVSGSALRAVGPQRSVHILKGCGAPQGCGYMELTVDAVAPGRSVVRAQRGLCGELFICPRKLRKFALTVVVH
MASGGEISASGYWPKAANPVLQKSPRSGLFHARSESAGYLFINSTSQDAGAGSVALDLFKASTAIETEFDPISAFEFFSPRLPWSSCGQLLLPLDLVNFLLAHRAPIVLLNPVIISSFLDGLLQRQHQMIALNLLAAEVNEANFQVNRRLVFSLYAEAEQHDSTYGWAVFARLSYVRKEVLAA
MPKSGRRFMPPQDLSDALSSRAFERPAPTRGSWGPAVVFLAVIALGVAVAYWAVYYVDIYQVEAIEHYL
MKTTSFFFALSFSVFAVTVPSCFSADQQYEECALPLRCGPEVGNVSLRVNSLDLKNQTITVVEESLSLGGCPDLTVNFTGSGQFTLDPLGETIDLFTCPAIPLVAAEPSPFTCEGSNKSSVLRVSGVSAETDLTCLRATTKDQSTGT
MSPDVAVLDELTTGLDPQARRDTWDLVEHVRDAGVTVLLVTHFMDEAERLCDRLALIDAGRVTALDTSAGLVARVTGNSACDSGRRPPSPTGCWSTSPRSPACSSTAARWW
MDEPSTGLYPSSSRNNLWNVVKEAKRSRAVVLTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAFIFTMATSPSANKIDHLSGTQKFELPKQEVNSRVTSAMDVMDMSEM
MAGLLTLRSTAARAAPVAAAATRARAAPAASPMAVRWFSADGNPRVFFDIKIGDEDAGRLVFKLRKDVVPRTAENFRQLCTGESGIGLAGKPRHYKASSLHRIIPQFMCQGGDFPKGNGTGG
MSHLPAHQHHAGCGCYLPVPGPQVHVPEPYPTPYPAPQVPQVVHVHQAAPDRTVQRLALGAGTGAGAVAAGVYFGPLLVAAMTSIAITLAVVALVVAVIGWTVTSVVRAAGGAEGQAAAKTLRRKGKS
MHETTSVLLSSTRIKVPRNDTVDAPNVLVSPDIPLDELEIWLVENRGWRNCLDWYRDDSSSQPQGFVRCELLYNKPHKFIGWIQPDDGSEPLVCCEPFPRRRNLLLKSTLCQRLSDRGNPDCGKSRAKQVPASLCTIDLLPWELNRASLLFSALMQLQQRLLIAAHLRDSVLGHLHCISLGVLADAITAPSAQWTSNYQRLEYLGDGVLKFAVCIQLFHDHPLWHEGYLSQRKSQIVSNASLAHAALKAGLGPFLLTEPMTSRKLTVPGVSDQPCPPSGKRAVSGKSFAE
WVGDLVRMTLPDNQQAFNDIASAASINSSRSCYTSRTEMLRQRRKAAAEEHVAKFGGENRGMRWVDRIRRMASGWTPAAQTKSQCRTEDPMGSHHENTLSKPVRTVRMSAEEATSKIPEKPNRQPQPNQSPPITLKQYPFSLGLPGALHSFEAPFYSSFSKDEKFPSTFHYQKHLPRQKPPIKSGQTGAAAAAAPQTRRAKRKAKGSVASPPASVTSQQSVMSGVSRVSCTTAAASSVRSASPRTHRRQQQNLLNRLPPEAQAFFDLGRVEPETPQLPPPPPTPNDTVSPRAGRKGGREKFPVAPLEEDAIAVLGL
MSKKKNDIRVHVNPEYLGNRLYVFTKDSKHCIAVEYNPAYDFGKGSPSGARIDSVEIHTNNGFIFSEKYKEVSLSKVPECILELLSYLK
MRGRLLRDGPGRCGICTAAAPATINPTSTSASTGISAVALALAGGLVRVGGLVLTEDVGDHTGPAAQG
MKGNGMHKYRITKYDPRYRNRDGIYTKQDWTSVSDTECGALTIEKYIDTEDRYIRTFNNITSVVGISCLIVSSLEKMLSCHEVEERDKRYGLTKYYSADIELFNEVKEGIRLNIGKASDLIRLILREYIWCELSTGNDEKFTFGYDHYMYFYSDKEYSDVLMQCTCDGIFIEELTVMRNG
MKKIVLFVVSLLICFSCQKKGNDTVSLKIDCRLTGEVLLAGGNHCRFHDLAIVGDYYIFLSNQGDTLISVYSRHDMSFIGAGMQEGEPSVRLYPSFVHYNYAEREEKNRISVWNNTLRRIDQYDLRKTDDTVFTPVASTSFDKKMIESRFPLITEEETYAVGLTPNPGTIFFSFHPRTGFYHVPAYPLIPHLDYRRTRSNPHASAICVNEEKGVIVAAARYINIVNFYDLNGDMIKPMPYGDSFIFPEFDPSGEVHNVEKSVKCFLDITCSMNYIYCLYDGSSNYSTLSTILVFNWEGMHIRNLQVDRSIRNLQVDADDHYLITLAENEEEGRDVVRYKL
MAQGKKYNRADYVKAALTVIAREGAEKLSMRKVASQLNVSAMAMYKHFSNKDELVSACLDAFIAESSVYPENEDISWQDWVRHTADRMFTALCGEISWLPVFGSIKRGPLAKEVLAHFIAKLTVSDFSEQQATDGYFAIIHTVIGAASIQNSLDRITAEEDSEKVASHRDQFMKSINMIINALEEHQKN
MLLKLSLSGIKSKLKDYIVLLVGLVMSSSIFYMFQTLSMNKDFLKNNSMLSAIAFVFLAGSVLLGIITIVYILYANSFLLSLRQKEYGMYMMLGAKKKKIGKMMFIETLIVGIVSLVIGIIVGIGLSQVVGHLLMQQLEFSSPDYTPFYIPAMNVTAIFFFILFALAAIVNVFKLARFSTLELINGEKQADHIVIRKNKIMIQSFVAFILLLIGYISMINILRFQMLGIFVALVTITGGTFLFFQTFLPYFVQLLKNNRRISEKKINIFTFSQLSFRVNDLTRVLAMVAMLIALAVGSIAVGIGFKNNSELMVEKAAAYDVVIHNPTEKEDKVLDKMDFAKKVNYHYKSDGTMQYFIKEELNENPLFMAENGVLKANEEKFRKVETPLETGTIIKVEEQYNDEWASAFAQIREERIEYGQDLPIKIVSRDEFKQLSGEEHTVLVGNTTGFFDYLPQLKKLNELEKNREPKQEYLSSRYESYSTWNIFSSGTVFMGLFLGFAFLAMMASCLMFKVLSGASNDIKRYEMLSKIGVRRSLLSRSIYVEMFLIFAFPAVIGIIHVLIGMQMFKGFLLAPYYKIWIPFAIFAVIYASYYLITVYLYKGIVLEKEKK
MTKQAIPYIRFSSFKQSEGNSYQRQREAIDRWLAAHPDYVKSNLVFEDLGKSGFADERKKYKQASGMLKIAAAIEAGLIRSGDLVLLEAFDRATRRKMVDAWELITPILKAGVDICTLDDGITYTKESLNGSHAFLLVAKIQAAYGYSKILSERVSASYDLRRKEAKEGKQVKRNVPMWLTAEGGLKPEVVVAVQEVFNLYIQAMGVHSIAVELKKRKIEGLEKVSGPTVAAWLRNRAAIGVWANTEAAEERKREEIPDAYPAIVEKERFFLVQKLIEQRKTAKPTKTGKANFLSGLVVCSHCDSTMIVHNTNGKPNAFRCLSHHRNKDLGCKNNKSIPYNLVLYIYLCTAFSGLQRALQKQTLSTSEKRKIVIEEQLSVVSRNISKLIELDMLDLPETKDKLLTLKEEREKLVSELASIDAGAVVESEIKQAMIEEKNLLESSPAVLNAMLKSADYKIITSMDGSIECNELEFMGVSWRYAGVQRMVKSCTTDYYKLLMIHKATGREWLCKIEPGHLVIDAFPLLDTAQHKGNNWLSPRYANILRGHPVELCQ
MNKHLFKKYGAQNPSTSAAAIPIKKAKYTTENKMLLQNSSRRVSLTSECTIESRRISVSTSAIEANGDTAKMPFKELTPEDTVRSALKFEKAKYFKKAYRQKKKNVEN
MNLFSDGVDPCFLAFTNIDAEKYMQQMIPTQAHLRPYKDTILESASPDIPSQKWEAGFHPGVVAGLTVLLSQKLQRSLTYSAQQLREDFQAQCSSNAQSGRPTGLGRAIPRPHKYSKFTPAAMMLFTAVMHGKPLTVPCLDHSAGAMVVCNYMADERNQGYRRLERSFCAEEIVPRQIVNLFPSLLRQDHPPILQTDLNSTPQNISLYIIPSNIALTPRERLLEVEHRSTSSKPLALRQRRAGNCPLSDFDQAAHDARRMGWLLSVPSPEGVGNNHLVLPTRWHGQYLQFLLTPKQVPEEVKSMTIDEFLNGVISRFRSSVLNTCRATHNGLHEKVVDAEFMHATEELAKDPHFLLPQVYTSDRSGVIDFTVPSKKWLLELLVEESKLPEHIRRFEADQQYGKQWCDWEWRVINFCYDTRSRMNIKCEQLRTVNLSKAKDTDCMTAEIFSTLPTPHVYTLQA
HPFDHGNFDGRDERLLPWPRTKTDVLGELAVYYAVVDHIDKQVGRLLAQLRADGRLANTVVIYTSDHGLAVGSHGLMGKQNMYEHTIRVPFIISGPGITKGQRTGAFAYLRDMYPTTCELANITIPKTVQAKSLVPILTGKTKSVHPYGYGYFRDVQRMIRDDRWKLVWYPKITKHQLFDLKADPHELHNLAHDPLQHKRLSRMRDQMHTWFHKAGDAVFE
RGSIVTGLYPHSHGARILRDPLPEEARTIAHFFKERGYVTGAIGKMHFVDETRRHGFEHRLHEGDFRATLKPEERQRLGQDQGAAESVEGRPSALPARYFQDHWFADQTVRFLRENRHRPFCLWSSFVMPHTPLVPMREYFEMYDPERLALPKRSGRALLDGFPGNLIRARERGWYQQSEESLRRSLCGYYGNVSQMDACVGRVWDALRELGLEKNTVVVYSSDHGEMAGAHRMWTKHNMYEQSVAVPLIVSAPGAVAANAARRELIEQVDLFPTLAELCGLGSVKGLHGRSFAPLLEGRRYQPREFAYSEYYFCHRVFTRDDRYVGKPPMLMVRTGRWKLSYLEWDRSELYDLEADPDEFHNRAGEPGNSGVLKELTAIARRMHAL
MQALEEAGLAENTVVVVWGDHGWHLGEKAITGKNTLWDDGTRVPLIFAGPGITPGGRSTQPAELLDLYPTLVELCGLAPRTDLEGLSLVPQLRDAKTPRARPAITSHNRGNHGIRSERWRYVRYADGTEELYDHASDVHEWHNLALKPEHAAVLAEHRRWLPTIDRPPAPNSANRVLTYDPATDEAVWEGKTVRRGDPIPRR
MTPIANRDAEPGAPVSPGPVECPPRRRKGRQRGVVVVLALLALAGNFSLGAAPLPQKPAPPVVRVVENGESKTAPEACRATLVGPGVNQPDPFPGYAGFVGWESPVRLKNGDWLVGFNAGYWHASAPTPLRYPAKSLAEYRKLGLPEGIVAPTGGRAMVMRSTDGGRTWGKPTTLADTPDDDRHPAFVELRDGTVLCSFFTYPGEPEGGDLSKDPSLAARVHFVRSFDGGRTWEKKTRRLETGFLYDETDGPLLRLRDGSVVAAINGRPRGGPPDAAALVRSTDRGRTWKTVGTVRTDHDLQEVTVAELPGGELVMMARPEGDITWSRDHGRTWTPPVTFGMRLFAPSLQVLEDGTLVCLHGSYAPGHGGLRVIFSTDGGRSWIAPAKDHGFLVDHAYGYGKAMELPDGSLFVTYLATGGHRTGDAQSNAIRCIRLRVRGDHSGIELLPAPNR
MLPLGSDADPLLGCPVIWRPWDLSTAQTQLNKVVSGGLRRVQTSGDVFHHPARLFLPRSRMQEYLSHLGRTVLTSFPVQATLHFYNDEDSSSEEDEADTH
MANPHSDRGKMTSTGGIKEKTRNANVIPNEESGPAVVQLIRRLIGHGHGHGSEISLKPDS
MASRTNRLPRWLKILPGVILLGALLVAAGAALFLRASLPRLDGDVGGGARRGPAGGEPHPPGGAARPRRGPGRPPRRRGER
MGNLRQQISAKMQATGWMIGRIKSAGGMDIYITNQLFRDEQNNGMRIYSREDPEGDPPDISYIHVTFADKNVKSGTYFIGGPEIVGAWYHAHGAHHDQEATSGSVSIERIDDVQLLEGSIRFQTNDFDVAVAYIIKGFSG
MAVKKVFVGLGLLTLILAAGVVPLLAMPPTLDASVGDVYLVTTIKGYAKAMINGEPVRVRASVQLTALVTEVHEDLVAFRITEGSIVINGTSYIREEDWERGIYNKRTKSATYEGWGVDPQGRRVYFILHSVDKRR
MSKVKIIDIIWEYTVKEKYLDQFLRIYSPGGEWVKLFREYPGFIKTELKQDISDHCCLTVNSIIKAVEI
MKRKLIEKRITIGFSKPEIEKLEAYCKVTGRSYTDVIRECIRNLTISPIRQLSNKSTNENL
MVGAGFAGLAAARELTRQGHEVLVFEGRDRVGGPVFASRGRGPADMGGSFIGPTQDAVLALATELGIPTTPTHRDGRNVIQWRGSARSYRGTIPSCR
MGIRSRILVFQLIVVGAVVIMAAIVYITIRSTTYYTQRVQWANNQLEAVTALTVNANRYSEQIAEFLLIGEPERPDYESARAELEAGFEKLAELTRGEAEFLLGSSKQEEGGDEIFRITRMRTLYGEIAKATSDAINLRNQGRQEDAVRIFRRDIENRFDAEFENILEAARRDEEEEVVRTELQAEALWRRLTWMTAALALAAVVLCFVAAFLLARSLMRPISLLTEGTEAISRGDLDHRIAFDGRDELGALAKRFNEMATHQQDQRDRLLNAKAELEQQVATRTAELAAANQRLTELDRLRVQFLADISHELRTPLTALRGEAEIPLRHGSKPEAVYRDALERIVTQSLEMGRLVDDLFLVRKPIQYVSSPAERTS
MTHMAGTSRDMTAERPGGTSPVYGIYGPVNTDAATLSDLLSGGAVIRQIPVSVLLALESGSSALQDDALDHVAAAGEGLPLTTATCLDDYWNTSLQILNDDIAYRPLAASRCIAVDKATTYDRLTADGVPVPDYFYGSLSPQLIDNAITAYGPRPVLKPATGTSSLGVYRYRDDLSPADNLAYYRILLRMGNVPATSNIIAMRYAGGAEGLEIGAEITMGAGRVISLVVHEKLTSTQVHPFVDRVMVSPPVHPKIIDALPDLPGHAERIATALGVVHGVLHAELRLDGGVWQALDIAVRPGGGLIAHSVQAITGIDPRVCHILAGLGRPVSDQARNDAAAAYNATCIACCYTPPARRAQVTFAKQAQVAADFRGATNVLGWHINAAEVADALFEPDAGLSVGVGAPTPHAALTRLRALVEPHGYTAA
MRVLSTFSGISAASVAWKPLRWKIVGYAEPDPFPALVLSARCAATPPLYLPKPQELKGAALLRILAMLDFDPMEPIPEPLRRHTTRKRRPSREVLNELWDLEMNRIFDTVGKEYTRRKKMVRTREKIPFPYEGSVPNFGDINQITDADLEALGPVDLLEGGSPCQAFSIAGKRQSFEDFRGNLMMSFCRLAQRMRRINGLKWILWENVKGVLSDKENGFGCLLASLVGERGGPLLPPGKKWTNAGLVHGPEGQVAWRVLDSQYFGVPQHRERVFALACLGDGSLEVHPGEVLFEQETEKGRAKTGKETQKAATGLSRKGPEEVMIFMAGAGSGAGSIAASTTTSPTLKASESGSNMVPTVAYSLRPDHKEGASRPKSGAFLAAAAGSLAGVTESEEKKYVVRRLQPVECERLQGFPDGWSDAAIGNEPPPETWRYKAIGNSMSVPVMRWIGKRLQEATKEAGSAKTKDGRTKGGRSTAIAA
TPVTPTAVVSLALLGADRSLSISEVLATVRPLASYIAARNWAVAGAADLTNRSTIRWTLHQLVASGVVSVYYAGTEAVWGIGEDQHLVAAFYRNTAIHILVDRAVAELALLAAAETTTNGSVSPATVRDEALSLRDLLKFEFLFSGRAQFEKD
MVESASSAALERIISPHTVAEFISKYWEQTHLHVPHEGNPLLRRYLTELVSVDDIDYFLATICGAGPQRWDSLRLSQNGTPIPPAEFQFNERAGFATFDINRILSLYRNGATLIVNSVQQTLFPVATLCNELAGFFGVRVLANVYITPPSGQGFPAHHDNHDVFLLQVLGEKHWTLYGSPVPLSRWPGRADVRDAPREVARDRLCLRAGEALYMPRGLVHEGTASEATSFHLTIGIHPYTWAQLLIDVVSEVQREDVEFRRSVAPQLAAIGDGEGLEEIVAKLSGRLRDGSRLARVVNQKMAEVACGPGPVPAHGRFRRLVDPPGVTLTTLLHVPRDEEVEIHTSEDGIEVISRDKVVTLPGFALPQVQALFSGAPTCAAALPTGLDDEGKLVLVRRLAREGLLEAEVSG
MVKINAFNQAVSASLTYQEIKNTSKAAQTLKFIFPKLQNNDLLLDRILDKILEEFDNEIYSTLLISNKKDKLKLLEKYNILLEFPDAIAQIKFNPSDVVFAFIGNTADFQNYQTYLEYKKNGFQGPKSFFWKNWNGPSNFHLILKNDIEF
MTWTSEARQHMRELEGVVDVSFAESTDGLPFSKSFRAEVQLTSVGEQTMPELIESILRCVYEAGGPSVPRGSLGFFVIADGVRENLRAKRLALPEGLRAWGSSVTVPDGWLRRRFE
MYEIEQKLVQVTDAIRAAGLCPLTRDNATTLARLGDELSDLLENAAELSAAH
MNEEFVLPALTAENIDKYKLWWNTLTDPWKIAFNAVYTNAYTTDLPGDDTLNLICNVSVMRFAGPTAMYPNMQTELDDMSGISQLNNLKILVVVNHNVTSLKGVEGLNNLESLFVFENKICDISSVSSLTNLKEFYFQSNLVTSLKDLSQNFNLRTIYCSRNKLENLDGITEQHADQLTNFICLPNELLKDRDIIQFENKVGIRCNKG
QALCHGCMEFDNGIEIVGYININIDSGSKVDYVLNEKVLKSTTNSMTFVSNSFLAKKEQQKQTRDGACSPILQSQVRPYASQTRGAHFGSTYDRAASQFASHSQVLRGPHKRARTDDWRPSPKKYHLQSQSTEPSFAGHTMKHSQMMPTSGTSSYTNSSFKQPHVPTNSDSDVN
MRYSCLLVFMLSFEAFAAPHPAEVPVVNPPILRSVDYRQDARFQVTAGLGSLIPYLGFSLNLGYFQEPDRLYTLSYTTGVDMKDFLLNLFLGHDDEVHARLLTAGFKQFFANSFYAEGSGFMRRLTQVATNELSYSSREGVADFTMDSLGVTLAFGNQWQWPTFTIGCDWVGMMVPLVHGSLRADEAPGVDPQLVAEEGDDFKTLVKESRLTLLRFYLGYTF
MKKKKIILVLSFAFGVLFGLIEASPALANDEPSPNAKPAGTPSGALVLFLTTDDPMSAGHALHFAEHTFSNGRPVTIILVGNAGKLALKNNNLPPSPITGEELNKKLQQLVEKKVTVIITPYTLAALGQPLENLIPEITPPKNGPATHAHIWEPETKIVVW
MIIIVSNSDVVFGHVISGRNSSVANIENIVGPCLNTVPVRVVYRPEWKIHDLLSYIQDQQIANMPYESLGFREITRHCTEWPDWTNFSSVLQHDRCWHTARLR
MSDGATVIMPKAEIYVPEKVTEETKRVQPNSNTTVYIVIACIVVFLLAVLLIGAVVYFCVIRGKTNAKVAPMVVAEEENLDEIYDYSVEMPTSPVDLEIKIPTPLLSSPTTPIKDHRSSNRYKLQSPLRQPTPKLIVQRKLKTSSMPSTISGNKPVNTATQTPLSPAKAETPKSPVKATTPKSPVQPIGSPTKKNKSYAQKPESSPSPLDKTESAIKRDSPPTGTFSNDEVANALSENSLYNVRGKKTLKVNRQGQLFSNSLLTDHKNLIKYDKNGNLNVMTMKMSGGTTVIMPKAEIYVPQEAVKETKRIKSNSNATVYIVIACVVVFLLALILISAVVYFCVIPKKGNAKPMAMVIAQEENRDELRDGTLQIPTSPFEPEIKIHTPKLSNLTPGNKPVNTATQTPLSPAKAETPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTETRIVAIAAG
MIRNSAFIAVFLLFITTFYGCRETHFEGYSMTSTGLEYQLHTLGEETVIRDGDMVVPLFIITDLNGKELASSSKAPKAFAFVYHAVRDTGIMEAVSLLKLKDSASFVLKSSRIKELARGLDDQFVVLHIQIIESKDAARYAFEQRFPEVLVDYEMQEQEQLVAFLDTIPWDKVKKYNGIYFIQKTEGEGDXARFXDEVVLSYEGFFLDGEKFDSTKDRKEVFTYRIGEQGQVLKGFDIGVRHMREGGEAIFIIPSQLAFQEGSGNAIVPPYTSVIYHVEFLKKET
MKYIFLLLLFCSSFALGQTRYHKGYIVTHKLDTIKGDIGLKEWNYNPNLIYLKSAEAKERKEYTINDILILQIPDVCYYQKYQGPISIPQSEISSVIDSNFVFKEETVLLKRLFEGTYLSLFSYKDATKERFFVKDKNDKISELLSNYYRLNGVKESYNPYKKQLRELLNTYGINNEKSSNAIRTSAYNEVSLIKLFKTINLNQQTVTTKKSNSVNLFFGLTANNSKVSFHENHILTGKKSEMFVTPGITGGFIFYTNPHVRKIAFNIPIHIYQVKAKAEGEEELTFDRAKYGVYNYEDIIFSLSPNIVYNYYNKQNFKLYVSGGISFSFRLNMVSNYTTYFSKSYIQSEMNNRLQYDLTLNKAMFDLPLETGVSLKRIQLFAAYLKSVGKTIYSEGQYNIQSKTYRVGVRYLFGKIL
MKVYPYVPGGFGTSIASALDGKATLQRTPDHKLDWSFLKPQEPVKFTEGTGKAMNTIPPNDFSYFEMINDLVQKEPAEALDPEIMG
MSANEEIVESSRLSVEGIITPKNHNLPIIQIDEDKHYTKELDPIHEKIINVCYFIGNYTFATKRDWILMGIFAIAVAAAIPAVARVRKNRLCY
MSICDYVGKELLGWIYYNENYSHGEYSNSINRVCYVYPRFILSDGEVLPVNPEDFPQLGSFEVRIQGGFSSEEIVDKLTHLVSIRINFEPEVDTNQQNNFYRMKFNPSYGDESSEVWLERFKNDNFIQVINSEDSLETIIENRKLDYVLEKIYTDQIFIKARDGICGPFTYIINDNTVELAAVSEYDYNLFIDEDFILNKFIFKVGDRAENGVFLTCKNFLDENKDKLKLEDFISDEMLIETVLSVFEREENINSADVGALKKALLTSINNVTYPNASQERVERFRNIIKQSEMGTDFLFKIATFLLKDDKLKDYVIRLILDNNFEEIEDRLTIFIEVREKISELEREKDALQAEVNRLSIDAKDSRDFLLKENEDLAKELNEQIEAIEKELNIRQEELTMMGYVNDLATEKESLEGKIKENKAELENLKTIQSEVVSELKDSVDEYKNIGRVIAKDLRKEGMDDFMSTLKGLKNFERTEKKEFELASFNSSEELVNYVYYMMNFEFNYNISRNMVANLLVLFGMSYITNVNGSTGSGKTSMVSTFARALGLTEDNGLYIKVNIDPDIRSSRDLIGFYNPVSGELTANNKRLLEMMDKTCELNLPHIVLLDNANLSMPEHYLSSFLEHEIGSKDYLNLGGGKEIQLKDNMRFFTTLQKDHTSLELTSRFYDKTATVEAKYESKNFYQKDMDGMGPKGVVKLSDILDIQSKHDNLNPDLENKLANIINVFRRYGSPLSPRTIIKSRQFISSITPLLKTNITEFKYLALEMAVLQFMLPSRMATSINKRFIDGLLQEVKDMPKLEETLVAYKDMYDNFIEDISVSF
MIDALGARLDGWLSGAPALIGQHYPWLSAAHILALGALIGFVLVLDLRLLGLFRSLALAPLVQVLSRLAGFALIAAVLSGLVLFSVQPSHYLGNSAFLLKLGLMVLVMINIAVVHLSAPWQRVKAGRPASAVLRLLAASSLLLWVAVVLAGRWVAFV
MILSFYDIIYFFKISLPYLRGKRYLKSFEPAAERSIDHKSRVKPSLTPIGTNVSRF
MSAWKADYLMTQVNDPGHPGAPELTGHSGSEIRFYLRQPWPPHRSAPRHQSRELSRCSAGARPVTLRPGEYLYRCASPSTTGA
MAAPLGEVPHATAKLLERHLAQYPPNRIADWQVLDSGPSAAPETPVLGKWRALRRRTIRCTGAVAEA
MAVLLYIGVLYPVYFSNNLSLRSINILAFSNWFIAALISFPTGLFQAATYVPGSIQCGSQHCSPVVGFINFTLVSIYFFLTILILSFVFIRLSFYIHQSKKFGSYASSQTLHHARIRLGWTLTAITVISLAEGIPSAFLIGLQADSVLNTCNNFYQADRLVKVTIFTSISSIVWALVLSLDPVASIFFDERILNKVKKHVNRSKLYYAKFLNSVSSSSESPL
AVALAEELPFTPEEFEKTLEVVAGNFLLTGQDVIKTLKNVYTRWQRIRQSLLMLDREIFGESIEDIEDQLEDLQLADFVYRMDYSHWQQYPCYLEALEIRLERLEHNLEADLDGVYALDEHMERLANRANDKAISEYRWMVEEYRIQLFAQPMKTRMAVSPKRLNKMWEKVN
MAITEASLGQYVASLKASKGLVRDCEAFLERCRKYQTPSLAGFPMVGLGGSCGKPAFLLPFVVRFDLPKVLALEAWFRRTK
MNAPAGFWRQYASRPVGESSGAARVAAGAAPPAPSPDDAVVTTRAIEAPAITTAATRYGRIGRKGRTSSIIASCRIL
MFSISICTARYGRYVSVCHIIGTLTARYRAVPLKSTVGGRFQPSAVDFSHRRSIEGEKGKKKKKKRKRRKKKEERRKKKEEEKKKVYLASSPPAGRPCTVAARGSPTRRRRPCPLVAREPSPPSPSLAIFLPIPLSTDGMYWSDKEPVWLKSLDVSRGFDGVPVFQVGSLEDVLKKME
MENLKALHLKEKILLIELKAIQDQIALYYESLEPTANSEQESARKQSESIPSQMAKSKEKSSPFTPVALEKSKYRVNEELKSSSSPETNGSEGGGGDCGSGGGDGGGNDGKGGNGDAGGGGGGVMAALTAASLAWSSLTLRKSIEI
MGFWQHLAESYDKNADALQKDYPLSTTSISNNSDIIVIIVIDGNGDFIRCDKIDKKSNSTRKNSGNPLVNVKIPVTEESLGRSSGVSPHPVFDQYGYLKGEGKKYDDYIEKLKRFAESGFATEQVRAIYKYVAKRTVASDMSEMQLEDKTNIVFQVEILGSPRTKTWEEIAFFDAWHQYYVAEKLKLVERKKWAEEKLASEKKLPANEKKKLKEETKLNNRVSLDYITGEQQLIATSHPKKISNASGNSKLVSDNDKSNYT
MYYPYFLAYILTGVLIGIAVFVWALKNGQFSDQQRARFLALEEVRPKATSHGTGFELYFIFYLALAGVAASFALVGYALFFR
AYGPLISPQARQRVLRLIDEGKAEGAECLLDGSQCTVEGYPNGNWIGPTLFRGVTPKMGLYREEIFGPVLVCMEVDSLEEAIELVNASPYGNCTSLFTRSGGSARHFKHAVEVCQVGINVPIPVPLPVFSFTGWKGSFYGYLHAYGKQAVR
MLDAWDDGRPTERISREPYWKALGLEWGMLILCGITHYLVGHVIYFKTDARPSPDSGAIEIVESEFKAAEKTLGS
MNQTFNLHRFGLMVRLELAEKGQSYLLSILPILLFLFAMMVPITFSKEYNSNLEGLHPAALLLSVFLGGSFYTSLAFNQYGAPANAISEVMIPASRLEKFFCAWFLNLLFIIPLFGLFILLSDWTTNFANHRLSQLGIREQYVMLPAEMRNTYFLFYIIINGLVFLGSIYFKESAYLKTLGLTIALFIVLLVGNQWFARYLTSFPKNSLHISPFEPGWQIVLEESSTYHNIMLAPAMQTYVNFLPFLVLVALWYITYIRLTEREI
MYVNVTAISFKISRSVHCISNSRLNSGRARKDLTWPQFGLPRLASTFTISNCQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNAAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAPLLAAAKSVWLWTSLLAGGPPLSEGESLQPDSNSYMSTSETSQMPLTDAAQLKQPADSYSENPYLANQKSPYTARGYDNKQKGKNIRRSPSQTNGSKTTSVPLWTQEDQHNTNSHQPGTYFPRVPLSGPAPDFVHGNTNFTWCDVPYVNDNHQNHYTQQLRPNNSAMQPDFAAGGFYPPPYLHPCGPPPMPARPNGTSSMPEPYMSAPDIGNLNISGYSINFNPQRRNPEVKHDSKKKLPRSVSSSNSQNGNMAHNSPSIYQDEMPNHRYSSHPEYLSSSSSAMGASVAPGSVIWGSPGCPKPSEYVQGLIGVVLLALNTLKSEKIMPTETNIADCIRYGDQKHRNTDIKKALECAIEHQMVVAQSLGAMQLFVGKNEKLWKCVNPIGGSPKQIPKATWDEIQLFLISSAGRSAILASQCRYEAGTILKRKCLKGHALGDILQILNMVIGYKKWIIHHPSGWQPISITLSEIDSDLWSAEGT
MTVIKRVTKTYCLYFIFGILVILSIFEVADQLHPAQAGQFKTIEVEAGDTLWEIGEDFQDQHHMPIKKFVNWVERVNHINAYQLDIGETLVIPIEK
MVQDSPSRKMDQFIVRLPDGMRDRIKAAAETNNRSMNAEIVAALEAAYPAPPTENFAIWLSLEKERIKTVLEADELWHLAQDAKDSPDYFDMTQRVFQIHKKIDALRDRQAEILDPEMVALLERLYKAGTLEKLIEQASPIID
MFKVVNAAGIIIDWLFKHKTTHENAGVDEINLASLTGLKAEFVEVEELSTATYDDVQDYMNFFGDRTLLSGGGITDNSDGTATVAAGTAWVKVTDSDTAIGKFFDFSADANVSLTDQLTNFVYLDYNGGTPQIVVTTTSIITHGFKEDHIHIATIFRDGTVLHFHEEDTIGIERINIVDMRLLEMHDADRASGLVTSDAGSLALSVTTGVIYEGLSRHTTTANGSTWSTWHYNFTGGVWVEVTGQSTVSNTQYNNIASGTGLANLTSNRYAVHWVYCDIDGSNMFLVYGQGNYTINQAEEAGIPASLPDIALHYGVLIAKIIVQEGQTSLEILLPWTTTFHSSGATNHSNLANLSADDHTQYLLADGTRALSGAWDMGSQNLTNVNIDSGTIDGVTITQPNLNEAVALTATATELNALTDDSMADALHRHSELSASDGSPDQALVVDAAGQVGIGAASPAAKLEVAGTEIRVRTSTTPKLSLWTDGADVGCRNWVLRISHIAFGDFNIVQSNAKGGNPITAGTSRLYIGATGNVGIGVTDPDTKLEILNAGDQLKLSFDGADDAVFAVDTNGILTITPSGAAVDFASKNLTGLGTLSAFTLGGTMDANSQA
MASVGQQVRSADSAICQNIERYADDRVFLSQNLVAQLRNLVEGLVVWAHLGDPDTEFHYDRMGPALEAVKAIP
MANAATSDRRWAASVKIARLFALMPPTTSTVMNIMQSITAIRSFLMDANLSLASFEVLLASEKSQAAPLQILFPAAPEADGEMSIFTSAI
MPLIELSQATIPFPGRLKENGYDGKEVLMKLKKLQVNSTESATSLRRFLKEKLRIREEIKAIMHVHCSTTFKDALPPKEKDQRSFTLPCSIDNMCFNKDLVDFGASTLYARGYQDSIDPWKTILSTAHAKIDVFKRKFALRIRDDKIVFYSNNPTSNTFNKVYVLGFRERIKLDLDARLMGEAMILNRSQDPKLKDFIELNDLNEPLELNDHEVEDLDPVIEEG
MRSTICDRLSLLAIEQTRTITQKSSEELLVTFALPGNAGAEEEPPSFLQLTEQQTAGNTVYLSYKL
VSVARVIRGDPHVLAHSASSDGKAIIATASDTSDGIMLEVLSIDADGSAGEWSQREVLADSMSSAHGPLTSAWLNAYARKDGSTGYRLLVATQDAAVHLLQATREGGRSSWVREEALASVSGAELLPLPSLAVDVDSSTPFFGFGFLGIFKQRQSSSVAESASSTADGADKAPAYGDAYGTRQVLVLRTAASKVFGLHSSTGEVLWSRFVRALSPTEAPPSLLATFVCGSGASQQALILAQDIGSWRLLELNPVSGQLLRESSRPGVLMHAAKLPLASSEGAADSVLLLVDEAHQVHLHPESASTRGLLAQHASRLFFFVVGRAQVRGYGFSSTEGEVAVELRWSMQLPEAAQVEVATFPQGAAIGSPVRIKGDRSVLHKYINRNMLALALAIDDDDEPSVQVMLLDTVSGRLLHSAQHSNCRGPVRMLLGEHWLLYTYWSPSAHQHLLSVSELYRNTSISDDVVSLVMAGPVDYSQQENQFDAFSAPPPHVLSQSYAFATSVAAMGVSLTRAGITPKQVLLATSAGELLALDKRLFDPRRPLVHPSKMSVFDKEEGLVPYAPSLGAISPLSAISHGHQIARPRQIITAPTMLESTSLVFSYGLDLFLTRVAPAREFDRLNEDFNYIALVGATAFLVVATIASSLYSSRSELLNAWA
LDRKVLNQLLVIIRPAFLQIMEGKELNACERDICRATLIREKLQGHHIH
MPTPPSASAPTPFTPASGDGPLPAEPPELRAATVRTAFEGLLQIRRLTQNSEIVPAPWELRQFLNAISLALEAAPHAPSATDIDGHRTATGYRVRPADVPHTAAVEWLGPPGSGAADEEREQLTRCAATLTALGWDALLYRGPRRRWFVEVRPPHGTPPPRP
MNRQIFKRLQTGYIEKNIIVICKNGPIAVQSTKEAVIRLLSLPMELGFHEEWKYASKALGSEDIKEGLKAFVEKREPVYKGQ
MAEKNIFREVLRRQRSLDSDPRAVDMLFLWTPTLYRRKNPGSGTWYRIRQRQPFFSRPSRLFCTEEFKNHKSVLFHSTDSKSNSSHICSTTIAESKSLLPTARKAVIRIVLSFP
EVTEIIKDEDFGNNMKPNLFIKNVSGQAKVVAMKLDLRSMPEGKIQCCIGNCDFYDTPSIHTSGSISIAAGKSESIETEWFIPAETTTPKCWTAVFTAGLCKLGAAAYEYSEDGPSIKVRFGKDPTAVTSVKENTVTEVERYNVQGQKISKPCKGINIIKLSNGKTVKKLIP
MKDPIPLNARKERDAMDSDDIQEVQVIRSLVPDPLNQEPLLMLRIFEVHLQENRHGRQRQKWLRQIIIKSKRCLERELFLEALLSIWSELSSQFLAAWIIEDTDEEDSDAEDDDGMVLDEGEKGFPSQEGTKNPDFEDQASLYLKDSDEETENDSIMIEGENLTREQIEDEIKEIKEAHAEDE
MSKNTTQNRTVRRSAAITGAGALLALTLTAGGGLIANAAPVSGASFGPAAAAAEVAATPQITLDKTSVGLDGATIHVTGTGVAPDPEGNPRQWFAVKLLTGGTGVVLPNQEAGTWDASGFLKISDAKYLPDANGNFAFDLVVPSLKAGSYTVQILGGNGGGSSMNPKATFTAEPQAAEATMYLDEVLVADNKVSVWVFGKKLPKDSKLTAQLDGKKVPGTWMLNSKPTDVIGPDNDAGDFNHRVLLDAGAALAGSEHVLTITNSLDNSTYDIPFTAVPSTVDSSFNTPSQGAQGDITFGNLPQGAKVTGIGPDGANWLGTDQAAVAGADNRAKISFTIPADAPVGQDIRVAYRVGEKNLSVDLGQKVTPNNAEINADKFTVTHATGPQGLYQLVDDKKTGSLFATFTQWGKQTGVAKIDPKTLAVLQTGPAAKTAEGADIQVFGIGIDNSARKVVASATSGNGIVVYDADDLTKAPVYVQGGPELKHARDVAVDETSHKAYVGSSLSNTIGVFDLNENKYVDTIALGTEEEPFTGVMSFDFDEATGDLYTTSLSGGRAAKVETRNGNKATYYQLGELSSASGTAFDPVKKQLFIAAQGNSDVTVVDTVSGKVVKSIPTGGGALNLFFDPVHRFVYSANRTGGTVSVIDADSLERVGNLDAGQYPNDVTVTPDGVAYAVNKADENNQIFRIDPTGFPVKKDDDKEHDNGAGTGDNDTKPEAKTPADDTNHADLNQVGNAGDNSNAAQANGSEGKLARTGLDEGLLIAGGAAVIAAGAILVAQRRLRRHG
MKNLLAPKAHQRALAGLMSITVVASGLGVVATTPAFATEVCASVQAPGNIAPEDMTLTIDNADVKNFRSGDTIHLTASGVAPRSAENPLVIKINKGANNWVRADQAQGSNLEISGTETESPNLIVPSDLFANGELDIHVVLPQDLPNGQNFLTVLGGGQGGERLSYSVPFFVDNTAADDGLCGTLTIQSPEIKSVTGYATDSRRAKAGEIRVSLVVEGMMAGATPTMSIGTQALTLPDDLVVDPAGVLKTTVTLPVGSALAGAHMLTVSDGTTTKELRLLTTGYAMISENAAQGSQATVRVVNLPDGTELTSVGVEGQNWLSAPVSAVGGIAEQTGVMVPADAPFREKVRATYSIAGRDYTVDTGERVNAPRDLIATELYDSQSMELGKGLYQSAINDKTGKLFVTRANRREDSSIYKIDAKTFTIEQTLDIQDRDSTGESVRGAFGVGLDNERGLVWVSNTLQDTVSVYRQSDLSLVKTWSEGSTAHPRDVVIDEKTGLAYVSMVSRATGAVHIYDLEADAPVAIDLGPDFAITMSLALNADKGLLYTTSRVNPNIAKIDIASANKQVTTKSMDSDLVESASGVALDPVNNKLYITAQGTGNTVVFDADTMDFITEIPTGASALNARYNPSDGRIYVAHRKAGTVVAIDTETDTVVARLDAGMNTNHISVGADGTVYAVNKASQADPEAKDRLFAFKLKEQRGDDMSSSGPLPMWATVLISITSILGIFGLIIGGLRNLAMQFGIRLPF
MNLGFIGCANDQLDIIKSDFALQIQALKDFYPIIKSALDAGYPMQNIKLGGGTALAMYYFQHRLSFDLDLFVPDGQHLDYFRPKMWIDDVANFEGRYADLAHHVGVSILVGACPIKIDILAHSMGVDSLLDKSKTLSI
MDPILLIALFAGLFLVTHLALPHPPLRAALVARLGAGAYLGLYSLISFATLIPLTVSWWGARHQGPVLWMLRGGPVGHAVELIVLLGFGFMVAGTVRPAPSSIATTMQGLPMEARGLSQITRHPLMMGVSLWAAGHLVVNGWLTDVLFFGTMLLTGILGAMHQDHRKAAANPAYAAVVAETSFFPNPLRLGALDARSWGALAAGVGVGLVLRVFHAPLFGG
MKKKKMCRYAVYTMCAIGLFLIFGAANSVAFAMDMQIIEPWYAHLGQAFVGVLLTLPYLVGRRRKAWLR
MKSFTGAIAVINKALYVISGIVLSCMVMLTFIDVILRNFGHPITGSMEFIQYGGCIVFSFSVPFGTMLGAQVIVDLIIERINPRKKRIINIITRCVGIMIFLFIAYNFLIYGIDVRKTGERTASFKIPYYPFAFALSFSFLMQSFTIFCDLIKKVKGADNE
MTAASAITLLRGFRARSEVDRTRVGLCRDLIADIRRLNDQLAANEKHMSQALDEHGTRLREIDGIGTVTAPRLIGRTGRASRFPTAAAYANYNGTAPVQIASADTDRHRLSRYGDRQLNSALYTVAMVQTRMPASAGRAYYDKKIDEGKSPRAATRSLKRHLSDHVWRTILADEKRSHRQREKEPASAA
MVSVPSPRKRPSVVDEVVLGVDTHRDVHVAAVLSTLGAVMDTAPFPATTGAIEAARAVLSGHARSQAKSGDGPVQCARLFKLAKDSAVKARTQAINQLKAILVTADPDLREQLAGLKTPALVRTCAQFDDREDDDGVKDAVGQATRIALGLLGQRIDQLTRQIRDLERRLAGLVERHFPQLLVPVGIGPDSAATLLITMGDNPERLCSEASFAALCGFSPVEYSSGRQRHHRLNRGGDRRANAALYRIVQTRLRFDARTRNYYERRTAEGKTRREIIRCLKRIAAREVFNLVRSGIGPSEVA
MIDACLRLRPSSVTDAEERTRRIRDLTSEVHAADAKLTELVEQPVGHLLQMRGIGVITAALCWVSWSGPGRFRNEAAFAALAGVSPIEASSGKHTRHRLNPFGDRALNRALHQIALTLERCDPETQNYLARRTSDGKTRREAIRRLKRFLARKIYRALEHPPPPAQAA
MDEKQLQALANELAKNLKTPDDLSQFDRLLKKISVEAALNAEMSHHLGYDKNQSKLGANSRNGYSTKTVTSGDNPQQLHSEAGFAALCGVSPVPASSGKKNRHRLNRGGDRAANSALHIIAIGRLRTDTKTQEYVAKRVAEGHSKMEALRCLKRYISREVYTPLRNQNRQINSTQITT
MPEITPATLPVGALLLALCGCTDPAPRCTIPETRDLKTIDKLIAQSQTALERGYVMRQTEGSGVNFCLGGRQSHVGLSFCTDPAGRREAVAIDSAAEKRKLASLEARRDALLATINARMAACGQRS
MAFGFSMGYSLALLGAGYLVGLAGAIALFVGMFLAWGIFTPYLSNFEFDSTKNAVDLASSVWSSKVRLIGTGAIAIAALWTLIELLKPVIEGIKEIVKNVKITNQEKNERTNIDLSLKSIFILFVLMVVGLFITFYSFVEDANLSIYYQMLFSFVGTLVSVLIGFFVAAACGYMAGLVGSSSSPISGIGLIGVIISSIVFLVLGVELFQDPMLSKFAVALAIFTTSVILATAAISNDNLQDLKTGHLVGATPWKQQVALLVGCVFGALAIVPVLNLLYQAYGFVGAMPREGMDASSALAAPQANLMSTIAQGIFHHNIEWGYMAFGVFVGILMIIIDNILKGTQGMSLPRLAVGIGIYLPPAKNIPLVIAEFKIYCNATLD
MSETTTDAPQAPSGVRELTIRAVVLGGLITLVFTAANVYLGLKVGLTFATAIPAAVISMSVLRYFKQHTIMENNIVQTIASAAGTLSAIVFVLPGLIMIGWWSGFPYWTTVAVCAIGGILGVMYSIPLRRALVTGSDLPYPEGVAAAEVLKVGEASEAHAADIDSKGVDQNRGGMRAIVVGSLGAAFFSLLGSLKLVATEASAAFKVGSGATMVSGSLSLALIGVGHLVGVAVGVAMVVGLVISFFVVLPIRTWGSGPAADESLLDFVSGIFSSEVRMVGAGAIAVAAIWTLATVIGPIIKGIIAAMASAKARKAGTVVDVTERDIPIQWVGLTVVLAMIPIGWLLWDFAADSHISDSLAGIIAVSIVFVLVIGLVVAAVCGYMAGLIGSSNSPISGVGILVVLTAALLIKVTHGPTDDPNQIDALIAYTLFTAAVVFGVATISNDNLQDLKTGQLVGATPWKQQVALVVGVLFGSAIIPPILSLMNNAFGFVGSTNAEGAEGTLSAPQANLMSTLAKGVFGGDLNWA
MVAAKVHCDPGDFSFTFILVLGDFEPHFLCVPELGTKIPLLPGFIVALPSAYCDHFVSAFQGQDRFSLVFFLCSHRTYESLPCIPQEKSKYEIGVIPNIRTE
MTLSANLPAAPVLRGMELSGGCCDSDRDGSSESETEDTSSEETESGTSSEPDPAPVSGPAPRTLSEAGPALAPSLHPALLGLNPSQQRVVEGFLHLSSGLKLLQGPPGTGKTTTIVSLLRCLASVGTRTLVCAPSNKAVQVVLLAFLEGLKLDGGHIDAAEGHREGKEQPGDASEP
MLKRANIVLSQGRHRGIDDYMDYLLELLNSIYESVMIGDTYRADSHNYIIDGNFSNERDEIEILSSAGVVIIFIASEWVHFFKGVLYFNTFQHRDFLISRFLRKLSKKRRSILTNRPLKKILSKFFDVKIDELYYLANRSEEFEKNASFFTAIIGPSKDLVTPYSDFYKVPAFAIEPLFEEGKFLDREIESSPKLFFSGKLTKFRQAVLSNLNKIHPNLTIEYVENSTNLPPEKFTHELYIPQKFRWPYSSPIRTYRSYTQGFIPVNFSQYSQSIMDSYLPILSESSESYKQFISYKTENLVEGAKASNAVRKEQIKVYVEYLSQGLEQAKIKPTVSQNIQLVLEGYKGFNIVKVDKEYYAIPQCEGKFSLDRIERKDHSVFFMAENEADVCRQIIAFNEHFQLNTYKIQLIKKQYQGFNIVKVDKKYYAIPQEEGKFSLDRIEQKDYSILFVANSQADIHRQITEYKNSQIDPGKSKIQSTIENYISQLIAVLFDR
MSSSTEVREEVIEKGLTPRAIILSFAILLATFAEELILYISPDLRWFNAWFPLPPFLLIFIDYALGKINPRFKLRRGEWVVVIAAASAITFSTYLQHGPSYVFMMPILAQGPFMPLYFKMHPSFKKYGLGDLYPVFMCPTSPEAIDAFTYGGPFNIGDWLPFIIFWTLQWIFLVMIYVFFGFMLRKPFVEIERIPFPYVIATTEVIKMYTTVEDNKPSIFNLKNPRTKMFYIGFALGLFFTFPGFIQKVFFPEFWGGVPYSYSFDLSTYVRSFLPGSYTRGLIVLQDIALSYLINIDILASVIIFYVIFSIIYPVLGVTMGFLPYKVGYERSQWYYGRRYGPFHWIDFMYWLPFAIGLWVLLNNWSHIKGILTTFYTGKDVVESGVSYKFISWGALISFIGFWLLWIIAGAPIIASLILIAFMIICFFGYTYARAEAGFVPWNLYSRLNLPYDIGAATLAFNWTQPDPRAFTYSTMFMASWNDGHRMTGFAMWNHMGLYKMADELKTRAKDIVIILLIMGVATAILVHT
MEPIFVSQTSHQGGARLLLGGLAALAGLLLFTVLFAEQFSLLLALCYIAAAMAVFLGMAKLAEPRYFLKCDEQGVHYFHRYGSWLLPWQSFMYCAVPQLEQQNLSFIGFKVTDYDAVLQPLRLRLAVRLMTEQRPLFMSAIKQSCANGQCASELLMEKDSFSTATQRYNGIKAVFAQRMQRLAGASGFDLFVTCSLTEAQTRALCQQINQARLQMIQNTAT
FEEFRMILERKMQEDEDEKELKEMFRVLDKEKKGEVDVKELRWIIKNLGDDLSEEDIDDMIADVDTDGSGWVDYDEFKKLMND
MKKQHRLVYWLPRVLTIIYIVFISLFALDTLGEENFFIPLLIHLIPSFVLIAVLWMSWKKEVIGGIMFLAFAIFYLTISWGKADPFAYLVMIGPLLLISFLFFGNYIIKK
MNKTIRILLLWSPRILCILFAVFISLFSLDVFAGTHGLMQTIVGLLIHLIPTFVIVGVLILSWRWEWIGAVAYVGMAVFYAYMINFRRWDWIALISTPLLIIGILFLVSWLLHDKLRVKEEQVQ
VLEEPLVQILDKWFNDWKKKNPEATLPSVFTLTPSDPVWRKLEATTFFMAVSNTFREAGKIVTTIAIRPSR
MGGVDSQPAPTSERGGSSSSRASDSGNRSRDGGVFEANATFIAKAFLERDYETVQAKKAALQPAEDVVMNESNVKVEPEEAPQPSEQPVTDADTVQPKAEPVDDALAPKPQVDANASQPSDQPVKAEKPEEDAADVPAAQPVSAPGDFNFDSMLADNSGTNAFDLNLDFGDDGVGNDSFLSGSNLANAASNANNGNGGETNQPSNAPTALPGTENTPAPAQMGGDAFDLELQKAEAFTNPGGTSGEQTFDGQGGSNMEDVMAPGESSFDDLFMENDTFGGEGAGDASLLDGDGLVNINDLDDSWFT
MSHPEDKKPTPAPVDTAATDKNAQPDSKQPVPRKDPDEYDPVGMAGKKAGILLELGEEANEASKEVQQGSDAKESAAIPGAEKKDRAN
MPAEVVLGRVVRFLSHDLREVLEPVDWRDLPLHISGRCIGCDYLGFAWTRTGADAAAIDQRYCAPEAVRVDHLSRIAGLTEGACGKLAERDVTTIGALSAVNPGSTIFDRHQNLKATRHIVHARASSLRDRTPARLPDRTGTSAIMPRFSDIRIALSADYDVSSGLTFAMGARVEAFVPDGKQRDEAGRPMFTAWGKARLTGKWERKEQTRLVLKKTVEQEGEVFVDFLSRLRDTIYEFRRQIRAGRQEHADDTKYEPTVQFFLWDNLNFQQFCRMMGRHLERMRSAPPSPITGVGTLSPMAWIFPPEDVVQEADHVEQNSPITIVAEMVRLLAADIPYHYAQGEVANAYRHPTPEGQRMFDYRQHPFYADPLSDQIPSERGHEVWAGNKSPFRNLTPDDYRDELRRVVGHRLMATLSVADRLASDLSLDEETKLTARAPTVSRVFGTGDRLATVAQDLQVIYQHARLMEAAHALDVDLLLANPPFEREARFRSIRLTERLLDDDRQRILNDVGLAHLLGDDNAWAFRISHRSTEAKVKEGDFNLSLLPESQLYLRHWKLGQLANEFPDLSNHVLGKAYWNVRKAATVRLVRFDRVRRIVVVAASSLLEVMVKVGAITLDFDGSAGRFGIIDPIHSDFFVGPRLSHALRLIASPRISVDDPLISAKGIIRSGEAKPKVKETGNGAVDFLWRADQLATPIPRDIERVMADLPSNIWNNARQQEAVRRGLTQRLTLLWGPPGTGKSETSAALLGGFGPADTQ
MTSQIPRFLQRLHRDQRGATVIEFAILGPALIAMLLGVMQVGLYMQAQNALSSVAGDMSRYMSVEYQKDNEISNTQLENLAYTRAISAPYLLNGSRVGTTAINASAQPIANVREIELTLTYQVPNVMAFATMGPMELSYTKSIFVTIT
ATAVLAGCGGGSSRTDATVPAGPAPTAGTRPPAAASPDPGATLRWKRELDGPVVPGPVLAPGGLLLAGTNAGTLYGLDARTGRTRWRSSTGSPYGIDLSTSPLVRGRLVLWPGPASSVVGLDLTGRRLWRHAFASQPLTPARGPGSTIYVEEMDGRLHALDVDARGARERWSLRVGTGASYGSPAVGPDGTVYTTVGRDLVAVRDDGRRGRVAWRFTIEHDIEVSPAVTADGTIVLGTNDRDEYGLTPAGKVRWRVARNAWSYSTAAVRGRRAAFGDHRGVVQTVDEHGRVVGRVRGIGQVWTRPAIAPDGSVFFGTHSGVVQGFTAGGRELLRLKTGGSVESYPALGPDGTLYIGSEDARLYAVDTTAPRR
MPGQEWDPARRGADPRTGHGPARPPAHTAPPAGAPHGAAPVRLPGSKVPIGPGPRRADGRSAAAPDPAGPATGWIRPPAGLTGPDAPPPTGRPVAGTGHAPSPAAPGPHGAADGGPTVAAPAPAPGHWRPWRFRMSNDVWGTPVVDGDLLYVTSFEVHALDVGTGRRAFKTRDVAWSMAVAAGRVHASDGPTLYALDALDAGELWRLQTDAWVYSLKADRGTVVTGTRGGGVQAWEASNGARLWELTGAQTDFETPEAGPAVHGDTVYVWQDARLRALDARTGTERWSYPIGDAASCGGVPVRLTPAEDGYVYVAAGTRILCVDVLSGHVRWHFEAPAVFLSPPAFAPGPSVTGGGVYLADYLGTVYALDAATGKDRWRIATEARQSIEPVVVAHGNVHVGSGSAMYTLDAVTGTPKWRFAAGGEIVGAPAVAEGRLHFGSADHVLYTLDAAGGQLRWKLTTGGEITGSPVVRAGVVYACSKDRCVYALDAAKGTATGPRPSS
MIITKRVEQIQINRNHELWQYCDKICFATKNLYNYANYIIRQSL
MSQTVVGLFENMQDAQLATQDLLASGFNRNQVSLVTNAAESRDMLDNLNILIGEPDVRFYQEGVRRGGSLVVVNAADRDASQAANILARYNMVDVDARSAEYSTSGNAFNLRDYSEQDYVLPVVEEELQIGKREVERRRMRVYTRVTETPVEEQVRLREEHINVERRPVDRPVTEADMAAFKEGTIEMTERAEEAVVSKVAHVIEEVVIGKEASEHVETVRDTVRRTDVEVEQTGAQTTGRTTSAVAGWDTYDADFRNYYTSNYANSGYTYEQYRPVLQYGYDIANNDSYRGKDWSAIEVDARQRWEERNPGTWEQFKDSVQYAWQRVRGR
MDKSLELEYFKEIFGLIRFIEKQKLKTRDDIQIHQVSDNPFRALIFNPEDSRMLIVNFVGVKILEMCDGKYCLGEIVKKIREQLSLNLDNKELLLDIIKFIRKCQYYRILETVI
MRGGFVLAEVDIKSLGSGVREVVEEVVKELTSSGVSEGCVKVFKYPFIPVRIQHIVNELGREGFTVAETLVIDGVLYVVATKRGDKDLKLAVDYGRGRP
MRRRGSRKRSEEEEEEEIFEVEAIINHRIRNGKKQYLLRWKSFSDGEATWEDEKNLSCPEILAEYKAKCESLMEMKVRQKIAQNVLKDAHSIRMKQPEIVISAYKDGGILFYRVGCKNPPSFLSMPADELRKVRPDLICNFLENKIVVRNEDEEAEKSSLTNNEKVINTEIQHKEITGQVVTI
MRTRLGRMLAQVTLNGDADARYAGNLNMSSAYMEGESLLMALKNVAVSSGSACTSASLEPSYVLRAIGVQEDLAHTSIRIGIGRFTTEQEVDFAVDLLAEHMQRLRAMSPLWELVQEGLDLQTI
MATTNVGNSKWIPGKWDDIECHRILPGICQIKVAGMKEFSSKIPNRPVNNKWIISIVLIAIVAIVISMILWTFCRQRKIPKRQENFIPMDMVPSRSKVRSGPNMSKLRLIKMNELIIDEKQKLGEGEFGAVYAAKYILTELNNKKLPVAVKVINKKKEVNPKLFKKWTLDVVYFTRRVIKMAQGQRTRRTIIA
MVITRRSHSTLAVSGSFYRPRCNCFTSPAILVCTDLRSSPSSWRSAGVRADEVHVSSCPCPSPVLLVYWQVASTFLPISSKSVHFSAGTLG
MAPKEGKWSPADYINGLAERFAQWGWKDLLVLLVIIIYAAALHRTLQSVIQYALRIGTTSTITTTAPSSRLTIFNKNRMHVPLRIRSPQLKCPL
MPKPKPKPKPKKPKITKDVPYKKNGGTIKKDFISEHAYKRHKYDASRKSTSNRTQYGKDVDVAELRRLTMTQPDQAWSSRDKGGPWRTFYRKQFNKNISTKDTSTTHHRVIINTADSSKNTQFPLSFKN
MVKYSSAYASYAWLSTVQLNASYAWLSTVQLNASYAWLSTVQLNASYAWLSTVQLNASYAWLSTVQLNASYAWLSTVQLNASYAWLSTVQLIASYAWLSTVQLNASYAWLSTVQLNASYAWLSTVQLNASYAWLGTVQLNASYAWLGTVQLNASYAWLGTVQLNASYAWLGTVQLNASYAWLGTVQLNASYAWLGTVQLNASYAWLGTVQLNASYAWLSTVQLMLVMHVQLNASYAWLSTVQLNASYAWLSTVQLNASYAWLGTVQLNASYAWLSTVQLNASYAWLSTVQLNASYAWLSTVQLNASYAWYSSA
MSTSAAFVETSSNAVGGATEFVKPVLDIDTSVSRTSTCRRSSARPRTPPLSPSPRSWSGTDRLSALSTTMTDLSDFSPSPTASIASVRIATLTRVPPRSHGRHRSLGMESILSDVSNSEVVCDDALPEKEGTDNHQDDSGGDSTLLASRARAPLAPAASGLLPSVEGLPSPQRSKAVRRSLDAELLISRSLGPETRVVSPPPWLSSGDENDAAAPVLASQRRGLTTSRSLDLLGRSTTHGRVDTSPRTVLDFPDASKRPLSTHERSASTAPRPRTTSLDLLRRTPASGSGASSHVPGVLASAWPASTTAPASASLLKGSLSAPTSSATPDVGAAGLQAYAEARRAGHASRVAGAVDVVEYLQNGPRATRAAVPRSTEPTPLNDQTAPTAGAPASTLLFARRGVAHSESKISLAYSTAASSESATASPSTPAGLGVFDKSPAPSLEDTSYFTAVPGAPVVGAFGHRLISLEEARARESERLAAARRKAASTPPLSAPVDPREPSGGWPSSRGIASVETLSSRRGMTAPSSLPTTSSSTSLNAAPLTVKPKRSGFLRRMMGAGSSSEKQRETVTSPDSSRPSMSPSVNTVCLSPTLSLAPGHPGSSHSRAELFAESPRISIRGTPERQESLPAPFGTSVSPTLSLRPVSAVFSAGLPPDFLADPLTATALPTSPTLSINGPTSSSLSRSPTLSLSPPIGTCTRGEDAPLWSKTSTSSLSPYVWANTPGDSSWTHASGTTTSLQASTSRSSPETVPLERYLALQDDFNRARSAWLARQLDLEARVKELQARLAQRDNDK
MASLRSGSPTVSAADADRLLTLPLFRQAMFFTALNTGNPGLAIKIADEKLLDSPPPPENWLLGGHVKLFYYPDKEQEKMPLRVWAAALRNKWTPVDKMLLFDAARGENSEESIDLLDTIVKADPNFLTSEDWFQKTSLEFAIRSGTPQVLQYLWKLYKVPEAERLKDDLLIVNAEGNSTGGLTMLGWLLDQGLDVNYRRVTESDEEVPYSGDPRETAERWYAHSLRPLSRRKTALHAATAMKNVEAVRYLLSRGANVDAQDGLGQTARFIAERDGNAEIVKVIDEFVKGTRL
MNNRIATLLGNEFFLSDGGLETDLFFNKGIDLPHMAAFTLTTRQGGGRFWKTTMPTTSSWHVVNM
MSGELQGSVIVERRGNPQTYGELVDYLRCAIRVLQSMVGDPDSGVGEVAEKAAIHPILETEAVRDTLFEALASLPDDALRKVQTEIKHRYALFANVEKPKYWGRDEVRARRRWPSSRTRCTASSGRTCRGAGFADLYTPKPER
MDLLPTDPDAPIYTVDNLIHKKFLDNSNFCLCLQEYLKNSLSIEIAIIPQEPPRDWPKKKKQSLNYLIQLLGTYENREEMERIVSDFFKDLFTKIQCQTFSDDKVKKWLQHSFTRANNIKCIEEIINETSLNLFVVCEEDAQHSLRIHYVAKDLMNVPDSEELNKIIELKISQEVVEVLCSQEQSKTIMKESKTMIAKAEKKGNVSVFIDSIYSGSRRKSYNIILFGYHKLVNRLKNEFLNMIDRRSVVIFKLNLLDEFQIEFLLRECAEKLKQIVKTYKEFGVHFRLRRREFVAPQHLKDEIESCITQLLSSSTSKTFKSIPLSKDIADIAEKQLKNIARKCHCHIITESKYKFRSYTIPKGLSSNGHVLKSTMEQSEHFLSSPDVFNRLAVADGSIEIRTGDIARQDVDTIVIPVTFNGLREGVIERADAFNYEKNYTDETNIKFTETNGGKLNCKRILFSNWTPSKTMNDDDLRKSIKIFISKSIEHATKEKTQTSVAFAVPDSCSNEIILAREMIDTAKKQLESKNLQLKISFILLPEQRTLHSQCFAVIGTMQDIYAQFDWTNRVIKTTVIASHVGNLTKCQEKINSYLNQCTTSKKLTNSNSIFQHWDQYTINAFYKYCQDQCVLLQIDQKKQELELIGPVNNVIEASQRWSLLSDLMAEKFSRISSIECSSSVAMRTPRARSEAVIEAAKVYNIMISYCQEDIKQCQYLINRLTEEGFFVWAEPLIVEQPRDVSSQISKADCIILCVSENYCDSSSCEKEARYAFQTSKQVFPVKIQNHSLISWQREVFEERIFFQLFGSKNHFDLQFGKLLFEILRYTKPTYGSLLQQISGGQNKNADESRSFLTVEQRRSMHNHKIRTLTDIGRIGKKEMKTLVEQLQNVINDIDNTGSESNDQAESNNDNHIRYQNATGQLLV
MARKSATGKVVIKHLGKRSGNLSAPALRRIVREARSRVPVKKGGDLKVRDTATGSGHIGFDRDRDGRSARAHAA
SRCCAACCTPECRQQYDTRLEHLRDDLRLRHKVEVHEVEERKNLHINQLMKAHDEAFAEMKKYYNDITRANLQLISQLRAQIAEANEKVAANQKLMREIADQNARLKDPLEVRAASYRAARHAIRTARVPARASQRTSVRWR
MVDINQYKKVLREQSIVEWSNIGLHIKDRRKKFLLFMIDTDSKASPFDINMAYESGYDMCVHFSGLSPEEATGFMLDLYYSRYEKNHKYTTIFLNGKDFDKVYDAFNKAKKAMGGTIKIPIVIDPRGAATTAASLVAKMKGILIEKGESFKNRKITILGGTGPVGRIAGALCNEEGADTWIVET
MISPQGNVSPFDVNMACDAGYDLVIPYTNVNLTDVKGLVQDAIFSRSVNNAKKTGLFICGKDASLALDMMDIAKQSMVPPFEISVFPDPAGSFTTAAAMVACAEKTLKEKFKTDLNGKKIVIYGGKGIVGGISAVMCSQFGSTCKIVGYDGIQNVKKKAEEYKNRFNVDVIPVDGSTDELNSSHLPDAQIIFCAARAGTQVLSKDQLKDAKNAMVLADVNAVPPAGLEGVDLKDDDKEHSCGALSIGALTSGDIKVKTQYKMFEKMCTSDTPQYLNFDEALKTAREIIKL
MRRERRGGAVEVLYVSTSPSPGEFDRMSGTEISGEQRVVYGLPEASFKFHNLLRQGFALDRDVHVHSLVGRPISSATHHGRTWRRRSERLAPNYVVDHVGLVNLPVAKQLGAAAACALRTAQWRFRTRHAETRMLVLDGAYVSAMPAVLLALAGSSVVRVGIFADLYSYMADVTDAAGRRVSFVHRLARRVTRTATSRLQVFVVLTAAMARVLPTDGREVLVMEGLVDHRSPRTQGTKSDQPTILYAGALKEEYGLRDLVEGFARFDRPDARLVVYGRGDYSADLERASLADPRIQFRGSLPLDEVFEEERRAWLLVNPRPVHEEFTRYSFPSKTLEYLASGSPVLTTRLPGMPEEYYPYVWTIDAPGPDGVAEALSAVFTHDPDEFIRRGQAGQAFVLDHKNNAVQAQKITHIAQGISLHG
RRPRKLLKVFLLDRLLQYENVDEESSVCDMAAPVATYCVSLPASRKRSPQLGGASSPSSSGLSLQATSGFPLQGSGAPSPYLSSVLWGDVVSSPLTFPPVSPLQMSLTPATHPDCSVVGGLPFSSPRGGPLAPLSSKLPPPTILSTVPQQMFSDAGEGSGEDPLDGDDELVIDIPE
MKVNRTRHGHPQHGIAGACLALALAVLALCIPAGTVQAQSAGMANLLKRHPSTQASDPGNSQREARARAERHRAEQRDAERRRGDERGGSRLSPDERRKLRKNLYDFGRDIYQGG
MVPAMLKTGFACQAHSRGRRPRPALLQQQTNKQAQVPASSPNKRPQQPEITRQAPGPTSDVIGAVPPDPKFAFKPGDMQGRRPSQPAVGWREDTLARVYFPIRLPKGLPPSRRYAVTYLRFRPHRLRHATCHGVGVVRLRIRHKRKQSCPCLVPFSWPDLPSHRTSCQTHPSGRLSHDAHAMFPAISSSATQYGACRAILVPGRGTAPSSTSGANPLRQFGWLRGRQFVGVWHPS
MPFIQQIYVEEFRSKEEDAGGDHGPWVAYARMASRGAPLNWSEAGWLCEGLCNDVISRRGLTIDPSGLSLNVLSLTTFSTIPGDVATGMLGKGTGFMFWPIQYNYGRDHLHSGSEFMSIRELEKWYGSDILEATQKSQELCLFNDTASSSAGGCQAAARWKPRGHSAAQTPCYLFRSCTGRQGEKQRKNFDAGNDRSTRVVLTCGARTRASGCSREHHRKKLTSYEANALALNAGIDPNAYTRVERLKENLLHDKVVVMSKE
MVIEKPADKSPSEREQDLSTKLREAESENHANARRETKLLGQLLEAKTVILEASQQREQHLTTQLEVSHKNELQLSTELEKKCSEFESFKKRHGHLATGLTEQGELFEHEEALQKSRQQERQLSMELQNVKADFASYKNDRKDQDSELRVARKDLQQSKDSVRVLSDQLRDARMAAQETRKQAQELSEDLRKAKLDIEAGNTREEKLKTQLLGVTSHRGSLVKPTLEAPLQRGSTPNPFASKQLPKSEVFVPKQPSTSNIFGAKQIPKSNPFGPKQPQEPSSFPFTGHEQRQQQQKVQPQQGQQHKGHQGLPPRTPCGPNHHQQKPDQQQKRQQYQGQPQQGSGVAKRYKRYA
MSYWRREEKAIERILRVLMKLPENRRCINCNHLGPRYVCTSFSTFVCTVCSGVHREFGHRVKSVSMAHFNHEEVLALQDGGNERAREIYLEGWDPERNTHPDGSDIDRIRDFIKHVYVDKRYTRERRPHTDAARKSGDGDNFHKRYSIEKPGLSLREEFYERRYHERSRPTGRSEKNYREYTDGRSKRNHIDDKDYWDHFEGSSPRSIYERFGGHRRHSSTRFEIVDNRIRDDRYERRQSESLKISSTDTHVSEEPQTRDDRYETRRSESLKISRTDTHVSEEPQTSDDRYETQRSESLKISNVDTSMSPEPQRREEKVEPMELEPQKIRKKPNPPVLRPLRDIMLQMNPTIKSSDHANAAASHQKTAFSEIHDSADVKENEKKEESESRLIDIKNNLESHDTSANTQTERGSTIQSSTEQKTSNPPSINSVEYLLLELSVGNASKNPITDTASSAAPNASSDAPNISSSSPVASLTEPVTSSNLPTSSPTELVGPPIAPVTVSDVAANNESASMSKTSPTLLDEIPVVSLSIGNAQTHPIIFADSVSRVAEEENVQTPQHQPSTSPAESNSSTGPQGVRTLEALFDQNWPSSLAPATQEAPGNASTDQSSQAVSKESQTTKSHENGSKSSGRKELPPELFTFSHPTYPSPMNNWQCHPPHGMGYGMQYAPSPNVAAFPSSAKSRNPFDVEDDPRTIQSAMLPLIASVPGALARMPPHAGMQPRPLPFASGMPPEYSPSYEMYMPPGGYMGQQLPNHSSTQRPQGTGSFGAASAFASFNPTLHIPGGFSSNPALETMNSFPSAGRNPFA
MGYGYSCGGYGGYGGSCGGCGYGGFALLIVLFILLIIIGASCWGGFVGC
MPPAKMAADLNASWTSVALVWKLLRTLNGEGAIEALDLLEKITRNVVQNPSEDKYRRVRTTNEKLAVLFCQENCLAVMQEMGWQQDGDSLVLPMSVELDFPKHVVKILEAKSYYGKLKEEAKRGAKLAQDPSKAGVLHEMEIDRRERAAAQAVSSGPKLVAQAVVGMSEEQQLQAALRLSLQGAGASTAATTAPSPTPSQTSTPTPTSTDGASQKKPDSAFDFKRRGDAETKKKEGEMSLQDLRALQKEKFKKFEADPNAKDSEIYKRPAATGPGVKQEQSWYDWMTGASSSSSGGGGGGGGGGYGGGGRDNKPRMKTLRDLPPPVRQGGG
MERLIVPLALIAMGLLVFVFVGDSMCGGPPPEPPVQRPDAMAQAPHRPLPRMEERPEPVDAGVVVDAALDVEAVQRIGLLGRDQRWLAEAMSDASGWKPVPGAPRPTWEGYDGAQLSFEVDEAGRVIGAQATFSERALSASLTALSQQFVGNHDFLKVHMEVMTAEEAAIPRQGSFEDRFGRTVYYRGTFRTEGEGPYGPASFEVSRKPFPPNPEAKPAPGTPGIDDVMPVPLDPQPPPPPPP
MRKKMELTTQVSELLQKKQIVSDKQAKMIADLKSGGLINKPHFTLACGPELVAFKAS
MKFNKPSLLFASLLFSGAMLAACVADDTPKSVSKDDEAQETGEKQGQQEETKTNFKVGEKVQIGEAALTVTKVDKTSGNAADQSASGKEYIIVHVEIENVGSEKISYNPSDFSIQDSQGQEIETTSASIDPDTALESGELGPGEKRAGTVAFEQPAGDGELTLIYNPEFWSEDEVKIHLE
MISPKKGNIFALALLLFYPIASIAVSVVIGLLTVGTDYSIDKASLVLIVIQDLIIILIPIIAYCLITKTKLTTLIPHEKLSLKNILYIVLLTIFMAPIIVVISSITTIFYPADINNQILQYINDLPLPLGLLALGIMPAVFEELAFRGVILSNYKSISLLNSAIVSGLFFGLFHLDFYQMGYAFAVGIFFAFIVRYTNSIYSSMLSHFIINGSQVLLTKISLILMNKYGFTEMIEQTNETTSSYSSIIMGIFFTVIATPFLVLTIRKFMEYNKNHKFDYDLSITGKEPEEFEIDINNITKKKSNFIDIYFISYIVIAIVLSLVLANFK
MGFNSFAMQTSSASSNENATCTDLNRPYQSAPVSVENSSETLDINAAMRDANRWSNLLSSKLTAGQAFTARVSRLIATHRTGGIYDLAHAPSAYRGTAEMGNFLYGAIGSALGLSESILLRGAAFYQQVNGKGWKSFPSGVKAFLTNTGDAPGDAEQTLRGVRYYKEVFMKDINNQSDVFQ
ISTNALQLWKEEGKIKYLFKECNNKITPIIFSSLYFCSKNHWNNAVKNLSEDVKNILAERDWKLWNKMIEINLE
MRSASVTRAIGGERMRHGHQRRRSRPIGRVDSIRPARHAVHVTRSDAKRLADAVKAARGALRLSQREFAERGGLSIPSVQRIEYGQMTRPRQPTFDGLDNAVPWWPRGTARAIFHDDAKVPDLPAGIDANSHQDRTSDLYENRNTGELDDRAILERLIQLVPSVRRRRGDEHADRLIREIMSLAVEADLVTLAVEGLKQIDTKNAANG
MTTGNKTPPGADPKQLERTSTVREIGSQAVMGMSTCKPGFGMDRLRDYNLETYWQSDGSQPHLVNIQFRRKTTKFSNLNWWNQVAGFMFL
MKFIDITRPVEMGMAAYSADEGFSARWQKRLPGDGYNLSRFSMGAHCGTHLDAPKHFLDGGQTVERAPLELLNGPALVLGVENGFRPESVPAGTERLLLKGAFSGLSREDAEALIARGVKLVGTSMLSIGRPESESESHVALLGGGAWILENLRLEGVDGGWYEMMCLPLPLVGLEASPARAVLMRGDP
MTSNLIDISIAISPDAVVWPGSPTPEFSLRRSMAKGDSSNNSNFFMNIHTGTHIDAPLHFVKNGMSVDGLPLEIMVGKVLVMDLSGEKEITVAALEERWPAKETKRILIKTTNSKFWKESPRTFRKDYIALTEGPARWLLERQVELIGIDYLSIQRFGDSPLVHKILLQKGIVILEGLDLSSVKPGEYELICLPLKLVGTEGAPVRALLRSMN
MLGTAIFVLALACVEVGLAGECAARRAAETWQEEEDQGPQYIDITIPLAPGVPLWDHREGLNCMFRHLAEDMRKGHNSFSSWLSLGAHTATHIDSPAHFLADQYEAGNTVDKIPLRHLMGRALVVGVPFGRNITADVLASLDIPDDCERLLFKTDNTARNLMRTKAFHSDYTALTPDGAQWLVDHTAVTLVGIDYLSIATYDQNKEGHLVLFTKQPEAVTVVEGLDMTYLRPGRWYDLTCLPLRITGADGAPARCVAVGPDAQYEQWRREQAAAEGAAAGDQAELEDVAEALAAEHGDEL
MKKAVCWIGLFFFLACGHAAFSQEDCRRAEEFASNALNHAKRLHNVDSMEEARLYAQNLLKAAQDTLKAASRCGCPDAEAFAEETLKYARKALQARGLNEVRIEAENATGSSEDALKAAVACND
MVLIENGASYQLKWTKILTESSLDDFSYLLWEFIPRRVIETAARYQPLTSGDRH
MIIFYCTPDCWHSWNTAKRVAEKWPDLKVGWFREGMMLGRKRDIQWSSFNNLFQCHDLPQEKQARIKVSKR
MSAVEIIFLFIVSLQDLFLPAVCKMCFFSLSVTLAAPFNHSEMSYLGRVACSFGNLFVFSACCLFFACCLHGV
MDGWPNDRGREPVSPWTDSTTWLACRPVLPSVATPLTTPHLRPCHVGWERFARFAQAVSTVSSFRLHEQKFVLGIWKSQSFLHLNPIQGE
MNNSSFQPAPPRGPHQGPLPWPPRPNNLAGAADGFVLVWPKYRRLVSGQAVASMCLGILAAPFMFMELIATIIGSLIFTLTVASTAVLLSTGGLVVAIRSLRELKRQPDAFKGRGMALTGLIVSVSVLGFAAFLLLIAALTASV
MRGWVGLLVLLVVLGIGRGAAAQDASPRFGLPSDEWRRLCTLGGPADRDNRIAIAPQISLTTISSVLPDQGLVPFTLEQPWEPGLAYFALVGRLDEPRQTQSNRVPPEASFAPVLHPRPGQSGQTTDIRIRLPPVDTFALWPLYRVVVMVCRPNMGAVQAAAVFDVPIAAHGTAIVGAIALVALVILAAAAIRRRMSPGLSFARSLDPLVIAQDCLGYGSISRLQVLFFSLIVFGMLTYIALRTGVVAAISNDILFLMGIAAAGTAGAAMVGNTGPASTRSAEGDTEKKDQGSAALTPETRSFLAETGLVPLDRVAQWRDLLIARGEIDIFRVQAVVFSLFVGGTLLSSGFEQLAAYEIPATVLGLLGLSQLSYVGGKLVFRKPGEIAEVDEAAMAAERALAAIRAGDRSPEALAEARRTLAQLRRSLALMLEEAVPQARLEALIAQAARTKGPDA
MIDILSHDKYSLGGRGSVMKMFLTDATGFLGIAVTRELLASGHQVLGLARSG
MKPRHLSPALLRSSLTLCLTCTLQMPVAGGTLVWTGDLAWDWATAANWNPAAVPTADDTVVIGTGTVNLPSNTTTTVASLELGAADANLSGLGSRLVVTDEAVIGTTREASLRLDGGAFLQGAVLLGAGGTGQLRLSGTNGGTPTTFSGTLQMGLSAGDSGRLNVDGGARASVRNPVTLGLMAGTSAEVHIWGIGENSVRSTWQDDAGTNELTVGGSGNGVFIVGAGAYAVSSSPVIADGIGSEGRVSVGGRWTDGIQAEWVTTGTLTIGRLGTGSMGIAGGGKVTSQDVVIGGYSSGSGTAILYDGGLDGPARWNIGGTLWIAQSDEILPAGSGDLQIYAGAEVRSGRGVIGENGTVTVSGSHYSGGGSAWLNDSDIFLDGKLYIDEGARVTSRDSVVIGTRGELTVMEASHGKVPSSLESELLEITPGGRASILGGGMVSVRQLESLDASTTVSGTYSGTSSTLLVETSADFTGSRSLAVSEGGLVKADVLTLLSGGGRDSVDDFTATVTGVGELGERSRIEAGWIFLSGTDSPYTAGLAISGGAKVTTGRLSVQDGSRLDLAGGHFGLRSTLEVTDYVDVSSGTLSIREGAFAEVKAMHIDAYGDGPLLEITGRGTDPDHRSTLITQGPLTLGGSDGDRLEIAVGPGGLLDTGTLRIKDEADRTIRVSGALFDVGQLRLGGFQVDRRSSGSTTIILDGGEISFSASGEMFRGLKDGDIRLEGAPSYIRTELTTNEINVGMQGTGELRKVGGGTLDLRGASTYSGMTQIYEGTLLANNTTGSATGSGDVIVHVGGTLGGNGFISGNVFNGGRVAPGNSPGTLHIGGDFNQFGNLVMEIGQDGKPDLLLVGGRAKLDASYRLSS
METEKKTRGDTMFCVGSSAGIDMMAPEDAYVSYLNSPYTGHSLRTAIDIYPNHQDWFGPVYSPVAGTISRVQKIRMGRPKEFPTEKQDYAIGISPDGRRDYLVRVLHCNPAVSVGEDVVAGEQIGSCIRSRFFNYWTGPHYHVEILSGEVFLRSTKSLPLTLPESEVVQVKDTTEQEHICTVILNRPGRLECTSSTMPIARYGPFLGHMITTSSGRLGVVDAGIPHYERGGAVLHDTLGVSEEVFLWNSRIGRTIGSASSLSLFRTNHDLRVLVNDEPALGLSLFLYPEELITRSELRVVIIPKTYGGLPQDLGPGETIMLRVEESISS
MVSDPLLVSLSMGIGRAVEVWEELQAQVDKLPQIGPEEREHHQGGGRGHHEAARHTRKQYFTMFSVPSAASESESEHRGHVL
MTSLTLGACSSQPSGGAASLSALLGSGKFTLRLEGRKDIPVYYVTGARATRDATILVVMHGTDRNGADYRNTWAGLVRDRDVVVVVPQFSREDFPGAENYNLGGLADPDGRDRRNPGVEDGAYGYIEPLFERTRERIGGSQEGFVMFGHSAGAQFVHRYVELVPDAPVTTAVAANAGWYTMPDDSERFPYGLKGDHAPAFDARAAFGRRLIVLLGEEDTETENLRQDDGAMAQGDTRLARGKEFFARARAVAQRDDVPFRWVLAEVPGVAHDQVGMAGAAVPYLLGAGEGR
MVGCLTQAEWAPPPYLNADVYPEGIADTVGTWAEDRVVGGVALFDRSAEGLLSLMSPSLERKLESDINYPELADELFEIHKPLGIPVLELRKRSPSF
MTVNDRLTTAVPRDPWAAHADEPPTTATEIIAVPRQITAEEESAKAEPVDDAEKAAEADKVETPDATGIPGGPLPPAPPAASWQIPAGKPKRSPKVVLLAAVLVTAIASSGITAGIMTAIGGDAAPAATTQNGSTGGQGGPGGGFGGGPGGGMRGGGGMPGGAQQDSGGQPDSGTTQQDPGTAQPDSGATQQDATTQQGTTGT
MDSFSSGGFNYAVDKKRPSNGAWFVSFLFYL
MRNLYFSPESLEHIVVPEGIIQHLIMEGRFPPELALQTPRRLSIRAGHRLYGIREVVRGVSADGMWHLPLRIMGLEYKTFGEITEAEAEAGGFSSSAEAVDPQLGLPRFYEEGQHDFSSAGEVTLIWFELAFSLPPPR
MNRQLRWVGDCVSHELEPLSCRLAIANYASASMRVYANSRRTAGAFERHAHAAAQRRDKPTALLQG
MRLFLCLFYFSVFQFNLVGQNIDSLFNVSKKYKSEGKYDSAILILNELNTSSDLLPEDKSKVLNNLAINYIRKGDKKEGLRYYYDAISFNTSTKNLTELAKNYVNLATYYKSEDNLTLAIEYLSKAKTIKPDDRNITGNIELRLGDIYAIKSDSSQNAYDSAIYFYLSALSLFTQLNDSLRLAIIHNNLGYLYESNSNFSASIDNFKKAIQIAGRQDNYSSLALYSYNLANQYLKKKDYQLSLYHYNKALNLSKYRNPEFLMNLYSNMVKAKMAIGHVDEASVLFQKFNELRDSIYDTKKMQEVKDLETKYETELKDQEIANQQQTIAEKELQKNIYLIFSISLVILVFISVWFFLQRQKYLKKLKNEEIANMRTEQELKELNAMMHGQEEERNRIASDLHDRLGARLSSIKLLFQNGADEQENKQRVLSFIDEAIKETREISHNLSTDMLSRFGIKTALQDTMRSINESDKLEAELSIMGVEERWPLEIERNVYYVLLELINNTLKHAEATHIMVQLSQLDDEINVFYEDNGKGFKISQEEYKGMGMRSIQARMSAVKGRIFVNSKPGEGLNAVITIPLKESQKVDDSEKQKAKEV
MFCIPFDAEFNGLHFDEDNNDIVIIDAPSYDPTLILPTQMMNDKKFHSYELWNLEDAVTYTFGLYLSKLETLRRWSIGLHVNLCIRDTSFSFNYRQKLVKYTCLDCITVAHLNLFMQQSNIPLDLKFAHQENISGEYNLSNNLSVVPDIFQVYEESSLSSIEQSNKPLVAVHVFNERH
MGSRPSVASLAFDRAAAFYDRTRGFPPGVDRQVARGICRLAGLQPGDRLLELGVGTGRLALPLLAEGLVVWGIDLSRSMLEVLRAKPEGWRIGVVEGDVTALPFPARAFDAVLAVHVFHLVAGWQNGLREAARVLRPAGAFLLGWGGHADPGSRLAEVRERWRKAVVACGASVDRPGEHDPRRVLCALEGMGFQLVVEEDVASWTTRTCLADVLRTIEERVFSDAWAVPEAVHQAALDEVRGWLRETGARLEAPLEAVHTFHLAVARRTAEGIRA
MASDYNKALKEPQQVKALFDDLAPRYEFTDHVFSLGLDAWWRRRTAKALAPQAAGPLFDGATGSGQLALSLAKRYRERRVVGLDFSSGMLAQAQRRIAAAGAGGRIGLIEGDLTGLPLAGGVFGAATVAFGVRNVADRRACLAEFFRVLQPGGRLLVLEFDLPTLPVIGLLYRWYFGHVMPWIARRLRSFEAYRYLFQSVRAFPPPETFCRMLESAGFVRVHSRAMTLGTVRLYQGEKPAAPSGQAS
MIRPPVAQTPIEVLDTEAWDAPEALNDWDRRAQTGWDGRHFSAPFDEEDEE
MKQSRNSALLGILLTSTAVLTLEVVLTRIFSVLMWYHFVFVVISLALLGSGTAGVWLYLMAHRFPAERIHERLTLLALLFALSVVGMFLLYLQIPFEIERISSGPSWSAIGWLALIYMVLATPFLLGGAVIALVISHFSTSVGKVYFFDLFGASMGCLISIAALTSLGGASAVLFTAVLGGLAAVAFSFQARRKGWRLVTLITLALLSGVLISNQLFGWLQVRTRNGYDADHTIVYEKWNALSRVTVYQDPHWLQPFGWGLSSTYKGPDPGHLMVLIDSKAGTPIQKWDNDWAAIDFLRYDLTSLAYNVLPEPKVFIIGPGGGRDVLTALLFGAREVTGVELNPAIIDAAQNRFGDYAGRVYNHPRVRVEIQDARTYLARSDEQFDLIQASLIDTWAASSAGAFALSENGLYTREAFLTYYDRLSERGIVSFSRWYFIQDPAETLRLVALGLDGWRRSGVSDPASHIMVVANMAQNRSATEGLATMLLKKTPFTPEEVAWLAAKSKELDFSILYGPGLSTQNPVSALITAPDPDEALSSHPLNLSPPTDDRPFFFNFVRPGDMISPAYKDSPVYQASAEANQLLLAVLGISLTFTVLFMLAPMAWRRSGELRAAGNWSYLLYFAALGIGFMLIEIPLIQRLSIYLGSPTYALVVVLFTILLSSGIGSLTTQKLSLDAAPRRQRWAILLLIIVIALYLPLLPASIKGTQQWPFAARISLSAALIFPLGFLMGQPFPLGIKQVRAPNMIPWLWAVNGAASVVGSALATIIALASGFRVVSLVGMLCYGAALAGWRIGRVADCDSGLKEPKGHQYLPVIIK
MGGRLVGLGCLSGAVLLLEITVGRLFSVSLWYHFAFLVISLALLGLGAAGAWLAGRPLAHPSARALAITAGLFAGAVLGLLGVIGLVPVDPFALRTAPVASGLGLLVYTVATALPFFWAGLTIARLLSAPAAAVGRLYAADLAGAGMGAGVAVICLPLVGAPGAALLAAAGGLVAALCFLGGRSRGGRAAMGVRAGLGLGALLLVGAAILWGQRWPVPVPASKGLAQALAGGARWLWTGWNTISRVDVTQGDLAHYAPGLRQGRLPPQLAVRIDGDALTALTAFDGDLTALADFERALPALAYWWRPGPRVLVIGAGGGPDVLAALRLGAEHVTAVEVNPLVVALVREHFRGFTGDLYRHPRVTAVVGEGRHFVAQPGPAYDLIVLSLVDTWAAVTTGAYSLTENFLYTREALADYYRRLQPGGLVVLTRFERQPPVELLRLLTTVAAGLEMAGVAEPGRQVVVMGNGEQATVFVRRGAFSPEEVATARRFADRYGHHLLLAPGEPASDPRLAQALGPDRDTLIATSPYAIDPVTDDRPFFFQFGRWRSLVWPWNAPDFAGFGSLTTGQTLLLLILGQTTVLSALLVLLPLGRRRPAPGTATLGWFAYFTALGLGFMAVEIALLTQTTLLLGAPTVTMAVVLGGLLVSAGLGSLLSDRLDCSPSSLTPLMLLLAGCLVIWPALTAVATTAFLAAPWLARLGLTLLLVAGPGLLLGLPFPGGLRLLQRHQPDLVPWAWAVNACASVVGPVLAMMGAITFGVRLLFLVAALLYLGGWLSLLLGLRRAEVRLARPLTSTTPTTDS
MTKAVVEPNWSGNVVEEKELDTESERVEKLLDKPAIQTVAETVETPNDETVDNLDPIAVNGVSYQSRRLSSYGIRNRN
MSLVGLTSPDLNYVLNSVQFSIWWGSSSCSNTKVMTVKKIGTCNGLLASRFSEVLPKCMAATVPPNLPPPQPVAALELLGKAAIQGTIGNDSST
QLGMVMPGFALPLADLIERVGFEDARDLWTLSKEGAEFVRSNATEANMPGIGLSEGVLEVSNVDAGDRLISRLQMLNEDFDTEAEGWQV
MIQGFKFVPDFTRTDADVSMLLLMNNFAFRHPVNDTVFLAQKATIIGANGTTQMTLFTPNVSVSAPGCTEQYRFCGTDVCTNPGGYYQLTSGNLIQQLMLDAAQTAAAQPILRASLEGQMNWIFYALSSEMLLTRDKVPTQNSYDPRTFSDPVAPRYIFSSPLSDNQWQLESEKIHNVAAEMLQLAPGRYAVPLNATTIVPPDTAEGRSLCGQQKIHSRSHTSFSVFGFAFTLTTGTLIIILSHAAPAIAARAQERSSRPTSLHRREEWIQNDVLQLLQVVTTGAGGSYLASKDRFVPCTFKIQGRKLNGRDTRRARGKASPTKELVFF
MSARCLPAEVTLGAGALAAAFLTVSALLGGALGAAQITDSPATVGALRQLSFLLGGAGHTAFLGMLVGAASLAALRADALPRWLTTAGLVSATASMLSLLSLVFAPTLLFIPVGCFSALLVIAAASVLLATGRTGPDRVGGVGASVAGGVGVIILAFAITIVI
MTTHSISVAVPAGLTGAAVTSALEGAAGLGLVVALVAFVAPQVPQVLDVILHYRVRAATVRMQADAVAKTKPVGLPALFASFTPVEMKPDSPDLSDGAGP
AGALFERKRLLSRKELELPENIADSSCTASTEESEMLKRQRSLYIDLGALAGYFNLVRGPPTLEYG
MFPIILVSDAGLESVKSYDTKPNLLTQSEICFQKSGTLEEKESVITIKLIQGRWTICDKQIGPFGQDKLLLQEASTDSMLSFSPEPSSFWIGISGPSTPPYVLGIERTNRAAHARTL
MKVLMPPVNQEAHGVMQDEISIFEEFLRKQRLKHSKPRRDILDVFLVSDEHLTAHDLYHQAKARNPSIGFATVYRTLRLLAE
MAMKERIYGYTDRPMTWTRAIVLGLIIWAAAIILLGQLPSVIIYQADTRIAEIIELTTKIPGVNEEGLNTTQVAIVRDVIANAVQTSLLVGLLAFAYFWQRSKQKRTGSKGLSDPVKGYMSGK
MKQSSSVSPALEADLEAQLNKQVANKKSEKGLFSAQLKGEKYRVLWRRLSGYESAETYVAVLKSEENVVRKALSALLLIPMLILGGIILCVVLCFVLVQRMVAPFMDIDQGVLEIINGNLDYWFEPESGTVATNMAQNLNIMVCQLSGRPLPDEDDDAVRPQNWVQDQLFVESIDDQEVDASTVAASVMNTSQM
MTRPRLSKLIIKNFRSIGQTPVEIELDDIVVLVGPNNAGKSSILRAYEVVMSEGSQAGKLSRDDFPNGEIHSDSLPEIELHTIVYNDLPGERWIQTDRTTGDKLVREKWRWPDIGEPIRQGFDVEKDAWDDQVPWGAANVANSRRPQPHRVDAFTNPKEQSDKILAMLLSVLEERVQATDYALVLEKLAEVQKLIVNQSRPRIAEVESGVTELLSQVFPDYAIRFDARPEEDLEKAIAFFKAPQLLMGPKDGYQSTIDRQGSGTRRTLLWAALRYISETGFDKPKSRSKKAAPPPADRPHVLLLDEPELCLHPNAVREACRVLYDLPQTGNWQVMVTTHSPAFLDLSRDNTTIIRVERTTEGVIKGTTLFRPERTQLDDGDKQKLKLLNLCDPHMAEFFFGGHVIVVEGDTEYTAFKHILAAKPDLYKNVHIIRARGKATIISLVKILNHFGTSYSVLHDSDLPTTLTKAGTEIKNPAWAHNIKIFQEIQKHPTPAKVRLLASVPNFEAAYFDEEVSGEKPYNALLELRNRPSSFQTIEHLLSLLVDHSRTDLPDGCLEWQTLDQLKEAAQAQRSRSA
KSIRNSHRLPKPFRIGALDNPEHELDKLLKIIVDPIGEKLKSSYEEEDSDLRKSLDAFNKEAMKPIEAEANKIESHSEDISKSHQSIFPDLKIDLKIGISEIKFDPINALVDGSRLYISEYDHVVNWNQQGTGSQRALFWSILQVRSRLQSISDLKKEREKEIKAIEKDVKKLEKARDKAVKKETKDSKQIEIDSMKLKIDELRAKDVEKAVEAQENEVSLPGYMLLIDEPETALHPNAIRAASKYLYDLARDESWQVMLTTHSPLFLNPFEDNTTIVRLTRKNGFPSPLTYQSDSIAFSNQEKEQLVLLNTFDQNLAEMFFGQHPIVVEGDTEFASFQKVMEMDTKKYPLSNRPLIIRARGKFTIIPILKMLNHFKVDFSVLHDSDYPKNKSGGSNGVWTANENVLKEINICRESGRVVIHRISISTFEIEHKGVEIDDESNVILPSSSGKPFEMYDLLGKDSEVKASVEKVFDELVNVNSRQEAFDSGITLNDVFIKWVEENKISDPKFNLK
MAKEDFNKGDIKNYDGDSVESLSFGNEESPLWYKLEERKKKWSETVTKLVFENLIKEIEKRLTYMTDKGGDWLEISFVYYENPDEEDEVEERLFFLITKWLAYNIKCKQGRRQNKVETYMDDRWFWILNKVGDEYTTFDEIEEKFEEKKWNFEDYCRRTNRFHYFKNRKWILKGGFDVKMPKPEGWRDFLDKGEYRMAVLIINWKGSLLT
RRCGAGEVGEIHMRSPAVMQGYLDNPQASAEAFDAQGWYRTGDLARVDEDGFLFIVDRLRDMIITGGENVYSKEVEDALGAHPDV
MISSALLEYKFNKYIYQGYGATETCGGVSMCPADIENPPKSVGKIVASKKIKIVDPVTLKSVKTGEPGELLVFSDYMVTGYLKKPEETAESFIELEGEKWYRTADIMSMDEEGNLYFIDRTVDTIKHKGYRVSSSEIEAVLQEHPAIMGSCVVGVEDPRV
QQGHKPGTVGLPLPGTALRIVDPATLEDLPHGASGLILIGGTQLMQGYLDDEERTRRSVVERDGIKWFVTGDRGRLDDDGFLILDAVE
MKRKRCRYCKRLFIPDPRVGERQKTCGDPLCQKAHKVEINARWRERNPDYFKNDYPRVKQWLDQHPGYLEQYRQIHPEYVKKNREARRVRYRRKKLCVDIQAEIKRQAIEITDKLWNLPDVDIQAEISVQPLEMTFLFSTLPCVDIQVPIDNALCLRQNATIHTGR
MKRILTAASGAVLMLSICIVSVAAQEPQEVTSADADPLGFGSRPEAVRINQQDNVSVRNHFRVGPARNVIRLTPGSGTTVDVSILNLLGKDALFVLSTEDFTSDNTQQGAATFFGAEGEGPYPAKLWMRPEVERIPLHQGERAYVRVNILVPRDAEPGDHQAALLVYEADEDVAAGGFRILSRVASLFIITVEGEVIEDTRVLSIRAQHRINWSVPLKLVIKARNDGTVHSIPAGTIEIRNIFGAVVDEIVMNDWIVLRNSERERELTWDPRFALGYYRATANLMLSSTLGESLSVPVSTGFWVIPLLPVLLVLFAIFLVSFLVQFFFSRFEIQRKKGEKSD
MTIEPGNLNGSPTDAPADVARNLGTLGGFGAISVRLAIEVGGIRLKLADVLGMAPGQVHVLDRRVDQPVDVLISERLVARGEIVSVGDKFGVRLTEVLPGSGL
METEHDAVTPAQPAAVAPPAPSSGRDMGLGMILDIPITLTVEVGQARMSIGRLLELGQGAVVELDRVAGEPLDVLANGTLVARGEVMVVDDKFGIRLTEVIKSGTQAQGAG
MFNKQKKVTVKFSHESEGFSGTSTSIPLNEGKLVEYLKDSLDRHGQVVIRHISDDNEMVVTTSRTMEYRDKEGRIEELNEVIRSYINSQAISMESHAVFVNGVLKGVFGNEAHARLKKSNLISKGASEESVSIKPLQINSFQDFEA
MEGRGRAEEGLSKPVPPSFWPHTSVNQTGAGLVDGRGGDA
MATERKGTFKVEYLQKIEREMQERWEREKLHEGDAAAGPRKSPDEKFLVTFPFPYMNGRLHLGHAFSLSKAEFAVRYERLKGKQVLFPFGFHCTGMPIKACADKLKREMEAYGCPPVFPREEEVVVVQEERDVVPKDKSKGKKSKAVAKTGSAK
MSVSSLLQPNTYNINSKSQSLSNTPSNPTSQTNTLWSNNAYNPPHLMFGSSDLNNGTGPSGPKGDKGDPGSKGETGSQGIKGDPGVKGTTGGTIGSGTYFSGDLPSYVTTGGTETSIPEVSTGTVSFTTKTLGGNCNYSSGVFTTTETAAFYVAVTYIGTSVGSLSGSLTLSIFKNGGTAVYNTLVSYSGAGIQMSASLNGIIEMTPSDNIFIGFVNSGGEIQPNASGFTLNIFRI
FSLVPPRMCSEFQGPCPAPAASGSSQPCVVSCGASRVIIFPPPVLVTFPGPILSTCPQETVVGSSGIPAGSLGMPEGCSGMALGSSGMVPGSSGTSPSSHRAPIPC
MGKSPNKNLTPNLVAMFKGKKREPILVHLHFDVKAAYSEDGWKQDPFLLLHEDKRLSGRMGGKCDIVAWLSVIEVVIIFDSCFEIGSPTMQGTLEEVKEKFLKSPSAPSGDEHILQPSTLLLFSSFNPSDNIEACMLKSCRGCSTSPSP
MAAPPARSDRVSDVTPTAVPDIGRSSKQPQEVTSRLGHGHHRKSKRKRNSSPNNDDSKDDYKQTINKNIKDGACFRCGASDHFVRDCPAPRQRGKSKRAAELTLH
MRVIFILVFFAVLASVTYAGTGSGSATGTGSGTGTGTLTGTGSMTASGTFTATGTGTNTGTWTGTGTTGTGTGTGTGTGTGTGTGTGTGIGSKSGTGTGTGTGTGTGTGTGTGTGTGTGGKSGTFTGTNSGTFTFTYSGSGSASAKPSSTSSSTSKSSESKSKTSSKKSSTKSGKGSGPSPPVYHCIKDGIRYSGVFADPFNPCKVCICCKTGIYTCTVRKCPKPKCGEKLQTLASNGCCMECPKSCKKERKNCPKLNCPKRRYAYGETACCPSCGCVNFPSNAPPGDFGEECANCVCNPGGPPYDGDGEITCNRPPCPDTKLECVDPIFNENCEYKCPQGPTCRRGDLIIKKGEPQLLGDKICVCERNYYPRAFCVTANPFERKLKKLVDHKCVAPIEDQKKKFRKSTGKAKEPGSGVSSAGKGSSTGSGSPSTKSTSSGKMSGTPSSQKSTSSSKMSGTPSSQKTTSSSKMSETPSSQKSTSSGTMSGTPSSQKSTSSGNMSGTPSSQKSTSSGKMSGTPSSQKSTSSGKMSGTASSKVSGST
MKTLSKSVSLTATLALLLFTSACLYTEDPGPLRETERAYTVLDFDKLEIGNAFVIDVRRADSFTVTARGDERNIDDLEVFKDGTKLVIRFDDHQPRKHTTYIDITMPELKGVNFSGASNAEINGFNTDGEADIRLSGASIAHLNMDAGELKVSLSGASNLTVRGEGTALKCRPLWCVCAQGIRVCSE
MKTNLKNSVYVLALLMTGLFLTSCNTEDPGPLQYGERIFSVSQFNRLEMGSAFIVQVRQGAEFLVEASGDVRNLDDLAVVKSGSTLTVYYDQHRHANRKHLTTLYITMPELEGVNFSGASISDIQGFETNEQLSVTLSGASTCKLYPGFNDVKVNLSGASKLNVYGIGTNLQAKVSGASELRAREFHTEDASLDVSGASSARVSVSNRLDVIVSGASSVLYTGNPEVYPTVTGGSTLRKE
MVFERLPFHGHLAKELKSLGFDDGVRDIHARGDLTSLHVVTYRKQHIEIAVNEDDGIATMRLYDESDAAHAYDSVRVAIFDVLLPPKAIAAVVSVVADHEETFAERYEWLVARRRAALA
MPSTTIRCERSMPAPAEVAYEVATDPAQLHRWVPVLGLPEGEEPALQVDRANLRASWGHGSGYAGHLQVEDADAGTSVVRLDLEFAGGAPDSAEELVGQALDLLAGEVETRVDDAS
MGFESTHRKILELESSERLIVDSHMHKLLIPPEFPGIPLHSFLWDLKGTESGFSFPGTQKEREGRFRRLSNHMKL
MQYFVGTYGRTDHSRFEERAETKRLVKGDPQDWLAVVPLEVALRDVELIKAVIEPKTLGEVRRSPLALPLVKASFDERATMDGEPPFDELGDDVPFDWYDELDVYWRPDPRLRTAELAPPSLLATFGQEDRVYGMDYEPATWLPLDDQDAIEAALRELGHEVVHDDTILNRYLA
MEKQNTDQRRQQADRFDNVALVACAALTLCIASWRVPQMADAHAQATPVVPVVMAEQGRGQ
MGEHIGAMETYARLAMKAQCQCRTTAEMKNPPVVFARQANISNGPQQLNNGVPAGDAERAAQAHAGEDNIVPDRTIADATRQPCH
MLGVHLRICDMGLNVNPEKVRRGPKPLRVYYRKNFKKKEDSGIGQLEGINLKGPMGVCHDVKINLGNLEISVDCYVFPLGGVDLILGVAWLATLGESSISQPLPSKNGEKLGYDFKIIYKPGSSNAAADALSKREEELELQVISLPQWMDWDQIEREISNDSHLQKIVHDLQANLSSLLIIRWSKIGYFTKADWLFRKFSLDF
MFSLVALLIIFAAVIGSAAMVGILAYFLSRIRRLEGGMPGDQAPRQLTDQMDTMVEELMALQGEVNNLSERLDFTEKLLMTGDGEAEPGDS
MRRGRRREEEGEEGDAETMRREDSSASEDSSASEDSSASEGGGAALGAGGAPASASDSEDDSGSEESGSDEDSESEEEEEEEAEMKRVTRTMAKTTLRTATGVCC
KVITNEGIGKGMDPTMLATLGISVCAFTLLYFLLMGVRVRQEKLKDEIDRLKREKIYSA
MADSPNLPPALSQAGYFLQAIFYAWREVALRWKLRSYNHHEPGPGINVSIDTRSTYGQDDGGSIFDDFPNLQGPSPVGKIVLAYYGCGDALCFMYHVVKPVFDALLSDRIITKVEEVRVEIKLTKKFVFAVSEGAPHGQAAHALYRVETLSGEQYAVDLSGPQYGILDRPVVPWTEYRDGYVEKVLAIVDFGQHFAQTSSMQAHEPTFVMARDMDEAIQHWCESKKLKLGDVLMMGGGREFEHAQAEICHAVSESVREGARKRMAEEADDE
MITVTHTSPNLITEIDQFGGCTGMGVLFFSNNDYSMCKVVNAIYDLDLEDDEIISVSRLYDEEIIKEIIEDVYSIFNKEISEEEAEELLDASLSIFDMVDDYDCAGEFDWKMQGYQATCARKMGYIACEGTDENGAVWMIKMDDNLLARMQLRK
MHPIGMPRRCPAHGLSGCSHTPDRESTVRRATLARFNPQEIEKYATNFLRAISGEKIEGVAPKVQKFLSESSQSASDLRENPLLLGLMIYIFNSRGDVPNNRPEIYKECSLLMFEKWDQRRDIRFSVPNDFYLIDLFSFLASKIFGDSEAEEGVDAQWLERTLREYFDEWYKDRSKSVVAARTLVDFITGRAWVMCEIGPGVFKFTHRTFLEYFFARRIEEEAESVRRLVAEHIYPRAVHAEWDVVTHLALQISTFRSGPKSKQAIEALMEQLDTPEVSVENRINYLHFFIRTLNYLVISEIEASRVCEAVCNKIMLLQHRAPIEIADLFHSLFEVKGVAADIIRPLVASVLHDAINGTESVKLMNARFVLSARVSGFRGYSQSIAGAFDFGLVRRTTRDSRASLKETLYEKSKTNIEDARSYFHIYRDRTLNLLTLHGMDFLLPSQVRQVYFDEKITGFLSVAASLKYVLKSNGHPSLANFGLTAEDANHIFNFLFSEMLENAESLSRKFSININTSNFEAIDSCFQVFIGISHSPKGLIRKLPELAAHFALLIVIRNGMEVGALVTEIEDRLRDRRYRRLYDGFNNSVEFFADKSNNPIVAEIISREGAKLGRIFSPDL
MRMNQYTTLIAGLVACQTTAVCAAQDQLLLAVIEFDGLPTGVRLLDQTNGTILGDFVIPDFPNNGMLNPVEAIAAGPNRTVLLGQPGGDGAVSRYDEFGAFIDVFIGGTPDPNPVDNIRGMAVSADGQFLFTSDWDDTDDIHRFDLSDGSPVGGDALGTFIFGSQAPPLLDRPQAIEVLSNTDLLVADIGQGKLMRYNSTTGALIGEFTAESVVGTVGDIDEQPDGTVVTAEDGSGDRIRTYAADGTLLSAFGFNGPDGVHRLPNGEFLVTSSSTFGQGRGLFRVSATGAIVETIDDTRSYGAIELVTLIDPVCVADVNGDGMLTPSDFTAWIAAFNASSPACDQNGDGLCTPTDFSAWISNFNAGCS
MVGRCLDRDHDSLVVLIEAGADVFSRDNEGRSIWETAIENRQQGSYARDAVDSVLVSYGYHAEVSRFAEKSPRHATYTGWYDRYHFNLMWEGNEHLCPYYDDEALGLDMASFRHEKKHYESSDSSCDVEELGEEEYGETGSEENEYPGSEEIEVLESEDSEEPGSPSRQSEPDEANNPLEGSLAGISKETDSEPESATESGSQSSPSRYLHQVLGDSEQRVPLSAAASVASWLSVSTSRSPREAVLENPSPRGHETLGALSYSPNAEHEDEIFHNPWTEGHELPNNMPGIDILTAVIGTALLGTALLGTGVHGLRSGAPPPPWPATLASSTRSPTPTPVCCCPTAAAEDSSRGSGCTFSTPA
MKPEMKYRRQDPSSNYKVKYLSPGSATVRYNRLRLQRKTMKKKIRKFYQKTKVELPDAQSKELCKLIGAIENSAVGRKELECIEKEGNQYLDKDGISSGTILTDVWRKDRESFFKDQMKNETGKRGNRWSIATIRMALAVYSRCPSAYEALCNLNILQLPCSKVLKRVLKEGSEKPGVDEEYMRQQHEKFCQYKKQREAGGFPQPLGLGILMWDEVKIQMKVAWNFKSGGITGFTLA
MKGLSFIKDHGAWEIRNGAEVNVWKDSWIPEIKTTLSKPDLIQLNDINMVNSLFNIGTKDWNHDILDLCFDSAAKNRILQIRIPSYDVDNFKWSLNKNGIFSVKSLYNSLIPADPNTNISLWSSIWSLNVYPKIKIKIFIWKCLHDILPLGGRIFVVLPSIDPTCYLCKEKVESVYHLFLECNFARVVWFSLPCGILIHSIPFQSFRDWFLNGDNMMSQWNELHFSWKDYSAFVVWFIWKARCEKLFENIDPDPIRTVSQIQQVVLFYQDKQEHSMPTSRTSNTHHQVSGGSPNLNMDHSRWIISFKCDFKSHDTNSGIGISLTNMAGICCGSRALSVSCMNQEQGSLLAALEAVKWCKSNKNSFSE
MSNKTRAGHTSLATSGSNYVVIEDKKALELTGFSACDFGADYCDNCGTAAELYYRRTSYWTDEGEYYCLDCVKMEAFDNQEYEAELKLQYEKEMSSNVTGQPRRK
MPASEVPRMRSLGVVVSGSLLVVAFVAFGAIAVFTPVGQWVDVHLYGLTVRHTPGVLAQGADRLAREVLLVGGPAVAALLGLVALVRGRWRNVLGAALVGVVVPAVWALRGALPRPDFGVGAYPYNTFPSTHVAIVAVSCWAIWLCWPTGRRSWVPWTLVGIVVLALFGNVANHAHMPADGLGSLLLTGGVGLLACTLVGLDPLSPTTGAKRQPESEPVGVITR
MAFTAADARSPMQSSANATGRSRTAAIAGANGLSDCAGSGAMDAYYKDYAKDFPMVVRAAWGYTVETATQAIRLVLGGVFDAYPRLKVVLGHLGETLPFLVWRVGQAPARARRR
MIYFIESQGLVKIGFSLKPEKRTSKIQSDSPYPCRLIGVMDGSAADETAVQAKFAHLHLRAEWFKIADDLLSFISENAVVAVKKRRGEEPSDPIGKYLFRNSMTDRSLGKILGVSGAQVNRVRNGRSWPSKQLMLALFEKTGITPNELIGLEAPMPENWKAAA
MYAANTALPLGAEAGYTSTYVINLPDGSHKSVTETYYPPSAPGTGPQLAIQPAFGAYEAQSQYRPYSSREMPYDSSYAPDARGQYPAPTAQYSTHTATQYAPPTYPNPPEQYAMPAHTYYAPPYPGPTAQYSMPADTHYAPTYPPTGHTGPVPEPPDTSTSAPKSRSRRSKKQSAPKPRRERSEEPKWDLATFNRIIHGIGEDNESDAPAEPQHSQPVQDPRPPRLEEITETKPDESVPRSDAEQGPPSSAPEPDVNAVSPEPDYDDDHKDDEGSVMLPLEERQYYEHSPSHSFGGVEESWYPPGAAPPPKEGGHGTDN
MIIFLYGPDDYRRGERKRWYVNEFKKKYSNLSLGIFDLEDSAAPEELKSFARSESLFEPKKLIIVENLSELNEKNEKYFIKEFPPLVLERTTAFLFSERKAPRRAFAFLLEQQAKVEEFVHLTGYEWETYVKKEAQNIGLSLTGPAAQFLADAYRENTWGLITELQKISNMQKGEVDVKDFKNLDLEVAPNYWFIISGLKGASVANRLAALEKILAIGDPPAKIFNILASQWHEKIPRMAEYDLKVKSGKMDYEEALVDLVIG
ESPKKLSEFELIKGTILKIKEKKEVNQHLLEGMQNSNMDEVFRQRMKLGMEIKYLEKKLVTDAKSKFRYIMGHDKKEVSPGLFAQDLKVTKNIHNRIIIPSERITKCQREVKALHDQGIQFQKFLTDFKAHRVHDSEQHNKKNLLGQIKSVPIDQAILSPVEVKKRPH
MPFQPLIVVILLIFLVLLSLALFIWFLLAMGQDKKLVDEQKQSQKHARQKPSGRRPKIDKLRAQSQQTERPKIGYLSKKELAGADKPKATETRKKPTIPPYQELRPISKNRASKSAANAKQRINDIKTSEVQQIQNFPPKTNASAKPKLIDAKSKEITTKPKDKAKTVEVAKEAPKPQEETKANTKTKNNEYRGLNATKKDRQKEKPDPFDSFVEANKKLG
MIKDVEQYPDAYQKERAERFGVCQKAIWQAFKKWDCPIQKTLRHPKADENTRQTFQQKKQQYEKKASILFLLMKVVFHTIPRGLTAIPAKVNGVLVSRTGELKEEQMLSALYWAQRCLLSDYLISILTPMFSIYGSLKSLSQYFLKTV
MKKVYLFLPLLAAIIFIYSAYHLFTIQLSDSSLKITRAFKGFDDKATDDYSKLLEKYHTKIQEHSRQADLDQRIYFWLSFGVTALTAATTLVTSIQAAQKDTDKPRSPKWVEMSRYII
MMGIQTPTYEPNEDLIMAEGLTEAAEGQEDRHYQPRMTGELPIVRQYKVNLAAETGLVAMVNLGAEGKDDDEAQDASLTGGETLSPLSATEIPMPTMTTAHVSLTETPTSGDTATADDMIPQPQLDEVAPALTDKKVLIVEDNQELAEVIIATLQRMKLQTAHETHGDKAVARFWEMNPDVMLLDLVLPDTKGWTIMDAIKERLEATHGAMPKVIVITAQDDPANRLIGKLQGVHSYLVKPFTTDEIETIVMQALDILPAS
MYNYWRVGLRWDVHPERKWTNNTVHYVISPLYETEDYITIYKSITMLNFMTCIRFLPWDGKAEDFLLIWPVKYPKGCWSLVGRFGGPQILSLQPPDSNGPNCLGDEGRPTHEILHALGIFHEQSRADRDNYVKVHYDNIISAYFDDWSWLIYEVDNISESYFLLRIEENLPDLNQVWDTYEEEVNLNYGNPGIDNSSSVSRTDAKATTDTWSYPLHEISELIHLKEIANRAVIPLAKDSRRLTGIYYKVVKYSVIRLPMGHTLPPSILRIAYIDDFLWYSQEKETLEYIPELLYPLGLTLNTKTSSAAPQQHLTYLGFIIDNRDSTLKLTEDAQTWAAALLHHLPSTGSGAAVRGRTLPRDQSTSTAMPPHGQWPDIHHIEENAQSRGFKITPKPTKLLTLLWEHQTTGTSTIRIGTDNTTAFASPLKGRGLTFTDTALCNFHFYVSNKHRTFWIDSYLLGSDLNPADYPSRQQTLQLLQEEAADAATIRMQDIPTDFLELLYI
LKKYIRAGISTDHECTRLEEALEKIENGMHILIREGSAAQNFDTLHPLIARYPESCMFCTDDCHPDHLEAGHINRLVSRAVAAGHDLFDVLRVSSFNASKHYKINAGMLQPGDPADLIIVNSLDKMDVVATYIDGKPVFENGTVLFQSSVSKPINNFKLTKKIKPEQLEVYSGADLFKIIQAFDGSLFTDILEQRLPKKNRKVFADPAHGINKIAVINRYTEDPVPSIGFIKGFDIKKGAIASTVAHDSHNIIAIGTDDVELALVINRLIGSRGGLALSDGVNIYHLPLSIAGLISDEQAGIVIETYEKLNEKIRQIGCNLNSAFMTMSFMALLVIPKLKISDKGLFDVSQFKLTVLEKDT
MRCGISEKDGSLLYDWDHCQQSLHKCLMTRIGTRVLRRHYGCDVREFQDANADPATILRLYQAIACALDDPECGEPGFSLQRIDLTKATREGTFHFVLRGVFYPDGHLGDWSHGQERQFPLEVFYGRA
MVYNNSMMKKYIITFAVSVMALGASALFVSAQSATFTLPDGALIRAAGDIDVYIVKYVGAKKFKRLVLSPSVFDNYGHLRWEDIREVTPAVRDGFTTSHLVRAVGDPRVFMLYPKGDTGEKRWIATADIFAAYGFDWDSIYEINAFDRDSYAVGANCTVGEGSGFCWDPNNCETPECVM
MLGIGVVLSISALLHINKIFEVGISEGLHEELKYLNLDIVEMANSCITTELAYVYEL
MALVTPIPAMSERAGSMRFHGITTTSPGSRSSRSSVTEEPVSRLIEEKIFVAVDKHVAKSKSTLVWALQNTGGKRICVVHVHQPSXIHVGNLILRKC
MSKQMKFGLLPAAIAGALLSGNAFAGTEACIEVFKSAANDYQEHNVLYTAASCNFATVGGTTANSLRANDSADIAYELTKNLDLNFEAVDQDDAAETLNIVYVPTSDIPAASRLKFRLNGATFANNSNIIYLVKAEADSATGITTKYSAVASTDGAVDGENVITFIVTDLIGAGTRLVLSLENQPTLDLTGAVENRTTFESPAINIANPEVCTPNDKVTLEVIEAKSDFGQDIKGAVTNPATNKLADLVDIQKQFTLLHDAQLTTEALVDAESPSYRGQFVFSKTDTGLWVNQTTEQGLFWESTIQNKISSLDQYVEIDTEDRLRVRLNPEGSLGGVMNFAMLYNDTTRANTPLDASEDSHISTEAQYMYNYNTTGNRWTNVKDTAQEYSYNIYDVVNGEDSARIAMQLEGNGQPMSFNYLLNASLGLEFKDVKLQDDTYCQTKTPFKVGVNGATLKVPHTTNNPANFVRITNEHVTGAEVSVTVFDENSTTAANEITFVLNAENGFSEELGPKDSIVYKSDKIIKKYAELLKAKTGLDALKTSDRVSMTFVVTAPKDTVHATSVIKGPGNTDRVMAVLDNNKWSQ
MALANNDIKILLSNTSALINHVYWFEQDISEPHASSHRGISINYVDIKEKRDEFIRELKSTILNWIYSKSKYNAIYTDELLKRNNDHQNTNAYLLQVVDQKFRKGHPQGQFGELLLFNFIQFFFSAPPLLRKMSITTNPALERNGADAIHYTKRDENDVFIIGESKCYESKYKFNSALEASVKSIVNSFEDLDNELILYLHDDFVDPVLNVKAKEFKDGKCEHARFELVCLIAYNETFDIDGDSETAIKKNIESCLQNRWSNTSKTLYNGIKQSIISRIHYIIFPVWELDKLLEEF
MDIEKLLSTADAFFRTVHLVEDHFDLEPQKQHLATFINFRDIQQMRADFVRELVSMLVPYVYSPQKQIELIEALRGEGRTDASAHRALFVRARKKFRPKHVQGQLAELILSILLQHRFRAAPLVRKASITTNPNMERNGADAIHIAGNPGKYLLYIGEAKTYNRVSGSLHSALKDAVQDVVHKHYSNYANELDLYTFEDFIPPELEALARDFLAGKEVDAEVHLVCIVCYNQDHVPVGRSRNDRIKSIIDFLKTETKAIKMETVLAGVDADVIPRLNYIIFPIQEMTKLIEEFSEELGG
MKRGTSVTVNAEFTSNGASTDSVLKHEAHFVLNGVKTKATITPTTCDSAICPLKGELGLLFSADIYVNPELPPINGKLRWELRNKLGETLLCYQLPQFELSVKIELYCLVPARRRSGTDGTLPTAVDVPGCKSTPCELPKGHDAKVLVDFTASKQLTTLRPEVRASFGGLTVPFVLPNDRQDACKWLIGAQCPLSPQEDVTYELQLPVLSSYPSLSLTVELKLLDQSNDIVTCFQLAANVV
MRAVLLVALVPALALPPSGCGGAGDGTCNQDFDCASAEVCANNHACRDADRVYAVTVAWTLSGQPASADTCALIDHLELEVGESFGADYAHFAPVPCDPGRFPFSKLPDTYDYARLDVVTGGQSLIESHRGTIGPSTGTITFDLDSAAPLPDAAIVVDAPASIDGGAIVDAGVGD
MASSPAEGAASLSLRHGVRCMAEPGVTVEDVLLAAGEQIGYENICSASRMNKAVVIFVKEENLVNHLISTGVEVSGAYITVSPLVTPTTRVTISNVPPFIKNAEIERALASYGKLASPIRMISLGCKNEALKHVLSFRRQVFMFLNEPELNVSFRLWHEGKSFLLYASTGSLKCFECGDLGHKKAKCPHKVQADENIEQHSVDRDRDINTNVVEEQPRVVNETHDGDVADSNSGSVRTDDGPVVSINQNNTVEEIARHDDDDDDDDDDDEIVAESSGAGVETMTEEKEIEQQLENSQGLEMNNDNETMDDDACSDISDFGPQVMGELYTLQEINDFLDETFGKVIEVEDFFPDVDKFIASVVLLQKTVSLDELSKQKRFRLRKHLTKLRKGKPRKLKK
MIKRDLSVLEDEDELWRAEAAADRCKARLRFLEDRFLRGPVNKRFRAAQHRRLMHQQVM
LLRLPDDNTDRIVRLCQLDRSVHCTYCNPNYHPVLPNEHRHVRFVLPVRESIYRRTVQHIIAIATPGRQWHRFWYGLASAGSPFRPVVVPLARACLLFGRSAPSPYGQRNLSIGFCVLGHSPVSLPVLFGRLWTGPRKGAPARESASSKNATPAQMPTDLQTDRPADADANPTSAKPDPYQFFNSSSRQSGLGRIEVRTVSPRPGSPSLQEVLQEYVLFSGTYQTQFTKAVGHVLLEPSVLAIPTLVNGSIVIVLKSRIGTELQKKLFLIHIYSESLPEQVSQLALYDRMTTEETLAYFGHIYDMSEDEIEKRSALLIDFLEIPSSPSGTVALSGGQKRRLSLAAALIHNPPLVVLDEPTVGVDPVLRKRLTLYTICVPLMSLICFNYGIGYDPRPFNMGVLNDEYPMGVGCQTWKPNDTCEFAGLSCRFLKKLEEKDVFAKPSTLLSKRKCWWRILKGMQPLSTDSFKFEWINRPNVYHAHGLWGRHNHGRAPIRCHRPKCCSRYFLAGRG
MHAHTPTPHTHAPQTHTPTLPHTAHTPTRGRQAEAGVCQGNLLKEDLLKGDLLKEDLLKGDLLKEDLLKGDLVKGDLLKEDLLKGDLLKGDLLKGDLKWDLLKVGPSQGGPPQGGPPQGGPQVGPSQSGTFSRGISSSGTSSGTSSKWDLLKGDLLKWDLKWDLLKGDLLKEDLLKGDLLKGDLKWDLLKVGPSQGGPPQVGPPQVGPSQGGPPQVGPPQVGPSQGGPPQVGPPQVGPPQGGPPQSGTFSRGTSSRGTSSRGTSSSGTSSRGTSSRGTSSKWDLLKGDLLKRDLLKGDLLKWDLLKGDLLKGDLLKTKKLTKHMDSQCLYNPEQHGFRAVFSCLSHFLHHFDMSIDADDDKQKLM
MQLAEENRVNFLIYLNKNLEKSVDWVSDRGHDWEIMDHRRGAMLAIRVNLS
MKKMKLRKLIFALTTINIIITLISVFSNYIIRIFLSYKLNSEAARAGTIGIIGGADGPTAIFISSNPSPYIITVISALLSIAGIIYLVFSRRAGE
TCLWRTDEFAISDSKSLPSEDEAVLTIDENTSTITVRIPKHFSLITQRIIERRVSSIAKSGFTIPKSRLRIGARFEVSIIKEEAIPDVLLQVGHHYSYDETQISQKTVTQPVTSVRMSPDTEYIPTFLKQDQTASEIHTIARLEPKVEPKAEPEPIEEIKLTLDNNETIAGRFAVALTKMSDVYFTKKENHYTIEYSSGRVDFTVENGEINVISKKRVLEKDQTLNQAIEAAKL
MLFETAYVCEVCRLYVEDLDLRLDEHVRVHGKGDSVRTVLLDDRGCVTLLKLHLACAGYTAGPLFRASINGRGGPPSYDAAHHRRQTRPGDADRARHRHRWRARLRSKVTGAALAEQTRLAVAGLLIAASGGHVGLHRRRGARAALASACGCGGTADDAEHRSDLGG
TSHQTTTNTVVDGHDHHGSDEFVSPNVNATFVEIFLPPRLLGGLTVLERAFRGKLCQELLQSIATSGGNIGRNTHTT
MDKELCGKESVLSGLDEENLTAKERKDETYFNDDEEWDRDWGEIGGAFLSHGPAYGSEGFPRIKRVTTSVRDYRRTGTSAIEIERRDKSKPVHLGLPANRGHVVKLLRG
MRSKVASLLLVVLMVLASFAPALAQSEPFCGDLDAADCDLLTAATENMKEVASYQAAASYSAMLAGIPGLPLAEAAVDVTVDGAFTYNDDALAAAAQLAEVQGQEDVANLMLESPELFVDFYNGWAFDMVIDVTMSDELAAALSADAGIEIPSALSVPLILKDGILYVDVTEVAPLINGMQGMEGWLGFEFGRVIEAAAEQGMFEQAAASMDPAAMASAGAADPATMAALMGLQATLSDPKAFEKFMSIERVADDEIDGAAVAVFVTKLDLLAFLSSPEFAELVKGLAASGALGADAPSAADLDQALGMLGMMGPMLFQGLTSESTTAVSIDEPNYIISQSTLFSWDLTSLLQMAAMSGAVPADQLPSGASMVEVSTTVVNSDIGGEQMIEAPADAQIIPAEAMMQPAQ
VRNVLELDAYDVTTLDRIEDLELTYFVGYDLILLDVMMEPVNGFELCSYIRPHLSCPIIFLTAKEWEADKVEGLFRGADDYIVKPFGTKELLARVRAHLRR
MKALLIEDNREISKNIKRYLELDAWTVDIAFDWQTGLNMALENEYDIILLDGMLPVLDGFEVCKKIQKEKDIPIIMITARDELTDTITGLESGADDYLVKP
MRILLVEDNRDILANMADYLGMKGYTVDCAQDGLSGLHLAATEHYDLIVLDIMLPGIDGXXXXXXXXRRDTPVIMLTARDQLDDRLQGFRSGADDYLLKPFALSELAARIEAVLRXXXXXGRRTAYLAGRRSGL
MANVLLIEDDLDIRQLMAQTLSARGHSVESASTAMSGLEVAVSGTVDAVILDLGLPDLDGGDLLKMIRAVSAVPIIIATARSDEREIVGLLDAGADDYLVKPFSAAQLEARMRAVLRRGTATDSEAPITVGDLVIDTGSRDVTFAGMAVELSPKEFDFLAYLAARAGQVVSKRKLLAEVWREPYGGSDRTIDVHLSSIRRKLGESASDAAYLHTIRGVGIKLVDPNR
MKVLVVEDDVKVARFVSRVLVEEGYMVDLCSSGADAIAQAQAGMYDLILLDWMLPDLDGLSVCRTLRRTGFAMPILMLTARGEVRERVLGLETGADDYVTKPFEVEELLARIKALVRRSSAFSKLVCGEIEIDRMHRRVLLRGASLDLTTREYTLLLHLAHRGAGRTEIRASRMFGR
MTIEIGMPVYRPSGRLEAVLTALALQTYKNFYISIYNDTKPEETEELRKDAEIVQRMRETYGLNITLVQNPHNLGYMKNMHQIFDKATGDILFLLADDDIVSRDCIQLMKESFDDPEVGCVSRPYYWFLDDFRKAVRFEGSCAGDSKPRKVDFMRSPIEDVGKCMSATGQLSGLAFRRSFLAGMPFVEDMFTVHIYPFLYIFKDHPCAYMPYPTIAVAGSTSQCQNDIYTPSPLEQWVSLYRNMDSSPAYQARQKEVVDRFVTNDFVGLAQIKNYGTCKELMREICNFVRFRKKNLLSPRFYFFALGSVLCPRPLLKKLVAFYKNKVLARTLRDADIHYEHFPFDEVAPYWIFPQQNERSH
MLFQYLAVIVCAWSVVSAAPKKSCGISFISRKRCGTGTEDKSACEAMNCCFDTGAISTSTMRCYRSGNTLSLGHPTKKPQIWRNRQQTPRDQTLNLYSLLGNNQIDRNLAIASWISNLDTSGTGYGHLALSSVLGDSYINRLLKLYIKDNLAGYGINRRYIGGYLFKPKRKYTKMCDATKSWNRCGNNATKYSCRRWNCCWNDVTKICFHATINSLN
MTPSELMDISEINTGGPSPNGEPDINGYLVLQLDSPQQISARKSGAPGTQEDSVSYVSLATPNGSESSINWSGYVGQHVTVTTTPEGLWFPSDTGLPLGMARLSEGTVL
MKENSYKNVINNLLIENTEESVSKLVGIYKDIDFRKEYMLYDEDLLYCYIAVCVYRIEKAHNIFNHILSMGHDLKCITGLICRLKFLIWRIEFGDGACGVNEMLECIRRNKLSGVAVEEIINQVSFDKENVYKKIAAYGE
MAGAAPKCSATVGSGGRAGPRGGRACPWLPLLSLPASARPSAGISAPALSPLWGQSCEGSHPPPTAPPALTHTLAWFSPASPRHRMAPAGSAVSHLHLKGSVVTRGGCLQILPTRPARRMSPATAASAASSAATPPSLLRPSLRGARAEPATGCCPRVIFLPQLGKHSRDEQKECACRYVHTTVL
MAGHQAPRQLHPDQPAHGLPRRGRRPEWPGRQPVTRARGFTLLEVLVALTLTSLLVVALFGGFRAGIRSWHTVERHTAQVEEPRQLNSLLYRHLGQMVPAAFGDREDGGHEAAFFGEAQRVRYVAPLAMSANGLPYVFELTSNQQGRPGVWVRFGPYQEGKSAEAVLADAEYQQVSKTLGVTFAYYGAGDDFSQIERWTDTYKSDQLPRLVSLRIAGSDRAWPAMTFAVTQVGKPRD
MIPEFWDDLPLIINQIDKESLARVIVISSTGPHFSAGMDTSVFGSSVSISDDPETQAKPNRLHGAKFLDTVKYCKSFTSLDNCRIPVWQQSRAAQSAVAST
MLSHGVFAIRDSGIPANTQDYTTLILIHGFAWHSGGFVRLLPIAAAANARVVLLNRRDYPGSARIPDKERRDLEALPSMPAHAARETLRDHMRIRARELYDFLIDFVKKEPVTVKGGLVLAGWSYGCNFVTALLAESPNFPKTPDNARLVDRIKRTVIYDPPYHALGYKSPTNWYNPIFDSSIAPDERPKRFLTWVSGYYDHGETVFLLEQRTASADPRPTVLAMSPEDIEYGMDIRPTLPGGSDSIMLNEGIKHGLFATLREEAIYIGKYPRRGREWNDIGLKYLWCDHSIWEMPYGALTFQGEIDDAKKMGRAMREVSVVRLKGANHFYHWDQPERALRAIIS
MEEAAEAKFIFDINRSPMSLSPLSSATTFECCRCLSLPAADRCLQVPQPPPPATASGHRQPPPATTFEHRHHLWAPPLAVTEVAVATSSHLRAPSTTVAGHHHRPLPPTPASG
MFKIKWKGRKKSRSDADLRSDMRALTGTTKNPLKVVTLKRPRAASIPLSTADDKIRPLSTIPSSPTPNSTTIDLDPAPAPAPFPRTSNHGASIATSPRSKVERTLGGDISSNISAVDSSNSVGETRTSGVPNRRLSMDSSSPRRNSVARSLSSIGSFLWLPKSSSQSRVDIDSEYALAEEVCWVDNEYDASLRDGMQTPVSPMVFSERAPSPLPPPRPKALMGPRPQPGRSLVITTGSETSDEGSEDELHSLVDAPPEPPSPECSLSDSGVPDTPLSFTCEAMSPTSSIVFSQQPLSPLFPSTSASNRTDTLLALEKPSSELPQSLSTHPSYARPFSPDSTSVITSDLITDSPTRSSLLLPPRSQRELRNASGQWNRTDIQEVIRGLRELK
MSKRGERWLEPRAYRRLQREEYRRERLLRQFYLRLVAIVCLFAAVATMFALHTHLWGRP
MSTSNYGPDVQANDNLFDRNADSIPGDVRLDPSEIPRAHGQTGNTRHEDLQRQSVSFSRPRETNHSKYCDLQGRANGDTDTNDASATQRQQSQAHDTDHDSDELVSSSKITTQGHRTRKSSRLSSRQSISQDLALIDWSQEKRSMLLTAASRSRFSRQDDETEKPASFVPRTLEVVLMRRMTSKLNATIRYIDIMILQTIRDDRFVLAIVKDPDDVSDMKNNDYLLRVKLTFNCVPNPANNYMAESVSSVMDTLPTLLPPSRETLPLSAEIHSPERYALTNERKEINKALDITVSLSPDANKHALKSDPGYDDSIWHRSLRLHLSKGPLSGATLSASNSVSVGISPSTYFSFLLALDLSYRGVRRTWSCEGFGTPPSDLGFSQSDDAPLRQM
MGLSRNPDNHNNDSIKKTDLFRVLDSSATDKWATVNRTPANSATIKSATDTQKNNLTIADLLIQSTGQLGDGQLITCQLGDGQVYDQVGWRITVQWLAGNFACKAFLFLRAFGLYLSSNVLICVSLDRYFAVLHPLKVNDARRRGKIMLAFAWSMSLIYSIPQSVVFHLSPHPNYPAFFQCVTFGFFVSRNHEIAYNLFCVLAMYFIPLMVIVGAYSAIMWEISSKSKESA
MHLSVADVVVSLVCIAGEAIWMFMVQWTFGNVACKVWKFLEMTALHGSSYVVVLIAQNRWVAVNHPLASRRHKSSVCDLVRDVVRCASPRSVLLVWVVGACLSIPQLMMFSVQLGPFYEEYYQCVTYDMGSTLERVYNIVNFMALFVIPLLGLIISYLSIYCTLSRGQREIRSVDKISIATHDQNRQRSMKRAMVKSRWIAVVIVCAYLVSWLPYYITMLVHFLSPSLQDEVSTGSENKEHQMIKPIFGLDHYFYYT
MIAARTTCRALVAVLAALSAGGAVAQAATTGVTLYGLIDLGLGYERQRQTASAGTGSASSRLSMKDGTRSGSRWGLRAVEDLGNGYSVVFRLESGFQASDGTQGQGRLFGRWAYLGLAGPFGEMRAGRQQVLSDSWGGIASPFGTSWSGAAASVTLGYNDGDFGSSGRGNNALIYRTPVLHGWQAGLGWSFS
MKRLIPAVALAGMFVGTAHAETSVTLYGIADVSVRYLSTGNDNGNSRVSMENGAISNSRWGLRGVEDLGDGMKAFFRLESGFNVQNGERSDPDSFFNRHAYVGLDGGEIGAISLGRQDTPLFTILADSYDPLTVGNYAQNSWLPVAMSRARSSNSVRYRNDKLAGFDMILSYAFSDSFEDHKLGEQYGGTLSYTTGPFSIGGGYMLTHSASNSDYQQRVWNLNASYKFETAKVFRVLQWPR
MFKKTIAALAVAGAFGTMAAGAVYAADVTLYGVVDLGLKYSHVDADQSGVDAVDKLEMKSGSQSGSRFGIKGAEDVGNGLKVGFQLENGFDADTGSLGYNSRLFGREARVYLSGDFGEVAFGRMGTLGSGNGTYGLLGGMTPFGTSWGGSVENGTYFVGNARADNTVTYKTPTFAGFTAYAQYSFDMNTKQDTPLGQTEGKASANRYYALGANYKVGSLDVTAVVDSYNWSNKINTYGGSDVDDALTVTLGGSYDFGVAKAYLSGQYFDNMLANDATVAADQKGTMDTYASFGSYFNPKSRSKPSRYSSENDVL
MMRSPSLARQTRHTRHTRRPRHSRLSFSWLAVPLAIGASVAHAQSNVTLYGSIDASINYVSNQGGAHSFQAQNGMINGSRWGMKGTEDLGGGTSAVFQLENGFNLFTGKLGQGSREFGRQAWVGLKDNRLGSLTLGRQYDPTIWYLAVHTGASPVARRLPIHSTTTTWVTRFVSIIR
RAWGVNSGGMSTSYWGLKGSEDLGNGLKAIFTLEAFFRPDTGKYGRFDGDTFFARNSFVGLQSSTWGSIKLGRLTPPYFVSTILFNPFIDSYTFSPMVFHTYLGNGRSGAAGISGLVGDSGWDNSIMYSTPDMGGLTGTLIYGAGEQAGHNGQNKWGGSLLYFRGNFAATAAFQQVRYDSAPGDLNSLVAGFSRQTAGQLGATYDFGV
MSVDGEFKEAQSEVKAEATTDGEGKAIKPIAGEGDAVELVAGVGSLQMEDHDKNHDSGRDDGDSADITTSSTDEKETTEAIENGEGSGIKRKIIFFPAGTIATPIFFNASIHEVLDKETGEVVCQQPRMERIFNGKLWPDFQTIDRNAHTEQPLGYHIHLCHMMGSDVEQSMSAGDVTALEVEVEGEGMNQGDDGQEEGEIEVENKILPLNEAIANATDHRATYPWRGPIVAFCGRPDTGPEADIVEVYDMDMEAYSHVIAHLIDYENNCTAYLSSVGPKVQGVKVLCDGELRMDMGERFRSAEVPRCHPMIRTPDSELSQISQVRNYGYAKGCWRVWTVVGLLLLTITHKRIGMPLVFARYPVDEGIDAENRFVTVMLSSLEERSQVNQLMPDDDELDFGFTRDYWAHDVGSVLAFHEDLVTPLDPKTLRQFAEFCDHVAHRFLRRTHEDHDEEEEGVLTVEEALSQITKESWEDFGGSFKECDALGGKMNVIPPVGSSGELGGSRVQLAGEALEAPPNASLTQLLELALTSPVIAQNTDSSLIANPILGLPPIMPEKRIEQWEIERYWDIFSSLSNGGTHLDGSQAATVLKNSRLRDDQLEKIWDLSDVDGDGRLDFEEFCVAMRLIYDLMNGEYMDVPQTLPDWLVPESKAYLVQAQRAVTGGPERFEQPDDLDEDEGSGLKDGFDWYMSPADRSKYEAIYTESRNSRDGRISFEALNELYESLDVPDTDIRSAWNLVNPKSEEGINKDACLAFLHILNNRHEGYRIPRSVPPSLRATFQQGKIDYNLSAQQTAADRWGVKRDDSTLSGKKAKFGDTYLSRLGHGERKPKGTDFSSTPTDGEWEEVRLKKQLREIEDKMAKVEEAAKRRRDRGGRREDSRPALVKRELEQLLDYKRKELRGLESGDSGDVKSGQNLKQYQEEIAMVKEQVDGLQDHLRKREAALQEVRNQIEAEKAGGR
TATAASAGASATATASANVGSSGGTGTAIGVIVVAAIGRGGAYSSSSPLESTRTTTIPTTTTTCAFASVPLLLLLFLLLLFRLLLFLLFYLLLLLLLTTTMTTLLLLV
MAEVTITQSTGEDDQLNRSNREALLRFFSVDSNINMACNISTSSPPTYNFYSKDFVLKITQLATGIICVAFYTKGVTISAETLPYVCFPHVVFGSYIIITSVIIMSYIFGQIMPDVVTRAFLSIGMILYFISATISITVWSSKKSLTPDMDIPQALLLAQAILSYANSLLYGLDLFFNIKKAVNFEV
MSSSMPAGSVQGELRRRYRRMRPGWQPAATLFREMVRSAAPASGRVLDVGAGRKDFPIDDAPGLQLFGVDPDAMAIAHHDTLSLRAAARGERLPFQDGSFDLICSAWVLEHVDAPRAFVREIARVLRPGGRFAFVTPNGRNPVTWVIRAIPNRLHPTIMRRVQHRDVRDTYPVRYRINTPRTVDRIMGKSGLVREQLVLNGDPTYLALGARSFRAWAALERVFDLPGLSGARVHLIGLYRKA
MGPLPSDQTHPFSVCALPRGAHGPAVILHPREPSLSTRHQVAGTPDASCCSAFSALLCPLHRLRGTVGAEGVLPPPPKVTYGQFLHAADLLALSEGVLCPGVWTGLWFVADLKWQGADTPEHSPDPQPRGAGGLGGKCCPAMPSVGQLPGLCPTSRGLAVD
MFSKSLVGLAVLISSVFADFNITSPVDGTVWKAGSNATITWIVGDKTGVPDKIDLTLKYGVATNLGTTTVIASGVDINLGKYEWAVPASIAAGVNYTVFAGNDKYSHYFTITNPSNNGTSASSTASPTASPSKSATSTSIAQTPSVSTAPNTKSVALPSQPSPVPQNKQEPKSGSAQLAISSVLVALPASLVAYMMQ
MFEEICLTCSKHLRDDGRAYCSDECENLDMYNSPSISSASSALSSPYIDYALGGEVPALMPSALSTALTNGFQKRGRYSVSSSSTSSASWSAFSDAEEEGHTSVGIEGDPVYEGDGFDVGVSGDGFTRSAGFLHPCKSSGLSYARQPSSTNNRSTIPLLHRRTSSTSSSGLRQVPSTAEDDADSMSDVPRSFHEEKLPEQERESHKLTITSKSKKSRNRASLPAYFSLLQVSSPQHSSPPLSSSSGNTVHLNDSPPTPKIASLLAVSALRPVVEATPRGRRRQPEVSHTSRSHSRSRARQQTLVPGPREHQDSRSSVEQVFDWTCAPLRGRPSVRRNSSPLPKMMSSIQQFEESPFIANSINETRERRGRFMREELNPSSRDAPGYGNGRSGLRERERQRGVPPGPL
MKLTSVILVSLPIFVISACANTYDKSEFSHKTETYAEEKSSDSLCIADEIPVVSCEIDEPQSRVVSICGSADSQSAIYRFGKEDKVELSKHFSSREPLKRTLVYSAYTTYFHFKNDGYRYIIGVPEEAYGVKAFIDIVSPNGSTNTKECTTNSFAEKKLVSPAIIDLEESKADLP
MRGARLRTVLCDYFAAPSDDAAAGALEAFDVEFVDFKNADPFVLFGKLEALLTGATYGAVTADPRQGDLIAAEDECLVVSVTDALTTALATATPEALAAAAAAWTGADEWFGPALEPSAADLAGLADYLLTGLTATAALATSAQARGERLYCRASV
MTKFPLPAPTGLISFFLYLTLFFHLILVNLVLGSSLLIAIYLFKGKEKHLNIAKPMANRLPYFMAFLITFGVAPLLFIQAIYPSLFYNSIINFSVPTFLILFALFISYILLYIGSKKWDLLKFSKKILYFLVTVLLLFVMFVFNNIMSFIEDAKEIPSLFLNSKYGFNFYFSSPTLLARFFHFFFSSVALSGLWVAIWGVMRLNKEPEQGRWQYRSGATYFSSSTILVMFTGIWWLLVIPHESAKIIFGKSFVYTTIFALLIVSSILSLVFALLGMNSIKPSMFLRITGLLTAFNVFVMIILRDALREKQLKDFIDISKSEVNFKFYSFLLFILFLVFGIYAIVDTIKRVGKEIRKQ
MKPKLTQTLLSLFILLLSFSTTHILYSSISKGTSILTEASNFLALIVGDAKGLKVREFRITADDSYGSIYKVYIRWSGGKKPYFLELKTNGNWNRVAENLTKSIYEYTAIDTITEGSSACFRFGYDSNTKKDQNTYVSEEFCGDIPTNLAMGDTVAYQNGVGIKVRDITLYENEDSGTYQGTVRLLGGNKPYTIEARGDYTAWKVISTDQSSKEYTGTIPPEITDGQTNFCTRVSYLKKLRSGTIRYISDPLCMDLASAIPGGDTASGEPVSKITVSRITHYNLTLRWPKYKGDPIDGYAVYREGEFVAQTTATYYTEKPILMPDTTYTYSVRIIPKGTKPPIYTLTSAEAFSAGDFSTASAKGTGIATEATTKLVPEVNLGKPVNVLVVPVAFNNVDVYPYDSPGHIKEKVITDTDSMINYYDEVSFGKIKVNADVVDHLYFVDKPKETPSFVDVIEGKMFFTELNSKLLEEGYDISKYNIIVFNFPRLIPSNLWGRVDEIGDVGGDIKGYIWINGIQDNMTYAHEMGHK
MTPWIVFIVAGAVTFGMRGAFLLFGEHITLPAWTDAPLRYVGPAAFAAITMPAMLGEDGVSNLVPPSPEVVGVAGAILVVAKTRNIPMCLVVALLLWWAVGAVGL
MQTRSSSVGDVVADRDLSNGRRDHDAGVSVILSTFAPDLAVLRLVLSAAAVALGRVARSELIIVENGSRDVNDDLLQLVPQAHLIRLADPSLLSARCAGISVAQYNVLVFLDDDTIVRPDYALAALDLAETHPSIGVFGGRILARLEKPIARWKKPALPYLAVRDSELAFKARWGQTTHPDDPVGAGMVLRRVVAERLASYAVANPEAKLGREGDGLAGCEDSAICLLADDLKLGRAYAGKLELEHVIPHWRLNTGYLIRLIRSTGASAARLAYSRGGVSALRRLSLVGLAARLVRDLVRNGPAGYLTWQWSLGYWQTANTIADGRVRRQPNT
MRVNAVIVAAGEGKRMGGEIAKPLVAIAGRPLILHTLGRFAASQIRRVILVVPQQDRASFERLVASDPEASRLNCVVQPGGARRQDSVRQGLARLDEDCEIVVIHDGARPLISPALIDSCVEVAVKEGAAVVGVPVRDTIKIVSASGRVESTPARDSLWEIQTPQAFQVEIIREAHRRAEKDGIEATDDAMLVERLGGSVVVLQGDRTNLKITTAEDLLIAEALIRERRIR
MTPSCRIFAIIVAGGRGLRMKADRPKQFLELNGEPILTRTIRVFTQSGIVDEVVLVLPSDEMDYCSEHVLTPFGLDPEVHLVNGGVLRQDSVKNGLEFIRTQFDSPGNDVVMIHDGVRPLVTDRILERGIEAVQETGAAIPAIPVAETVKRSSRDQCVLETIDRQSLYLAQTPQV
LLKEMAASAGFSKVKAVIEGGRERQDSVNNCIKAVEREIRSTGSIPDAILVHDGARPFIQPTEIDEISRLSAEYGACVPATKPKDTIKYIGADPGFFGETLERNRLLQVQTPQGFRSPLLIQAHEQAQLEEWYATDDAALVERFFPEQQIKVFETGYHNIKITTPEDIPIAEAIFGQLSTLP
MNGIDKVLAPLGGRPVISYVLAAFDGCKSVDHIVLVVNEKSLEPCRKLIAQEGLSKPIDVCVGGKRRQDSVAAGLKQLKDCDWVVIHDGARPLVTEELIEEGLEAAKETGAAVAAVPVTDTIKVAGDDRIVRQTPPRQNLWAVQTPQVFRIDIISKAYQKAEGEVTDDATLVEQAGFKVKLYMGSYDNIKITNPEDLLIAEALSKSGK
MKRNDKLAVVIPAAGVGSRMQAQMPKQYIPLLGKPILVHTLEKLAGLECVNQFMVAVSKEDGYFSSALLPDSRFSYCEGGQERADSVLLALKALAPSCPDWVLVHDAARPLVALDDIHQLILQCIDQQQGGILAAKVKDTIKRGAELVQETVPRASLWQAFTPQMFRYEELLAALELGLSQGANITDEASAMELQNQPVQLIAARTDNIKITTPEDLPLAEFIIQQQGKQHD
MAKRMVAVVLGGGVGTRFGAALPKQLLTLDGRTLVEHCVAAFAAAPGIDEILLVMPLAYHEESKRLVGDQVSAIIEGGVTRSDSVRAALAYIGARHRGNETGVLIHDAARPLVTRRIIADCLGALEDHEAIGTAVPTSDTILTVHDGVIAHVPPRETLYRAQTPQCFHLETIVKAHALAAADPEFQPTDDCGVVLRYLPDTPVHIIPGSEDNIKITHPADLEIAEALIRIRRPTQAP
MQTPKQFSVVIPAAGIGARMGADHPKQYLVIGTKSIIERTLEVFTSHPQIAEIIVCLHPNDTDFARLDIASHKKVTAIEGGEDRASSVLNGLTHLLDQHADKTVLVHDAARPGLSHTALNRLLSVSVTKGNGAILALPVIDTIKQCSPTEPSKVKQTLDRSTLWQAQTPQMFNVSDLHKALKQASELGQTVTDEASAIELVGGNVTLILGEPCNLKVTQPEDLDLMHYYLSQRGCL
MVIHPEDRSLLQSKILDAFSFDKKIQVALGGKHRQDSARSGVRAIRSKWVAVHDGVRPFFSLKLLTAVFEATRAHRAAIPALPVQETIKSVNEESFAHREVDREAIYLAQTPQCFERELLVHSLEKAHQEQRYFTDEAGAVLAMSGVGAKIVLGEKQNIKITTPWDLKLAEALLEKGLAT
FLECEVAEIVIVVSEEYRHIFEDHVAKRGGAPTIKYASGGKERQNSVENGLAEITSEYVAVHDAARPLVTPAEVEKVVGDAKKYGAALLAVQTKATIKEAVAGDAEDKMVSKTPDRELLWEAHTPQVIRSDLLRDGFSNATKLNLAVTDDVSVVEALGEPVKLTQGEYTNIKVTTPEDIAVAETILRGRGFSEGAAA
MSGAKSVSLPTQMAIGLFLGVLCGVAAQKFGWDPQWFKPLGQLFINLVRMVVVPLVLVTIVSGAASVGDVSRLGRVAGKTLVYYCLTLGGLKPPALAGSFSVSCLKFSHERLSSW
MSGKSRHLEGDKPVIGITMGDPLGVGPEVIVKALADPQIRSLARFVVFGFHDILELAADQAEITPFWWREPYDGGVRIETGVLVADFDDERQPWVNGTRMPTQVGGRSSFRFVEAAIEHLRGGEIDALVTGPICKEGWHLARYRYRGHTDLLAHKFNTRRVTMMFVVDGLRVALASDHLPLFELRNRFTIGLVHQPIDLL
MGIVYFLGVWMRVEEILSRPSIFRDRNVLSPHFIPDTLLHRDREIESIVRILAPSSKRMKPKSIFIYGKTGTGKTSTVKHIMKFSDQLCAIFAYVNCRIYNSKYKVLQKVGKTFIGELDKTGFGLSHIYERLVAFAAGKQIIIILDEIDMVKDVDDLLYMLGRSNDEIIDGGITIIGISNSLSFKERLDARSKSSLYEDEMVFAPYSASQIHDILKERSKIGFEPDVVQESALNLIAAISAQTSGDARYALRLLIRAGEVADSKRLKTVTDREVETARMNVEDDVIIEAVSTLPEHQQILLLGLATLTTGGSSYAKLGGGGEGAGAANVDGIEPFFMSGELYEQYAKTCNALGRQKRSARWYREYLTDLEMLGLISMVETSTGIRGRSRLIKLCYDAKRLKPILEKSLSCGIAGGHSDGKS
MTAEDLHSSSSSSIRHPTNVTVNRFTVKGIRSARRSKRNFNIASSWTGNPDRYTTQFKALDKIAVKGCTSAKHVKPNFNTVFSSKDDSEGHQALSHTLNTMVTGNISAKYVKSNFNTVFSSKQDSEIHKTQSQALDLIDGNTSLARFEEQVDQIDRPRRGIKKPTWMNEYVSPMSKASYQI
MNVYENDQPLPRINIRSRFDFDDDKPVQGTVFDEVREQIKAEWWHKVLHNLLAINVALLGFVVISWWVGGGFVWGTMFFRSLRLGVDEAGELRTILTTCDVQAVTAS
MAEGLQSDFKDVEVEFEIGGRGDFIVEVDGKVIFSKTQLINCESERFPYQNEINQLIKNRV
AEALQFLAPHIAEIKAQMEGDASDDDEDSDSAIDGGNDVVDDGTDDDDDATREHSLEDEPPFKDGRDLAAKAREIFDGVDVVGLFTLAGDAP
MPDPKETESDWRPDSEIFSSSKAGFDVLDLFKSESKPSNSRIDFAPPSPSIDSFNVDFNSLEKPVKLTQLLENTANWSDSAVSTTPTTRKPVFGRVNDALVAVGKEGLLVRDGRIEEPGSDQSPVVQEVTTEDGIYKRDEKGRISSTAPEAGRTGPKREFEYDDPAVTDKPSKVTIGDDVYVRKGPITSSGKPVQEDGFDMHNWTVYDRSGNFKRDWYGDMKISPDGVFSRYENDKRSLALEGADGKPLSAEEAARRNADGIWPGTIEVVRPDGSELKATLKGHVVQELLESSQGTDTENGEKHVWKKDGERWTCDTLPGEERRELSVGADGSLSYVDKEGLKHRQERNAASEVEDGESGLTYGFDPHHRLISVTGEEGARKLTYGDDGKLASVESTWGGSSNRWTRDTKNSAGQWQESPGGRVADKLRVLESGEIEHVTASGERRIEALNLKKVDFDSSDRPYKVSFPSGAERILEYDNQGLSRVLDRVPSEKGGENLEWKRDGSDNSFVSMRDNSKVYRREQMNVTADGDLEYKGADGRAHASRAEDIDRLARGEFVLGSESLLEARDSLLQSIDNAGLSSDRFKGWMKEFEDRATRNKIDQEKVVRTFNNLSDLLSAPSQGGPYDLDQRKVIADCAMHNLARPMEIDQGAHPTCNVTSVEVYAAVRHPDAYTSLLRDVSTTGSWTALTGKVGHPPAESMAPGKDELSYDLDRPDSGKRNLASQAFQMTLINTMYETGEMNTDKEDRSAIRYVLQPSQTITKREGNAVITMETGEDTLVRDGNTLKNAKGQKIDGPEMVQDNVLRSCEILFGETPPHIENASYADINGRRHYESDLISKERLLELKDKNQFPVLTPTMGGMHAQTIHDVWEDKRNGDLWVLLDNQHGEPEVKGNDRKSGEGDGDGWIKLDALHRTLRMGGEGRGYGLPVMPQITKSQHPSEINNR
MRKLDKLSCPGRSGSLVKAAGVVAVALMVMAVVAMPAVACNCVTEDKVGGNNIVDNFIIENEHGFGKVRSLLGVITDKDVISVIKDLHSKGYNLQYDRTSIQRIRPKENESQEVSLVIIPAESKNSPNSAQVIFASNGEMTCVANAIIEDGENYRRIEVYEIDNGTENKYVVENRAGTISIDGKTIITGSQIRSGTDCDICLSVCGVISAAGCGLSGFLVCTAACAGFAGPTCPAICAGVWAVFCWYSTPPNCDTCCQSYCE
MEKIIGLQKKLYKILNKYGNVIKDKQETFFLFEMYYKEENLNLKEKIRYTKKIGNLNFVLEMYLIPFLMSLSLEECIYIQKKNDEENIFIEKNNIEKHNYILFKKDSYLLKQNISIHKRFSSRDKRNIEINGF
MNTLLVLSVSIAITNGLGTYRNGPGIGNLFDLVGVTPGPEPESTTLGLWQETNQDQPGIEEAPFKEYQEEADKFMEKFGLPFQRPLNDKAHFDIILNSIIDHILINLHKKKKRDGVNKEDYPNHHPLEDGDGTGDSAAEVHPKLERGTHEFQRLMYKALQSLDPEEALAVADKLGLAEPKQRTLLPHHVPNLDHPKNFFGNITYPVPRTWNRKVNLSEFHLKAENLTLYLCPPPGAMIREDHQNVPWKAFNDVMDYFRHVTNFWELRICNKDSVPATMNDIKYYIKFSGRVEHVNPHYGSD
MSKSRARGFLAAGAAASAAVGALALTGYSPNAEGSGEIVLRVVPGTTQSASGPDSVQARHVKAQATSASALTAADFATVDGAVDASVIPNMTLIIGDADGILHVHTKGNFDPNAATDLASGSKWLFAAMAIRMVQAGKANLGDHPQQYLTFWTTNPSDNRRTMTLDHLMSMRSGFNAGPLAGGCVSNGLLYTLQSCAQTIHNQGVDTAPGAAFSYGPHHMQVAAAMLEKAGNKSFNSLFAEYLTTPLGMTKTKYTKASAANPWIAGGAQSSAYDYAKMLQAFLGTSFITDMDDFTGARTIGLPRPYVPGDGDGHGTWQYAIGSFVECDDTPPAGQPARPCATAKINSSPGANGWLGWIDREHGYYGLIATRVLLSGSTKAVTLEQQLQPLILDALNP
MTRSGWLAFTLTLGLGALCLPGAGAARPAHDLQPVRLAVQALVNDYALEGASLRLAREGQVLMQESFGGYRGDERVAIASASKWLSALVLGRLVERGVLAWDSRVGTYFPDAPVAARAITLAQLFSHTSGITVDDAGCLSSRLVSLQDCATQILDAPLAYAPGTGFAYGGNSMQVAGAIAERATGLSWDSLFIAEVVEPLGLTATDWTAGTALPGYISNPNPRIGGGARSTLDDYGRVVDMVLAGGRHGATAYLRDDTLAAMAIDRTVGLPVLSSPIAGLGYGYGIGQWVEAKDARGNTLRVSSPGAFGFTPWVDWRHGSNGVLLVRGNGAAMRDDLTAIEQLALWQLDFQRQTQSAKRAAPLRAAPCRRDFSPDASCQGQPKASGLKSLLQEAGASGRTSLPQGRASTLDARRRAL
MMKRVWISALVMACIPISAMASGDGPDKTLWVYLVDFLILAIPAFIILRPIVKKSLAAKNEAVQAKITAAEEAFASAEARVKQAEERIAALTSEIEAIMAEFAELGRLEREALAKDGEVLAAKVRKDMEFRISQALKMARSELATELINQTFAQVESTIKAQGNVPVSTGVVKTIVEEVRQEEA
MNSNIDFIRLIDTMAQILAQKNNDIAELVQEIEALESKLAKYESKELFEMVGTSSIGDRVFNIYEKFVPDFVTDEEIAIANLIAPKFDISE
MDWVEAVGYGAEYSCISFGNNYVSDPTIIKESDDDVTIGSALVLSCGDNTIAADIYLWYFQELYISDQSSKILELENFTEDNIGMYKCRAVKSQYTTANASFVAIMKPTITRETSGTFYGAGGDYVLRCNSGSSATEGWTYTWTKSGNVDAGTTADSYTITNATAATHDGNYSCSSIYNGVSSTSNNLTITIIAATLIARDSVGEITTDTTYNVGADGPNLTCTTTPELENVDSSKHLRYDFWNGVASVQEGPLNTYTIYSGSENSATYNCSVTYDGTTTYSLPSSTVAFSNSYISDPVIYKQNPDELSIESTLVLSCGDETTEADIFQWTIKDKVPQYQQSQTFRVDNFSLDDIGVYKCRVIKSRYITAEASFVAIMKPTITREPSGIFYGAGCDYNLLCNSGSNESVGWTYLWAKDGENTSLQSDSYFLENSTAATNNGVYTCTSSYNGVSSTSNGLNITIVAAIVEVTDTTGPVTTDTVYNAGSDGPTLTCTTTEELADYDIKIPPVYEFSDGTEIIQTGPSNTYTLNIFVLSSASYNCSITFDGSTTFSPPTYNIEISDNYVPDPTLFKESADDFTIGIPLLLSCGNTTVDGDYYVWYFQDNPILDQSSKILRHDNFTEDDIGEYTCRAVKSRYTTANTSLVALMKPTITREPSGTFYGAGGDYILRCNSGSSFTTGWDFSWTKDGNLTISVSDSYTLENATADEYDGVYACTSSYNGVTRASDDLSITVVAASLVVDDLTGLITTDTTYNIGADGPTLTCITIPVLAFLSGAKAVEYDFSDGIKSIQKGTSNTFSINMFALSNTTYNCSVTYDGTSTFSSTSPTISVSKCV
MKISPLDIRKQMFRKGLRGYDEHEVNAFLERVANEVEDLLQENRGLQDQVGSLETQVENYRKIEEALRNALVTAEKVARETKMNADQEVALTLKDAQVRAQR
MLSPLDIQKKEFRRAFRGYSNEEVDSFLDRINQDYEEVYRENQVLKEKLAQAEQNMARYREIEEVLKNTMVMAQKNAEELQRNAEKEAGFLLERARSEAGQLIREAEERVKELDREYRRLKKEAQVFLVRLRASLQAQIELLSHEEETIGVAHEKSSADPVAPDPESKSAAD
MSGGARVSSRLCHLIGDSLRPYRELDVASVNKEKEREILLALSQVSLMTINSQHVQHLVGNVLLVVSEFVAAFGSKWDVFIHFLCVSLELAINSLLLDLSSSSSFNGADDSNSGSSSFVLALKDKLKNTNWSAVAGIVQVLRQILKELAREDDVQLIIMYFDSVNSCLSNVRWDSFTDMFVASDGEAQKSSSADADHLVRRFLFLGSFIQFLCSLVEQIGLVEASGGSKEQHPLVSLAIILVPKLLSWCSSRQYDSVNKCIFQYLRCKILVLMIRLSFQTSLDCLGLVSWLQLIHTYFGELLRQPITSLELVRNDSLESSPFLSSISDEVVSHLSSLHVKRRAIFLFLRCSFSLINLRGSTDEKCTCGTKSLCLPYDTNVELECCGRKKGLIELSNWLQWHLPTENFLNSEMCLQKRVDFTLSFLQLYMHEDDLLFGVLLQLLCVPFPAEEQWKRRLFREQNVI
MELENQQEELRVNEVEETEIEVQESTETEEVEVLETPEEVQESVEAEVLETEEVVQEPIDEAETEEVQESTDDVEVEAQERAALNKGESDEYRIRELTAIAELYNVDSSEAIKSGVSVEQFKQEVQTRSLNKETNLINKDVNLMKKNVIGELIRSINEDNFDSVKAELEKGQRGFKMDFSRALGANTDTQTAAGTVKTVYADSYLTALLAQSIIGSLNPTIYSGLAYRGTLSIPRLTGLSPTAPGNFKFYEEGDAVAESITGFDSIKLSPKMFAGAVPVTKQLMLSSDVAATYVQDALIRYAANGLEEQIFATLQAAIPEVETAAVGTMTVADVQEAIKALGVANVDVRSCVAVMHPSTLAKLRQTAVMGNTAAVSMVEGHRFDMWLNDEVRVIESTFVEADSVIIGDFRNLIIANWSDGQEIDVDTTTHRAAQITVFRSFQYLATAIAHDEAFVNLKIKSA
MKKFISILMSAAIASASLSALPVSSAGTDTKNDFVLFGDSIAAGYGLSSSEHNYGELCADYLGGNVSNYAVSGATSTDMLSKISSLSDDQKTSVKNAEYVVISVGANDLLHYASKRLLTFGEKKKLLKDGITSADIPTEPTITDLLKYLDIEKIKAYKNASPTNLLDLSSELKKLCANLKYYDEDYAYVGIIPKTIIPNLKDSITAVKAINPDAKVIVQTIYQPLQFTPEYLKAASIDPSLMDQISSSFNDVLDTFESELKKISGIEIADVRTDLNALPEGTELSKDNPGSISYFTNIQLPGENRDFHPNQRGHLAIAVTILDKIGSKHDDKGLLTQTFEKLSGRSDYPIAVLDKYKYVAGNILVGDVDLDGRYSANDASLALRNYALLSGDSPSPLSALQRKCADADHNDLAAADDASRILKYFSYVSSNGKLSFDDYFESLKNNK
MSMIRPFEKPLGMRDTLPAIFEKKEAIRQFGREFFSLRGFEFIQTPTLEYYETVGRSSAIKESKLFKLVDSQGNTLVLRSDATTPIARIAASKLLKEKVPLRLAYYSNVFRSQELEGGRPAEFEQMGVEIIGDDSVYSDAEAILTTIDFLKNIGIENFKISIGHAGILDAIIRKNVATDEQFEQLHQLLVDRNYVGFEESVTAFQLSTEQQSTLLSFVEEATQLKNIRQISKYLYDKKAYIYMEQLASVLEISNALDYIAFDFTLASHMNYYTGMLFEVFAENSSYSIGNGGRYNGLLADFGQAESAVGFALKIDELLEAMPTYAEEKTKVLVLYVSTQLAQALKETAKLRNQGIYTTMQSIEGITDLASYEKQFSQVIRIGQGGASYE
MGGQGPVELVDAQRFQQAASKPRYAVFSFFNKGTSQLSGAVPSPIAGPLAAWMRPSS
MPGEVRPFREALDPDLLQGCCTRDRPSEDVLEVDPGLLVDGCGVGEEDRPPNLRVGTDEPRVREGAIEGHPDPDGVERNRAHESSGVPENESTRGLVDALVRVGILLDGGDPERDRIGLETALATTRIRFGWVVLDRSDTRAETGIDC
MNDTLLAVKERLAAVAGLPSLECSVKRHCENLEMLARTLKSLGMGEQEIGQNVLSVFEEYERELLHAVGHMMKGANP
DRQAFTGTVATVSSENLESVPVSSVTQALQGNAAGVVVTSSSGTPGAAQDIRIRGLSSVNASTSPLFVIDGVPVSNPEAGGATSSLGALSSLSNSDIASITILKDAASTAPYGARGSNGVVVITTKQGRQGATTYSATMQYGVNSRAVEGEGAMNASDYNDFYYEAAGNYLDILGYPSDAATVDALLGSSGWNGETDTNWGDVVRNDNAVQQEFSLSARGGNDVTNFYASGSVYGQEGQLVGSSLDRITGSLDVSHRMDDRVRIQNSFSGSFVE
MVKNVPQWDDMALEECVEPMDTGTPKSEADGRLRFDTFDIRRVLDRGHALLGSSGKEYEYKRDDQTSKEKYVDSQIRLKPVSSALLLFMMLNYDRNTRTRER
MTKRITHLRLLFLFAISLIVVIVSVHFLRPLFKPSMFRVHTCKCDFCKDAAIPIDSTDRLDDLNDVQLEHAKIGGLKHPFETDSAFQSAIDSLTSNNILIYVQDCRYFKVDVLHHSHPYLVPEAVNLLRDIGMEFQKRLKEKHLKAYRFMITSMLRTDESQDQLKRYNRNATNESAHCYGTTFDITYKQFFDGDNPIFSAKVRGIFTQTLQAMRAQCRFLIKREIHQSCYHMTVVVCKPNLPVKRK
MAPVVNLTDVEIREFEPLPNGEYRVAVDQAEVRQAASSGHDNVLFVLKVTDVNRVREQVDDIPALVGRTVPHGCSLQPQALWNLYRTLVALGTDPEELTGELDVNDEMLNAYLGNECVVTLRKRTYEGQENNQVVNIRALTEEEAAQLK
MKEKKNKVFHEPDCLSQDLMLDYVRGALSSKEKHRVEKHVLDCVLCSDALEGLMLMPDKDRIKSVIDNINRNLIPGKQKAKVIWMDTRVRVAIAAGLALLIVSVFLFRNELKESSPNQTVSENKMEKKEDPKPSGMDSNASFTPPKPDESGKLKTLEDKKKEKEVHDLSQEEQLTRSFKDESNKTVESPPPSEVTADEPEDAKSDKDGTFGYVGGNKLSESDKTMEDKNTDDVSVVSPNSNAPAVTNTGSSTTATTVTTEGNYQKSDVEKYVKKGKEESGKKSKSKGNKSEEGEKQSNQPKKSAQNMPNYG
IPGGDLGRPVGVPPPPPPPPPPSFVNASTAAPPPPPPPPPPSVSAPPPPPPLISSDAGKGNLLAVCEISFIFIGPFDNCTRSVTVYFQEIQAGKQLRSVAGVEKPAVPAAGGGDVRGNVMAQIRQGAQLKHVDTTVEQEKRRSENTLAEMGGIAGALAKALEERRMNMAIDDSSEEEESDDRNEWSD
MRHPKESFNNNPSSGQKRASSAGASNVSSTSQEPRPIVVDEPAITNGSAMAPAPQSSTSGARAATEHSPSYEPDFMYVEQIPSNWSAMSPATSSSVYSPGWQPDFMSFEQPPSNSAMSPALSPSVYSPRYESDFRYMEQPFSNTSAMTPAPQPSASGVRADSVFSPGYEPDIIYVEQPFSNMSAMSSEPQPSTSGAHAASVYSPAYESDFMYVEQPPSNRSELPLAEQPSTSRGAYTGPAGYRSAMAPAPQPNARGARAPNVPRVEIIEQPARYRRFRYFTEVDSAGSIRGEHYTEEHKTYPTIRILGFRGRVIIIVCTLTKDSPGAYKVHPACLVGDNCMYHILQEEVDVTEDNCVFEFNNLRIQYVASKNVNEELQLRKKLRIDPFKQGYGHKRLSKVDLHAVRLCFHVFEKGASRELAPPVVSDIIYDSKFTGDLTIKDFCYHSGPTTGGTKIIFVSKQVSKGDIEVFFYEIENGVIVWEAPATDIRVYERTAISCLSPPYRDRIINNDVQVFYHLRRPSHNSTGTPARFTYRAEQDDEEVVRAKKRKSLLPIVREYIDWQPPVTPPQDYAMQFDQNGEPLPGPSRPRTFHSDVPASYSGVPASYSDVPASYSGVPASYSDVPASYSDVPASYSDVPASYSGVPASYSGVPASYSDVPASYSDVPASYSGVPASYSDVPASYSDVPASYSGVPASYSGAPVLNRVNSVSTHFEQLHVHPEQPESHHEQPGSQFALINENNEAADYNKQESLPSPYDNQNDETDTNDEFDLDDIYLNGYFD
MSKIQELLSGRTDKINYTEIIKNYPWIVEKGQNCILSPDSDGLLCGLFMSTYLDWKVKGFYDGKIMLLDKNLSAKDCIFLDMEVFRKNIRSIGHHIVQFNKRKKPSNWNNYKNCIQPNNLRDYDGYNDFRLKYPLATIHLLIGIVGSKIKLKIPETAIRALFFTDGTFNVLFKYPENVLNWLNYLRANEEQNPLKDVFENEKYSVFSLMKAMDEFFRERDEISIVKERGDRLRISETDGSPANIEKQNNFYKINSEAKERIEKFIKILSNLTGWKYNHDSWTWENFRSYRFTKGDFAGSKIRLNNKTFEKFLSKKPLTWAMTSGQNIEYTLEKPDKLQ
MYNGLPWPDEDFTKQVSIERELYMRGALESCAVARAALRRAAAAPPALCLASALLRATAATLLHRLRAYLDRHTQSAEMAKERAALTELLSTMTLGQLLPHPLNHMLELAPELTASEIVQVLRDCLWNYTRDHVPSPALFACDSTGLHWRDPSTCKPPATYTDTLRLIIQKRISKLGHMYPIMFLNLEKES
MRKMKWKKWLIWSGVSVVFLVILSFVGMNMAVTYSLKVIESSSIESSLPTPKETTQSDRIIGNLNQ
MGNDNSTFTTNYGRSDHDRDDRIKNRLSQKYSAENRAQLLKWATATSWKDVLDLNRAFLRKETEATPFYCGPIYWETVPMVPALERLHDYGIFTTASQPWREEGPEFSKCGCCDDYAFFHTLKRAFVTFILPQPDKNISPQVRSDLIKELLADNRIYTSVYDGTKGFSNFPSDPTDYNTHITRKASRPFPKSPTRSC
MKNQIYSLVNYNESVATMNAGADHIGLVPMQSGGVPAHRVPFDFVDRIFTEAKRRGVKCVAIMLNTDPDEMIFIANRIKPDKYHLIMNRYV
MRRQHQQRRQRRRLRLAALLAAAVVAACQGPAAAAPTSDDARDYVVVFKRGFDASRVRALCDDERTAAVGGGRFRGLCRRRFSAVLNGFAGALSAADVEALREAFPSSIDYIERDASFSITGEAQPDWLSGEGRLLSGAHAAARRRLASEARRSAARHAAAASRRRRLPEQAGASWALDRIDQAGLPLDGLYHYDATGSGVNVYVLDTGIRFTHTEFRSLDGSTTVRARHGFSVFDDNNSTDCNGHGTHTAATVGGVTYGVAKNVTLWAVRAMNCQGDAKVSAILASFEWIAENAVQPAVVSMSVAGDMSPAVNEAARRLVEDRGIPLVVAAGNSYESACLMSPASSPWAISVAASDNMDRRWAKGNWGPCVDVHAPGVGVTSAVSTSDTATLLKTGTSMATPHVAGVVALYLERHPGASPTEVRQKVNAAATPGVITDDPEGWGTWDPYGRPGQVDISLTPNRLLRTTLLSQASLQPGVLTVDPAAPGPMPVSLALNAAPTADVTISVSAPTASWASASLATLSPPSLFFTATSWSTPQTLTVQASPDLVDGNYYINLQFTSADSRFNGAVHSLRVLDSRPPTGDSMAAPRVIAALPFTDTDVSSRFTDKYLYASGDPATGAGAPDVVYSFTPTTDMAVDISTCGSLYDTKLYVFEDPSNLQVYVGNDDDPSCTTNPKASRLSTTLQAGLTVYIVVDGYSGAWLDSQGLYTLAREMTDEQLAGLTPVSLRTAVEQLPRRALQQLAKKQGQKANQKSDVLARTLFERFLQDAAAEAGPLPESAAVNPLFFMANGSDAADEVAAAVPPSSAAAPPASPAAASADAEQAAGAAAPTPRCVKLVKRPAAGAGDGAADRPRVAGGKRKASVEAPAETAPPAAKRAAARKSGAGAGGAENEGSAGNSKPAAPAAKPAAAASKQVSAAAAKPAAAPKPAAAAASKLAKAAAVPLPAGGSKPAPASKPGGSTSMAERARRAEEAHAARRAAALAKAQGRA
MSTPRLSGRLQQRLGDRPSGIPRCSVNPPQQRDRQFRRLHSCLHPANPPPPPPPVDRSNLTVHRPFLNLHSGRLQRREEQPANPSRSSPPPTSQVPVSRIPRRPVRPADPPVLSAIREDVAARRPFLRRTQRLEERPAPVPRPSTFQRSQLPISRAGRLHSPESRPADPPARALEQQNKTVRRSVFRSSSKLAPRVPVRPAPRPSVAPSDEPRPQPDIVAPRALYSQSSASQRAVPQESAGVFELRLAERKAAREARPRPWSLEGKSSLPRPARATAKGSFSTVRQPVSSLNDPTLVSAPAHRTIAPIRSAFAKPALSKEDVSGSEGKGRKSVRFGDVTVQTVSRWIYKNKHVFEGA
MIFRFSRNKTNFYRKIASCFFLPVLLDLMKKSGDRKGIYFIKLNLFSSMHILGRIFSFFFPNKAIVYIDKNTI
MVKKRLLSVSIIALLLFAAGFAYGLFFQYTGIGLPCPVRMITGLKCPGCGVTHMCVALMQLDFKGAFRANAAVLLLIPVLSAVFSKYIVDYIRTGYFRMGGVQNVILWCCICVLVLFGIGRNIFPLL
FNFTTEQLYDVVEVINFTTGQLHDVAEVTKTAYDACNTANNIYTASTGPASIPLNRPEDFYFTCTVPGHCYGGQKLHVEVRNGNNRTAATPTSPRTSSPPSETNSASSLVSTLSPVFFMAIALVLMC
MASSSTLSVLALFLFVGSSMSEVYKVGDSDGWTIIGNVNYTAWSSSKKFKVGDIILFEYNSKFHNVMEVSKDDYHACNAAAPRATYTTGNDSITIKRRGHHFFLCGFPGHCQAGQKVDIRIPKLASAATSPAATTLSPESPTVIPLAPPASSVVGTTSAPSPHPSTATSISSLGLMITTTLVVSVVSFCYLSY
MAALSTCRSVLLFLILFIFLQALFVASTEFEVGGDDGWAIPKSKNVEDMYNQWASKNRFKVNDTINFKYEKDSLMEVNEDEYKKCKSSQPLFFANNGNTNFTLDRPGLFYFISGVSGHCQRGQKMIIKVIEPQSPPPATSQNSTTHSRSSKTIPMPVSGSSLIFAVVMTFFGSPLALIVQQVFCYFTNSFQFAM
MLFFVLFMVLVFFLLVVLLSYFFMGSEFYKSSPFECGFQPFMVSGSSFSMPFFVISLMFLLFDVEIIIMSFPPFVSEYFFIYYWFVILLVLLATCYEWLSGILSWVSSF
MSLLLYCTICTTIAFVMIVVTLLISEYEQKSRETASPFECGFDPKIPSRLPFSTRFFLLAVIFLIFDIELVLLIPIPLSTTFYYMFFMMTLPLIFFILLLGLLHEWFEGSLDWAE
MKILCLVCLMMLLSSIMLLMNFILSKKMNESWEKCSPFECGMDAMSLSRQPFSMQFFMVTIVFLVFDVEISLMLPLISNENYYYYMELWFGVLILIILLLGLFIEWKDGALDWLK
MYMLNHLYFSIFCFEFNLNRIIFLMMKNYGVTDDTLGYLNAVKVAFQNNMEKYDQFLQVLRDFIANRIYISDVKAKFKTLFKGHRYLIFKFNSFVPMNHRIALFSPEDALGFVQAVHQATQYKGESKFNEFLKVLKDYKDQTIDISSFTSRVKVVLKTHPDLILEFNSIMPKEHQITLSSEEESFLRALKLMVQYKRESNFQYYGKVLENFKGLTIDISCLTARLEVLIKNHPELILEFKIFMPSGGKKLKDDGHLGHFLPWDVLDIICKKLDFDDLFSFSGVCKSWRTFHKSNFLSSQEPLLVHITGNCTHSYSFISIPNQKVYDLTMMSSFQPPDCVYVRVSSGYFILAHKNNSFLLLNQFTLEKRVINANFTVESQFSDRYEALLAFEKCSEEYVLVVLCKYSSHLYVYQSRYHGWFTYSTLKPKELVVDFVVLNNIIYVVTDKANIGVLNLNSKNIQFLNLKNTPSKIPSKLPSDSFKLVNCDEQLFVFHSISINYKREVYKIDLSTMNYVKMKTLGDIALFYVSGRNCRALSNPKRFGYESNHVYEVSSLSQCTKYDWNAGRPSKIWSAGCTSKIYPYLRSLKDFNLFDWCFRHVKYEVDYSLVE
MKFNELYIGVMSGTSMDGVDTALVEISDEHVRLIGHDDYPMPAALKDMLLSVCTGQATNLKAIGELDHQLGHLFADAVLQLLNKSG
MTALLAVGVMSGTSLDGVSTALVRLTDDPLEARLVAFRQDAYAAAERGQIIDAIARGGSRDLALLHVALGERFAGAVLQLLAAAKVAPREVAFVASHGQTVW
MGFCWFERTRIRTVRRVKPCLLHPTSFFQTYAAQDFSSTHVFCFCASDEAALRQWVCRLRIAMLGRRLLSDYEEAVRRISSRTQANSQQASYKTLTRKSPIECRRASKHTVYSASPQRMPKRQVSTGSLNSSPVIKMPLSQQMPHSQQLSFTGNLSPPLPRAVGRPLKNGDAVDCYQYQNLR
MTYKKTIESIDKLNVDQYRVTQNNGTERPFEGEYDKHFLPGIYVDIVSGERLCCIKPLKVKFS
MLILLLLPDLNYFRNSGKEPLRQTLKQFYKDPNIYENANFQLYQQNEMLKVQNFNFIEKNKDLINEELEKKLTSLNSSLIDVQKENIYLKELVDQYSKLIKNIENCSESWINLKVPC
MIRWVGLFLAGLQSSVWAQTQAETLSITVNLLELPSSRAAQSALQSPTRIFWDQTPLRTGLQEISQQFRISIWLDRDIDPSQLITSTAADVPQNASLQRTLEHIASVADVELGLIENVIYMGPHGRVSRLQRAAVELHDKLSRAGGNHQPATLRELQWDELATPTEMLRKIESNWKIEIAGELPHDLFHAGQFLQPSTLAAQATVLLGGFDSEMEWLQGNRFRIAPLQQDAQWQANYSKSDLDLRSLASLRAQYIGAQCQTRGSISHVQGPTGFHLALLAPPQANRPAVALGNKSERYEFEVANTPLVSVLEHLGTSLGFELEWDENCPAAMRERRISFKVKQVTLDQLLAEIARTSELNINRQGTNVKVSGPPR
MPQTSTVLTLITAHNHIANIKLYQHIDALPTRLSPTPQCLSQSAREVAPILVLTELPEANIQSGAIVHALRGPFQGENQSSQRDQIVLPIGRCSDSNTSRSSLHSRVRDPSVPRSSTCISCSTALDKVGLRTAISGGQRRTVGSQLG
MRHRTPAVIWAAVVIFVVAVLTLALVASASWRVFGGCVACVCVLLPVVLFGTLMLQPGAGQDAFASAVAVEAAICLQLAADAACLLAAPLGLWAGACWRGRPRVEPARPAERTRCSHG
MTTSRSHFAADLIVTGSVSGPGRLQIDGRVDGEVSVGALAVGARGSLIGTVTAEQAEVAGSVTGSLRAASVTVAPEGFLSGVVEYERLGVAAGGRFEAECRPTAVPALQNGGSGSAARTARPLRARLVAG
MVDEAFDLAHGEVWADRFDPADEAPGAPPAVSAAELAAWEAAPWF
MIGQPFPDRIDPMLAKAGRLPAGEDGSWGYEIKWDGIRAIAFVRDGELRMQSRRMEDVTMRYPELAAIGEELGSRSAVLDGEVVARDPQGRPRFQLVQRRMGLTSPAAISSRRRDTPVDFIAFDLLHLDGRDLRPLPYEERREALAELRFDGPCWSAPAHRLEGGAELLEAVRGQGLEGVVAKRLESTYREGKRSGEWVKERVWNRQEFVIGGHIPGEGRRSERVGSLLVGYYDEAG
MAILDGEIVVLASDGTTDFSVLQNELKGTSSKIVLVAFDLLYLNGRDLRRLPLVQRKAELKKIISGTDVQFSESFEMEGREMFAHACKVGLEGVVSKIRDSVYNSGRGHNWVKTTCAQRETLTIAGFALDEGKWDGVYLGRRKGDDLIYAGKVDHGFDNTSAAELRKRLEPLRRKTQPHAKRIAHKGIWVEPKLLAEIEYRAKSAEGGAKGGSLSSILRTLQQFRQSRDCPCDPPCLVTRQRLMRILVAP
MVVRARPARVPAALAPPVQLMLARAVDVVPEPGALPGSCSYEMKYDGWRASIHRGPERASVCSRSGTDLTVRFPDVAAAVTAQVPPGTVLDGELVMLAGERLDFTGLQRRIGASPTTLARLVRAQPAYYVAFDVLAVAGIDVRARPLAERRALLEDLAASWGPPLNLSPVTTDHATAVAMFEHADAAGAEGVVAKGLAEPYLPGVRGWAKVKRHRELDVICAAVIGPITCPTVIVAGLPLDGELKVVGRTVPLSAAAVKALVPHLVPARGVHPWPARLPSSTVNGFGGNREPVDLTLIEPLVVEVAADAAWSGRSFRHPLKTRRPRPDLDPAEVVLPDRLT
MTPQLRAEVPVTLYLFDVLAVDGHSTTGLPYLERRSLLDDLVEQGTRVQVPPFWTETTGEQMLALAREHHLEGVVAKRIDSKYQPGRRSPDWIKHPLRANTEGIIVGWLPGSGTAAGGIGSLILAAHDDDDRLTYIGGVGTGFSSSTRRELRVQLESLERPTSPLAVAAPARETRGAHWVDAVLVGDVEYREYVGGSLRHPSWKGLRSDKVPGEVGLPGRH
MTASTTGKTNHVLQVLSLARLQVTSARARLHGLHAGVAEASRALTRAQAQTRMSAREGEPGRLARSQAAAQEMGSARRSCRSFAGVGITIAGELKKAALMAQDAGESVRGIDQEGSNVGRVFDLAALRARADALGDALKLALPMATAASEHLYGAAHAARGVSRLDLDERARLTEVDRAGTGAS
MESDGGAMERWPLSRLSQSYKPDLVRLTGTTRLDPTRPPAQPDPTDNRPD
NPAFVPALNAEGRLLLLKGERKEARSYFRRAYNLIPDSPWYAADYGLSLLMENHRISGARLFEKAVRKASYDPRLLYDEGLWSSITGDITQSRDAFGEIEKIPGWREVASVSSGVDPNKFKSQKKEETSSMFTKPGVTMEEVTKALGIPKTPPVIVAGRTVWNYNHRGIRLIFHKNILYYTIFEKASSDRFLGALSCWRKIRS
MYFTFILVHFGKFNCNVSSLHCSSRSSCRIHFCGRLMAALCLFVNKRQLNNVVEVMSDSESSAGENPDFFSLNVEDWNNELQERADQEYLELQHTMTIKENAHALTDAVMGPRRYEPGELPAKRTKRDHTEEELNFTRSMTVTAEHTRHCQEKPERKREENMLLDPAKLHQADLDSTNGYTGIPKRCYRKVQAHRKPVTSTAWSTKGYGDLLMTSSLDGSVKLWSELGKRCVYAGWAAAGVRCARFSRCGQKIFRCGFDRKLVLVDPTRDAAVYTANLKETPSCLCVDTTNESLVFVGYNGGVGLWDIRQEPRSAVLVYETHCGGVLDILLINDGKELVFSGDLVARDASHTALMVWDIASSALLSNQVYQERYTCPSLELLSPKNFVAQTNGNYIAIFSTARPYKMNKRKRFEGHKVAGYKVGCCVNSDASLLCSGDAEGLLHFYWADSCALAGVIQLDGNSPATHPVWQNGSHLAVGCWDGSLHLCQ
MTSRPEYTKTSVTDAPSSTSVVGQAQGQQDVVTHMIPCGTYNNGSDATHTSSGSSLEASSSTLPQMSNPCGTTDDVSSTAGNDEFPCNEIGPSKCLPLALAPEVLHTSLEDLVYPESPIADDTNTDRELLTKHPHTDQKGKGKARAEDGTMLVNPSCGPTEPTHDFTFLSILHVCLGFPLFMTL
MDIQENLRAIWCKVLDLDEIPAGASFTDSGGSSIQLIAMLLHVGNAYQVEIAIDDFIGEPTLARLEQCVRQQQAAATEGAPTAAAAPDADTFPLLPVHYWLFERIDVNHYNVGHLYRLTAQDQPQHLRAAIGAVLRQHDGLRILLRRDAAGQWQQHLQTPDGMASWWHEEDLQTVPDHLLAEEINRICDGYQARIRVEERAFSAVYFDLGGERGARLFVLLHHILIDNISEKILFNDIASAYRALRAGQPVRLTAAGRRVGDWARLLHDHAQGPALAHYAYWQAQNWNGYRPLPADPDPASLPVADGAIAALGFAARSLSSEATTRLIELQRLQAVDPSYVVMAALQQAFRAWSGSEILALAMVHNGRIYQTIPDANVLQTVGWMINYATLYLRNPEQLQGVALVESIVRQAKAVQDDALSLTCLKYMNQDAAVRETMARLPLYHIGFNFIPFASASTEEFIFEHASEPCGEGEKWFSPEIKPFMNVLLAGQQLKLSMGFSPCMYAPATIEALLDGVVAQLETILLS
MLLAKLFVFSQVFYRSWLGQDLSNNYLRFLWLFHNVVLHYFSPNGAVFLLMINLVTESFSSDSGGSIDPSLLLSLPCLHRRWWRCLSLPASTLQLWAPKRMFQKSLLHLFYFLRTASWRFLFLVSGMVVSQSSLQPSCNGSMAWVGGSSAIV
MRNFMTFTAMALGLAVGLLPGGTEPAPLEKALSLKAGEILEDLRYRVDIWLFTGAVDARVTLRRLEARRFRAEVNGRAQGILGVLSGQWQGAFSTEMLFSEGKFLPVVYREESQHRGKKNLKEYRFDYDRKVVELFKWDHGKKALTKRWQTALTEPMYDVLSFYYNQRLMGLSFDQQGQNLKFSGIPYPKPEDIILSIGPETPQGRKIMVTLNNRIFENERNQVYALLDQDGVPTEAWTQVLRFGRIISKLVPGGKRLTSDELCRRVRAPISG
MLVNSLIMYSIQTGNFLLIRFTLIFILICCICMKIVEMRINKRQEPYLRIGRK
MNTDKIAPEFLFRLFPMCFLLWILGIKKPASTGGFSCAISVAYAWLRGQDLNLRPSGYEPDE
MNQVSSARRQVTDGRGVKRAMRAGRIGNWRGMKQGARRSFSRRHGLRD
MELNWTTFALEILNFLVLLWLLKRFLYKPVMGAVEKRRAAIEQDLASANEQKQQAEALLQQQQRQLAEREHEQQQAKLLLQKSIDSERKLRLAALDKELDGERERRKIADQHQRAEALKRLEQQAIEVSAKFCARLLSRFSGAELESLIIQVTCEELNRLPSERKDELKASLAHPGDSVAIRSAYPMCDPQRQRLAQTLSDITGNVDLQLQYIEDAALISGVHILAGPLFIQASLANELHFFTEVLVNDGGACQ
MKKVFYSFAIAAVAALMASCGNVANSGEAVDSVEVADEVAEEPVETVDVPVATKSEDRGFYTVELSDQWEAKQYVSEMTVKKGDTELNFKEGAQSDVAKWIENIAPAAEAELDAIVIDGRTWKVYQNVQGFKTLYLAQVGEGVVRIGSNVEDPNNSDIIEVITGIKAK
LQVGFPALYMTGAGTTASRLGMADLGIAQLSDMKEHAEMIANLDPYGPPLIADMDTGYGGPLIIDKAVKSYIRAGVAGFHIEDQIQNKRCGHLQGKKVVPEEEFYMRIRAAKAAKEAMNSDIVLIARTDALQQLGYEECVKRLKVARDMGADVGLLEGYTSKEMAAKTVQEFAPWPILLNMVENGSTPIITTKEAQEMGFRIMIFSFAALAPAYLAIQETFMRLKKDGVVGTPKNLTPKALFDVCGLKDS
MNTSLHAVLPGRPAEERRAALRALLASGRAFVAPGVTDAAGIRLVEEAGFGCAYLTGAGLANAQYGLPDIGLLSQREVVDHVRRLADATDLPLIVDADTGYGGSLSVMRTVRQLIDAGAAAVQLEDQVLNKRCGHFDEHQLVSAEEMAGKIEAAVAARGDSRLVLIARTDARGVLGLDEAIARARAYAAAGADVIFVEAPRTVEEMRVIATELAGVPLLANIVEGGKTPELSLAELEELGFTVALFANYLMRSMLHAGRAALAHLARAGETRSRADALLPWADRQRLFSLAGYTELEQRFTAGREGA
MQNVESLADILLSRLRRKPILIAPGAYNALCAKLIENAGFEAIYVGGASISYSEFALPDLGFIGLEDMQRCVLKIRNTTSLPIICDADTGYGNDVNVKHTVKVLEMAGANAIQIEDQVFPKKCGHLEDKRVITEEEMILKIKAALQTRKEALIIARTDAYSVLGLKEAIKRANLYLENGADIAFVEAPQNIKDIKTIAQNVKGLKMVNMVEGGKSPLLSSKVLEEFGYNLVIFPGSAIRVTVKALQKLFSILKIEGSTTGYLKEMVLFDELQKILSS
MPNPTNRFQEPLLNPTPDKPAQLRRLLADGKTLMLPGAFNAICAKIIENLGYPAVYISGAGLANGVGGYPDIGMLSMAEAVQQSGYIAQAVNIPAIADADTGFGEAIQVFRTVQAMEQAGLSGIHIEDQVFPKRCGHLQGKQLISTEAMTEKLSTAVAARKNPDFLLIARTDAKAVDGLDAAIDRACRYVDAGADMIFAEAMTSPQDFEAFAQGVRKRHPHIMLLANMTEFGKTPYLNVQEFEQLGYNIVIFPLSAFRVMMKSVEEALAKLKADGGQTAILEQMTTRQDLYKLIHYEEYEALDQSIAGYQ
APGVKAPIDFPKYRKPTKEEADKHIAETRAMLGFGATGLGMAVGGGLGGAAGAGLAKGFTDIFGPKIESHIRNSEWVPDYKNWDESDFQSAYWGI
MSGSRNWTLSSWRSKPAAQIDRYLISHISICRTSSTQTVVLSKIRTLPPLVTPSEIQRLRYQLSQVQRNQAFLLHAGDCAESFDACTHENISAKIGLILSFSLILIWGARMPVVRIGRIAGQYAKPRSNPTEKIGDREVFSFRLDPNDRSPDPERLLSAYFHSTTTLNYIRGLLTSGFASLHHPRDWSLTHVRSPALREEFERITEGLSDALGFTRTIGFGQDSASYENGGGRGTLGEVEFYTSHEGLMLEFEEAMTREFPIPSSLASTSRSLVGNADPSTPKVHYNTSAHFLWIGDRTRQLNGAHVEYFRGIRNPIGIKVGPSMAAEELVRLLDIVNPDREDGKVTLITRYGAGKVETHLAGHIAAVQKSRHPVIWISDPMHGNTLTSSGGLKTRNFGTIISELTACIRIHSECGSHLNGVSLEFTGELNDEGFSVTECLGGSMGLSEEQLGLRYQSFCDPRLNFEQSLDVAFLISDHFKKERMGAKRSYYDVLYSELGGRKASAYHLPTHPLKCPTSTTAIPAMASQEQEPAAVQPTPPVQVLYCEVCTFPPEYCEFGSSITRCKEWLLKEHPDLHEKYYSEEALQAKLGTLSLEAQSKLEKDTAKKEAKAEAKADALLKKKMITLRRIERNKRKHVTAIHGLEAFDVDLKKAAKFFAQKFATGASVTKNPQGLDEIVVQGDVSDDILDMIEEGVGLLKGVPKDNVVQVEEKKKKGGGDAS
MCEKGSNNWIPFQTYEFRVAVINDVELGIPSKPTKAQKAEVLMYPADTLDQPKTEVTELPARDHSCTILNLKEDDEVSFHVQPLNVVHPSESSRPTDVVIIEDHMGTHSSSFIINLQIEVGNDFYSYFSLLLLLLIDFIGGDIQNFDGSGGESIFGKTFADENFNNKHNKSGLLSMVNFGPNTNGSQFFISSIALPYFDDKYVVLGEVISGMDVINTTMNYDIAIKVGQDFQLHISYKNYARKAQAQSLIDNTEKLVNDDRVNVKTLNNVLIILNLKVEVKIQFRVNLLSPLYQNQKKDNDDNNIKYYVVESCETEKWTKVGSFISYRTYNIRVTENGNGISESLVVDASIKAKWSFKPRIASSTSKCARHTLPNLVDGKEYEFHVAAVNKASPREYAKTDELIQKRSPDVVSHAVDYSSALQVIFAKDSDDIKPDGNIQVIVKDGVAELLVPKMNGNDSGLYSCVNQRLNKIIQDPIFISHLTFVKWLSHNFIDLFCCDMIRNRFCLQILPLIRRKIQWLDLESSSMKYVLNAVDYPNLHTLGLYNINEESARCLCTDKKLSSDIFEDQIRRLFITIDNNNITKLTIINILNYMLTVFTNLICLTLYESSYRNRVLLCFDDLPPTTFRSSTLLKLNIRVQWFKDCLYILDGRFSQLHTLNVDMGDLPNLKYFSLSSEHLTYDYNKTILPLLNRMSNLEELGLYLTVYVNETFIDGNHLKKNIINRMSRLNQFRFSINSIMCINNPIYFPTTEDIQETFIHFPNGIISYVDYLSEFRLCLCHIYSYPSLMPYYSDITNNFPGGLFEYVRAVSLCDDAPFEHEFFIQIQKSFPFLERLSVINHKSQNRKQSYEFNNDNQNLSPIKYLFLNVINLFSAHDDYVEQFLLNTRTSLPNNVSLYINYKSLERVTHNFTRDATRINSTKISYLNLRAQNEYFNVSLKEYFPHAKIFSSIMPWIPEQ
MNQHNVHLLDLPNEILFLILRKLANVDVLYSFLGINNQRLEIIAQQQIFTNVLNFVSISQSTDEISSISASILDRFCNSILSRIYINVKFLILESVSMERILRVANYPNLTGIKIFNFNKAIVSRYFMDDSLFGHNFKQQITDLVLVSNENNIEITPKEYTKNVYAIIFVFFENLKHLSIVSSSINDYSPLSLYFLPSMTCSSSTLTKLCINVCDFNDVHALLDGRLKQLTTLIVQVDIISDLILTSYNRVDLSNLKCFSLTCHRRIRGYKIQVLPLLRRMSHLEELTLYLYILGESTFISSTHLDNEILIHMPRLHTFTFYFASENDIDDDTDIRIFNSNIQRSFTNMKYGQVASIVDYLDVCKIICHIFSLPFKFHFLQHIGNNIPNVVFNSVTHLKLWDKDEFKHEFFVRLTRAFPCLQKLSIWNIKPPFLRCHEFHLRDKDWCSIIEYPHLISLNIKHAHPYYVEHFLNETKTHLPRLRELKITSYQLKNVTKNFTRDETRRNCARNGVHGLKKKYKEYSDDQLKLMQTQDLKYIKYKHQMERKKIDKLQTSSHLIDSEYHPSKSHIFFVDSQKQVEKFDPVRQMRTHPSLINRRSNRLTIEQLKSTKFKFDEQQINKLQKMRKKKYLELQKRIEREKKLQQVELAMEDKLLLKNPKQEDDDEFWSDDEKKKINEKKKPKIIPRKK
MTKISPEIEESMLRESILAVSADVSFASDHFPKYKLGPDNQILEEPKGDSDGPSLKEVVERETAQLSEQHKRLSVRDLASKFDKNLAAAAKLADEAKLREVASLEGHVLLKKLRDALEALRGRMAGRNKEDVEQAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEQEKNPENSQKTQQEVEEFVEEVQEARRIKLMHQPTKVMDMEHELRALRAQIREKSIFSLKLQKELVTNKKAEENKSRVYGFHGSETLGSCLRLQPCSDNAPPLSKSSIQWYRVSLDGNQKDIISGATKTMYAPEPLDVGRILQAEVLTNGQKVIVTTSGPIGSATGLGGYVETLLRKSSSDFNVVISQINGQNHPSHSTHSLNVGKMRIKLCRGWITKSREIYSTSMLLCGARGDGNTAAKSAFWQPRKGVSYVLTFESERERNAAIMVARKHAFDCSVMLGGPDDQM
DSLDELDVEQQEFLDSLHQQLQLAVSEGIELEIQNCINQLKKSKKYAPLAGQFIPGLRLYYVEGLSLKDIAPRLGMSSWDQARRILNPGELLRLVRYRVVQKLLDISLEKAQNLGLSSTPPEPDYLTMVLEQIEAFADREVFQEASEELRAGKNRSMNSVYAAQLRLSLNNFIQA
GGKKDSHGLRSSLDSAKLSLLDGHKTSPGARLSADDPTLALLNKASSQLWHHLLNAGPSRFADEGVGVVSEGAQRDLAHFDKFAKELSRTTPRELDESPVKRHSNHDSSQKAPPPPPP
MVEDAENIMHDLVFSNLRVRLHGKIARIELIPEEFEKAVSIRHRILEVLQGCGFSYIALDLKGYRNGGSMDEML
MSARYWDPVPAALREVAREGDPFDGRWAERNWRGVPGPFYGTVREADSPPRDAAELLAHEERVVADLVYRQPTDVLQVQSLVAEVRKHPDRHYAMDGDQHWTPAAVRAWWYERGRVKEWALKAAAHRAGTGDREQDQLVQELRGYVDYIDQLLQEDLRGYLFWLEERRLPERCDRLPLLVKQTRRLPPPRSADEAAVVAGYLVVEPEGDEVSVAACRVGQLPRDDHWFSSREAALAACARLRIGPPARLLGLLVTPGQAKVLARAVRKADGDDPVLLANLASPPALDEGGTRLGWEVIGYDAGHLHTWRCHDPSGDALAELGVRTGPDGLFAGLADAERVAAVLNGWAADRPAIWFAGEVLGWPGPAKAAADGPGSWRNRLRR
MQKVECTKYCFHKDYKNRLHNRLSACLDSTTKRTKLPGTFLSHLALSPHDPLQPPSPSLNHHRFPSQLKQPSRRHQPATATLADNLNPSCFSSFSSQSSSSIWLVRSAMSMPIPA
MTIEPAVLDWAIERTGLSLDELMKDFPRIREWVENTSQPTLNQAQDLAKKAKIPFGRLLLQTPSESRISVPDFRTVRNLSLETFSPNLEETLAASESRLDWYTDFAEEEGIDGPPFLGYTDASNSPEAIAARTKEVLGLAVDTFCKALTK
MEPREGRSVYGDWRTAAMGEGGLQRSEFEWLTRPMTPYEMIDDGVALYREHFPLLAKLSFLIYLIPTLVTVLFLVPIAVAEWRSPASSPVAAFLECGSWCFLLPYLMIAPPLQAMATSWAAYRFLQGEPVNSLREVWDAVRPRLGQLIANQLLAFMVLGLIYVVIGVMAGIGAVAGAVAIGSLGLGGTASLIMLMLFYLLGTIVLLLLTALATVWVIILPQIILFEPETDALTAFSRAFTLVGKNFRHAFVSCLLFWAFQGVVYLSAYMFLGLVVLTVAGLLYAYGVDLQALYMRWANTFGNLVDVVSYVVFALVYPAMYLTSFFFYFDLRYRAEGLDIAQVLARSGKG
MKTPEERGNGGIGRTRFASEAEGRNESAVSRLAREEEARESDSPTPFTEIKEFLRRGVMDRERVSRMGTIGGAFGGGTVLEKSPTSPFERAPAFETRAMPTLERPAATPSANDGQGAASPGYASVRRSYGASGTPSLLGTRGRPMTKPTILEPPTEQALTPPRTSLKRERELTFMDLERERARVTTPRRLEPNAHSPGPGALARESEVPTYQTPTTKTVTSAVTTDTARRILQRLDQLAGGRTATPTIATAPRQSLFATRPAQTPKVNELTPTSATFVQTPKSGVSFAASPMPFISSSSSRPPTTPYPTGDLIQTETETPNLTFKFGEDNVLLATGKKPKAPSGPVSEVSAKFTFGEGESTPLFPKKTAPPPLKTSAPPPPKPAEESKKADDAPASKPVVKNLWSADFLAKNQEHQKKVQAAIDEEEKASAKPSGTPQVCFRVWHSRLWRRAIIEHWCRRILFRFHCACCDRSGGRAASDSGLLAFLGASSGAAKTAAPSSAPTFTFGAPPKSPENVPVKAPEPTPTFKFGMPEEKPVRAAVATPFTFGAKTEEKKEQLSASAPAFTPAAPKTTEPPASTPFTFGTAAPAKDDSKPAGEVPKPLFSFGGSSSATPTDDAQKPASGGFTFGASTAVASDAPKPASGGFSFGASKLETPKEDNPKPTSGGERSAQAGL
DLPGPEALDPKPRYPVVVRKATVLRLELKVPAGKPPELISQTVVADGFAGRADRDNFLFGPTGEALDEDGTLYVTDGLDNVITAIPNAVTRSDSAGTGRVVTKDGLLQWP
MEKALRWELQTVMCLAILLLLSIIPSLLFARREARDGAVRDQLAATKQKLEEINNQLKYYPLTFDASPFEYVVTEKNFQEALGWFLRARLEQSLKPISAFDYEGDRNYYFRISQIDGQTLYDVCGGTERCGAPPKKD
MDFLQKLTLKMKTLMDSNWLFIVSLIDLLGASLFMPLFATHLRSLGMSYLQIGSLSSVYFGAQLVSGPLIGNLSDIYGRKPVLLLTVSVCALCYCSFGFLLSYIVILTVRLILGISKHTQVMCKALVADIIPPDDQSKSHGKLNAIASLGFVIGPAIGGHIVEMNNGFTLVCLTTCLIFLLNSLIVWIYLPSTLKSQAKNDSLIGHIKNTFQELLDINWRTSWEILLIKFSFTMCMSLFYSNYVLTLREKFDFTQKSIGYTVSFQGLIAAISSMSINKINNFYVTDKSKFRQLYHSFIILGVSFLFLGFVNDYKMYFFVIIFLQGSAALLRVTTIEVLIEKSSSEHRGSIVGTSSSVVSSAKIVAPFISGFITDYFGQNYNSFLSFVLAIVTSYLCFIMNKRYETRKSI
MAADGNIDRAIRQMVMDRWQKTAMVLAKTEDALRKAGERFPGTTSPSGWKLSMRGAMLKAREI
MPPERNMFILVDTTTRMYLKSKKNKTAMDKIYKFLLTLAKKGDQQPGYFHRVQLYIGDKQIKLDKGKAQECIESAQKLILEEGGQVYKEDFWRNLLEENESENSQFFILSSVVVKETLQDFRAYKKNLMFLDFCGKKLKLPENLKKQVQLIKSKNIVKSAKLIHRQYLRDLLVTVNFGWKMSAEFITAYNEEVDLKAIDVIGSTTYEPAESVEILARGFILPIDECYDRTGQFCGSEVNSKYRDQITNPTKYQVLKLLLGDEEAMKDCLPDPLSSRKRKLDEEKGDKDPVPVKRGSVQGIGGQEEAEKPGTSEEIKKMEETSETQSTPMWLFARALDRETDTYRPVILRLRGLTQTDKTYHDPETLKKKLAEEAEKQKEEAANQESPASPEGPASPDQSPVTSSQPVKIIPTDVDYRGAAPAKKPEDIDYRFRGPKISPAKAETADEVMSEDEDDGELGELVDEIIGSDDDEPENGIPQEMAEEEKPKEVELKWEDMNDGKYVEVVVLNMTWDDFLHQAVTVGDDDAMEEVTEREKTVVGEEPFQDYTNLTGWYTDEQMVFYVNKMFRALRKINERPQLYETEYKNLTDMVVYGQNYDLAKKFSKLMVDDLEAYPEEAEPLARKASKHFENLYYKLAPHKPKRPAKKPDQHREAHRESHQSRSGYQGIQSQRGRTGFQSYRDGPPRREGHPRDQDPPEDQRPSRDQGHPREQEPPRNQEPPRGWNSPRDQQSPGGRLSFPGQGPPRAHEPPRQQGPPPRDQEMFRAPAAFQGGPPREFGPPRGQGHFRGQSRGQGYHRGHATFVHQGPPRGDQGFPRDQGYQQNPGPSRAQEPDRAQGSPSDPRLRGSTDRFRFPPPPLGHRGGYQENWRN
MSSSVRKDELAVSLLASVFVGFAVVLAARRLSYRNCPVAVPADAYGVVELGVWTVHLDWAGCRVIAPLGPAYLGGLFLLGLAAWTCR
ELLVTPTPVIYTDMPIAGFTSRSPSISNENILFKEYFSNISIVDRLSFPNRFISARPTFTWYMPKLYLTLFIHGEPDAIFDDIAIGVYCAIEAKKASLVTYGMGVIREDHIAQVAAVMSNGRTIEPARNVGQAFPMWKYGGVRPELMISGQSLANFFSRTDSQEPQNTNTTARLRRMARDARQMQPNLEALGTAITADGAIPSWVRLELFKGVESGAVRDQWPPTKYADNGN
MSSDVTACIWYFIVIDDIWTINEWETISCAFMDSAHGSRILTTTRNLDVAQKTGAVYKLEPLSSDNSKELLYRGLYGGKGKCPNDHPDELTKKILHKCGGVPLAIITIASLLHGKPRDDWSQVYTSIGFESGENKEVDNTRKIILFSYYDLPCHLRTCLLHLSIFPEDYKIDKEVLIWKWVAEGIVRDEPTDNNFVIVLDNNEHHTSPQNKARRIAVQNGVLEQDNHLAKTQMPQVRSFYAIACRISVMPSLSSFEALRVLDIGGYARSMIFEGGHHHLEHIGKLLQLRYLGLSNIHISEVPEEIGYLRFLQTLYLESHWIEELPHSVGLLSQLKCLHAQSCYRHLTVPDWIGNLTSLEELRLDEVESPNFPEELRKLEQLRVLCIRFPDPEDVSRSRALLESIANLKKIQRLELICDCDSENRF
MASLLVGKPREEWSEVHRSIGFGRCKENQQVENTMKILSFSYYDLPPHLKTCLLHLSVFPEDYVIEKGPLIWMWVAEGFVHEKQGISSLFEIGEGYFNELVNRSMIQLVEAVNEEAMECACQVHDMVLDLIHSISLEENFVAILDKNKEFEASSSWQGRSPRRLALQNNDRIMEARTDMQKLWSFLSFGCDIGKHWVPLSSFKYVCVLDIHTSRGRGEMKRHHLEHLRNLLHLRYLRLIGPGIHDEIPEEVGTLKFLQTLDVETRNVWKTLPSVGLLTQLLCLRLKPMVQTVPDGIGTLMSLEELQIAYVEHEEAEPSLRQFVKELGSLRELRVLRAGVPPRLSADVRLQVDMVESLRNLEKMEGLSLGNMFSPPAPADTAAWEAAGFLLSRQLRQLFLNWVCFSVFPSFCINPSRLPNLSHLSLYVDGIDEQDLRILGGLPDAQTSVSSICV
MGRSPGKQSGADGKHLAQVEDPDEVIIHEPETCSACGADLTGAEIVSEEVRQVFD
MSIARRSVRNCWDSAADASPILRRNWRTSVRPSVSPSTSSVPVDGCSYSDAMRTSVVLPEPLAPSTSQRSSGRTVQSSGARISRPSRTNDTFRSRSTSGTATFTLTMAPAWR
MGHTRIMLVDDRIEDAALTIMALKAVGFHNDVELHTDGRHALKILEENVNEGYGPHIVFLDVNMPAITGCSMLLQMRRDHKFNHITVVMLTTADYESKRCNCYEHGADFFLKKHADIDDFTDEIAGFKRFWDAIGRNKYADLENERDRLTG
MSILMGRPCFQQGVVLVEGRHWLVCVSASCVGTDIVFTAIMACGLDGIERCASSRSHPFSFPNSQSVPLCRGLNMRLANIRGASSK
MDFDMEQLSNLFDSIKFDEIFDNIFSRNMVNDIYETPLFDTPLFQTPIFNNLIDENPINQEPTNEDYMTNEPNEEEPISSDPMSTNTMYESVLSNAPLNFKLNIADGVLKNGAKILANPKRVTSLGGPNNGSSTIYVPTVKPGKYIMIFNYYNGLRRLKIDVNRTTSKIIYTMPSMHKGVFNIVVNLDKSLNEIIFYGDGLSPAPDLGDIMIFKSCADISEYTPEMKYDLSTLDFKLINDAKFNSLNFISGIGGINNGAILLNTNVNSIGLYNLTIDYLSGDEDTSLKISINDSPINTVFNFSKTNNWNLKSAKHFNTLITITSKSNIIKLYNDIGTATPNIGKISLALVDSNPITFKELTPITKPDPMVNPTPATQPTSTPEPAPISTPPLPTSNLYTPLIYEAVSGLLQNGARKELENKFIGWLGGPNDGSVNLNVKVSTSGNYTLSITYLSGDRDRPLKISVNNIDKKIIYNPPSTNSWKYSDAKTFTIAVDLNEGENTIKFHGDRINYGPLIGKISLSFNSSTTITSTASSHSTFTPQIGLEGSATINGDFITGINSVGKGVVTLLVDVPSNGIYDLGIHYVANTPDSKIKIAINNTSLPDYYIFEKTLTTNITDSKVKIIKVNLSKGKNYIVIY
MTGRWLRAGVVVLAVTLVGGGCTIQPDSRPRDIPADQRGQLDAPGAQPGQATGAGRVFLVATADEGETVLRSVLRNASQGEPLIAALLDGPNDDELDDGLASALPAQLSLNSARLVAGTMIVDVSEDILELNSIELRLAIAQLVFTASEIDGVRSVRVRVDGAAQEWPDGRGELRAESLTVFDYPGIAESAQPPFPPVPSDLTI
MLFTGKVKTTGTYSIWVKRKSVKKCFFWDIKYKAGAKSLYVNTFIMLFSSLKIYLIPQSDVKVNRMNREEQAKRVFFKSQFCRKLTNLSSVISSNKFENKE
MKKIFALVILFLSGAAYATVRLETSLEPRQGTVGDPLTLEVKTSAQEPGNCRLEVGAMAGPFEVLQVSTAPDRRDGGRVQRDFKITLTLFDVGVSTLPALTMRCQEQGKTTEVKTPEIPVTIKSVLTPESKDIRGLKGRLKKVANWPVVLILLGVLLVLGGLAGWLRFRPGKAFLKPKGPPPVPPHLRALEDLQRLEEISPSLPRPFTVG
MQCKMRNKPTNFIHKITHTHTPSQIDYLFRNEGGGKFTAPLRKESYLLLQKISPLQTQDNGKTN
MKATVIFISILTDFLIPRLSQTRHALKPISSYPSFVLSKTCFPSLCWGAVLKAVQVLSCKNSILYTLTQGHASKHLCLSKEMPAFSSSPQLEPA
MQTTLSSFPHLFKETYTLIENSLNYPQSESFSVDFYPIMNPQNFNNNHILFNHETNEVMAHIGINFREIINGDHKLSVALIGGVAVKEAYRGQGLLNKLLNNLLKKYEQKVALFILWSDLIELYKKFGFYPAGCFLDSKSEGLVPTKFIKTKFNALDSHDLDRVKGIYSDVLCHKYFTFKRSNDDWEKIKKINSTDLYLSRDEKGEIENYFCMNKGGDLKGVVHEIGYLKNGHQKVLNDLKSLRLWTPTLGAVGDLKYLGLFKIGNINFLKEFLKIRSNGDLILTHYKKNKVSFNLKKIRYSVNTSDFLQYIFGPFPPDEFKDIACKLFFSGLDSI
MSLSEKAQAFSIDSLLAADPDKTSEDGNEELSPMCDIVPSCGVSSTGLNSDNFALHRSLGPPWGHDGSLQSTGAAERDGLQGNMFPLTGEADRSPPPAATPRDVSSQEYGLPIAKTTHGVTVSLEKAGLWRQFQECGTEMILNRAGRRMFPPVMVTITGLDPSATYRVYMDVVPADGYRHKFTKTAWVATGPAEFSISNPPYEHPNSPASGAGWMGTVVSFAKAKITNNNDSVEGNFLLHSMHKYQTKIIISRQETDKKARSCLGDHTHTFQFEETAFVAVTAYQNHRVTRLKIKNNPFAKAFRDSDVMALVTFHLFHQGSWRAPDSLQEVTPVPTKRSMGIEVVAEHFVVTLLF
MAKRTISSCSSSPSSKKCKFSIDDILNSTTLLQTAALIKAEDDNDKRNEETSSPLDLQPNINWVPEGNSASLSGLNCRLEGKEMWAQFYKLGTEMIITKCGRRMFPTVKIRLEGCEPNCQYDVFLDVIPVDGHRYRYMYNKSSWQTCGKAEPSPQARLYLHPDSPHDGRGLDNNIITFEKAKLTNNADDTRVGHLVLNSMHKYQPRVHVVIRRDGKRSRMFDPSSSDFDIRNEEYRTFVFDETKFMAVTAYQNQLITKLKIEKNPFAKGFRDPNGRGNDFSDIFSSPCPEPTIFLKNLLQFASISPMFSNQTSNLYSMMCPPGGEMFLPWNMNGNMNRFCNTGNEDGKKLAQPSTSSPPTPIETNVSVDDSDEKSSVYTVKTDVTL
MNIPMRDPVIPGTSMAYHPFLPHRAPDFAMSAVLGHQPPFFPALALPPNGAAALSLPGALAKPIMDQLVGAAETAIPFSSLGQQAAAHLRPLKTLEPEEEVEDDPKVHLEAKELWEQFHKRGTEMVITKSGRRMFPPFKVRCTGLDKKAKYILLMDIVAADDCRYKFHNSRWMVAGKADPEMPKRMYIHPDSPATGEQWMSKVVTFHKLKLTNNISDKHGFTILNSMHKYQPRFHIVRANDILKLPYSTFRTYVFPETEFIAVTAYQNDKITQLKIDNNPFAKGFRDTGNGRREKRKQLTLQSMRVYDERQKKENPTSDESSNEQTAFKCFAQSSCPAVPAVGTSSFKDLCPGEVDRDXDSXDDXXLEXSEWGKISTTTXTHPWXQPAXGRQRVTTXGTKGAAVPKATSSPXTR
MAESTNVLAGDVAPPRTSADSRTEFIEQIFQKVEEESERRAQEQLQYEEAQARQQQSRVASPALTSSQLPLTPTASIAGAGAGVSGTVRERDRRRGSISVSRFGQIVAADAQDTTNPSALPSRTNSVIINAGSTGAFYQAQRYAGSADSFASSHSSASSRHPPRSPFHPNSNTARHYAHADDEELEQVTQMATIAGKVSIGKAVGGLIARRLSMSRGRTRSRDILTSPAGLVIGVSVEEATTEVECADEAEAEVAVGTVAGVEAPLQRPPMSPRGTSFVYADAQVALQQQQQAPGTRSRRSTLSMPGSSVASIANGSGNGSGDGSGEGAGAGAAGERRLSAAGWVAKAKDLTRKFRRRSMAVLPQNSAP
MNNTSRQEEDKSYKEKNTSSKNTIYLIISLVIIACVCVFLPWKTHPTKTFDDIDNANFQKFKNTTQEELYIAIDQNIDNFIDDLTGLKSIYNAAKDLLHIGNNNKDQFIADIWDKHFNNQISSIIDDRVQILVSDLYTTNIECMNYMKAKGINVEGFDRKIKEDLENSIRANILLISSETIDNSIINQVISIGAGTAAGAALSKVLKTNFITTILSFGVDALVSCIVDEKLHNELKNDLKNDIKKSLDDTLSSDGGLFTRIKTNIDYFHITRSNYYEEELSKI
MTRVWGRDACVREAVLPFPLGLGLLEGELGLALPPDPPLELGVGAVLEGALPWLDAGGGDEADGNANAEFDAGGGGGSNRLGAAEAEAEDAAGGGATSLKGLLAAAVDGPVEPTRERPSVLVRSE
MKITYITNAIIPSEKAHSYQIIKMCEAFAKNRMKIDLILPSFSLLNKTVIQTETIWQYYGIEDIFKIQKMPYLVWINSYYSTRQFLRFLVQVFFFTIFFIFYSLVNKPEKYYVRDPFWTKYLNSLRFIHRGKIYYEGHKPQPQVVKLLSSGAIDGLIVNTNQLKNYYLTRGVHPKKIFVAGNGVDLKMFKNLAPKINLKNELKIPLGKKMVCYTGHLYNWKGVKILALAMKDFKDDDVVCYFVGGLEKDIIRFKNFIKKNNIPNTTVVGHVSPVLIPKYQIVSDVLVLPNLKGGESRFSSPMKLFEYMASKRPIVAPDIPTIRELLNEKNSILVKPNNPEALTKGIKLVLNDKKLSEKLSKNAYYTVKKYDWNNRAKNIIKFIEDN
MGSGCTRSRQPFSRNKKPKEKMKILYHHRTMEDGAEGIHVREIIQSLRNQGHEVTKMALAKLSFKKSHINKKTDLKRNDRAIKIKSFVPRLLSRTIELFYNVISYFRVKKYLKQHSIDFVYERYALFHFGGLLAAKHNKIPVILEVNTPYAYAWNRYDKLYYKTLSRKIEKWVFENADQIIVVSEALKDYLIKMGIESNKIKSMQNGINCEKFNRDYTSAATGIRQDLGLENKTIVGFIGSLRRWHGVDLLIEIIPQLAQNYHDVHFLIVGSGELENDFKNQMAIHGCESFVTFTGRIPHEEIPKYIQVMDIPLMPNSNFYGSPMKIFEYMAMEKPVIAPRLKPIQEVIKENENGILVEPGNASSLKDGIIELLKSKEKQQSYGTNNRRKVFNEYTWDINAEKIIHLYESFQRKKSF
MKRFFFFALALAVCTGLYALDWPTDTQNFLRLFGQCIGEKTFEQGLTFENTSTVRAADDGILLIALDRRYGTGAFPSTLGNALIFLHDDGLQTVYGNLDANAVFLSRVTTESNAVIGRTGNSGWGKPNDLIFQVSDSQKKVYINPLLLLPSVNDKIAPQIQNVVLINEQNTAFQMNGQKTVRQGSYELYADISDTASQGGLSFSPFRITIFVNGTNIRTIPFETIAEKDGDSYLGNTAFTDTLLYRRKNELYLGKIILNRGKSDILITARDITGNEKSERFTIQVD
MNIKVNHKEIAHLLDRSANRMDRNTVTTLQHARHXXRHMALQHQRVTASIWQNWDGVLFGHRRALSWGIATIVATLLLVNLTVWQHSSDNNRGHIDIAILTDEMPVDVYVD
MKGIELGADDYIQKPFNPNILRTKVKRLIKSREELKEIYTRLLTPTSEPEASPEEKTGEAGLHFPEDPFIIEIVRIINENMKNPDFNVKKLADIMVISQPTLYRRVKTLTNFTISEFIRGVRLKRAAELLRSRRYTVQDIAEMVGYNDLATFRKHFVDFYGTTPSTFCNKMLMEKSE
MVMFEKFLCKIFSGQCQLISLHLDISEASPSIHQCLKSHSSTFSSNNIVDLFHSNCLTLRYLHIRSQYPCFLEHLIEHVPNLEQLTICFQYLRMDFVEGYSNIQKLVTSNVNWSNKVPKLKCFIFKGHIFDDLEFMYLKWLLNNVNHVRKLKIYLESGEIFRTDQSIWKSVIDANFVRQYCLPDEVVNLIDFDFYICSKRQLAVGDIEHIVTSFKINSFFIKHQ
LDRVFSYGPPDVNYLKKRKKTVIIGIDCVPDKHCFCNSVNTMTVDSGFDLFLHDVGKDFIVEIGTKKGEELLRKYVKTKKATVSAIKKITEFKNKKAEMFVTKLNAEASALPLIYSGSYNSPVWEKISKICYGCGSCNLVCPTCYCFDVRDEVKPNLNEGERYRVWDGCMLEDFAKVAGGHNFRKTRAERLRHRFNRKFQYQADKFGGLFCVGCGRCIRTCLVNINIAEVTNEIIEEANKDRS
MEKLFISRDSLKKLLDRLARNLNLVAPVKDPEGIIMFGPVADAGQVLLEYQNSTLPPKSFFFPQTERLFKFSYGQEGMALEDMCGSDKRVLFGVHPCDLKSILSLDPVFAGAYKDPYYINKRENSAIIGLACSNPSPGCFCATFGFGPDDGEGSDIMLTPSGKGFDADVLTDKGQEIVASYKDLFEKAGDSPRIAGETRLTVKKLDLTGIKELLDGNFELEYWNQVFEKCLGCGICTYVCPTCHCFDIYDSSKGGCDGERYRSWDSCMFTHFTMMAGGHNPRPSKKERVRNRFMHKLKYHIDRYGLAGCVGCGRCVKSCPVNIDIRRIISDLGEVSRNGQL
MWPGQTVRLPLFSLPSRKLILRILYGETMEKILKKSDLGKWVGKLSAFDCYAPTKENDHWEFNQVTYLDSLPEDYTQVNVPLKKVVLPNREVLFNFEDNRNGGPHIQEVLPEEKEVVVFGVRGCDARGVALLSKIFSESSPDIYFERRKNQLYVVGLSCYPLPGDNCFCLSVGGGPFSTQGMDILLSDIGRKYFVEVLTPKGEKLVELGWEFFAEPNDQDRQDRLRREKKAQEMFNLEIHEAEKKAGVLHHLFEDKIWKTESFRCLKCGICTYLCPTCHCFDIADELASPVPLQGKRVRVWDTCQFPDFTMHSSGHNPRPDKSSRLRQRIMHKFLYFVETYGEIMCTGCGRCVSKCPVGIDIVNILNRVESHEG
MRLAQLSGATLVIANLGRLSRNSAFLLTLRDGGAKFVAADLPDVCDLTIGLLATVAQHEREMISKRIREALETKRAALAAEAAAKRARGEDAVRELEDGSSQPLRLGNPNGARCLAGPREQRGGRRQEGQSRLSGREPSGCTGRPRSSGFNVGS
MEAAIEAEKKVRVGRLAGVYVQGGELFDDVTSDGEGIVALQCRDGSARRQPDAMATETTEAYPMTTDASSSLSLPARHGSDYASEEDLDDM
MSADAAARPGVSTRSGWEAVHLTTDDLEVVVIPGKGGDVSSIRQRSTDIELLWTPRWGLRPPTALPLPGVPETLALDRSGGGWNTMFPNAGRASVEYGVDWGFHGETWLAPFTWEPVADGVEMTTALARSPFVVTKTVVAVADAVTVTESVEHVGARPVDVLWCQHPAFGAPLIGPATTVEVTGCVVHPDMPDDVPPTPAPPRWPAHTEVDQSAVDLSRLDAAGSGGARLAFLGSFDDDQVHARIHNPEIGLMVELSWSREDFPFAWYWYESGGRQDYPWYGTAHSLALEPASGYPSGVRRARELTGTELTIEPGKTVTKSVTMRVVSSRKTNPER
MMMALRAGIGVCVLGLSTVAHAATPITLPLGSDLALLAGSTPIDLPVPPPPRVPRPDVSQMRLVIKPQPNKGCDEDAQRMRGARAERPRGVARSEERA
MTGTGARGTASPTAGSRATARDDAAGDRPRRRGVWIFLAAATAAIVVVPLCLGLGGRAMRRTVEHITPYRHSIKELRVEAGSAEVSIGTGPDGQARVYKRLKWVLRRPVVTESLHGDVLSIAARCPGAAPLYGDWECGADIDVQVPAGVRVSAASDSGKITVRGVNGDLDLRTGSGEISVAGSRGALRARAGSGTIRGTGLASSGTRANVGSGELDLRYAEPPRTVDVSAGAGSVKIIVPAGSHYRIQGWTGSGDTHLNPALADERSDRLISVRSGSGSSYVDYRDD
MPCSTVAGSAQVRAHADGGGGTAVADVAARLRGLARILASCLLLAAVVVGKRGGACPPRTTTEAAAAIGPGGPGRHGRRTLFRRIRTA
MDLSFENGVQIPSEIMVNILSQCGTNDLLAFKLVSKNWFFTIKNSYFVRIHTDVGHDRLNSSFLLGSRFVSAEEAYDRLINVSSNADGEELLNVLRLHPLMDQNISMDLLGTYNGLIFLKVTNDVEIVRLFICNPATKRVRQIQDFVPMPTGRVKYDFSFNALSSSMYILVIYEESNNGNQLRVMLYSCLSHTWSNINPPDFHANIWESKSVCINGIMYWLSYPPIDAVEQLPTILRFDFAHQIFSTISGPSNRPIFSYTPVELEGSLAIICGELQGTEIVRYITMQLMYDAKSQQYWWPVLSWPYVNVNTRLVVSYRNSTGKSLISMDSINLTVKTKQPLTPSLKTPSTKRSRGHEAENEANNYITPDIVKFSQRSALSDLTNVSQTQQMSLPHNSLFVPNHFYPGYQTHMPPHIGFTPLVLSPDDFNDEVASPKIHTLVPTENRKNSNTIISNRHSSTSNSNLDDQENIIPTDLRASSARVARKQRKAILVEKRLKESNLKFHDMSMDSTSPAMVPKEIHLSSATPHVDLVIVHNGSPHSNKLSQEYVDIGLPTYGCEHCGAIFWRSASKSDETPRFSQLYIYDTDNEVVNRMRNASSSASEDQCDAPIVMQLSQMLDSINPLVKVFRSVKDHPSLSSRDSLRLKLIKKRTTNARIYNLPIADEIAALIVGDFDPENVERDIIVEERSGTLQRIDELHPLYLPM
MAPNASNGQLTDKPFHIETRLLINGEFVKSADEKVFTLYSPATHALVAEVYEASVEDTNRAVTAAKAAQPAWARLSPTQRGEPLKKLAALIREHHTELAYL
MNHLNFSILNLAAFLTLTSFSAIAGGNEVGNNLFVAEPKSKPFFVNDSGDRYWNCEGLVSAKFIEDVYSDLSELSVGSNVPKPMLTPCHYSIGTIKIFNRVLYNYNIDFYTNKDLIKSCLTGKYCENRRTMTLKIINGNLYKQYTIIHSGKLSKMICVDLEGNIVNKNNGCTN
MSSSSTTSTSSSSTTSSTSTPTTASTTTSASTPSTTSSTTSSTTGSSTSSTSSSSTASSTTTPATTMSSSSTTSTLSSTTALSTS
MMNSATVLLFLSAFAAARPFEVSASFSTRNAVTSKVASPTTVGVPNHGHLSVTPSPSDIKNSFDAVEGPAVTITVTTTMHHPCACPSRIASKLSSAPVSKPTPTRTGKMAREALPVIPTQPIVSGPPLTRTVITSRQSSMSPSSPSGPPLTCTVITSRQSSASPTSNSGPPLTRTVITSKQSSTSPSGPPLTRTVITSRLLPTMEAAPAVKAVSYHTTALTNADTPTQTTTSLQPAATEASEKAKKREKRNQILWTVLPIVLVIPVAWFLWAVAIPLLVLFWVWVERRWVALKAKMRGGSQETRQDVEAARGTSRA
MSVPLRKDKLEDNLTTADLAQGKRPVASQDLHKPVLTQNPTAERAENTGSVQRSAPENQTSMDTTPLFPTNELEGLRNRWSAVQTAFVDEPRRAVEQADGLVASAMKRLAEVFAEERSKLEQQWDRGDNVSTEDLRIALQRYRSFFHRLLSI
MERNPYNSPYETRHEEPVPDSPELLNEEARREDAADVDLLVASDRPDHDPLNTDESDHDRLDTDNIDHNPVNETQDDVLTSPAVAIPEQRSPTDDINFDARWHDIKAGFVDDPRDSVEKADALIDEAVNALAARRQALVDGWKNHNDTEQLRLALREYRSLFDKLKG
MSYGLTTPVRPGVIEDLPEDTSLYRAFWRAQRLSDQVQSTVSIIDHDECDEVVLSVGKM
MYVTDQPRFANCACIVETNLQPVALLHLLKKIEDVVGRVPTIRNGPRAVDLDILTYDDEKIDTRPEDKQHDLQNLTGELVVPHPRLAEREFVLRPLNE
PLAAYSSTLAPIRRLPSEILRAVFLEVQSSLWNMDWNPWHDDEYSDKAWGVSPDLETLDFSRGPWKLSHVCGAWRDVVLSYPRLWSDIALYYGTNPPALQAMILSSAQHPLDIAFNLLDKDKETVAMEAFPMILEQSC
MKEKIIAYFRKNKFGFIIIGIVFLITLPFYINARIKFSEIEKDGKAGIGKFVEYERKPKTRNYYFEYYNKNKKIRDLIKNPPDGFHKNIGRFYEIKYLDKFDDIIVNFDKEIKDTTLILKAGFSIEDIEN
MPVADDIAVESLRFRSAQGELVSSLAMTAVRAGAETFLGWLSAPERRRLEALPEQARRGAFLWEHVAAKAALMSATGGSVPTDFELERGAFGQPLPRGGGGHFDVSISHAAGQATALAHPRAVPMGLDVEVLGSPLEAGAVDASEQALVQRVLEADAAAARSIAWTMKAALGKALRTGRMTGFDALRLEKVERTGEGLTARYRSFPGFAATSWVDGDRVTSLAHPLTLWLESPPREQGRKTRQPSAGPGAPAAHLPPRPVVFMFSGQGSHYFHMGRELWRNDAVFRDVLERCDRRVRELTGSSVLAALYDERRTKSDALVDTHVTHPAIFLVEYALCEALRARGLEPDVLLGVSLGEFTCALVSGALDLERALTAVVEHARVITRCVPAGTMLAVLAPVSELEASPVLRAHVEIASVPFPQHAVVSLRSDSLPLVERELEARGLRYQPVMVSHPFHSSWIDGARADFLHVLRDISFEEPRLPTFSSALAGQVTRFDAEHFWQAARLPMRFRETCDAIRASAEPLFVDLGPSGTLSTYLKYGGGAPRHIAALTPFGQDLRTVGKVIETTAEFRQSAR
MAMIARGQQLRPLAESFQSLVTDLSTGLTTAISAVLRPHKKVDKQAFPSAKTHCSKNNHSAASHGFKGFQPATPTLFTASSTANGDKSKLWKNSHLQRLYVALWQVGDFVFHNFPKVVVFSE
MAKLVLISLLVVLVAAVQAAPIDKLTLKFKISARDLPDKDDLGTSDPYVELSYTEDNAKEETKLGTTSTLTDTENPDWGDVFTFEFVRSKRQRFTLRLYDEDNLREDDKIGQVYVDVADFVDKGQHLNANLNKKGYVILETVIPVVTPAPPVQNTPIASILPVTPTPAAVLAAQQQSLPLNANTPTKLQFKLSAKDLANKDKTGASDPFVEVLYTEGESSKQKKLGISEIIQDNNNPVWTKVFEFQYDPAKNQKWFFEVRDSDGSDSDGLGEVWVDVKDYVTKGQKITVNMVKTGLLTIEAPTPIKPVAPPPPPTKATAPPPTKSTPSPIVDLRFKLSVKNVEDKDILGTSDPYIKVYTQTGSEKEVFVGNTQKFQDLESVEFPDIYTFTYNPAVKSTFRFLVLDEDDNRSDDELGNVSCDVAEYVKSGQDLTLSLKKGTLTVRKAY
MSIGSTILEISVALAVPVTIFVGSRLVPSMRWTRRLVGDLTIAAQLPAGSQKSQFEVSVQQQAERLLLYRAKMTGLNLFAQAFTLSVFAVSIVFYIGYFTWFAPPNFSFPDFVDSVGWAVFPSMGVGLVYVALQASGLTVPKPRNLPMAALGDDIALREWALNEPGRLLLKLAKSTA
MNITFGWTTNLKCQLTLISDVTAKIFRYLKSQLAMDALWS
MKRSALWESTISNVPLGQREGRPQKSRAFSVVEDKPLPEKRPSREEDPWHA
MYPKSSNLQYIKPLAIKCIDNYAMNQNFWRLYFDLHCFTCQKEEQPNKGFTCIKCIQDYYMEPLRSIERGSCITCPLLCQVCEQITTQESQNINPAYEINDYNRKYTYKCIQKRQDQNIIIDPYQQIAKYCINDICDNLIQYQINNNFEDLKVIFDGQRPFSDEFYFEYLNHIGAQIFKLIIPIQKFCNSDEIIVQSLTNQLKKRIFSLFWVELTFLGNHSPSTYQPIIKIKNFDSISFNQLLFIILQSLELFIHNYDAPTNSILTDSKFMANNQDQLKLSIQTEQCHYFEIRNIRLSDINIVNSVVLNQN
MFNYKVKYGFLTTYDQTMFFKQEQHPKEKDKWVLWHSDVISHSTKSTEVGNNHAKEPRSYHGKVSVRECFLFLGKKIAANDFTAKYPEKPGDWYGHIQDRTTYDPEDYISDGPKPPAGSGGERTGSPLSAAVSQGTSRDRSISRQDATNRPSTRSQAKIEEELVARTRELNISGRSQREPSPQPRIVTVFYDSKQKMWGYKDAKGKMIFVKLQEREGRYFFHVGEILFEAKKDSSGRPRK
MFGLREGSCFPRVLVGVYVGLALVDGIIALLAFYQGWQCWSNSCGFTLMGLLLYLRMRNVRSERASSEMWKVAGLAVVSVLCFASSSLVALFTDIPMLYDWHQRRMKDVYTSVLLILYYFVGSSIPSAFVLWVMRELPPSVTANTREEPSTLTFISDISTTLQDPQSWNAAMSLRNQHVLARQIYEVSRNQRTMCQITSATLRGRDEEGVE
MSADPEQPRLADAAEASAAVMAAAGFPKMPARALLALVASDEGALTAAELAHALGVSAAAVSGAVRYLQTVGFVHRVSQPGSRRDRYALPEDPWYVATLRQNPVYERLAGLSAALADELPDGPARARAQETADFYRFLTRRIPGLLDEWEAERRG
MISMRDEEAVRRYVERLALVLNQLGIQRMAARVFAALVVTDDSRLTAAELAENLQVSPAAVSGAVRYLEQVGLVEREREPGSRRDHFRVLDDMWFASLRKRDRLMEMWRDAAEEGVDAVGADTPAGRRLADMRDFLSFIIRELPLLLERWEKERASR
MTASIWFLGITGEEQAEGSEQPSIDEVIHRSWDTDQLTTNLGSDHIIRASFRIETDDPDTRMNVEMRDFQIKNLIIHRLAEQSADELRSSEGLRELEENASNRH
MPGAGRGQLLSRLRRHDVRQLWPDGARGRRRGAPGGTVYIVMGVLGETLLLAGLLLLAGAAQTHFLPEMLLAPASDTAALAYGLLFLGCGVKAGGPLLHMWLPLGPPGGAHAGQRGSV
MVIASILNRIACPQCACLVLEDFYYKTRESDIICNRCGYYYSKTMKSISDGRIEYEEKEIFGFGCSVLVKKDGRNERTVFNEKISNMDIDNFLICWNKTDTEQEKSFLLEHDKGTFISLIGTPPEDFLQPFDKIKAPYKEEHFHRKRRGP
MDGVIIEAIEQGIYDVVEVEAVLRKFTNGEESLFTKKEQEESALTMRILK
MMIMNKRRMRILDEMKAKIRKAHLSRDNLMVFADGASSDLEKHINILFERLTEWYGVYFPELKLEDRVKYCNLVLQIDKNDFQKSKKAIQSILGEKAEGVVKSLQNTMGADLDEKDLDSIKLLAQQILALEETKDQLEKYIESLAKEVCPNLSEVGGPKIAAKLIAHVGSLEKLAKLPASTIQVLGAEKALFKHLRRKTKPPKHGIIFQHTIIHSAPKDKRGRLARTLASKLAIAAKVDAYGKGHFVGKELREDFEKRVKEIMNEKE
MTQVWNWTWLTAVQIQALSVAVTVVVFGGAVLALVAIGHPWLAAVFGAAAVLSSVLSTPPPT
MADLVLDGVCPEWTDAARRGWRSGSEPVVAIRGPADGVAGLERARAAAVGWIGRTGDGVVPLVDVTVAEDRVVWVYPRTHTLAAVHLTGPAEGDLLPPKAAAQLIGRIASILVGLGAEHPGPEPADVLVNTSGDVRLIGFVGPFPSSPEARAPLGASGAPAQVYRLGVLLARLLTGHAPTVGEDVTSHNRRVLRIAARALGRPGEPLPERYREWLIGMLAWEPEHRPPLSAVPGGLPEAAVEATGPGLREWASGQIATRIALLHLSPEPDDAMDLDELPSLTSEEIPMMREFAFGKTAPRMEWADAPPADEDPTAESEAGGVVPQTGLLLESGSIPVLVGPPPEAHRGRPRLSLPNSTFPRSAAARLPVGARGWLVLGLSVSAALALVYGVLLVSAMW
MALCVFDTPRLWTKAGNAYLTYKSNILRDIPVDGAASWNRVKRITARGSKVSITVSSHQPCS
MFFVSSNLLPLILFLPYGLCANSQYEQGSITIPTSTVLSIGLGFLAFAIGSLMLLCSIRMNRLRKQAKRMNKPFREIWEDEGGFWGFLTSFGDDGNHNHTSFIGATGRSLNYGLGTIRLLPFGYNMNDFEEIDNDENLAKKKKPVLWDYDYKNYNEDDIQPVSITSSSMPTTDKVPSPLPVLNLCVLISLPSETPLEQNTDPDELPQMIIGSTTLLPIITAEEIPKRVSQDSHISSSSMTEGKLEKKGEAEIQQIEYAYGATGNGIGIKKRAEWKGDTGSWYIEGLKDD
MRKKLFLVRHGQTLFNQKHKIQGWCDSPLTPKGVAESKAVGQYFRNRQITFDKACCSTLLRTEETLKHISDLPYTRYEGLKEFGFGRLEGASTDLILSFGHDLLNTSYTQFGGEDPQKVQDRMEKTLNDIVHEDDAKTILIVAHGANLMNLLERIDPDHAKDAIRFINCVIYEVDYDGKNFTIVDSIVSHLAQIKE
MKTIYLVRHGETLFNVHHKIQGACDSPLTALGRKQAQLVHNYFQHKFIHFDAAFCSTQQRASDTLEIITNNKLPYTRLKNLCEKDHGQYEGQDEYMLPWRRGYSRKNPAFESDDHVTYRMIQAMDEILNATHEGEKILIVGHGTALRLFTKHVNPVFSAYSNCEIVKMTAQNNVLTYENSFTPALELVN
MIIDAHAHVCDLDYGSGDALVSQYEKAGIDKGVIVPGGMIDVRKMTRYISGEEKSESIYPPNYIIEEAMKKYPGRFFGLFCVNPHLGDEGIREFETAVREKNFSGLKLAPVVHQFSLTSETVLQLAELCGELGVPFYSHVVFSPAASTKKFAHLVKEFPKTTFILGHMGFGPADTEAIEYGRKYQNIYFETSDASFLIIKEALKTLGSERIIFGTEFPMYHACSAIQNIYALDCKPDELENIFFRNISRILTKTA
MKEIRKETEKGSRPCPVCVPERRRCLSLVVWWWLERLSVCGGVGFRVRFRW
MAMPTLRIHDIPLHYRTEGQGSPILFLHGMGTRGDMWEPQIPFFSREFRMIMPDLRGHGQSGPLPDNIPPDLYARDLKALLDHLRLEKTHVVGVSLGAVAALTLAIYSPSSVDRLVLADGYGRTPSRLISFLFKICYPLVILCPWKWIQHRVLALYNGSGPGQKRTRRMLKHTFSMKKENFLRLNRQKQPDFTPLLPRIHHPTLVMAGDVNPLELKGSRLLFKRIPHSQLTIFQGEYDPLNTMETNRFNEMVRDFLKGEPLKEKPGVRIFRHDLT
MAITIIISTVVLLLSAVAALAAYLWFLWSRSGACFESDGLRLHYVEKGTGTPVILVHGFAITLGGNWFLPHIFQRLAREYRVIAFDNRGHGRSTRFYKPEDYGTRFVEDIIRLMDHLNIEKAHVIGYSLGGFITLKLIEMYPERLLSAAPCGAGWTQDPEKELVISEKIATSLEGGTGFLPLLEWLEPPGKPKRKRMIAVINAFMCWLFDVKAMAAIMRSFHQLMVSEEALRANKVPALAIVGGKDPFCKFAKQLAEVTSNLELVIVPNGDHGTTVISGKTIRALRKFLEEHTPSD
MGENIIKSICWDITSRCNDNCTFCYRNPDNKELDFESNKIILKKLIDFGVDKISFVGGEPLLYAKLYDLVRWGIMYAEGKTKFSITTNTILLTELIDEEIILKEREIKKILELFDWITFSLDAPNREIQSRMGRNKFHYERVIKILQYLNDSQFSKKIKINTVVSNLNKGYLIELYQMLCKYSIKRWKLFRFLPSRGNALKYQDKYYISETQFEDEIKKIKIKNKSDQILITTNGYENFDNSYITISSDGKLVVYNNGKYESKINLLYEDAEKILQYIDIKKHIEKRSDFIKIERIHEGQI
GEQLKIGQRSLLDVLDAQNTRFNTSIVAETARVAALFAEYKILAASGSLLKTMNAKPVGQTDAYARNEFSVKTTPNGAYVQRDPRQKAGIPMDLLAPLQ
MDLAGGAGKTKSSAFAFAAQYKPCVSQLDENIIEKLPRDMMPFGNLAR
MKLQNDFPGKSLPRSQNQLPSKKSEKIPTLIGEPVVGIGSVIDYKVGVQLIKPVPQKMYSKDMKLQNDYPGKSVSQNQLASTRFGKIPSKGGEAVVGIEPIIDYKIGVQLEKPVPQKECSKDMNLQSDSPGKSLPLSQNQSPSPKSGNMPSQEDEVAAAGSEPVIDSKEGDHLEKPISQKQCEPFLDKEKQKEVKKDLKQELKLRGPSELPEASSSLASHLSDSVEKEKDNFLNVGDLKSFPGKREDSLENIFLQNLKINFPKNVLQFSSHMCSKKSTPQSKNKPKTEHTCSINEEKIAYVAKNIKKNNSKSGINERNEDSSFGIEMTFSQHKNITSLESDSDLLQSSDPGSYFGVASNNQEKMPELITSRKHKEKTKHIETSFQNSHHNATNSPNELEEKRQKHQQNKTETLERQDGEKTENRRAVKANEQFSVEHGKDEENKIPGEEIPLMSISSEEKLSPMHHILNGNWREKLMQKHRRNSYSLEKFEEKEIKIGENFKKPEDEKWISEDSSSTTCEKVNSSSSLLDSCDDSTLNETDSDELRLAKNVLNEKNKDKVEMATDALLMTSLRHTIQVLLAFRKITQFLQVTYQ
MYDNDLLQGDVKQQLIRKKRSSTAPSSSSGRQSTTSRASDKDTGVSSSKKTSSTTLYNDNDEDDTKAQKRKSIIGNTSTSTTTTSTTAGTSTTSSSKNSGNGTGSVLGDTRKRATVGGAMTTSAKKPPASSQKSSSSTSGDNQDSDTTFIYLNKPNPSQKRKSSEAENIFQTARSKADEEEEEERQRQKDKKKKARYSVLGAKTQFEKDRDLERDKEIRQQAERLADLDRIKREKDRQEEEERHRLEKERQREAERLERLERLGRVKPNPAMAAPTTTTTSSARLPSLSTPYPASKQQQQQQPQPGRTSSSVFAKNNTQVLQPQRYTTTSASHHEYPQTPNNFDKSNTGFQYNNYQIPTAKAPLFAQTTQQNLSVDFDTDEPQDVVEDNDYDFGAVLPTSPEPFSQEDQDDESDSDLSFDYDEEEHMNGSHSYDVDADVNPQQEYERERQRQRDQEREREQRRQQREYSSNERPSSTGVSSIQRERSLKDHDWVGYALIVVSFIAWIVLLGVMLNFFYSFGSVTYCDTDSMPRFDGNGKIICHRCPDRGICTDGAFSACQDGYVRSRQRCIVDPSRIDALNVVFGNVEQVLREHKGKFECKVTDQFDMPLEQLGKEFGRHYWIKVDAVEKAYTKLIDHIVNRRDAADFGIDEKMLDSIVVSHNDTSGELLLHTVKESIRPLWCQTYFVGKQLMLNNLQILIGLFGAYVLYSYIRIRAQRKKEDALKTEHMFKQLLGIIQQQSRYNPEEPWISEIILQEEVIGTDRSKHTLRLWEHALEKVLSSSNKVLYAPRYVNGESQNTLEWSDTGAFRDATTPSKSATKQAL
MCDAEEDEERFEMEENDYDDSEGNDSDEERMEEDPPEEDVKSGVKRVVSEGWSDMAECTAPKKKRS
VVLDNLCNASRESLRRVERLTERPLIFVEGDIRDRALLDQLFREHAISAVIHFAGLKAVGESVQQPLAYYENNVAGTL
MKVEEWIAKSEKLPFIRFIPVDNKIAVASVNLPQPIHNDPADRIIIATAINLNAKLITKDEKILEYPHVKAIW
MSVNQAGRIVLPVPIREWFEIALAQEGVILISITPAIAVDAQSLPGEFHKDPADRIIVATARGCDCPVVTVDQKILNYPHVDVIRPTESS
MILLDTHVVIWAVIADPHLGEQARAAIRQDRDRRISAMVAWEVAMLARKRRLAFSIPVETWLDRAMIALEARDVPVSREIAQEAGGLPDDVHGDPGDRIMVATARQTGAMLITADRKILAYAAAGHLNAIDARA
MSPGSLRAIRAATTRGEVLVSPVSAWEIGMLAARPRPGVVFHPNPQAWFARLLALPGVQLAPLTPEAGIESSFLPGKPSGDPADRLLIATARALAASLVTRDRGLLRYGATGQLRVLAC
MANPGDRLQITSSPEKHKVEDQPDCEKRQRLAASGDKEASQTDQVMADTRIHYPEAGIVQYYSSNRPTHIQTILRANCMDLLYSTLHSGSIGSLHWIFNKVACDNTYLHDTGRGYDTPPHQFCHLVHLLNEEASKDALRSTQRIGWWQKSLDRLSNGTRNKLDKAGRYEMTTFAKWDGKGVLIMRPKKAGDSDQETLNALRKLKDIRASLGYKGRVFGMHDDYLAANGGSALLT
MFFNVGYLAVVPAVVDTPDLVRANSRLETSQTVAKLIGPAVAGMAFQVLGIAALIVDAASFLCSAGSLRMMKPCGGRKSSSEPLGSRLSLGFRVIWKDAGTPSLRGGDPGGEPRRIGISDRSSDIGLRR
MAARILAGAGALLLSVVVGPAAALALAALTTAPVLFLGGGAAAALLVWLSAWRLLTRGLAPRRRRAGGVLSVLVVSAVFATLLVPLGDPARLPPLPPGAGALATGDGGSIAYGVLPAGSGAPRAAPVVALHGGPGVPDTAGLLAALAPLTADGHDVWSYDQRGTGRSSRLDDPGGYTTELAVADLEALRRRTGAPRMILVGHSYGAYLAAAYHDAHPDRVERLVLSSPGALSTGGTGGDPLARLDPAARQEVQRLLVSPRALLVYGLVQVDPASAHALTGDAEVDARQDRVHAATAPALHCPGHAPQGLHGNGFFANQVPQSLRRPALPPLERSADDARVPALVLKGRCDYLDWASAVEHLDAFPDSRLVYLPDAGHDSYRERPEAVVGAVRAFLGGGDMPGVLADPRTAPADYQRR
MGCRRSTSHLDMMNHPQQRLRMVSCSMRMNPYLRTEDDTSVNTALYEDKATQCG
PLWINTQLVQQEVAADEVVVVDEARVGLDQVATARAVADYSLRPFKENVLFRNIILATFSYPPYFLHATN
MPDHADEDSNFDSEDVRKVAPDVIRGVIEEIEKRAMTAGTVTRDGLEAMINGYLENSALYQLVLTLKNSPTPGIQQEETLTGDAAVTTTSLWGSHIWGGRLHHVPQDFAFPNSCSQIAWQYWMYGDRQKWYPPLRNLSRDDMLSTNMRNRLSDLRNLMQLVEKRAEEQRKWIANPSIEQANEMFEQKCCCCCWSHPTSTVSAASSTSPVEGDHEYPLYQMLVIFGRPGAGKTTIANQVVERLLLLQQQQQRTDGHHNNNNNNNNNNNNAVLGLDLDVCVSQELRDKFSKGLYPTLQERLTFATQACDYVQREIETALEQQQQTQPTTTSQVSDQDSTTNTTVASSFPMLSVIVSFSFVNTDLRDTYRLKFPYAEWILIDTPPEEATQRIQQRQGHFYKAQQQQHEQQQQQQQQQQQQQQHPETTKGGTDKIDNDNSEWEFAPVTFDHIILNGNDSIESNVDQIVQRVLDVKLEPWGREKKR
MAEAVKSRIDIQVTSDADKAIESLEKLIAAEGKAQHQTEGLTVASDKQTKIRERSEAAVDRLAKRYDQEYRAVERLRTDQESLNRAYNAGLGGTRAYELALAGIHRQQSELSRSANDNSRIDPFHHATEGANRFNSAVDRVQSFAWNNSSFSGNEIDRVVMPIRLLGATLGGLPAIAITAGAAAEGALALIGNRAQEALRDLQEVSRQSGLGVNQIAGAQIVGARSGLTQDQTRGALNNAGREFDAYRRNDGGVKSALENIDEGFLKVADRARNAGEFVDTIGEKIRALPREEGLDLSRKLFGEDAGARLFDNIRSGALSMRALGDEATRAGGINDELARKAEDVQRQIDEAAEVARTKLLVAFQDLGSPIDNLKLGWWGVVGSIGDAISRSEELRQVMQGLMHPIDTLANAPHVVGKLFEQQPPRPFGRLIDMSTQNDFDRMKGDFFARGPKLTQITASDTRARYAAREESDASGGKASSGRSALSAADRAEEKYTSITRQLQNQISLLVSQGAEHDRIALQIETERRLVELGVGATQAQKDGVSALVTKLDEAKKAQERLNEEAKKFNEAYSSASSTISGALKDILNGGKPGDALQKALQSMQGQLLDASLTGGGPYAKLFGLNGKDGAVGGLFGGLGDVLGLGPKSVGAMNVQAANVNINGGVGGLIGGGTGGGLLGSLFGGGSNFAGQPAGAMGPFQQSGGFLSTLISGIGSLFAFADGGVMTSHGPLPLRRYADGGIASSPQLAMYGEGSGPEAFVPLKSGGIPVNLNLPRGASVTPNAQSLAYARSLASGAGNANSSAQPAPKTEVHVHNAPAGTQTRETTDSRGNRRVEVVIGETVAAGLRSTAGLATLRGFGLRPSVARR
MKILNKAGGAGFQVTAYAQTIQDMEVALGSKAKADVSEGNFNTLIMLCVKNEETANLLVKVLPQVGVVGHTQVFMVNDTPHGEDAVYFNTTNEDRVQTFLKCMGVIKSADIIGIRPNYTFQ
MYIIQYAITLPMTASEADRGHHYMVARASVEDSTHSEGVEPIVNCPATREGKEGRLTYKRIHMSKSLPGWLVTVLPKTLSYIHETCVNTYPTAQTFYESPFFKDNLTFELDTVIIDNDRGDTENPFYLTPAQLKGMKRMTVDIASNDEPNFSPSTHAFSHPMLEERGMLTEGWQERADPVCTVYKLVRFELSGYLGLGSLCKGYVGKALKGNFCKYHSKLHCWMDDWYPQSREDVITREVEQLDELAAWWVHYHKEKGKPLPSVPEAVLAQMPQVEREALLKARADHARSIGQPEEAAEAEGEAEGEGEGEEEAEEERETEPEEALSAEAEPTPKGEMESEVLGTPAGIGGAM
MATHAHTFGRLALAAALASAGIFLPATATAGGPDPDRTLMRNVMTATGGAVNCRGGDTCVEKVGDVAHVSYTVQVARLVESSDHIQTAQGAAVFVPTVLENVKITLTSVPDYPALEALQAQPDFDWESRWTVPTRPVNQELRIWDVGRDSNGNAVFDPELYPDIAPEDFPWYSHITPDFEDTNLGVRRIKANLAVATDPPSHLAYGGEVANMDLYDEYSFGIGGSGVYTLRVEGDVAVQSEVTVLPIRATNKLWKCSQEGGGPGSYMEGCQSLQEFEWGRMGDLPAYSLSDPAVNAAARERVTDAGLTGSPQCVVTRETGRSDLIGKDIEGSLGAGDWYTYLYALHANPAVTYTISGVGEDGCDQAAAVITLCEQPEPTPEPSESPEPSSSADPSPEPSTTPEPEPSESPAPEPSDRSVPSPTPSTPAPPEPTPTAPATIGTPTVPSTPNAPSTPPSVSTPASTPPTNVPTRTTNVPTPHPTPLQRTGANSAAGLALAALLGGTGVAFAAWRRFRS
MVFLTTKGKRGSGRVYGDQRIKGLMKLISLQERKQLF
MSKDTKFYDILELQRDCSSEDVKKAYRKAALKYHPDKNPDNPDAAEKFKEVGMAYETLSDADKREKYD
NREVKKAYHKMALKWHPDRHPSNKELADKKFKEIQEAYDVLSDPAKRDAYDAYGEGGVK
MAEPTDPYKILQVDSEAEDEVIQAAYRRLARKYHPDLTSSPDAPARMAAINAAWERIGEPGKRSLFDLGRVAASRAAARSGQPDA
MNQNHYITLELDSLGNVTQEEIKKAYRRLSKKYHPDANPGNREAENKFRRISEAYAVLGDETKRKEYNIHLRQEKGQQKGYAERKKRTWESGKAHEGQGRKTGKRNGNPLDTTDMFEKYMGIYR
NAEYYDILQVSPAASQAQIKTAYYKQSFIYHPDKNAGREDAARPVYLYKIYLLQLSHLGC
MGFEQLAELKKRLEQEARAKRQQSQQKSGEAKRADGNGTAGKGAEGKGAPGKHGAHRPGASKDPRADQRARPAKDQHARPAKGAPDQRAAQRQPARPPVDPVVHSIGKLQKRFPTAFPKNPAPKVALKIGILEDLLAQAGELGLNEQEIRDAVSTWCRGSRYWACITDGAPRVDLNGAEVGRVTASEAAFARRRATSKPKAAKPAAGVGAGAGVAASGAPAGAATASAAGAAAPAAGEASAPAAEVVPPGDAQHAAATPGAEATPAAPAAAETAAAETADQPSSDAPLSADAPASGTSTAGE
MIDEKVLKLNNYLKNHEFNRTEVAKLLDITERQLSRLLKKWEASGYIKHSTGIGRGNRSMVQMQSNIEQLFINQVLNEIKTLSLEEVEDLMQLPLSAFSKKIILTYVEDGIYKHAKTVQDDQPNVLVDYLYRIPKDFDPLQGVDVAASVIIQNIMDRLYEYNDKQEITSRIVAYDVWEEDGYKILINRNHYFSNGQLLNAQDVVTCLNRLFEHPLYKHLYENVIKAEALTTFSLKIYTKRKMANIQLLLMDSAASIYKCIDDVYYGTGPFFVHKVEDEMIVLRRNEALLTNPAELSQLYIVSDREMYMEFFKEHNMIKGTDKNISFVNNILFNPNTTLSLNDRRKLCLLIIEYFEKRFANNTELFIRLFDDIKDYLSQFNLENYRIEKTIKLLYVNYINPELKNIYEHLIFSGLDIERVNVSFDEYTKTNLNEFDVDFIFMIENIDINHYFFNMLQNSKLKDWYTDNPESRILMTLFNEKHNAYWHYAEEKLGRYLIEEMLFLPLILGYRKYYLPQQFKSNCAGANQFFDYSKVFVV
MEGLRRNRIRAGGGHRTHLERVVVVAHAAHPASSRYVRSKPLDQPTPSAYCPPCYVILKNPYGFMGGSRMKGMDEYRVTGVVWRIVATLWVLAGLAALCWVTFSIGWGAYLDAFPDDDGESYVMLAAAILTLPLPLGALVHGHRARTWVADDGLRTRRLGRVRFLPWQEIAKVDIGRHRYGNDGPYHNLYIQVRLRNGRRRKLPALATEPRMYAVHADMVARWKAATDAATAAATDAANHTDAGAPHGTQPRMVQLTK
MRSKSQQFVFYTQNLTYMADANGFASFPNLEVRGTLFGLCEFFPTPFSLLLEINSMNEVYVTGTIDRIIFE
MRFILLVLLTGCLTGAAVLPQEEGNVDVVFCDRVNCENVLREYIEHSSRLSCAQYHVEEGLAGLIMQKGALVVEGDHPVTGASVEKGSALMHNKFCVFDGRRVWTGSWNPSQGMSIPNNVVVIESKALAAAYQTEFDELAGGTFHGGKKGSAQVLFNGNLTEAYFCPEDACKKRVLGILESAEESIEFMAYSFTDDSIGKLIEKRAKDGVRVRGIFDPRKDNVYSEYERLKEWSRVAKVHHKVFIIDGKAAITGSYNPTRNGDERNDENILVLRESNVVKAFEGEFERLWLT
MTALTLTGLTANLVSPMSWTHHLVFLPVAVLVLADLAARRRDVVPALAAVTVYALTVVSPIWLVPDDSGGVRALILRNAFTLMLVALVTLLPWRTAEVSAPRTLTPVG
MSAHEMKQRMEEILAARKEAIGPLLEVQGQRADLLKQLALLDKPYSEAYATAEAGGWSLDELAALGAEEPAKRPKPRSRARRASTAKGTEPTASSPAAVPAQDSTGSAAPLGADAQPG
LVIPNTVTEIKQYAFEGCSGLTSITIPNSVTSIGESAFGGCSGLTSVTIPNSVTSIGNYAFAGCSGLTIVNFNATNCTTMGSSDYPVFDVCTALATLNIGDNVTKIPNYAFYRCSGLTSVYYTGDVSGWCGILFQGGGQPFILRPQSVHKQYSRH
MILPIIAYGHTILRTPCADVPADFPGLRELVENMWQTLAAARGVGLAAPQVHQPLRLFIVESQSTFDHLGPEERARLFPAGAGIREVFINPKITPVSRLSPLQRKLLAGKLEKVRKGWYRTAYPMK
MVLGRPIVWLAEDRERLAQFRRGETTTLARVFEHYAIDVAKALASGMTVVSQGQALRLKGTISSFDLDDMVHETFIAAFADKARQSYDGLRPYKPYVLAIARNVLLQSYRQDNRRQHLVDAWEQDHAAEMGPDPEESLAERQLKQLYVDFVSSLHEIDRVIMRLRFEEQVPRRRVSEETGLTAMQVRTREQKLKESFLKRMEGSGYLDTQQLAVLCLAVVLWGAELS
MIFPNLDNLAVELVIEILRGVDIQTITSIALTSSRLYHIVKSERKIWMDASDVLDLPLETGETLATTPVQSILALSMRAILIRNRLRNAKSIPRHYRKMHDKQTNFAQKLLPGGEWMISTQGDTSNLWLISLNNGDENSNSALLFVAPTSGVIKCYAFEALGKGQIHLAVGFTTYMTEGDVDYIALMHLQPTLRNPAKPARAEGKPLVTDIKYHLLPASPLSISLRKPLVLVHTSSENHNNFHGILFDDETEAGVLLEAEHPEIEHLLEPGAQRVDPPLFICLRLTNCFRAQCGTGKTLAYIQLCKSLYSDVPMTSIRSFFPPQYETSYCWRISQTFIIPTKLHPKLAFQTHSDRSKSFGLLIFILKINSPVKPSAELFLSPPATY
MVRRASIEVNVIRVWPQNFEVQGYDDSMRILGWRGSNLTFLREHGFRSSLQRFFFLIALSASALLLNFISAPDLAAQSTSDIGVAEEEGRIIYDREYFSQFNVISAEDLLRRIPGVQDLMNFREYGERGFGSTGAQILINGQRLSGKSNDIQSALERIQARQVYRIEVIRGNVPGLDVRSEGRVVNVVLEETEDTAYGSWEGRVSNYAAGVWRAGGRASYSGVLGDLEYIIGLEASPWANDRPRSDIFFLPGKPPFSGQRIEGLFSGLHLTGTANLSYTFKNGDSLNLNGSFKDNSRKSYDRSDLFNLSSSGLETPTLSTFNKFATPSTDWEIGGDYAHQLMSGDTLKLLFLISSDDENADRKFSSAAPGAAFAIKRRQILAPKESEKI
ESTNESDSYQETVTSSSGNTEVVISTPKSVDTLNEKMITVARYAIKYPNSNTRYNDDLVISYDPDTKTTYALRVDRNTNAIKQRVKMSKSDQQIGFGKNALFRFNSAGYELNGDTTVNSATMQVIERYGEAVSINGWRANYKKEKLPANIQLSVNFVDEMGNKIIDSDTFSLFQGQKYSIISDPKELSGYKFIEHVGNKTGDVLTGDNLSLNYVYRIISVEINQPTEGDQVVTGTGTQGDDIKLTDKDGNVIGEGKVGEG
MGNYSENWMCCGEMPNCIQCCLPLHAKVRFYHSFLCNVNGIWCLQRQSYRSKDLLSRKTMYSMPSIYTLLGITVCMKRQPVIQHLSEVT
MGIGVAVAVPVGVGVAVGVADDVGAAVGVAVAVAVAVGVALGVVEALADGATISTPPRARLEAARTVRRERAERAREEGAGTVVSFIERWVVGRDAPSSPPGVPLDF
DAAYNLALSDRRAESVALALTEYFAVPPENLVVQGYGERFLRVQTEGSEQANRRATVRRITPLLNQVASR
MSVPMPGRSLSAKDTALRDTPAARATSAMVMRPGRGVTGVAPWAGGAPSVAGAPGVGGVPSVGGAPGGA
MFVNNTLLFLFIGIIGSSWLIDERSGVASALIAKSRRTYGRPTSSFGRGYTRPSSRPASQNRWMPNPTRRNAWLPKPGVQNKWPMPKPNFGVNNPGLPKPGVQNKWLMPKANFGVNNPGLPKPGVQNKWSMPKANFGVNNPGLPKPGVQNKWSMPKANFGVNNPGLPKPGVQNKWSMPKANFGVNNPGLPKPGVQNKWPMPKPNFGVNNPRLPTPGVQNGLLSPHSKVFNTQPGLNEYENVGNPHSQTSANSLVDSVLAGFQSMMNNPMNYNSNRQPAGIYDGTYSQPPGTYDGTYSQPPGTYDGTYSQPPGTYDGTYSQPTGTYDGTYSQPSGTYDGTYSQPPGTYDGTYSQPTGTYDGTYSQPSGTYDGTYSQPPGTYDSTYSQPTRTYDSTYSQPTGTYDRTYSQPAESYGGTFPQSSVPNDGVSLQSAGTSNETDDSTYLQPAGTYDSTYSQPAESYGGTFPQSSVPNDGVSPQSAGTSNETDDSTYSQPAGTYDGTSSQPPGTYDSTYSQPAESYGGTFPQSSVPNDGVSPQSAGTSNETDDSTYSQPAGTYGGTYSQPAESYGGTFPQSSVPNDGVSPQSAGTSNETDDSTYSQPAGTYDGTSSQPPGTYDSTYSQPAESYGGTFPQSSVPNDGVSPQSAGTSNETDDSTYSQPAGTYDDISSQADDTYNGFDSESSELSSDDYPQPARMDNTSEQSLLLNGNSDGPNPEPNENINVENNLISQYDNSESSVNQHSTYYNACVELLGRDLCVGLDSANSS
MATISPTEKGKSRSKCRKWRIFVSCGTDEYGRRIQRSKRVRDMTYSEAKEEAVRFECECLGISKRDTTFADYARNYLERRRPLLKESTYDNRRSVVATLVKIFGESVKMSGMTSELIESKMNELLVKGVRANTGHKPCKASYVASLYTYLSSIMMDAVRAGVITRSPLDGIKRPSGKIEKREAPSMKQLADLVDEMDVSNAHEMAIVLQCLLGVRRGEALALRWQDIDFDESIIHIRHNLAMNCVLTSPKTESSRRDLPMPATLAEKLRERQKVVRKGLRRAVRGGMLDEMPPLGDVFVCCDEMGRPVGPGSQTCWWANHRDRFGMEGYTEHDLRHGYLTALARSGVHPSVAQALAGHQTSAITMEIYTSVDMSAKASGAEVFDGALGELLSGEE
MDENDENVNRQVENNRRRNARNRLRRDEINNQQNARYAARQAANNLNQNEDNVIRQVENNRRRNARNRLRRDEINHLQNIRYAARQAANNLNQNEDNVIRQVDNNRRRNARNRLRRDEINNQQNARYAGRHERMHCIARSNTIPDYNYLREMNHICQHCGAKKFPDETHFLCCHNGKVVLSQLSPFPQDLQDLFTGSYVDRNANVNFLKYIRNYNACLSFASFTANVVQPMNHGPPCFRICGQVFHRVGNLRPDQDIPPTYCQLYIYDPLAAINFRMRQPGNDLCLNDSMFRLQTIISEENPFALAFKNMAEVEDEKIRQAAIEGRSVSVVKMSLLEGQDRRRYNLPSHNEVAVVFVGEDMFMLHVQELEHLIVYFLKLINIPFKV
MNGCRQDALTINMAREQAATPRRNTGEKGERGKAKGSTNTRKKQIAGGKSSATALALAGNSTPALTHKHTPSRCRQSPDEKIRPSTQRRHTLPADIHRGEEQEATQRHRLQFNPTANGIRPQPAANKHNAHRASNHPKSHTRKRFLIHPAMTRCLTPCGHTERTVSPIEIIRRPSLKNASVSDGPSNTIEENGQCSNTVTPPATMSPSYRGNSPITPRMRTQSTYHIKITQFFSPETKQPHSLPNFFGHR
MAACNASCGNGTGFSTAYWNVDVEAQHNGMPCEAAQGTLRFINCINRNPCPVDCRGDWQVAAACNASCGNGTGFSTDHFIITVASQHGGAPCGHTHGQPRFLGCINSDYCPVNCRGAWQPAAACNASCGGGSGFSTERFVVATAAAYNGSHCAAGEGDVQLVNCINPAPCPTCNNTELGSASASPYACPRGYLFNIANSSSQAVGDSACCTPYPLAVPALLPELPAAGKAFVGGNLSIVSSAILPYTNSSSSDSQALCAPRTSQLNGTLAFASFGLSQPWFGNFSTSRLMAWQYRPDLQQFTTQVTLDGVFEVLSITTGESTMVNASGFVNLLSSTYPSPGFTGQYQLAGDLTLTPNPFNDSSATAAGNTRRRLVEADTRSVVAPVQGAVVVREQRIIGTTSANASTSVAYVDPDGSVVVSGEVPEALRAPWLGMPCTAKTCHGAYPYDNDARVSPAWQPWKNSFNVDAFLGGLIGGVAFLAFVVIGLVLLIRQRKRSKYSQHSV
MSKSRLPISILEHNVVYEFERRAQSNAHDLELAVASRKVVPTLELILDKVPPKVPHANVKTREITIYESHLAYLWAFVYSSFVLYEEGIQKPMLAGTFSGSLEFNNSLLQRAAALQSWAIKFVRCYSDWSIDELPNPAKVESEAEQFYVPKVNSLFLQAVNFLLFHEYGHLVLGHVVNEDKDWTLDQEKDADNYATTFFIEAGTNESERRFVGVSIVLLLVSCVFIPEKISGLWQVKHPHLHDRIRNGISSLNLEEEESKFYIYYLASIALQKYLLEKGVDCAQLEIETAEELFFEYLARIDEFRESGI
MQTDQIFFDFRKQAAQATKNTFSYSSDGDDDEISEPDRVDIPEELEDEEDNEDCERSEYNEDDENNTGDEDFYEDEN
MDEYKNSKWAHNIIELQKDDGSWGYFHTLSNPSRQNHITTEQALRRLEILGYTINDKPIMKAVSYMQDCLAGKKEIPDRREKLHDWDIFTSLMLSTWIRRFTKDDHRANEVAAKWAEIISYAFSKGEYEHQLYVDAYKRVLRLPPKGGRLLDFTNDCPTKS
MQDKPPKLLLIEEIRQELLRVRADAAGHFPHVRSRSEMLMVEHVLNMCFYFGQQLQNVRQLERESTTTRLASKYDHFVEDQLPPGLYLMFNALIAGWTTFDPAVQHSVDFIFPFPTDDIPDNISGRTSQDSIH
MEETVIHALTDCPKAKEVWMVLGQAKVDIIFFSQDVVTWLDLNLVDNSSFHSIHWNQLFGITCWLLWSWRNKLVFDDDFVWLLRPDIIVWQYVREMQSSKLAFGPMQARGLRHWLHIGWEPPPVGWIKINFDGAVKGNPGWATVPGLARDSEGK
MKNRNPKGNGNGEGSTMDGPKPMTMKKKKNMNRLGGSGTGLSLQAFANAKSTSNHYNPALISMYFVVFLSIFYYWVLYFYLLVYSQPLLFPEKKKEFYKNAKYVNKYKKSLKQQNQGKDSLPSAQRTIEDQNEDINGSRANNMNKKKKKNGSESLRQVYEKQWEEKEKVRMEREAAMQAKKEQREKAEAQRKVERKEMFKKTRHGQPVMKYRIQHLLQSIQGSSSASS
MKLPSTLQLTFKNISTYTLLAQRAIDNPFSFKRELLQLVIFPATLIMVLSILSGAVIGSSSRTIESTDVPAGQIEATLHRESGTNSIVISGPLRVEDGLLTFSFSGSELFVAAPSELTLTEDTLQLESGIALIRTAERIRVALPEQQLELATGQVIIVNADDSSFTILAGGQQFGESSELLAGETVSFAIDNPEITAFDRQLFSRESAYKSLAELLSTFDMLPQELSDLKPPPIADVIPGDNATINEIKVKIEGRTEPEATVTINQTSVSVAEDGSFSKVFELKEGTNTFNILARDSAGNTTTVKRTYTRSATQQENNNGTPAGGSETGSTPATPAPAQAQSCSGNFTQTLLCLINNHRQQNGKGTLMLHGAMSQAAQDHSDWMSANATLSHTGEGGSSPWDRCADAGTTCDAENVAQNSNPTAQNIFNQWKNSSGHNENMLGSHTRIGIGISDGYATAVFQ
MPPSTQRRPRRRTGSTPPHDEAADSSSTRSRPKRRKVSPTLGTAMTDAPLEQVNAVIAALQLPAEPPLPVAAIEWANEKNFALNTQGITAYAKLAGKDWTYYIKDLTVRIGRPPDRAATAPTDGTPTPPRPEEVHIDLGPSKLVSRQHAVISYDTNGNHNWQLHVLGRNGVKIDDINHRKDTSAILRSGSVIEIGGCQMMFVLPNKPTMIAATFMEQAQMQPYYIEEEPPLIVSPAKSSNHNEIGTKKVAPSGSTARGEKTITGDIGADEPNIKAPITTKSQGIIELGEEIDYSQDAHKDTKPPYSYALLIAQAILSSESEQLTLNSIYQFITEKYAFYRHSNTGWQNSIRHNLSLNKAFRKIPRRTDEPGKGMKWELLPEHRDEYIKKLRRPSKDGRTRSPQTSPGNIKPLIPHDVALSGSGSGAGAGAGAIGAITAAGAGGMGGIGSSGALGAGLDLNISGGSVNTGTLSIGFGGSGSGTYTASGSSHPNHTPHASQNLTPTPDLRPTSSRSATPPSTSHLRGGAFTPDYKSLHPHSSLAPSGLGISPGPGPGQGGLSSTDDLHLPVSSSVTPAAQKQHPRLAPPQSASQLPSSYLPTSSPAPFWKYVQGFSSPSKEGSSPPGTPGTGRQGQGAIGQEHKFGVPGVREMQSPTKSRGVPRLMPNEPEEEDEDMEEDLGYLGDFQGIDLRRKVWPSIN
MPPSATRRSSQRARKTRDPSPGPATELSSPSRPSKRRRKVQLDPPSEPADDEDSTLTVDANPNSDEALISKVTQCLASIPTQASRDHANSLQDPSGGDISAYAKIAAQEWTYFVKHLVVNIGRTTEIAHGQSIPTDPNAKDFVHIDLGPTKVFSRQTAMIYFDPDADPESDHGSWFLKVKGRNGLKVNGETLKREDSPYLLSSGDVIEVGGIEMMFVLPANLGPLRVHEIYTSRIGHGPPTISTKPQKSSPPPEVRSALPLPVPEAISSKTTKSTAATSGATGAGPHQLIAPAPHDYRRPGTPPSAARGRTNASSNHRSPAYGSSGTLLMNNNDLDLSLDENKHIKPQYSYAQMITQAIISTEDHKLNLNGIYRYIMDNFAYYRHAQAGGWQNSIRHNLSLNKSFAKMPRSTDEPGKGMKWEIVPEQKEEMINAAYKTGRGGHRGSSAPSSPSQPGPLNYVNHGPREMAGRAPGSARKRKLSPIASPPPPSSVNPTQTPDQRSRYDADGPANFQDGSPLPRPRKPLTASGSFGTADGVPRSPPTLSSSYMQDESAPFVTPAPQKLHPRLAPPSTAQRPSQHMPTSSPAPFWKYADIGSTPLRALPFDPSPSKPALPPLPGSSSPPAPVNGSRSPIASPSRSTVRSETPHVKAEPALAVQDDDDDDEDDDEEAGFDLTKGFQSIGSYHAPIGRGLGVPRANGR
MAPANVNLSHPVVHGDSLWFAAYEFGWGYRAFEIHGDTVQAKLGAADTSPRQLMLAFELGRPRITKAVMPMTFEYRGERIVLHATDLNAERLRRAVLPSGIAEGTHAAIVIEES
MLTVTECFDETRVKKRKVEELVLSIESENPFIFPLSFFTCDSLVVLDLKYNSILCLPNTISFPRIKILRLTNIEFVNENLTGELFSNCPILEGLCLFDCDFVDDFKVLCITSGTLKHLSIINCCLFHQTLKVFTPNLLTIKYAAELPADFVLGRFLSLVEADIQISNNRAQPRLYAPIIKLYQRLFNVKLLKTSGSSFQCLTAANILLANLSTFCNLVCLEVSSAFCSWMGPHASFVFVYEDIFVVSPTLA
MIGRAEDRISNLPDSLVHHILSFLETNDVARTSVLSHRWNHIWTSIPNLEFEWDGWSKPRYLTDKFIDFVDGTLHRRCLSDNSIRKFHLTTSEHLDESLLHSWISSVVSRNVKDFSLFLCANDSSCIPLSFFTCASLTSFHLTVYPILDFPNYISFPRLKHLRLENIQLTNQCWSEKVFPNSPDLEELNLENCRFCDPSFCISVPTLKILRIDGTHGLDECALKIHAPNLVTLYYTGYVAKEYVLSSFLMLEEAVVHLSAPGPREEETGQVEAVRQFFRALTHVKCLTVKNPPLQAKYLPRNSTAYHNIKQLKITQEVNSDVAVIALLKVTPNLESLVIEKKEPFRGIGHVYEKKDDDNEDDGLTLDMLDTGCLFLHLKSVCFMPIIWGPKEISFLKVILRNARALQSLTVYHDNSRRPLKVAEQELMVEIQNFPRASESCTFKISIGSQ
MSIAPEFPGGGGLPAVPRLFGPRRHDVARIVTALGAIPVGGPRIAVITGPGGCGTTTLAVHVVQRLALDHALRPLYADLHTLPPGGEAGVEDVLRRLLRILCPRRRPGSGHVQDVWRSVTEQGPVCLLLDGAVRSETVRALMPSGQGHHVVVTSRASLDGLAAEGAYRSCPSPLAAATARAYLKSGLGRDLLPGEKEIVAGCGGMPLALSLTAARLASPADGPSAPAVRPRPSALPAKGRRGPGAGPSLRERAQHAVTTAIGRTYAALPDGAAVLYRRVGVLPAACLDTDLAAAAGAVAPGTAARYLGVLEKARLVEQVPQSTKSPVRGPVYRCPATIREDAVRRARAAGEDEDGVLHRALAAVRDTACAAAWLLDPAHHLLLRNHRCTPSSPSSPARFTSADEALSWLAGRRDTVEGLVRAALGARQDALLPVLVHGLWPLLDAAGDHSLLYDALPAGIDAARRLGDPVAEQTLLVTLGICLSGTNQHLRADTALTAAADLALAQDDLRAQARITFQRGVNAVTCGRHALRSQAAGHFTRASDLHRVLLTQPGLTPVEHSAVRREAALTHMRLARIHRDAGRTNTAIALLNLARDELFGLGDRFEATRALLHLAASYARHGEPRHALLLGSAAVTGFDELGAAHWQGLSRELLARISLLAGGTGIRDAETLYRTALRHYKRCEVPDRRNIHRVERAIGALAALPSPRAGNPAAPGCGVTPRTAAETEAVIRARLADDTYPRGTWLPSEQRLAEELAVSTHCVKAALARLRAEGLLAAPRSRGTYVVDSAAPDTVPARPHR
MSPEPRPTAVVLDVNETLSDTTPLAERLAAVGAGPHVAARFLPSVLRDGFARTLRAAPLPFADVAADVLRTDLGTAALDRPLEEAVEFVLAAFGELRTHPDVPAGLRRFHEHGVVTVAFTNGSAASCEALLTGSGVRGDVRHVLSVDDVGRWKPHAAAYGHVLDLLARDPGEVLFVAVHPWDLDGAAAAGMRTAWLDRDGAPFPRHATPPDLTAPGLDALAELVLGGR
MEFEPRAIKALVFDIFGTTFDWWTGVTDQLGVLIEKHGLSVDPVVVTDLWRADFFDALDAVRRGRREFALLDVLHAEGLDRVLAKIGGAVELSPDVRDAFVKTWHRLPAWPDVQDGLTRLREHYTVVALSNGGFAQTTALIKNAGLPFDCVLSAQIPRQYKPEPAVYRTAVDLLDRQPHELLMVAAHGWDLDGARAIGMRTAYVRRSREKGPHKQPEDPNSVVCDLLVNGFDELGDVLISRQGSV
MRSFLEANDESDDARLYERFRRGIGRLQSADLSLKAFGFEAALGIGGASEGAEATRQLDVLEAGVQAGFADLGCARLHPPLLLLVDQLEQVRTVEPESHALVTGLLLAAKHAMSFYDNAVRTALFIRADIYDTLNFGDGDKFHSDEIRISWTREALEDLALHRARASLGAPLTRRELWEELFPVSVKGEPTSAYIFRHSLPRPRDTIQFLNVCRDIADEAGNFTITEHDVLAATARFSSWKLQDLAKEYLISHPFLRSLFVLFENAGHVVMRAALEDRFEACRRSLHLVFSDYTESLTTQGVIDVLYGVGFIGVKRGNDTVYAGGSAMPPLPDEDEFHVHPCFRTALNYLGAMELPTYLPGRARNPVVGHSSLAAAITAADVGLTVNRNVRLLNEVTAAGERLLRQLTRSGLPDNMREEVYVEIARVVAASEAARSEQPDGGRPDVPDHVLAAAVYFDALATRLAAHGFRDRTVTRGLEDESRALIRSVGGSLGGSGGGGSDSG
MQSDYAAYDRFTTMDPLSEKKPWLSPYLYCSNNPINRIDPYGRDDYRLDRDGNMHLVKETEASNHTIYATNTKGNINTKSSINVDKYVISGKETVTGVQGRRSDGSIETSTIDI
MLEYAPGTTEFERRAEELDEKLKAHPMNSKVTTVTVKYQEIIGRHPNGGGIPIYASNTPDNPKPNSDDKPLGTTRGDGSFRVVFKDTPPPYLYFYGDKKSYKIDSTTTEIVF
METYKNSANNFTKVLLVVYAGILCWILLFKLGVHFSYMNERSVNLVPFYDIVNNNGEGGMAEMLLNVLIFLPPGIYIGLLYTQWTYGKKVLLLFLTSLAFECIQFVCKTGAFDVTDIVTNTTGAAIGLYIFKAIEKLFNNSMRAQQCINILAAAGTIVLLILLTLLKMGMLPVRYQ
MKKRFEKHGYVREFNEYYELNISPIHVHKSKEEHKQAIFVLSSALAVVAEEQSGVYAAEKTSRQQRNIEKDGFEYREIDDIFDDRHSQKNNVVHRNDNKSSNKSSNKNDNTDDRRENGNIKNGRNKSEVGPEKQW
MGFTVVTAGSSGDAGASTPAVAHGPPATTPTCRSRRSGPSRRPSRPGPPAAAAPEPGADDDCPEHAGVPEHSLSFRCNSGLRPSGGPRSSPSPLPAAARSGAPAGAGRPHFGARPWTT
MSLHERNPALAPILDVENDIVKPAGRLRATLGQPQLQTAFAGGAFGSSIKTAMQVLAASDTLKIAGAGRAPLEQPLSGQGVAVIRLTLNGFDTHQNQPGQQAELL
MFCNLALTFTGVIFFASLLNLGLCSKPWDLLIFSQNWPTSVCDKWMQGRGNSCRQYPNNIWTVHGIWPTKLGTVGPGYCDNNNPFDSNVVRSIENEMDYYWTSIHIQGSKTGFWSHEWNKHGTCGTSIPQLSNQAKYFKQGLDWAKKYNMVAILGAAGIVPGQEYTVQNINNGIKQVIKKNPQIVCYTNSKRQTSLLEVRLCFDKSLSLVDCDGTAVGKGSGNLLTNCRSNRVLYPRAN
MMENIYCFCAILLVTFSHLGRCVPVQNGDNSFDYLLFDQHWPITECLHWKGLNDSNYCSLPKEPNAFNVHGLWPTKTGTTGPHSCSSQPKFDRKALDPILTRLKQRWMNVHGGTDDYSFWAHEWNKHGTCAKVLEPFNTEVKYFNSTLDLNSFYDIYGALTDYGITPGDQFYAIDDILTAIMRKFGKTPQIYCIFSGKQAQLHSVKLCFDKNINLIDCSSVGVKARNCEDRKTLRYLRKLPGGKW
MVVVSIWIVLFAVFICGRSSHGDDRLAKKKPSAALKAKPSGRDGTARSSWTVADRTSMNTAAYMGGAAAAGACGCSAGHGGGGGSGGCGGGGGC
MRGYSLSFILRIYKLSKSSLMRWNKNFDGTKESLKDEYRRHLEV
MTRDELAARARAVLAASRDPVLVVGPDSVLVVGPDSVLAAGPGPALAVRTDPVVRYRLLRDILTSDIPPTALDNAARTAWQSPQVLELAKAQHPDGGWGRFHSQDVRAKAPFQPRSTPSSARWPSASISVIRSSRGQPSISWRSCRTAEPGPTRPRRMTAGRPA
MSSLTPSQATKVLEDILMLLTSPPTQAPAGPLSTDITLHHHHHLYQSSTTIPSLNRLVDSILVLDNIDTLPFVEVSLTRIYNILFAALQQSSHSPEPQLNTSLMIVDMLISAGHIALYQTRNHLIGTPSALGTGSSMVFQQLVCRVLEPMPRLVHMSYSSQYFTQEMKARLLSNCELVLSSIMVSTLKAVEQYDGASGEHLSHSILACARVFDETLLQLHTSIMSEMTVDHVSLISGAFALLRLMDHFSTVPEMQQAKSLMMPVLCSSDILEWTILSIQTCPESNQVQMLHGFLEQVVKFLDLFVESQDDISTIRGDRMPPITYCTGLNGKPPDHIESALADPEPLVRHRIMEGLNATLGSADSIAHSVLGRHIESIQLWLWKTAKMQHPSRSSDLLLSNLLLDWVTRRAPDKTLNETQQFGGPELNAISMHIVCFPEALKALKTLFTDCLATVTGQGTTKKENALPTFQLVCSTVERCSNSLQEPLPDVNPLLAEKGSVVESHRQFLGRILSDCLDTLAKGSSTTEEATHKLFRGIHTLRAKTLDLVDVPEKLYNTARLLVAISTLEVMGNSTQTMFEEQPLMASTLWECIQEIMDKFTQHGSDLSTSDPREHPHGFGLKFLVIGMRLILVGHLRTGSSANWFGLDDMALMSYYAIELCKRTRSCEVAQGVEYAISAKLSLSVLRQVAKRIQDYATNRTGGDGADRELWDKVGVLVECMLDLIWQDLVVTVKNPPCNSDESRVVWKVLGVLRAIMNDLTTLVSQAPATNNAVHSTRPPEVPLDLFLWVHSKLVHPGNGILLDGLMHRWMEEAMRLKKIEEEMMRHSSYVHPLVLRSGWKELDQAGFDILSIARMILLMTSHDPRSMPSDSSMPVVAQWLQEFLQDPVVSSFYSLHQSLGRNSS
SGTITAQFKQKEELVINISIVQRVKATGEKVVAPITAIYDNGNGDKGYFNKDNNDNLVATVKESGTVSLSASDSGQYRFLGFYYMGTIPSSFVVRDYIKSSYATIYAYYEKIN
MPVPKDEFDRLPPCDFYTPAELLEADQMYTIYEIARLLQGLEPDADIDRETEDILLDWAIPWVMTSADDLVVAEPRSDDEPGYYGLKTDE
MSGQPASYNPGTTYTLQISVSGNGNGGFSLDANKGTLSTGGVGIMAVKVNSAGNSATHTTNSYR
MSVSNFKIFLAEPDKRQEIRDQINDLYREISIRIDLDKKENLPNIINSRNGEDFSKSYVPRLFTPIKLNSPDQPPLIENFGTRVELTANQKRQSNEYHLSDNIGNQIQLGSHITSKAVNKFLEKENTIVPAALQRCNNSIVNRRSGIFGVKEVNAREELLNVMHPSDRSYASKAMDIYGFDTIMNSYSKNWKNRQKSLNAIIEKLETVNDMKKSSIYLEYSMPIICNGLRDHLLAIYGSALNTLIFIINEFIPRWKLHKYYANYIAAKTSDILISRASSTINIATCYLSRFLAPFISSKFSRTDTGKADIVWNAVNFFNAPNVKIGLTEKNICKFATSCLKHRDAKIRERGKNLLIHIYSLAENKEYIRSQLPLLAKANYDRNPLLRNIMKEFARIDSNSLSAKTRGRSQSCAAVPAVNKTTGLSKIDNNKRPRTVTMVEDENMITKINNKETIDKMCMYCGEISNEFTPDALKMHFTSKCYMLCKCELCDAILEINTLKEHRQQHCKLKDQFKQCSRCEEPIHQKEYSRHLSLKQCRVAKPEEVAGRCLLCHMDVIPNNDIGWKIHLKDKCSKNTRRKSTKSQRYKFADNIPTVITR
MMPWTTASRNTPPARSPPPFPAIDEFHNLRQQQQNLQKEEAALFVSDQRTLYLVNIFIMDTTRFLNHFSALCEEKLVNVHRRMLRLDSSLTLLEEQLRSSYRVEETEGHCVGNMGFKRGGNDGHTMLVISGFWNDMLLELRKLKKADGMCVNGYPITQQRTPTLSRVWVKMIYVINGLYMELQQYLTCLRNPMCSTIKQDWMDIVSSQSVPCLFPFFLFCCYFPFFFTNFIRRCT
MAELAAGAVSSLLGVIRNEALLLGGIRGDVQFIKEEIESMNSFLAHLTRTAPPGREHDEQVRTWMNQVRLLAQDCNNCLDLYLYRGNPDIHRARGVLRRYLWWAPWFLQKLVAQHRAAIQLRQLKERARDVGKRRLRYGVEVRGKSLAGQSPLAAGLTAAAAASLSAQALHLLQQVAMLLDTVVLFYILVELKQVKSQSQKQDTEEEGEEVGQDFDSWEDYYRKLDIYREKKRMLHKIKENIKEMKIYEKLDKIKSDIKDRQLVGNQQQLLQLNPEQKKGVDPLDLDVLLQLLHQSAAAVSQQDQVKNKDIHQLPVWDDTIITKIAKKLKERMEVDEKHNNLNEQMGVQLQENEETAEQGGGGEKATKEKEEGEKEDEEEEEEDEGPIRLH
MEIKVVDTVTNWEDSSSTDFEFIEKKDVVVEPKNKYEIWLEWLKYRIKNYSQLDVVLAVFMIFIVINSYKTSSDNERLFKMISNIDSRITNLENQMEMILNNTATECNLKRKNNIYSYFEDLFGEQHEEKPANPDVLKQEEPIGTVLVFRAAVGLFGSIDNTPTRTNSLYGSSLVLVDHKWPPVDRQWCTTVQYPMLTANLAKSITPTSISYQHSKWGGKVPDGAPRKYTVRGCLDVDCDKTILLTDVCEYKSTGNSQQEQLCPVISSATKTPIVKIQFKIIGNHGSQAETCINYVRVYGKLAKEKEETTRKGKQPHQLSKKEFCVVLVSFLLMVLWVCAQIIKEEKNGKRH
MLGGVGGRHVSTRRRGSNPLVRGSVGLIGYGATSGTSGGLGNTDGNATQLDAMPRYAARRRRAVTTSDHKSCALIQTRLKLGDIINMYTYYYLIVICDKDERTGKGWANGDGSGGNARDGEGEKRGERTVERKIEKESERKTGGRVVVEERGSVVERPSRCGHELRRSGERR
MMGKGKRKTEIKKVDDRKRRDVTFCKRRKGLFGKALNTSMLCNAKIAMIIFSAAGNPYTFFTQASSIDDVIDQYLSHRSFETAGSAKKDEEYTQMFSSMAKEITEVEWEDTNKGKHLNQYSCEGKKVGFWWDLVDVDGCNSLEELQLLRSSLEDLYGNISQRLFSLSSQMSTFQTVLADETHVEVPALVDDVHAELPALVDAHAELPALVDGGHAKLPSLDGDILAELFLGVDDESGDVAASDFFTDLSSCPSSSADEDAGTVFANISDERYVDGIDIDDIFDHLDDLCGSAIASDFIIGKNDFVPCVDENASMVLTTNYDGSYISETVLDNMEDFFEHVLF
MNIEYRKLIVVALPLVFIQLCQASLGLVDTLLAGQYHYIDLAAVGLGSAVWTSVFIFLVGMLYVLVPKFAELAQNNDKNESKQLYAVAIRAAVVLSIVGFLVVHLAAFLIGNFISDEGVAAISKNYLHCVAFAFPPLIFIAMLRYIGEGHKRLTLLMLISAMLLLLNFLLSLWFVFGGLGIPALGGIGCGIGTALSAYIVMFVLYAMIKRSLPEVVVTKSEWSDIRVSGAKVKGLLKDGLPIGLALVLQILALALIAFAAEGLGVKHIGAHQVMISIAMCLVMIPLAIGNASTIQLAQYVAAKNALAIRHVIASALLTLVMYCVVMVVLVTSSYAFIIRFFTSDVVILELALSSIYAFVLFLIFDSVQMMLSGILRGFQDFINPLIAVLLAYWLVIIPTLFLISRWWISIDSVATIWTVMAFGLFGTALFLLLVLNSKRKIICN
MDDDTQPDDADPSGEAHISLILKELRDFRKYSSQQLNGIKENINEINKRMEEAEERIDAAETRIHSWEEVVLGLVKLQKLSDLIE
MTPYKLHRSPAVIGMLLLAGALGGCDRRDDDRTTGQKVDAAIAQADDKVDAAKADADKALADAKKATTEAVHAAAAAVNDTTITAAVKSSLSTDVELKPADLSVETRYGRVSLRGMLPDAAARDRASQMAAAVQGVVAVDNQVTVVR
MTNFDVHNGEKGDALRKNLLVRYFAKPTSHSSKKTELNGGSAISTAGIHSTLSGGSGGGGGMGQSSSLTTHGPGGRLLSRGEMCLHEVQVYLDREGASDLVAELVMKSSLSPNVFMEAVQLGIALLEGGNPVIQRSLYTKLQSAETSAIFFKVFHDKVTESQAEIRSTMSVNTTEMASAGNNKLQDHSHEMKDHFPYNREAGGTAGSSNSGPILESDLQFNSSGRMVLGSQAYSPVQCRRFANYLFGRLRRIGLQHHRDEPRLRRNFVAGRDTRYPIRRLSLYQSGPSSPPVNPVEQIDPQPGTFRDYSMEIDLAFNEVMPSLDRGSSHSVGWRRSRFSRMTRFSFERARSFESRLSSGDSLDRFNHPVGWIVA
MNVRHLVPLLGAAVLAGCASTAQECDSTVQDPSLFTKMHCDLGGGYQQQVDQQQQSLDAARAENVAFRRVHDDLVAQQQATGKTLAEQQRQQQALNASMDSLLGQLKARHGAKAGVQRQIDDLQRQLSAAKQPVPAANPAQVQARQETLKALQKKVSLLQMSLGYE
MPGVARIGEIEYLRGFAILAVVAVHVSAFFTEVARFDTLAAVNLGVYIATHFAVPLFLFISGFVLTLRYQGTYPLSRFYKRRFMTIGPPYLLYSGVYILLPALGALYLTGTLQLPSVDTALAAFLTGTGHYHLWFFVLIAQFYLLFPLIFRGYVAVERPGAVLFLLLTLLFVQTLWNVGAHLIGAYAGTVWYSVLIRIFVSHLFWFVLGIATCRHYDTFLEIRRRLPWQAMLGVSVSVTVLLTAMWSIGIAESGSFSTVSPAYFCVYRIAEPLMYLPIFLVLFTAAQRLAPSASRSSTALRSIGEHSYGIYLIHPLVIAILLGVFAELSLGYSSWLFYPVLFVATVAITYGFVRALGALPGSGLALGVRRSDPAAAVGEKRRGSAGGSPEEL
MPLLKYLNYKSQFYLLILGFCFALYNVVLDFKKYLAVAHNVFDFSASIPHPSLTPCFWGMLMFIACLYVAYLVSFKEKLLFQKHLFYMLVGGVVFGWSNVAYLTYIYIAKLNPIKFSFYCTGVVPVNPIYTACFGGTIAFTLSFFLAWFLYRRLFLIN
QSFGGYVRDIKEWIKEAIKLGQVIGDTSKYHTEFSYTAGYDSPFRFLNRHNEIWFIAKQQ
MLISLAMALLMSQAQPGTMPVDVEAIDEAIDCAIDANGPAVVHLAGSIPNSPAELAAYGTLDDVISGCFQDSGLPDTPRTRSLFAGQAAEQLYRNLGYRFSSRTTYENLTTISLAQAIGRRTEGWPLAAAMAECIVAHSPKDVEKLMRTRAGSKSEDRAIKAITAVFPTCVDTGNQLSLDRAGLRAELARAFFRYLSLPTALLEAAVETN
MPRKFRLILAMALTAALAIGAVGFPGAAAVKFEDWGPHVDEIIMPIIKNSDARRIAFERGESIVWSGLTKPTDIDQAKSLGYADMRMTLGFHMFYLCFNMRRGPLDAQPLRQAIAHATDRDNIIRTLFKGYMLPMSSFVPQVSPFYNKTVPFYEFSLKKAAEVLDNAGYKLDATGKNRIDPKTGKPLPDMKIFTPTYEVAPTSAELGKMIADSCQKVGLPVKPEPMDFNVMLDKLDIGEFDMYCLAWGLAKNPTFLHSFFHSSMDVEAGYNRPGIRNPELDKALDELYYAADLKAAQVASDKAQLILAREMPYVPLYSRPYIDAFNKTQVTGYIDMGGFGAAHYNNPWTLLNIRRVDRFGKAIEGGTIRWSLSEEPKNLNPCTASSAYEQEVLSKVFDSLIVSHPDTLDDMPWMAEKWDVGTWDVEPGKKGTTVTWYVRKGIKWSDGMPFTAEDVKFTIDYLKDNEIPRYLDATMDIAKAELINKYTVKVYFSSVSYWHFYNAGLSFLAKHIWKDVTDWKAFQPWLEPHPTIKGYTKLVGTGPFIMKEYRPGEFVRLVKNPNYWRLKPGK
MNRSHLIKEVSPFKGDYTDKPFKNKEELEQYLVDAAKAYAYASSRLDKVSDELNYKFEERFVTNIVPIWDELQNFIVNAAEDYSHQVAADFALVKEDMRTGKLIDVSTMDELSVDQGSLSPAFDPAITAYSVNVEQAVDSIRITAKATDSQATLSANGEPYHVMVQARPTV
MIKNLHLKDTAKRVKHRRPPLQDLTDHQFQQLIAEDLLQPRQEAVAALLRKVSELSSKS
MTPTAVCGCWSVVRADFCHRLAEHRDLAEVLYGAGLPLGLMTADELREAVVKPLPWPGLWWKRELTARLVDDVLDEPGGLPMLSHILLDAVTCEHMRSCQLAEASRWRAADL
MKKYTIIFFFVTFLIGTDTFLIAPLLPTLSRYYQIPTSISGWLVSAYALGYACFALISGPFSDGRDRKKVIFWGLLAFALSTFLCGVSENFAMMIVFRLLAGVSASFVTPQVWASIPAVIKREHVVRATGYVTAGFAVSQLIGIPIGSYLAVFSWRTPFFVVSLCTLFLSVIALFLLPNLMAGQHTKQSIVSIYAGLFQNKKALWFLLAYFFFEMGIFSMTQFTGTWLSISYKLTVAGIGTAMLALGGGNLIGTLISHRITSRFGFKPVFLSELMIIAIFYIVLPLSSNLTVSIIMLALNFFVNGLIFPLLMVSMQQSAPTMRSTISAFSNAVMYLGTTIDGIVGGLLITHFPGFYGVSFFAAILTIIALIIFSSTDLLRQEKRIV
MSDRFNKCIEKCARVSGIHAWMDGNCLSGCESLLCSNKGSERTSCARLTECRINGDKVDYIANSRDGNIKFIYHASLGTVESDLPCKLNHIVKVDHDPIHRDHKCIMECTKEYSGKCIPLNKECFEDSAQQCTKWCTSGSSEWSRGEPYSIANRMEFATDNQCSEYLDYL
MSMPVSFYNKYQTYRDPNQSTNGLPLSSFYKNNTCSFSPVFRITNIPEHILVVGSDITLASISSNEVLQSKREQFSGFISSQHTFFLPFEFLLFPSVRYDSFSDVQGELSPRIGINIGILDLPMIRLRSSYGKNYRVPTFNDLYWISGGNPLLKPERSNNFDAXMNEELFEVNIEMNYFAINATDKIIWQPTGGNIWSPKNLQSVTSKGFEISAKSNILRNILSINYHYNFVRAVKTSSEYPGDDTQNRILPFTPQRTSTIIVGSSFNDVSMNVIYSFTGYRFQTADNNPRFVLPTFDKVDLNLSFNISISTILIRFKLEANNVFNTEYQLISGYPTPLRNYLFTSEIAFH
MDLNQSMEFTPSATSSPQIQNFMPITSFMLPFHQPSNEDGEEVKQSHLLVQKRSLELFWYQQMLEIHNISAFKSHHQLPLARIKRIMKSNKDVKRCDVSKAIRQEEALHFLFDVVPLINHKDDDGKFLEENEHHPVNQPQFPLLDMNAELVIRSPEAQQYMIKPPMSSH
MLRTLCLAALLALMVAPAFAQETKELKVGDKVADFTPRDWINQPTFESFSELKGDVIVLKAWGIN
MEGEKLTREKRVGLEEREREREREREREREREKREREREREREREKEREREREERERERERERERERERERERERERGERERERERERERERERERERERKREREERERERERERERERERERERERYKRGVVPCRV
MVRHAAAVSAATCGTLLIAATAAVSTVDSRGCCDDDAKTGCRWLLAAVRHMSLSAEQETQSGR
IVAHSNVVVFPTHVGGDPNKTIIDIIPETVFPTHVGVIPIEAASSAMKTSIPHACGGDPIVGAGKGKDSVYSPRMWGVILTLHWFQKITKSIPHACGG
MLRLPTCVAQVQRKRTNILMEVTKIIRARKYFHRKIQLTILSGRVSGKLFTAYQSSLSKVRPYPVQL
MDTRLRDWLDIGLGSRALNCAKASAETQDPNPEILTTNLAIDPDLRPIPKPWTATTLTSAPDPGHDLDFRPSPEPRTHPVQTMTLYLRPNPGPRPQTLAPDPNPKPGPRPQPKARVWVGLVFMVTIV
MGEHAAGVGDDGLAAGLTVAGAQDAGEPGVGAQGRGVGLLEARHGPLQGSLEEGVVPERGGELRVGSVGPGRGEVPAGVGLDVGELLAGPAVEVPDALGADAAGRGEAHAAAAEHAQAGALGGAVLEVVEPALAHLHAALLAAGEVEFGLEAGVLPAHPVEEFSGEGLEVGGHLSRAVSPM
MIGSLGRGIRFGSKSGISAGRDPVNLCLCEPSQWFGGDGHEIFAPNFQLELELRSMFSVQFCQSLVKRRRSGQFLEEQFSTIWQRKRRRPRFEKLRTMILIIAQLRNRDRQKFPYNAGDSSTTTIVSKSRIIFV
MTRCRRGERVYGLLDRSQSGWWDLFGGNHALTAQKVKELSQRASILWLDFHVMRSRSQISNCVL
MRFAYQAYAPLQYSEFAMICRPEKAFTPHPARTVHLSTLRFQIHRFMAG
MAIQKSWQTIRPPARWVEVLITILGLLGLIAALSLYQEAFPEAALELKLSREQIEQRARAYMQARGYQLDGYEFVLTFNEDSEGSYFMQRTLGVPETNRRVRVDKLPLWYWRARWFKPLQKEEFITRLAPDGTIIGMAHEILESAPGAKLSQDDARARAEKFLTEDRQWNLADWERVTASSEDRPGGRTDHHLEWKRRDFVAGEGELRVLVDVQGDEIGWYKYWVKAPESFTRKMQEERSRAGFIGSLSYLIGFLGIGAVTFGAFLTAMQRGIQFDRVGKALMIAVGALGLLTSLNFAPLARAGYTTTEDYFIFWAWLAIGAVMLMIYSASIVGVLWIGGRQIARRVWRYQDKVLPRGADRWIILARSSWRGIMLGGMSGGYVVLFYLIATRVFGAWLPLDSPSTDYYASPAPFLAPLYVGLIPATTEEMTFRLAGIPLVLLATRSRILALLIPGALWANFKIESLEWGAVIADEANVTVCLRADDQVIGVARATIDADNIARVETLFVAPEWRRRYWATALLDELAEDLKARGMKEAQGVARVGDKIAGGFWASADWVIGALTFAVNLQPAPPKTWREKIAARFATLQRMFRMP
MKASKQEQDNAMKNIKNKRNKGISIPIEKRWRKDEEIYEQLRNEHPKLFALRNNVAIKVSDEQIKAILKQ
MLKALPMAFSMSCPFARSVLVVHLSSTLQTKRIQEATKAGKLAEFTADFHKALNKLEKDVGFSKYKNSEIRFRWCKIGIRAEWKPVFEDAKDFATEQGRMKFVRPLYRLLFASKLGKRMALETFQAKRQIYHSIAAKMVARDLGLA
MLCLIIFILIIGRLFYELVYEYLMIKSPIPSVAFWQDNRTYQTGRTRPSPIITIDDVNLTNFTLAIAACCRNVEKHLVGFQKNIRAIGALFRSYRLYLEESDSNDGTVKFIKAWVKNDSDHVQFYTAGQQRWRHYFREYFLLIPSGANQTVLIDK
MASWATRTPAIRDLLALSTAEMGIVLFGLSIGSMSGILCSAWLVNRFGTRKVIRATMSCAVVGMLVLSAALWFTSAVLFAIGLAIFGASFGSAEVAINVEGAAVEREMNKTVLPMMHGFYSFGTLVGAGIGMAVTGFGLPAAPHILLAALVAVAPIAIAIRAIPEGTGKMRRKRRAMKAKACRYGAMPSCC
MEQLTLSRRRALGLIASGLGTIVAASCGVPAPTTPATSVAGSSPSKPAPAAASATPQPKTGGTLRYGSDVDVNRLDPHFRLGDVYYTVYDRLTQYDINHNVQPMLAESWDVSSDFTTIKFNLRKGVQFHNGTELDATAIKFNSERARDLPNTQLDEAKWWTSIETPDKYTVIFKSDKPRPLAFDYFAFLNTAEPTSASDPTKAVGTGPFKFVEWKQKDSLTLTKNTSYWQSGKPYLDGIVMSVITDPQAMVSRFEGGSLDVAINYPVTDFVRLRDDAGYTQYTFSAGNFSCLGMNCQVAPWDNKKAREALLYAVDRVRWATTVQKGLEDPSTLPWPKTSPAYEEAKSNAFQFDLEKAAAMLKAAGVTGPITGDMIMQNSSAELTAFAQILQNDFAKLGVTLTLKPQDQASYLDVVNNWRYQGFWLGGGSFAQLDPATAFTKSRALSVTGNSSAFSAPVNAAAVELVGRATTEPDSTKRKQLYSDLNDMLLDEVYIICMSPAANRLITTSKVHGVAPTLHSAQRWWETWLA
MAPPPTTKPAFDSDRKKTTFHSLPYELRELIWLATLTPRLIYLHPHKRHILTDYDPAVRCTPESRHRVLSVRFNHSVHIPGEIPATKFKAYSSFAIPDPNKIWEEGQKPSQEEQAKFMVMRPLKYENAKPPPALYVCSESREVAIRKGYVLAFKGSDRHLEGEDKEYWERNFLGDKGVWVDFERDMIMLDVSLEPDPHPRCESLRPLRLLKSYARRDTKRVKRLALGGHIGTALKAMKGKRIVTMSSAIDRQGWLRSLGLKSLEEVWVDDDFKGRILMRSPEEVEKRIVNDMKSGMSYHFTDQAALDVVLPKVKVVRGAQWDQYF
MRGQREGDSPQSRDKGPALHRQGACWLRSGIGPALQGSGAPPNPGQWRQGSSVEGAGGPNLGKRGRAVPTRTWTPDVHTEAGL
MKRLYYRGNVFLAKDKNYTVLRIDKKVFKIPKDKFLYEDILSILTSLSTPKDISQIDSCQLYLIKFLLKVGALVYDEMPTELNYIQEYFLRNYSNPSTVYKKWINTEVCVLGKGLNSSVFEDKKKFFMSAEYGLGKKIFVIYGNTKSELKKNLRVARKCIDDGDIILVSPIWVENGTVAISFYNSYKHAKQYVESLNSKNTFMMNHLKEKVIMNYIFLLAMDSLSDRHKFKNFFLIKDDLEIRNISFTHFTKRNFIFRNKKIDIDKVFTTSESINHLLVASNLIGEFKLKTHYDDPKIGNCSLSFKSSNKLLLNSQIEGKNLEQTIKKEVISVLKKYFKSYDYEVDVFIGKESLQEKNTITSEWLIWPEMEDSGVFIRKK
MRGVKLFGVGIKDNDDITQLENKDRSSGRKVVWRCPYYQTWMSLLRRSYSDIFKNKSKTYVGVVADERWHYFSSFKEWMQQQDWEGKDLDKDLIVRGNKIYGPDTCCFITERLNTEITHLKRKDKGLLGASFKKKNRKYQSQILIEGKKIYLGLYDSELDAHLKWLDEKIKRILEIKQYENKEVHKHVDKLLEEMQRCLDNKIEFYWYE
MNKLTFGFGEVDVDYPVQIEKGHWRDGNKREVTWRCPYHQTWLSVIRRCYSQTYLKKCPAYKGCSVDQRWKKLSSFIAWMKIQPEHDLWVSHNYQVDKDFLFPNERVYGPDTCVLLPPSINGLFGGMKKGRVFPLGVSYKEANKKYQAQIYSGGKKVYLGLFSSPEEGHKEWQTRKIEDLRNAIKDYVSTGTYNKTVVRRLDSLVSCLEQDLCKGLETKPETFITLGEVMIKSPREQLSVAIMIAASLHQNQFDKGGRPYVLHVLKVMHYLKDKDDDELSCMAVLHDTKEDCGIDDAYLQRHGLSSRVIDGITRLTKVKDQHPEHYLKGILESYDACRVKLADLRHNTDIRRLKGLREKDLQRMAKYHAMHTQIKDMIQWHENNPAHSYPELMHWYAERDRHINTLLRMFDEN
MVIPLCQLNARVAQSELLESAAPKVAPYEIFSSGLGVLAWGITLITLIVERRMGSPPGGGWMRFAFVFYFSALVIKMYFAVHVLRAYSYFFVLYCVQFAAATVLFLLSIFVWPSTTSAAIDEGTDLEAPLLSGTERSVTVSGEEVPEKKPSFEKNPEETASWVSDLTFGWMTPLLRLGYKRPLMDADLPELASYDRVAPLVARLEADFEKRSQSLFRTCVGIWGTPMVKSALWKTTNDGSQVALPMLMGWMLGTLYEAAVTGEWSYVEKAFAVAVLMFVTQVFGALGEAQYFQYAMRVGCQLRATLMSAIFRKSMRLSIASRQNTSSGKVSNMISSDV
METSFIPKKNYAKATSNKNYVGLFLTIASFIFVLSIVSSIGVFFYKSFLEGEIENKNIILEKEKGNLDLTLIQELSQFDKRMEAAKDILNKHISLVHLFSFLEENTLKEVMYSNLSFEKSKEGYLLTLDGKASSYAAVAIQSDIFGRHKDIKEPIFSDLGVNSEGDIIFSVVMKLDPRLISFKDNLVEVE
NYTDRNGVKHSDLYYRWKNRGPIPGTENHLFWRYEWTDVLNEMRLQYGCSKDITGIVRANFKDYSDSIDFTVGNIKTSAGWDAYGATFLNGGFETARVGAYGGWGVVIVYDKTLASRRKLMEDLQNSDKVIGKVASVAEAKKIRDTYFKPKNVTIYGDFITITPWSTPEPGYSPINVNLKLSGFYTPRQGKVNAKLGFLGFAGEREMREQEFFKVENKNKNTMDTLSGSVVNANLGNGFANIYDGSTSILELDSDGNYKLTYPKGTDYKWGMDLDEFDISSKMGNKQSSLDVQLGGASTQRPGGGESADQNFISMLAVSVDMYVPNMCYQYEVYNASNWVKFFDKDGNRRSEEDVKKLHEPPEQIKNGVVAGENIYYRIRFENRYNGGNSEDAVGSIVSVNFNRAGATYTPNSATINNRLDDSEYSGVTTKINAIPMKASQNLVYLRDGQLGAYQTMKDVITDGKAPNVTDAIYKNRQFTTLENGLLKVYIGEGAGRWVPPSKPDEQPTLIGGRMEPKKAVYAEFNATVNTGAKILQAPEMLLSYKISLDVGTGTPVEISLEGASELEICDDKLLSDSVNIQPLEGLQVVNKNFKNSDDDDRLYTQVSEMPFDGKLIFRPDYESHFCKKYNSAGECEQYTAEAASRTDLFVKDPATGELKYIGGKKQLEKFNLDGKLYLSVVRAKNAAMTAAKADRNGTSTAYSCRAITDNLKIPFKLKQKGGGASSYALDHELDFKNKSILDLE
MKILNMLKKEILENLRDKKSMLLMTLFPMLLIAILGTVFSGSFASTINIPEINVMYSINKDVKIDKNFKDFTKEIEKTMKVNFKETKDEKDALEKISNGKTDVYIKISMIKKYIFMKIICEHLTPN
MRDGKSYNTVVGCGIAGLCAGLRAAERDLSVAVLEKAPKENRGGYTQFTESFRIPTTDIDLDVEFNIEDYAALDFYRDIMNVTNYLGYRSH
MSARLTGWAAAILATLVVLALVAGELTDAAQRRWWGDRPLTTDTVSGLLVLLITVLVVNQLLNRRQSRQRGHAIGAQAAILVAQAGRSAKATASVLDGLSLPGL
MSELKVIYLGPACQEQGQSSGREWCQDDVWDACECGHESVRYVLGSEFDRVKAERDGLQLSLTTADQTIDDLQAAIARRNQRIDELVSAVRSINHAKHHEVLLPGDDEPQYPQRKEWVDWILGLCSAPPTSTNPQP
MKIVLCQQCWAWVPSQGEQCPECHQALDLDVPDPSPAQLAERLGEAVCRIAAVQLDRRKLPQRGRLWGTTAGLLFLPELMVLADGSLADPLEAGDSGSWSWFGLWRRSNRRFSTSWEEDLPPAEPWDVAAAFLDRPGAAFFAREEIVRLWNRGRTWTLQRTIGRTVKWTMLSPPEVWRPAWRQLFQTAAEWRCVASP
MKKKLIEDSNKTKKAKMYIISGILFLIEESLFLIYSLTKPTVLSNGVLHGHISF
MAKVHFIGAGQMTEAIIRASLSNGTLRADAISLEDIDSARIEALHSRYRLSGDGGLSEASLLVLGIRPQDDLAAVASRVRAQLNGSTTVVSLIAGVTLEKLASLFGETTPIARTIPNTLTDTGFGYSGVTLNAHASAAEIEPFLRGFGKVLYLPERLIDVFTGFGVAGPNYIYYFIESLTDAGVLAGLSRPQATEVVLENLLGAVEMLRQSQKHPRQLLDINNSPAGVGIHALYELNNSDFAAGLQRSVLAAVRRTRELGQR
MVFTRTRNRWSKKLQRISESRNIEFFVLTSLIQFLVMIFFYLRLWNRFTIIIHSTDSGPDGCLSYNYISSNNYPSSFVLEFLFLVLYQVFQFNFALNAIHFLEIRRIVNEINVSCAITPDPQYLAYDFPFILTSLIFSITMGYFAYKVRRDLSGRIYKKIGLDMTMQAVPYCYVGIITFIYAWRTTDTFNQGLREYVGLRLNRNHELIIRIEDVEDYRPRRVSNKVVDNYQTDEIKKAVDDEIKKPDDVLIFKKTDDTPF
MVEHFSNRIPSCVVVRVQEVGNVLHSKCDAKYVARSR
MRIGCTKKMVFFISLYALFLAITPFEFWKSLLGCLLTLHKKLNVTKLFESSTIYHLIFRLDDIRKAGKIFFLTSEPKYQDSGGEYIYRRLVRDIIYTYKKARSEFNLPPLMRTRVVPSESEKAEALQSLRAASLQQATGHFAKAKKLLEHAFKLDPDNIDVLIALVPNNDADGLILTAEHLYTKALIVDPTISKACVHRERLMPIVEEIDQRM
MRIGCTKKMVFFISLYALFLAITPFEFWKSLLGCLLTFHKKLNVTKLFESSTIYHLIFRLDDIRKAGKIFFLTSEPKYQDSGGEYIYRRLVRDIIYTYKKARSEFNLPPLMRTRVVPSESEKSEALQSLRAASLQQATGHFAKAKKLLEHAFKLDPDNIDVLIALGEAIESSYYYGKSTPHVALPLTKSLIPVYGQVPNNDADGLILTAEHLYTKALIVDPTISKACVHRERLMPIVEEIDQRILNAIDFKVRQFYHIPEGDPGLRRAKVEHYFKHVYHSNAIEGNTLTLAQTRSILETRLAVGGKSLLEQNEVLGMDSALRYINNTLLRGDLTAITLENILELHRRLLSFVDLREAGRLRRSQVVHRQLCDIFLGCHVFGRKSPCNPNLGQLHFLSLNSVMCP
GFEADGTLLGYQGCGQEVDNYAHFKSKLDNGAAEARPLLCPLGKYGYDCRCRGWYDTAKRKWDNSRIPLYVSAPYLFAATSAFLGQTTTLPLVDPITNTYIGQTLVDIDPFLTFNGLTDNTVLFDDGFPFVINEDGGTVIGPNSTKITNEAKPPIETLILLGGDCTDNNNDIDSFRTILSDMKEGRSNTVEFTRQRKDCSTQDMYISYSPVIVKNFFPLNSSDFSRGVKEYASMIYSIALVLPASSTFQRSAASLGRSLIIVIIVLVVLILLGMATIAYCLVRINSLVIIPMLCLLEEIKNVNRYVIFRIFRFSLHCDITPHP
MIYLSASDIEKVVKHEEVVSIIEEAMRLYESKNFVQPDRITVTRGDTETYLYMPCFTDEMKGTKFLTLFSENAAKGVPTIQGLMMLNDNETGKVACMMDGSTLTAYRTGAVGSCGIKHTTPENVSKLGVVGTGVQGFYQCLYASKIRPIKDIYVFDIFADKAEDFASRVKVALPEVNVHVMATVCVEAGLVEMKEPYTDVVLDAPAGIIRTHVKVENKKATEVTITNVPSFVYKENYL
MDFNKVEDADPAVSPASGPKPLRLAISSVLSPKDSIVYYRQIADYISRRLERPVVLIQRQSYAEVGVLLAKGGADLAFFSSGAYASLSGQNEIELLAMQQRNGLPYYRGYIIVPRVSAAESLADLRGKTFAFSDPLSYSGYIALAYTLEKMHQTPDSFFHSYTFTYSHDKSLRAVANNVAAGAIVNSLVYEYTQLRSPELIDAIKIIGVSEAAGTEPVVVRRGLSTEQKTTLRQLFLSMHEEDSVAVVLGGLHIDRFLPPQPELYDGIRAMLREVRRDS
TFVSFPLRSFSVTSTTSWLGLILPLLGPQDKDSHLEFITQAANLRAKNYGISPVNKFQAKRIVGRIVPAIATTTAAVAGLTCLELYKLVWQHKDLGSYRHGSLGLSEVFVNRTQPVPYQYQQKTWNCWDRITVPGFNSKGEVVTLRDICDHIQREHDLVPQKLLFGPATLYDKENEIKEQQPPFSLTESFRKITGKPVPKECRLLVLSMVCENEESNDDLP
MTRPGSTCARPVPRERFANIDGLRAVAALCVMVEHMFGDLLRQTAPEAGPMRAVGIALSQSVSLGRYGVAIFFLISGFVVPFSIRGERPLAHFAISRLFRLYPALWFALAVLTATARASGEPPARATVLANMTMAPTILGQPWLSPIYWTLFVELVFYLLVALLFSAGALRRVGVLLAVALGLIAATVLPVTLRIHGLANLPVQYLGLHLAWLFVGLLLRLGMVERRRGAMGAVLLLVLAQGSAVLLVADYSLARADLFVIDGRIPVMSAYALAVATFWIAVRARRPRSMLLARIGLISYAMYLLHGSVNVAIYRLMPLTGSMPDALTMAICTLSTLVVSWMVYMRIERPMIRLGRRLSRGSADRIPRADVVATGGSNT
MGRGLVESARRVAQLDSLRGIAALLVVLHHSRQAFINDVNDATTGLSAYLDMGRLGVVIFFIISGFVIVKAVPGHGPRSVGLFWKHRFFRLFPAFWISVILATIVAQLVAGSQCCAFATPVSRDIVLANMTMIPLRLHEPMLIGVYWSLELELFFYALISAIALAGRNVGQNGARTVTLTAFGMFFAAIVAAGATAIREGTGEIGKDQMFLALLHLSLMFAGSALRYHWDGTPRRETFLRDMPVALKAYLFLQLGFFVGVAAIKMRHGIEPHTFRVAATYLLGIGGFLWCLRSFDGSWLGTVLGNRSYGIYLIHVPVMSLLSYAIARSVLPPLGYATYLIATLAVTMLLADLMRRFVERPAIRLGHLHDRWVSNRRVLTVVAPPVAALAVEPIPAPANDALAGPSTQQA
MERGRARLGLGIGGLRLPCPRGEQCPNAHNLFEYWLHPARYRSAMCSFGGACTRPVCFFAHNEGQLRHTGVPGGPQPVVAPPEPPGAGAGAGAGRGLGDMAAHMQLLEAGARGGGGGGMGGTYGRMPDQAISDVLPILGGGAAGARAAAAGAGGRGAAAGAAFGAHAPVGSLKSTPLPRMGEWQADSGALQHAALAAAAAAAAAQRGAVPGFPPASAAELAVGLGPSSAAAAALALAQAQAQALGGPHSGALRRSISDAGAFGAAAAAPPPLQHSATLDVASLLAAAAAAAAAPAAGAQPPASSAALLEELQRHLASVTLSAAPGLQGMPGSSAPLPRAPSPGLAAAYAAAGLVPAPAPAPLPAARGGSGGSSPTSAPLPRLPGAGAGPAGPAGAALLVVGDEGLSIDRLQEQLSDAPRGGARDAGAAAAAAAAAAAAAAGGSAPLPAVGGLAGFKESAMGREAAAQLLAQMPESAVNDLLRALGQQRQAA
MTTFTALHRALGLPPSPITSKLLDAAVEAGVAETDDLDWKRELPQTKGLADTDLPKDIAAMANSGGGIIVYGAAERQKCAAERVNVDVFTEGQERTLRAAAYSAIVPPVMNLKIHRLDESEPRAVVIEVPPSAEGPHLILKNQYFGAPLRNDADTEWMKERQIEHAYRARFEERRHSAQALAALYDEAAVGRGEDRAWLIAAARPRMPFVGKSMDRSDASQLIQRAFSVVQNWISGQAPHPMMETSWPSPRPGLRRWTVSSDGSRRSWQDTQVGLLHDGSVLLTTSLGGAPGRSMDDLMEPHQITGSLIELGVADHMALVWSVAEHYGLDEYDVCVGIEWGGSELLEFWTSDNTNFLTGDLGTPVHRYTPVEITASPKTTVQDFHAQVYELVQLCINQGGLSRLRLMHEPAPQQ
MSNTFQHINTSQLETLAGGDNEFIKDMAEIFLEQIDEFVTNMSSFLKAEDWEKLAREAHTAKSSAMTFGMDNTGTLLKNIQLECEANNLNDVPKMVEDAINQLQAAIPELKELL
MLHNGFLDQDAFTDKHHVEGACKVFETEGSTKLGFFLRAQLASKDNDSDDISDITLSTIVQASPNDERIMTAGQLGRFYLLISRTDGKSDYLSQTWKSEDPNVTTMTIGVHLEQIMLPEVLAFHDAADLTTEVISKHSEALVQSLQDDGIVRQDFNTDHV
MGDSPAEDDVFFTDPNNRRVIWRVSVAPDGTVGQVRQVGLGYGDKSVHNGWLYENGQAVLYVDDFVIIEVAPGVFRVNLLNEDGDWGQFGNYCQNGVEIAPNLVAYDPACVYDAGCESEGIPAGWAMAFRAISAYTRILTDEEIDDLPLAEDNCPSIEPQ
MRSGNWQARKGMIMAHDIMPRHRMRAQRLSALALLAGVSFSSHPAFADQPAHLVPQKDVSVVYTLTGAKAGETGRPRQGRLFYSSTVGEARIEADRQPGFLLLDPRQDRATLVLNQINIYVTSAISSTPVSALVLSPTENFTRTGTSRIAGIACTLWHVTSRQGQGTICLSDDGILLSGEGTDTEGRTGSLHATSVKYAPQPQTLFRIPDGYQKMDLPPGMVQGLLSGNGQGALQMGGAMKTMRNLIGR
MLNYWFHNEPPTETMLFSKEYVGYLARELTKKLISGDFIETENVAAVTERVHAALL
MTLNILFFTITIKRRHMTLEEVVHYENIKKNRENIQERITTMFPNL
MTSNHKAGHTVIELVAVVGIVAIFLAIAIAPKFSPQSERAQVAAFDLETSLRYAQKLAFSRERNVRVTFSVVSNAYWVDLSDTNAPGGYKSARNPVTQQDWNTVFSDMYAGVRLSSVSLAGGVLVFNRTNGVPCANSGTPIAATATVVFVSGPTIMITPDTGYVSKNP
MRAIRHLHFAVCLALVLASVVACSNNGEEMRRQLNELQARNQADSILNDTATAIALAAYFDDNGTQNEQLEAHYLLARTWTDLGQAPRALEEYQNAAALADTTCLDSLSNHWLSRIYGHMGELLYRDYLPKNALLAYQRAYSFSKRANEERSASLFYAQKSRCYYDLSLPDSSAYIMENAIKMLLECSDTIYANSFKGPLSYLFVQRGDFAKAKEYLDCYEYHSLINEQTLNLYDNYKLLFVYKGFLYQGLEQYDSALYYYNKSLLASNNINNRILTYRGLSQTYAKINQPDSASKYGLLYGDASNESNKQDISMSLLSMQHLYDYNRFKATAQQKTIEASHANLRLLVIILISTVLLAILIIVLINLWNRHKLFRLKLYNKYTTDILGYIATKEKLYHLEKQKVINEHLVRQAKDDLASFRNSIVETRKQYGDNNGLGIEDYLLKNQTIVNLKAKGRKGQVATERELHELRRAVNIYLPEFSESLQSIDYHLQPRDICICMLLKLKFAPSEISSLLHISSQALSNNRKRLLKRMFGIDGSSSLFDEKIQEISSGDVL
MGRPEIEEWDVNKDPVPNYNPSSEMITLGNRYKAVRDYALAQERKNAAYDKANKDFQESLITANKERAILEARLKRAEEDCRFLLSFVPEWANIVPSGLDPTFYGTLTEEGDRQVKVRVDKIREALKGLGKEDRVTDSIDPVEIKP
RLKISLLSFLAELLHSSDKSSLYSGRVIFCLDYIIFTLRLIHIFTVSRNLGPKIIMLQRMLIDVFFFLFLFAVWMVAFGVARQGILRHNEQRWEWIFRSVIYEPYLAMFGQYPSDVDGTTYTFDHCTFTGNESKPLCVEIDEDKLPRFPQWITIPLVCIYMLSTNILLVNLLIAMFGYTVGTVQENNDQVWKFQRYFLIQEYCSRLNIPFPFVVFAYFYMVVKKLFKCCCKTDHKEPSACCFKNEDNETLSWEAVMKENYLVKINTKANDSTEEYVIFFLSALIDLPFILLADDQFCHRTHT
MGIFEKPKSEDGINLIPIKKFNIQCMSIGFMVSEDTPMIWRGPMVASTIKTFTGKVLWENIDFLIIDMPPGTGDLC
MKPNEIGVQLTDEDLETIRRCRKLSFWQGSVPLTVTCVCALRVTQHFGFLNTLRTPAYILAVVFGYIGGKVFYVGKCKRMFLALRDSRIKDQLLNSDTFGMESDRTSPLIVTTPVTPENRDSKPPTTYAERREYYRMHPNDTRPYIPGIPEQTPSSEPEPKLEQRHPSFFNDDRPSGSYQFDDIYAPRD
MSVTYKNGAIVYSDAFHKKALYDISNNLLTAQFDGTGAISKYAVINKWDFIECYYSQLAFNGKVFDLYTPKTVTMIGRTQITETETEDAKLKITQFADGTTNALFEEYEITAKKDVKFEKVVNFGLNITSWMKNFFLTRFTFRNLMRLIFGTLGSEIKGHRKNTVYDGFTTIKNTVIENFYFDFAVGNPCEPLETNHLYTNQFAATLEVPAGETRRMRIVLSAGTKKDFSYTDVAECYRNFDEHKKAAEKYIAELPCPEACKGDEFLEAYFKSLYNCSLTMYKEVGRFRGFLAGIVYQSPARTYFRDGYWTVLSVLPYRPDLVRNEIITLATGIDKDGKCPSAVKYNFKNWWGNHYDSPSCFAAMLYDYVRASGDETILDEPWRGGTILDAAVKVVDKLSEYADGTGLLYKGGEYNRRDWCDNVFRSGYCTYDEAFYARALYALSVMLKGRNDAASADYLAKYEKVRKAINDILWDTELGYYVNYKNETFTEDNLSVDTVITVLFGLAPEDRARSMLSKMESLLESRNNKEQKAGDFGVLSVYPFYKDNRSVVLKSSLPYYYHNGGDWPYWSAAYAYAKLMYGMDYKYPLTRWFEYNVMKNNFTPVEFYAPPHADGSLLQSWSSVGAFVLSYPDGRFFG
EAFIKNILQLRTDHVDKALLLSSTGTGKTIASAFAMQKLAPRRMLFVVHREQIAKQAMRSYQRVFGNTKTYGLLSGTQKNIDADCIFATMQTMSKSHILDLFAPDTFDVIVLDECHHAGAASYQRIMQCFKPHFYLGMTASPDTNNYDIYDLFDHHIAYEIRCFVHSITLVLLILKLMEESLMMHQASASSTNLYLTSVLIMS
MNKKALGITAGALAAVLLAGGGGYYALTSGSSDIQLTSADITTAAKEDLISSVSATGTVAAERELSLTTSLTGPIQSLEAKVGDRVDAQQLLGRMDTTDTERELEAQRTAQEAGKLESVHQIEDAQLQLRQLQESLDQGLNPEVNSAQSAVNSAQSEYTEAQKKLDEALATKGDRPEVAEARAAVDQARTGVRDAESKSFQAALASIGTATEDISGVQTASAILSYLDSDEAVSDAERQLKDTEDSYNRVLRGIDRELGEQQRATAASYQAVSDAERALQASNLAIEQQISAQSQAVNHAVESATSASANSAKENGPLEYTLSQADLRSPLAGVITAVNGQAGMPAEGPILTVADDSTLLVKSTVKEGDIANIKVGDEVTFTTPSSPGKEYKGKVTFISPTAEQPMSAESGSGSGGGSGSSKPEFPVDIRVEGNREGLRLGSTTKAKIITEQNKNAITVPLSAIFEDNGKKSVLVVEDGEIHARTVTVATESAFAAAIASGVKEGDTVITQAEMYKDMVGQAASVSGADENA
MKIRNLSKRQWVITSIVALVLIAALGAYFLLLRGSATVIPTSDYQVLKPKEFSSRVAVNGSVAPVKTANLYTHLTGPVSALDVRVGDHVNQDQLVAQIDVSSNQRELNKQLAEQNSGAVNTRNQIEQAQNQYNQYKDALDQGLNSQINQAEAALRNADGQFNDAVINFQIKDHDRATGRDPMIRDQANAVNAARTQALTASINAVRAGVDLLYAAGNGAAAAGGGAGGAGGQAGGANGDQGQGQQGGGIAGLPGQGIANGILNGADAINNLDSANRQLREAEATYLDNLAKVDQEVAAAQRNVAGTFAAKREAATGLESAKLAADQQLSTYNQGVQQAIRAAEAGQLVSEQANSQLRYDISSSEIRAPFSGVVTNVAAEQGKAANGALLAVGDDSKLLVRADVKEVDVSKITPGLPVTFTTVGTGAKEFHGRVTSVSSVSGSSQEPSGGAQGGGGGASAAAGAGGAGGGGASGSGTQKVTFPIEIEVTGERQGLLIGGTAKAQVITEKKANVLTVPRDAVFTNDKGEQCVLVVAEDSGLKVVAERKVKVGSANDVDTIISGGDLKSGDTVLSNPDSYRSLVGQQVKLDG
DQPEQPESNDTHDKTSPFKEWGKTAPVEVEVCQTSSEEEEFDSEAMKEAEAGGSELLPETSTSKLSASLGLPEEEFIALQRDDPETALKLLLSKKTPDPVSSSGPSNSTASDSEINSSVRQDSLISKLYNDFINGDILASVEEHHG
MTKGNAELGPHNWLIVDTKKEKAIKPKTSGERDLLLKVGPGKEYLGGTIEFGTPPDSSIRINFTHPDTLAEAFKQLPKDLK
MDVIGPKSKVHFLQKPCLTETLFYRNLALQKPFLQKPFLQKCMDIHYFNKLNLQVSMDIHCFNKLNLQVSMDIHYFNKLNLQVSMDIHYFNKLNLQVSMDIHYFNKLNLQVSMDIHYFNKHNLQVSMDIHYLNSIYSKLVLCKLQVLKRGFLLQRHSIHNLTL
MKAAAKQPVAVAIDAGGFEFQFYSSGIFTGSCGTELDHGVAAVGTVQHQRGSQPCSQDNGYEDVPANNEAALMKAVAKKPVAVAIDAGVFEFQFYSSGIFTGSCGTELDHGVAAVYGENNGMKYWLVKNSWGIGWGEEGYIRMRNNVDAKGRPVALQCKHLTLLHEVLDCQKPSNN
MDSDFTGIREKSKPYSKKSIEKQGINNPTKITSNAFYCQNKANSFDDIYNLIGIGTHLSQKEQAKFVHYKDMKTNTYVELAQNDEIVKQNKKLLEQNDEIIKLLKQILNKGEM
MNVQAAFLCPKKQPAPRQSKKQIPIITTLDFTNPNVKFKLLNVFATTQE
MSAAIAPANEAPARSAQGRKPGLAAWIGYAGIGAVLLLFAFGSPFFLAASNIATILTQASVFGIAGVGLAIVIIAGGDDVLNGGIDLSTGAVAGLSGTVTALAAAAGAPGLVSVLYGVLVATAIGIVNGLSVTLGLRPLLATLATMGVAASLELVFSQNLKIAVSGSFFEAARASVFLGIPLAAWLMIIIGALGWWVYGKTSWGVNSYAVGQNPTAAQVAGLDPRRYRLASYVLSSALAGLAGTLLVARLSAAVPGIGTQILLDIILVAYMSMIFSRRRLVSVVGTVLAAVFVAALDNGLTLLGVASQWVGAAKGLLILLVLASVTLRGRKNR
MKYMLSIFLFIFSAPSYCLTECSVHFYPTIFYFEKTEDPSPGYFFKKTDCLTDVQQKIIKAIYSYDSNLKAKYIEKILSEEIPNYKIKIYPDQFKFIEFKNYLQNHLILSKDQIIIKTNLLNNSSFLKLDDEQKIKFTCEDCHFLGHKNIKISIYNSNTNISTNEWVNAEIATKTKVLISTKNINPSNRGFLENDFREDYIYATKPEIYFSALNEIQFYRPTQSLAEGNVLKNNDIIPFDIVKYGHPTTIIFEKDGISLSGTAIPVKNAKYNEIVKLQRQNKTYVSGRVINFNQVKVDL
MAFGDGEWIHFTGTGYLIRLNAGNHPVLRLRQLGLSKACRCLVTSLMKRHGLTYLHIDALGDVLPGFATFDW
MKKSIFNFIFIIKFSLLYSAYANAICTHYEYYSGSTTLALPERTYVLQYDDSSTRILDTLSILSVPNGKKAGIIGNDCLSQYSTEYLGYWSSRPVTNNIITTNIPGISMRISHINIHGTLISMVPSKNNLQRWADTITGSNWKVEIIKSAEVHQAGSLNSGPLVQYIQRSTTPPLSSLLSTVNIKENSIKIKIINCSIKQSSYDINMGDWYDRQFNNIGDSSSQVDIPIKLSCMQGSNIKATVSSSNIVDTATGKIGLVGDNKATGIAIQLLDRNSQPISLNIQKTLQDNASEGDYIFGWKARYIKTDTNITPGTANATATVNVRYE
MLSESSNPMTSPSVDQQVSEASNGLAKLKVANAVVPRPLFSADLISPEVQALLPTHYIIRPLSEDDFAKGFFDCLAQLTVTGDVSLELFKETFATMLDQKANYVVVIEDKERSAIVACGTLVQERKFIRNCGKIGHIEDIVVSSTERGKRLGMHMIHQLKHVAREIGCYKVILNCHEKNVEFYKKCGLVLKDVQMTEYFDQPTPKTNL
MARAGTTRTLTADRVTSALVDDGVRLVRGLGAGASTRTAGDASLMITGVPIPTLNGVLTVRPTATAADVGDLLDVVARHNLPHSILMRPGCRSELVRLAKQRGMVKDDPLPLMAVLLPSDRIRQASHHANLAIRVLDPDEGGIHAAIAADGFEAPLEMFEQLIPRPVLAQTGNHAYVGRVDGRDVVTAFSSTAGDHVGIFNVATPAAYRGRGYGTAITARAVLDGFDAGATFAYLQSSPAGLRIYESLGFRTLETWSVWVTPGQPPH
MQMTGNDFIAALKDETYEIKSFTAADQATINLDDLFGYVEQATSQEKLFSAELLISGDEPISLRVETGLVNLPIRYTNAISKIVINDPETEVTLYMIAEHPLVTKSGLRIETAATVAAFADDPESVESKIATFFDKELQSINEAVAAAESDESEAE
MEKDVTSFIDDATNEKIDGKTFTGKLDDVAADIDGVMKPVYNYIEAQLNLGKLGYAELKLTDADVAIRLETNLINLPLQEIKRISKMISDEDKLPVNVYILMISPLVNASGLRIDEVSSADDYIGHVADYAKTMNEWVAQHIEAVKDHLAQEAEREKETHKKAPAKRTAKK
MVRTKNPTGFEWFLSDSNWEYNTCLKRRHASKACPNFIPGATYAGATYASKVSQGTENVNLNEEELEEIHKAVNLFVEKVREEGETNIQNKPETRPKTKRTQKHKGMMGKFQLQTWTETKS
MKYADNYILRDLLNMTIILAAVAIGYTALPFWFATIMLAIVASLAFIGRPFVAFALSSVVALFALTEPSLAADASNTLYIFPSPSEVGPPLIAAPSWVPAFLYPIWNYVGSGAVILYILVHARSFIPTTGSWAIVGAIWDLLAGNYKLAANATSSTS
MDSHNEGPSDINGSNREENSDEEGEIKNIDKKQNYSNITWTSVVQPLQDINFSKELILHETKRFKHQNLEFKILLPKNINCKKLMGRTIALELVNWADQHEKGIAAKILVMDAMSSKESCYRDNDSGDSSRVKKYSFRRLEWESWRMKALTRLTRKANN
MEVKKNFLLGASILIPLMYSNNSFAEFYKQIVFNYVNTANNQVMTQEECKKIMTPLYLNYKDKCLADKICHDIQVSPEKGSGQKLNNYKIVRAAPTVKENIDVFDAEAQQSFDFKGEKINTTLSEIVYLDSKTETSIGYWSNKYCWGSLYSKHISPDTYKKMAGQ
MPLERCLWDIRQASKHALLSGHKPQRFIVADEMMYYPGALELTLTSPDMIEEAVEAYSEAIRGEERGCLRCVYLWESPGEGVLLHLEEERLLGAYVPLVTEEIARKEHALSLSLATLAREAQNMPLLLERELPSGRYQLSELLQVLSEQLDI
VALRKFKWKDCRYPTSTKIASIADQELLRENVVFVIRRNRRLYQYNKVTELWHEHYQSQHLVLSRSLVTAMRLSSLSLQGSLFMLSADGGLVEYNWNPSQRMELDRTRNTRSKCHSCWFTGTMLHRSSSVLNRFRWKSVSKVLGRWSMEINLYFRYLADHLEKNEENLRALNKSCDSKVMGDPLLGVLIAPDLPDNNSHHLFLKG
MAGAEISVKKTGFSTFSNEEGIFKMDRTSGNTLMVSHPSYMAKEIKLPKEILKNSDTQEADTAVFRIRIAEKLFKNPETLDVVYGTMDKKSYLGGSAATMYTNQMTTTLSSNIAASFAGRLAGLYTNQERGMRGSMIDDNFDVDIFVGNRPKLNISGYGGDNTEFGITSRTLTPIVIIDGVQRDFYSLDPENIESISIQKDALSSMSLGMRSSRPVLVITTKKPVDQGFQISFTGKFGIQKPMKIPKALSADQYVYLLNEALQNDGKEASYSYNDYMLYKNQTSPYTHPNTDWYDAVLKDHSTIQSYSLNATGGSKAAQYYVSLGYLEEDGLFRSSKTDNYETNARYQRYLISSRVNVNVTNDFKIDVSLMGRIEDGNQPGEKTNTILSRLYETPNFASPVYNPNGSYGGTLSWNNNLVSQSVNSGYIKDNRRDGMAAITMKYDFSKYVKGAFRYYAG
MIGKIMQTEADALLQAPVRPGAGAGTAAVEAPRGLLVHHYVLDDLGRVAMADVITPTAINQAALEAQLRSDLQGQADDSSLRDRAERIVRSFDPCISCSVHLIRP
LSPEVRDVLEEFGFKPPLRNTYQSIIARAAETYHAILKIGRLIEEYREPSRAYEEAEVRAGEAMAIVEAPRGMLYHRYQIDDGGRILYANIVPPTAQNYAAMEEDILKVGNLILKKPREEAQRLVETTIRNYDPCISCSVHMIRLKIIEE
MRVPVSSQIYPLPVSVDASLLPPLTDAQGDAMKKIMGSTKIPQAQKMKYLSEWQKAKQSGKMPTSSAQELRADMSLKDILALMEVRAEKALQDGSINPKWGKAISDLKGRRFWVIPSDQTPDCAAMVASGETLDVRYMARLEVPLTS
MFPDQSLPSLYRDGRILRIWVWAFAFSLDLP
MIPKIDIKDRARDRIADEISRHCRQVGFFAVTGHGLEQGLINELFAVSRDFFGSEQKEKDAVSLSEFHRGYARVGAEKIDPYGPEDFRETYLMGWESPDEEPLPQRIPFLGPNNWPAGNEYFQQVLLSYYHSVMQVAQFLLECFALTIGQAADFFKNNFKAPLSNLVLAHYPVLENAPRQAMLGCGEHTDYGLITLLLHDGNPGLQVKTRQGQWLSADASADDLIVNVGDMMEFISGGKYISNPHRVEVMRHTPRTSIPFFVQPDYEALIKPVLVPFDEAENTGRWKPRLAGAYLEERIAQSFISDEQKLILALKSVAGPEKRVIKNIAELLKCLSLSQ
MSDRPEPARWFQRHPAVRLRRESWGGLAFHRDQGDLVELDAEGFDVVAALAQAQTLPELRGLLRAQGHPARRRELAAFVGALDARGVVRRVAHGAPDLPPDSWGEGATPEIDTGLRAP
MSCTVYRVFSPLVDTKHKQTKRARHGNAVWIGPIWFDATFGHPVSPLVSLEDAVALWPEFATSVLCRARPYTLQPIPINAAFLRCQRS
MAHAFDLLQRSTRQYPALDWKINRDLPAGYARLDNQRLEQATDFILGVSRTSGLGAQPYGTLQTSGGEYLQPHP
MTKDPVFQNTLKAAVFIMGSALILLQFQPASAGPVMRNTAKGAIAGGVVGAIVGDSASGARVGATVGAVKGVKERRDRRRARVNARRP
MCRKPHLSLQQVAARRAEEEYCRQQNKLPNDYIKVWKKHEQGPSLNADNAFETVLNISFYKFHV
MAQEVKLTVTLEAALAARLRAAAAERGWSAESLAAACVAQHLEVAIRHRVLVERMEQIDGAILDMAQAVGELGAPSAGIDLSKVCRLHKGDGVAEPAP
MPQTGSKSDQPEGHTRHETSTAIPCTCEYHAQVLASNNDAHTKGLDPMDRFFAEGPGEQSALFVRSDTGELSTAKGCTCQGTVDTA
MKKNIFLIIFLLTLVGCSSDDSNDTPDPYILETIIGSWAYDTVTINGDFFLYQHTDGCVKDLFQFYNEEGKEFDFEEDYVSNCSNCAECALTSTNLKWDLRNNVIDLYFGEQLVTQLEVLEVNANLIEYKRIFDFDGDGVNDEVVITGIPYDPYDEFD
PAEETPDEAEEPTVPEEPADPENPESPFEDLEEVVVPKGDWVKSEYFDDAMFVGDSITYGMKVYNTMTNATVIAHTGINPQTILNKAVIQEGEEMLTILQAMNLHNPGKIYIMLGANGVAFLEEESMMGFYGDFIDSVKAQHPDALVYVQSILPVTAEKSEDERYSNDRIDQYNISLMNLCKEKGVYYLNVAEAFKDELGNLPTEASPKDGMHFGSTYYMKWFDYLKCHAVTTEN
AGGKWFLYYTAPKKGTAGCAGGNGNGHRCIGRAVADRPAGPYTGGREVACPAGGRWAIDPEVLTTPGHGLVMVYRDDAVTTGAETGISVVQLDANGFGKWSTRQTLLTSRDITWDTAGDASGTHIVENPSLIRVGGRWKLLWSGNKWDTRRYATGLADCGESVMSGRCTPTHVGRPWLGFVGAGDIDPVHGLPANHKGPGGMSAFRDNAGRARVVWHWYDVDTGARRAKTGTLSGSMLVS
MAKDLNNILLSQEEENKIKAKTPDKLPLNPTAQGWSGQEVRRFLTKSLTDNEGSFLAEFKKKMIEIKTQFEDVFGEVAETVDKVNYLEQKVEEVRYSEKVDPNAKAYFNYAGSIYGDKYSLLPNAWESSLAVDPLEISVNSSYDRPRGTAQYPNGETEGVIIPQGVTSIGSYAFYNWGVNNQPLVIPNSVTTIGRSAFGVWQANNQPLVIPDSVTSIEQYAFYLWQSNNQPLVIPDSVTSIGSNSFSGWYLVPYIEIQAITPPTLASSDAFNNQNDAPIYVPNNSVEAYKTATNWVNLASRIFPISDNLTDLIGVVNDVIYQSDTHIMTFKRIEKPDIVIDLPSGNSYEPDGVTVVLNEEDKLKVSEKLEIDGGFL
MLLTLWQVILWLLIQLLLTLLLLCNQLELKNERLTVGLSPPVFLFISYPFIIHRKAETSNLYKE
MSETQTYTFEVNPMTCSGCTKSVEKALNNLGGVDNINFNLENKTVVLTTAKTSQEIVDAIGKTGKTAELK
ALRARALIWLYAGLLLWIAGFTGILPTGAALPPSPHSALGDDWPLAGLALLGVAFAVGWLAGRRRLVADRGLPEERLAGYVVAFTWLSGVSVLIGLVQPYALVFVLPSLYAWLWLPLRSSLWARAGLFLLGLAGPAAGLAVLARDLDLGLPATALYVAGLATVGYISPLTVLFALGWATAAAQLAALAFGRYSPYAAGAEPPPPGVVLTAIRALAALARGGYARAR
MEVWKLFLLGVVIGSNNLAVAFSLGALNIRYFWWRIIAIFGVFEFVIPLVGVFIGQQFSEFIADYVAYIGGSILLLFGLFMVYKSFNSSLEDEEYLLKKVTSWTGIISLAAGLSLDNLIVGFSIGLQNFHPVTTASVIAVSSVIFTFIGLNTGKYLKERFKKSTDLFSAILLIFLGIATLFEWL
MLSHAQKAPTKFLSKDQRAMPPPSMSSHGQQIRHMPGPHSPGPSRMQETSGPFISGQPESLPQDMLRHQANGTLSFQPRLTATTSSYNAVDAASLQPGGDGRRFVPPTVVPTGSTARSIHTASNPSASSSSVPSNFGQGPGHTRRFVPSTPRKTLPSNGYGGVQGDSDIRIHQQPVLQHQRTAFVPASRAGFS
MPAAPLMRVPALAVVAIAALALPATTSAKKNAAPKLPTKFQQRYHVKSAVADPDKDGLTNYTEYRAHTNPKQADSDKDGTRDAGEDRDGDRLDNASEQRAGTNPDQRDSDRDGRADGREDADRDGLSNLSEQRTANDPGDPDSDDDGTKDGSENAGQVVSFADGDLTVRLASTGKTVTAAVDVQTTVECNATGAFEAGYDDSAAGDTSATDDATGDHGSAGDDPAATDDASADSSSADEGDDATGDASASTASAKLLSGYHGLVPAADDGSDPLADDATDDGSADPSGDPCLDEVLGAGAWVHEAELSTDEDGARLFDAVALVDDQG
MNACTSISILRRSSRLALTTKRAFARLDLTALRSMFARRSANFTLPASVLMGKHAKKPTPVGQQTLSSPRSRLSGIPRRSPKNRESCEKMQKEKRKGSERSSGVAKAEVKVEVEVEDAEEVDGMGVDMVGVAAEVVGSKDGNEGEVTIDYLGENVAPKRGSSGIFKRVNTMVGTEADMKRCETF
MDGFWSISVYNAKVFFEKNDFAAHSINNLTAKPDADGSFSILFGGDPAGVPNYFPITSGWNYTVCLYRPKKEVINETWKFPEAQPAN
MRGRELRTFAFVAVLAGCGAPAATGHDPAAPVAATAPKGEPLTAEAARAKWSSLVAEETEVVHAIDEMAGLPPDARMKRLSRARDGAGFLSGGLGAMNVPSELGACHTMALEGARALKIALDGINDVWMGRVQADRAASAKLAEAICLGAGRMAAGRTSCAVPGSVPAPLACTP
MASAGKKRSPPKTEDQSDTKKPRLSIDSDKKTERVKLNPADCNLDFDIEDNGLQGHALHDKGFAYCWSGARATVGIRGGKYCFSCKIIAAQEVQMEDTPADQQHVCRVGISRGDDNVGNLGETDHSFGFGGTGKFSTGGKFLDYGSKFSVGDTILCAVNLDSHPLGEISFSKNGTQLGVAKRFDSGPKGMEITDTPLKKLTWESALFPHVLLKNVVVQMQFSIEDGLVPLEDYKPWDAVLQDGNGVVGPQFSSSKECEVLMMVGLPAAGKSTWAESWVKNHPEKRYMVLGTNLALDQMKIAVVIFPSPQELKVRAKKRFKEMGKEVPPEAVNEMLANYVLPTSKDMRGSDEAFDEVWFPEVKREEALRILETDKTELKATSITKSRDASPYAREASVSSVNDPRMLHTGVSPGLGGSWRGTPAPALDHNMYTPPKVGDVRGTPDMLRGHSTEHGDSRGRFSVPSDSTYGRYGGDIGDQRFPRMDRDRFGTQPYANHRSFMDQPYSAQSGYSDQPYSAQRGYSDQPYPNQRDYIEQPYPDHGGPYSVNTGPQFGRPQPYGMPDPGRIHDRSSAPPGPYDFQDYKGLLYVGFSCSFVLNYYTERRVLCGNGGGLLTCTRESTMGLHWSTMDGRPGVIDVADRTKDHVQRRERCIGSQKQRTVAGVRRGGGHAFSISKTRGVWLARSVPVRSSQRRIKKCLA
RNLEMALPLYLTLQSDCPLGRLDYDSMSQQALMEMVVGQLCASFLAGLKDENVHFLNIAEWVGTYHNDDGTIRELAWSTEVEPPEKPHNQGTICFEWLPDTITEVWLTDRGFHGVLHCGKLPKLLEQFHVKGNYLRGSILVDELPPRVSEAQFSNNDLTGRLKITSKHQRLMLLYVSQNFLEGSFDFTSLPATVEDLRLDGNRFEGSVDLSALPKKMIFLDIGQNKFQGSISLEGMPQSLVRLIIGECTFSGRFAIEDLPDGLEYVDGDNNQLIGSLPLTKLPPRSIHFRCTDNELSGTLDLYPLPASLQKIVLFGNKFHGSLDLTQLPPRLETLSLGYNRFSGCIDLCSLPEGIKQLFIEDCDLSGPLDFNNVPASLEE
MGSSDPGEAGEPLDERSQSGSEVFQGDARRFLVDGTLLDTGANMCMAAMMLDRVIHIIECMNPDCDRRPDALAKQFPKCSGCGVATYCSKDCQKFGWKRPSGPHRAICETTKRMYEAWIDVLPLHDTSSDTEKMLATRHFEIEGQKMSPNERLRDRLCGMDLEVTLQ
MVVDLNDDGEVNFLDFVGFALSFGNVFSEPVPPISIASQIAYNAQTNTSQITLSFSQQIRIVTETSLSLTRPSINLETGTPEEEEINLLSTTLDANREILTIHVSSAIPDSSRLSLTKTIFPQIDTTGTVWLIGQSYGVILGLWVYNAYQPIIDEVTLSSPFSPAQATLSLRPFAPTDIDLFTPGVYTQASPMTPASGDTLTETTAQTALEIFLAKRITNTLQQTLSKFNDATLINKMPNPTLRAGLISLTGTLGESGIDAILRGPFG
MNEIIIEKKDKITSLEIVATRIYQRLDVVPELIEDKKYMFQAIYRDLKDRFGVASYRDIKRKDLRDCLEYVSAWIEPADLRSK
MLHEGPSVTVPTNDDVVKATLRTLGHPICYFGEGPYERRIRLSTIIEEDPRESQENSNRDIEQKIERFFTEGDEDLVAFRKTIAAYSIPLSYKRLKNARTLRENVDILQMEAHICEYLLHISRQMTLKYSEVADSRPLMGCKFSPENKKIATFGVSCNIKIWDSEFLNLVEIFEGHKNKINDIDWISTDIDLSYIVSCDANSHIYLWNNNGVIARRFEDHEDTVNCVKFHPVSKYIISASNDETWRSWDIEKGKQVVIQEGHSRGINRLDIHLDGALLATGDLGGIVNIWDLRSGYLIMPLTEHVGQILSVKFSPRCGVTLATASSDNTIKIWDLRNTKIPLQHCIFGHTKRISEVLYEPKYGRYLISVAYDGYIKFWTTQDLHNSLYNGKETNLSGKKYTCFKILVSEDHRISGIDISPNGTQIASVGFDRCVKLWSCSEIFNGVNVDRDYVF
MVTIWSASKIRSRFLENCKERDIMDGERLPTLIESADVVVDKIVEQSTENVIDDFNKQKQNDNLNINNCIPEMSPLLNTNNQPNTASVDINFILSEYKLNSIYSCSL
MSEVETCPVCGRPVSRKNLSSHIRKVHPKRASTLLQPKAETKLSIGRRSRWSKRVIMYALIGVLIVLVSAAAVEFVSTNTLRMHIHPQLSISILGASQIVPANIGIDQNLWKNHSLDHYGVNGGSPVITRDTSGIIHVESNTVRNFTLHEFLAIWGTSIDQNQVVGNPVRPGQSACILVNGIATQPTTDVGFADKENITLAITNAPQCSATS
MQMLQFPCRGVVDLKIWNAFFVRQPTANQANAMQETRTSVSTTRAVLQMGLVVLLGMPVVAYLWETLNQLLSLHVNPMRLLISLPLLAVFIVWLRWLARQAQRWMEAGP
MSLSSKVQKIRKKKKDAKKAKKASKASSDSANSQSQGKINPITGMTTEAEIETLSEALAGASNLSQNDAASFLKQAQGLRDLMESGQPISMSEMASFKDSTGDMFKKLEDVKGFEQLKNPLTMTESEINQQVDQLAQAMEKAVKVPSDNTKKMLKDTYALMEHLTNTKGDRDSADGETKVRSLL
MSISGVRAKKDQLLLEEIQELDQKKEFFHQENIELSKKIDLIHQENMELQKKAYGKHSVNGTHRNSILTNDLDNGEDSNEPICLQLCQPQHQDHADRQQS
MLKRLLFIPLIVLVIVSVTQTQAGLYNNEEHEPGANNVFLPMMQSASNETEFEAVPNQFVITWKEEVDEIVGLADTSTQGVQVIETIDAINASVIQFPVNEIQTADAAIASLTNDPRVEAIDPNFIYRVTYTPNDAELVRQWAWGPTEAFAAWDVTRGDASITIAVIDTGIQLNHPDLDAKIVSGYDFVSNDDTADDGNGHGTHVAGSAAAETNNDIGGAGFCPECRLMPVRVLGDSGSGSLNDVAEGIIFAADNGAQIINLSLGGGGATSLRNAIDYAWSKGAFVTCAAGNSGSDRVYYPAGYDNCVAVAATTSSDGRASFSNYGTWVDLAAPGASIYSAYVGSRYGNLSGTSMAAPHVAGLAGLLASQGLDNNQIRERLCSTSDDINGTGSLWSCGRINASRAVSGGSEPIPTATTTPSDPTATPPGSTPAPTPPGATATPQPTMPPGPTATPVPTTPPDPGAPSSIINGGFEDGTAPWTMSSNDVHATERAASGSYSARLGGEDNSTDAITQRVQVPETARLRFKWYPESRDMRDRLYVEVKIVDTNRRGYSSSNSSDSRWYARTLNLSAFAGMTVDITFKGQTNGGVPSTFYVDDVTLTSN
ISGLTTPTPAVGPPPPTMAPPKAFRAEYLEVADVRFVDFYAHFEFVGWSPDGTHILVEPHDPEHWKLHQRVPGMNSLLLGLWTMKADGTERRQVAEWATDSVTWSPDEKYIAYSVPAKEEGTEGEVYVADVETLKSWKVADSDFTPSLDIYWLPNGELTFLKDGHIFAVQADGKSIRQLNDIYIAPNTPKQLAPGLYKISPDGQRIAWTPGGKRELWISDLAGLHAKLITDKCYAPTRTTWSPDGKYLAFSADGRYGPVSSDLWVADADGSHPHPVATAEREWDFLAQPAWSPDGQVIAFARGAGSMDLSLWVVNRDGTALRRLGGKELGVVSTPWWSPTGTQVAYDRQRWEPSGLPNAAIITLKQEP
MIPLTKEQQDLYDSSSHCYICSGSFTKEDWKVRDDCHLTGFYRGPAHNSCNLKFKVPTFLPFIFHNLSGYDSHLFIKELGNNDSDINVIPEITEKYISFSKKNSKKFSIRFLDSCRFIPSSLEKLVINLKPDQFRNIQSFISEDKVTLLMRKGCFPYDYVSGPEKLSETCLPPKEKFYSRLNDEDLIDNDYQHANHVWSAFNVKTLGEYSDLYVKTDVLLLSDIFENFRSVCMKAYNLDAVWYYTAPGLSWDSMLKCFKVKMELLMDYDMYLFIEKGIRGGISQCSNRYARANNKFLPNFELSKPQNFFAIFRCQ
MGWGFGWLTPSPRAYFVALVADMSTARGSRHAVFIIAVWTVVCGLGCGRTCDDVLSLRESLNLYDAAAATLSRYPARPLPIGLCLARFTTGPVMTSVLANEVSEPFFTIRHGEADDSPHQLRAMDSAVGRA
MDNARSHFPHGFQRLWIPLWGMALSTSSPCGASQHFASSAALYAMPPLNCRVGSPVHRFGHVGSTRFITAPTQFGQINKC
MDLQTPLICAGVVAVTSAAVYLSSVFGMKERTYEEAIEEQRRRNSLDISLKPNKTVDKPKKEKKEKKKEKLTKKDKPKDKSSIGAQQQSTEPKPTVKESVRKTEHAVGFKAEPEVVLWSEDSESVDISKQRKPSYDKPIKPILLNKSDQNIDLSQVLNESVNGRNSFDQILPKDDLELMKHYERSLDSEPTVEPVVEEPIVYNTTTVPLASSATNYNDNDLSHQHMSSETDSRVAEVLRIESSENSSPARKSRKSKQNSLEGRLSSIICDNFLSH
MSFNDNGYFPTFEKAEHPNEGYYVYWRGGRWGLLTPVEPSWDSFNSYGWHPRTSQRVQLKFEMVLLPPDPEPPQFEDHIIRSEN
MTRQLTTRRTVIATVALGDDVGTASERIDNVRAFTPRHAAPELPPHRTLANQVRSTLAPRMAPAERRAPASATHFAQEALA
MSYDRHDPYYPPPGHCCDDDDGPYYPSSPPPYEEEDHCCGCCGCRCSGFFRGCLAALCCCCVLEECCGFLF
MFTAFCIGGKVKLECRHFDHGKIEHTVEGVTDNNGAYSLVLADNHENEICEVVLVESAIKDCAEINPGRDRARVMLSNDIGIPANIRYANSLGFFEDVPLDVCKDVVKWYVLEDDE
MKMKILFKDYNTKIIYEYIKSLDCGYNLKKKLNIDDKLSKSIIKLTCYNPNISECVLPISIIKFIYTLSEIIILILLLNYYIKMQLNIKRAKHNMNYKKKYCKECELITFQNQFEICEICYKNKVFMYCSHCTDITEVDELNGKCKNCNFINKSYYAYIGVLMYKKYRIKCIICGNYDYISNICSEECKYKYLFKRLFTKRCIQCNMFIDSELNTKFCHYCYFYYYCYWYGYYWYIYPLIFDNYNG
MEFSARMKNNRVSFHKVSRDVERFLIAAHLDSRTSCSVSLAFEEMATNVIKYSYDDSLEHFLEIRIEILEICVIMTLADDGHEFDPTACPAPDISQDLMSRPVGGLGIYLTARLSDSMNYRRENGKNILVITIGR
MKIRVETHATTTLVIPEGRLDFGAAAGFQQHIEQALAGSGTAPAALIIDCAALEYVSSAGLRVFLLAARAAGRGSIMFALSALQPAVREVFEVSGFSRMIPVHPDREAALAQASPRADYKERHAAVNNDAQRLPELAGFLKEFWSSARLPPEQSMPFDLALEEVFMNTVMHGAPAGTARVEVSLVLAGDRLTMTIADDGPEFNPLTLPAPDVTAGLDERRVGGLGVYLTRQMMDDVSYQRIEGRNRLTLTRRVAG
MSEKAATLRVEADLKNLARIREFILEHGTAHGGAHKAIMDLITAVDEAVTNIMIHGYRGKAGPVEVGVWHDGQMLVVQVRDKAPPFDPTEAPPPDLSLPLEERPLGGLGIFMMKQSVDDLTYRSLGDGGNELTFRKEV
MAKLRRRLRVPGDLQQMRTAMQFVKEVAQDAGVGDDGIFQIELSVEEVFTNIVEHGYRYNGADKSVEILCEADGETLWIAIGDEAPPFNPLQQPESDPLASLEDRDTGGWGIAFVRRYMDKLHYHYSNQRNWLILEKKIS
MRNWLQPYSVENYKRKVRSQISIPAVGRRGQSISRDEDSLLPELITPVDEYICGLFSIRKQVTQRFDNLLVDTQTSDQLKRLLLITKSGRVWVDEDDRVLALEIRDILNVAKRRFTNQESKEKSRSIISTIFSDTRQWDVKPPLFDFQVIRDAVPKVSMTPDALSLCEFFESTTVSHVGRSEIGLSVSGWSLEKMEQPNPFICMLAERYDEVILLVEPKSRMVRFVRAVRY
MATKAILITGASGEIGHALIQSLSQTKDNTIVALDIKDLDYQLDNLISIKADIRDRKQLEQIFAEYDFEKIFHLAAILTTGGEKNPQFTHEVNVDGSANLLDLAQQQTEKRGSATIFIFPSTIAVYGISSLEKKIKAGTITEKEFLDPITIYGVNKIYVEKLGTYYSEHYKFLNNDAVIRLDFRSIRFPGIMSGHTVPSGGTSDYGPEMIHAAAKGETSDCFVNPDSTIPFMVMPDAVKAILDLAAADGNKLTQRVYNVKAFSVSAKDIEQELKKYYPKFQTNYKIHPKRLQIVESWPMDINDEPARKDWNWKPEFDFKEAFENYLIPQISKRYNKSDCESLPSCGNG
MLAAASSVALAGGNSAAEPSETAPTIAAQLAAPVPAQQLLPVGTPVRLMVLREITSRNAHPGDRFELRVDEPIFINGQVAVPVGTRAWGEVISVKANGAVGNAGRLAARPMYLDLPQGRVALRGSLSEHGQGYGPGVAMAVVGFGIFGLLTAGDSARLKGGQSFTAYVDAAPGT
MKNLRILLIATCATVISLGCGDVQTSNGNQPDTSESATLANAVANLEIDGMACEVMCGGKIKETLAGMTGVVSCDLDFDKKLATVKFDNNSTSKNDMISVIQELNEGQFKVLSSDEKTLNNSEDEEVFNSNSSEQSVEVISAGNFKFPNILDALTEIL
MKLRLNNITRPLFMVSLVILGACSGTDQAQDVKTNSDVNISFTEESGPTEKYLANIAIDGMACEMMCGSKIAGELNGLEGVKNTDIDFKGEGEENYAIVEFDANTVSEQEMIEAVQAIANGHYKVNSVEVKHVVAGNQTEEEEEEKVSVYKPELEYQLPNIFSVFTRLF
MIVILSPSSHDIRHSVNISITSLRRSTGIWFLLQEIVLYRHTQTICHEIIATAADKNEVYVCLRSVWAQPSLGIEAKGRSFFASGFAVSMPMYWIHSWSLREPQQRHQTQGCVWDTQTSCGGLCSAEEIALSGDPETTSG
MEIQLRSAGYGRSERVAGGVTTAADRASEHRSKMSTSHQRKKDTEATVRRLERDYHDVPAEWAAWGRHQDVPETHERFYAEKVCHEYRFVTWPIGHDRAGAEEIHKLYVLYRNPERGEKVERMYGASVGQTDGDTVRVWCSESDAPDERVEYPVLPVELDDWENYVVLPSIVRDGEPQNFRTSIAVHPEEPRVGDGQEGGE
MQLTVGQLLHACEVLSISPVEILYPVAPHLWGEDQAQAETRLAIIEKLANFEGLTLHAILRFLHHLKTEESGEGQQRL
MPVDPMMKYDPVLTINIAMSEGNFEFPEGEDYQHNDMYDLFEDTMGIHFNNIIQAPANAMKEKMQMAIATNDIPDMASVDATMLRTLINNDMIEDMTDIYNTWASDNLKEVTGQSDNALFAPTLKDGRYMAIPRANTVADLIPILFIRSDWLEKLGLSEPKTVEEMFEIAEAFATHDPDGNGVADTYGLQMDKDL
MQDKSPGQPGDIVTQFLGRVVQTRLRFRELVEQLRALSTPSQGIGHTLITRAHIAQIAQDIHPGQLRLMPIRSNR
MPTYIPVSKLRHGDKTWQCPRTYHFAATDALVPLALAELPRAATALPIALISQNGRFVPAALLGLQPGENLIVAPTGEWLGRYIPATYRAYPFRLLAGEDDQQVMCIDESSKLIGVMGDGEPFFDSSGEPSTGTRAMLDFLNQTRLSHQAAVRIGEALETHGLVCTWPLTVRDTAGDKRIEGLYQIDEHALNALPADALLELRNSGALLVAYLQLLSMQQLTELVGLAKLTIDRSTRLQYSGEQNATSETFSFDALR
MSLFDHLEELRLRIFYALIAVVLGIIVCFVFVNPIVRLLEVPAQGAKFLQLAPGEYFFVSLKVAGYSGVGQGYRCISLIASEVEVVVVIVVPA
MKKLVFILFFIFLVGCQNGSFEQKEINQKPKESIEETKKVKIEEKNTENEMMKQKLFDYAAYNGEKSINITIEGKIEPAEFKKVKFTSYGLYVPKILKENMFEDGNEFGFSIAEFISIRPIDSNIVNREIAEEFAIEELVRSPLTKDKNLMIFDEYLFSTINENGVRNDFFFYQHDKNQGTIVKFRYFQSNVDKVLPTFLEVIKHIRYVNES
MDKDKDFKVSRDEFNKAVGKLPKGSRREMALLRDFQRIDKDKSGSLSREELMESMHARNTSLRKDTIEKIFEETWKDDDTEIQYDEFLRLLNFQESESVLKQLFTKLDKDGSGELSVEEMREALNLEGEIARLRPQILKLLDEQAKDFLGKKIDFHKFVSIWLQQKETVRKTPTASNPYV
MPKFMKKFDADGDGKITEEEFLRVVCKLPEQELKMAFWRNVFDDVDKDKSGRISCVELHGLLQDMGFSVELGELRKWIQNHDKNSDGEMDFKEFVEFMSDASH
MDSERQQFLRKFREMDIDKNGILSKEEVKSCLRASGFDKKFIKKFLATFDANGDGNITEEEYLRVVCTVPRKEKELAFWKSVFDDVDKDKSGKISCSELMSLLKDMNFDCKLSELQEWVNRHDQDLDGEMDFQEFMNMMIEGTL
QKALAELEELFNHFDQDGSGELSTAEVGELLGTMGTNLMPEELEKLVKLMDKDGSGEISLDELASVMLSKRQMTNKNIKLTEVGEELFAMFDKDGKGEISLDEMIETFESIGKNWDMDDVVSFFELIDLDGSKSINKQEF
MHSGRDCGASIKRILFNPELPSAMQAEFGYDATRSLFGASMPTHEWFQGTGTCGSLWDEPWADPLGPQRPAHDPSDKPGEVSAAPVWHSNQRRASGRVASLYGDSDHNCNMKMEDGDLNSNRITDDILDICDAYNSGPRPSASDAMNFRSESSVQVGTHFSEDGSAMRLESGIDMLPLTNEVSSFWDVLYEDETDSNYALSEFVFEDIFSQGADIIDAQPTRPIYSESHGGMLNGGEQATEGVELAEDVGEADGSNPPAAADGSGETAFAGNADFLSHDAIVPNASPSRLERDEVVKAGTSEESSTGGRDEGGGGRKRVVRSRKRQKDTGKEKEGLLTTLAARNKYLKAEAERLTEEVAAAKRRLIASIVGSP
MIKGVIFDLDGVLCHTDEYHYLAWKKISDSNNWYFDREINNRLRGISRVDSLKVILNENKVDISKELFEEILVLKNKYYLELLENMSKKDLDNGVFETLNYLIDNEVKMCVASSSKNAPVILSKLGIKDLFEIIIDGNMITKSKPNPEVFSKAFEVLNINEKECLIVEDSKVGVEASNILGIPSVYMGKEENVNATYIIYKIEDLIEIFQGDK
MKYRGIIFDLDGVICHTDKYHYQAWKQVADQLGIYFDETINNRLRGVSRMESFEIILERYDGAMSEEEKERYTAEKNEIYKELLKNMSPADLAPEVKATMDELRKRGLKLAIGSSSRNACFILGQLGLDGYFDAVSDGNQITHSKPDPEVFLLAAKLIHEKPEDCLVVEDARAGLMAAKAGGMDGAGIGDASDCELAAYHLKSFEELLEACNS
MMSTHPSSPCAVIFDLDGVLVTTDELHYQSWLALTAKHKIPFDRMINHRLRGIGRLESLDIILEGTSRTFSEHEKLAMATEKNADYRRRLAGLGPDDLAPGAADLLDDLAAHSARMAIASSSKNAGMIVDRLGIRHRLHALVDGNDISASKPDPEVFLKAAAALNIAPSRCIVIEDAQSGVEAARAAGMRVIGLTAAHGEGNLAGVDDACADLEELTVERIFSLLHTRR
MTRTRSARRPRALAALVAVAVASWPLAGCRADEEPAPASAPLADEVARTGTTEAAAPNGTAEVAEAAADVDAGALVADLAAAISARPSAHVRIEVGDLLTVEGDVSYEGDEPAMSVELTAMGQPATAVVAGGAVYLRQAGAEKYVSVDADTPVVGPLLGRIGGLGPQGVVDALEAGLRKVALVGRERVGGEAMDHYRCVVATADLTEALGGMDVLGGLGGLGAAGAAGPPQRVELDLYVDGDDLLRRLTAEVAGAPVVATFGDWGRSVRVTVPPASEVEELTLPTTLPTELPTDLAPEVRPERG
MRPVSKQKPKGSLPQSNEAVDEGAVADAGPQTAESDPEEGQHEGKGQTQASKLVDLTLFKHRGFLIYLVGNVVMFFGFFAPVVFLAPYAKHEGFDEYSAAFLLTIFALVDMFIRPFTGFLGNTRRIRPKIQYFFSFAVSYNGVCHLLFPLASGYQGLVVYAIFFGVGFGMVSALLFEVLMDLVGAPRFSSAVGLVTIIECGPVLLGPPISGALVDTFGEYKYLYYTCGVLMLAPGIFFFIMHYFNYKKLEDEQKQKAAGETRRHEETVELKTNNEANK
MNLKLRDLIPRWPPSFGSLMLEGFHACCPAGGHLNFPAARPCVGSSEGETSFGVSSLLVEGKKLFKLCQFRSSPTIITMNMNSKHVIAPSQDRVCYSPNYMLVCLSNHVLAFETQGTEVQIICSRFEPRDCIPSHKLTSKIQGMGVQTICSFFEARDRAPSHVPVSRAGILLILVGEIHDDARSTRILRQASGRFGSSGGVISYERRSWRLQAWLSRVAGLRSVRKLVVVSHWDDLMVDMLGKTHHTITSKGVNSHGACCVLVCNVVAEVLTPRGDVVWGEGDVKHWDRCADKLAMRMFVNLVGENVTGQHFGSSPACQSWGRLVQEASMLVSLDARTGIKLCARDTRI
MRNSSRIRRNVDDRERRNKLAKRQEAEKQPDPARLGVSLSWDRCRVIGPKPRLSGSTTRSRGPASPSATRPCESDIRNGVENVGGSKGSSARLEALVLPPRDPEAGRLRGGTEEPRWFVGELKEEKRGSVASRCRRAALTCLVRNSLRDVPSAADSRFRSRNRRHRGYRGDGDVDVGGVVKVVTVVSRRQIERRPKTRGEGGGGGGGGGKEAKLKEEVEEKEEEEEKEADEGEWWGCLLYSLVHFWWGRDKDHLRSQKITRWPSQSKRENLLVFSRVAAVASGRRDHEDFAWSIFVSIRVFQRGSFALIVELSKLEKKETEERSEEEEQEEGCNDAEEEEEEDEEDEEEEEEEEEEENDRAPVKCRQKRRPDTRK
MANNTALNSVKAHIVDANGNPVPNAPVKFAIAGGTAGGSATLVDPDGDNIINTDANGDAIIYLKSPKTGTVDMSASVNGSPIKNATGGLTVTVTFVADNPSTGNPGTVLVTLDDMRVADNAALNSVKVTIADANGNPVPNAPVQFTIAGGSAGGSATLIDPDGDNIINTDANGIAIMYIKSPKAGTVDMSATVNGSPIPAMSGGTIETVTFVADVPNTGNPATLLSPLDDNRVANNIALNSVKVHVVDANGNPVPNAPVQFTIAGGTAGGSATLIDPDGDNIINTDANGDAIMYLKSLKTGTVDMSASVNGSPIKNATGGLTVTVTFVADAPSTGNPATVLSKLDDKRVADNTALNSVKAHIADANGNPVPNAPVKFTIAGGTAGGATLVDPDGDNIINTDANGDAIMYIKSPDVGTVEISATVNGASIPAVSGGTTETVTFVVGPPDITKPATELIVKIDSVVANGIALNSVLAHIVDAAGRPVANASIVFTLASGAATIVETNPLLTDANGDAVITLHSTVAGNITITATVNGETITFGSPAPLTFVPGDPDPSKPATELIVVKNHAQADGKATNSVKAHIVDAHGNKVPKASVVFTIANGIASFVETNPVITDANGDAIIRLTSTVGDTVEITATVNGLPITFGSPAKVVFVTDPDVTAPDTKLIVVANDAIADGVETNSVKAHIVDATGIPLYQKEVFFRIESGDATVLTIQPVLTDANGDATILLASKTAGPVQVTAKVGDKQILHGSPAKLRFVPIDIYVPKVFTPNNDGTNDIVKPIVVGITTFHYFNIYNRWGNLVFTTKDPNVGWDGRFKGVLQPVETYLWIAEGLDKDKKKITRRGMISLVR
MDIRAILHRGRPMDCFPTSEQSMYIRLRAARGDLDEAVLVYAENKFDWTTRPRVERPMELLRSDELYDYFGAELQLGDTRLAYVFRLVSGGEVRYLCEEGVCEDYDWDYGFFNYFQYPYIHSSDVMRVPEWTRAAVCYQIFPDRFRIGEGGEKREHINLKWGDEPTPKSFAGGDLRGIEEGLDYLQETGVTCIYMTPVFQSVSNHKYDIEDYYKVDPRFGGDEALRSLIEAAHARGMKVVLDGVFNHCAHTNPIFVDTSVRGRESPYWNWFFIEGERSSFDECNYKTFADVPYMPKLNTDCDEVIDYFCGVGRYWIEQFGADGWRLDVSDEISMRFLRRFREAVKAAKPEAIIIGEVWHGAQEFLRGDMYDGVMNYGLTKACLDLLAFDRIDAAGFASRLCLLLWRNIGPACEMMFNLLDSHDTERFLTRVNGDARREAMALAVMFFFPGMPMLFAGDEIGTEGGYDPGCRRCFRWERESWDAGLYGLVQRLAALKKGPCLSRGGCRISESGGVVAIERALGAQSATLYLNPSNEARSLSDGTAIEAWGIVIKEKEM
MFLQHLPLAPWAERTHAGLRLTLLSDTPDLDEVHVRFLLDNEETWQPLARTADQGRFACFEATIPWCPTGPTTRYCFRALRSGMPHWLAATGPQRRVPNEAHHFRIARQPLVPAWAQQQLIYQIFPDRFARGPDAPRERPPHAFGYGKAPVHALDWGAPLDVKPTWSAFYGGDLAGIGERLGHLQALGVTTVYLTPVFGSGSNHRYDTEDYDHVDAGLGGDAALVALSQQLHACGMRLVLDAVLNHTGANHPWFNRFAQHGEPGAWQSTESRWHGWYARDEHGRPVYWKGHQSLPVLDYAHPEVLDAFIRAPDAVLRRWLQPPVSADGWRLDAVHMLGEGAGAGNNAAMLRAMRDAVRDENPEAILVGEHFSEASSWLQGDQEDAAMNYWGFTLPLWQWLAGVDFGGRAAPIATADLMSWLGESRAVVPHEVALAQWNALDSHDTQRLFTLLGTDEARHALALALQFGYPGVPCIYYGDEVGLQGGNDPDNRRCFDWSGASWRSGILERVKRLAGWRRERAELREGACLTLAHGDDWIAFARVNATAVTVLVLNRGDATEAVVPLDALPFDIARWTSPSGHRWTLEGRAIRLPLPARDAAWLWSAGA
MREALLKYGLFSLPPESQVPGRGRQAAGGRLRFGKLAGSRRRPPSVRKQALLETIQAKLGQLFFQNRKETHASEKDEKAGQPDFLFY
GIRGVEERNSFIRLEKRVKDFLIEVLRPAKYISCGPEPLVAYYYARMNEIELIRLVLLGKFSGFPQEKIQERINAVYA
MLHDSPTRTTPDAAASGLPSRSSTLLGRLADFVAGISLDRLPEAVMEKAKCHVLYTMCNAVATGTVADPVAPCVAAIGTAFGPCMAWGTTMTGGAGEIAFLNAVSGSYRAQNDFDPLSATHPGLVVIPATLSLAQARKSGGASVLEAVIAGYEVCTRLAAPMAQSLAARGMRPTAVVGAMAAGAAAARLLGLDVAQTANAIGLASQVASGTLQCWTEGTPEWRLQFGTAADAGIRAALLAEQGVVAAQSGLDGSQGLYCSMTGKVPEMGFEGWSILDVELQAFPGSAAVQPVLQALALVLEERNSSQGTGAVEITFSEEPGFRGPAMEERGLFQAPDQALASARFMSAVLGVHGTEGLSDWRRYVNSARVANVAARILIRSGQGGGDEISIRMDGAAPVVVPRKVFAGHTWRQTVALLAPSARAWSFPKSLERFVALAGGIERLERLDNVDCLLETIRPAETTTTKDMR
MDGGADRDPAGSGNQDHGVVAEVFPVIGRTPPIRQPPIRRLSWRFVASLQPPSVEDHLDARVLQELTANPRKELRVIPSHDHEEPRSHTKDGTSGRSRVPCACRHA
MFSFPHNAKITGYSTEGLPEFDRSIDARDYRKLNSLFYTNGVIKGGTSFQVVANTGMTVLVKPGAANINGCIALETVNRELTIQAASGLDRIDRVVLRLDEQARTIDLYVLKGTPASVPVAPNLTRPEEFEGDVYELGIADVFVAKNSVSITQERITDTRLNKSLCGIVAGAIHDLETTNYYNQLTQMITNAQSYIQNAIDGTLAGNLENKINKLNPKSWQNVTLSLGEIQSDLTYSKYPFVATLTATKLVGLTCTDKDFISSFGLHPSVEFEGAIKGMTANNTIKLYFSEKPTVALKFDIAINKVVV
MVASYRFSRRGFSEDYSHDLPPCAETGAASFLRFRPNCREQQRSPQGRVDFITNEDGLRDLPKELLLSLPNRVLLLGDSLVEGYWLREDQAIHSRLRELEPHHYFINGGLRGSGPLFQARRLPELIGGYQPQEVITVLNETDITDDQLACAVAKDSSADPRAWEFENINRAPSWPERALGTVFRGTKFEARIVDFFAARRLNALIAGGAGKPCDPCAGLKSLKSSADAAKVQFRALVVDRGASAARELAAPAAAIETLQECLRELRVPFFAIRFEDFTPAEENKYLWGAEKFLNPLGVQYWAGKIHEAGAPLARN
MYENTVKEGKNAMSAVPEQFVGTEPPTDPDCQHPSVELRSDRSYGRNVDTTANSIAHFERLLAEISNAPSTRLVQLIYEESSHLRRQILEGSDDGSLATASSTRNRFFGTTPSGDHEKSTNRSTHSTGSDPHLDSAISLQTYDIASAWDFDKALFFCATVVTTIGYGRLAPKTPAGKVFCIIFGCIGIPFTIFLSSAIVSACIPWLLRWREWRISEPRFERYENVLYNWLVRSSTGPGSQVPISCHVIQRRPALSQLPSTVSRTDEGMVNLSFDEREDSRHLVADGTSIPQHRFIHRHSKSLSSLHYPSPSRMTRSTVRGLLQRSSSSNFTFDPSVEPEVRAAVVAVVDLEPPSSLATSTLAEDNFRKEEVEREIVRTEVKACFEMPPANVVDREIKARFGGRLHCKGCPRSMSSSCSFSSFRASEKLGLFNDTVKRPRSYNSLSCTRCTAESLPKSLKSAPAKSTEEQHERRIETLVFPLGPRDGGDDLLGDDWPGDDLLFAVLSGLRLHC
MLPGLSNINYKNFRCSVYTICTHVILKTTIIKGNTLKSATQLSRYPPFPVAYLAEQFIMRPKKSITPLKRSLISKYQNEYQKF
MVGGCVVCGQDEGYLDNVIIYCDGDNCGIGVHEACYGLTTIPPGEWYCTPCSMNKKPKKCELCPAKYGAFKPTSNNRWCHVVCALYIPEVEFENIKTMEPIILERIDPRRVGCICILCEMSGKDKETSRSGCCMSCHYPNCPNKFHVTCAQYQGYLCEEASATRLKYKAYCPQHIEKLRNPRRTKIKILPPYRPEDPNRKQSNCLFLLNDNENDESNRPTLNLPSPIPASSSMMISPSQQRTSLNSSSDSSKNSIKNSSKETMNNNRLQQRKNSNTVNPNNLIKSSNKSSSSTAMISNSMKKSFNYSDLSNNKQSIQKSQILTSPICPSESLQVPRKRTLSSSSSSSNSSSESSDSGSSSGSSSSSSGSSESSESSSSDDNDSSSDSDSSNDSSDDEKLPNKKKSVAASTNVPSERSSSAIASNLSTSNLSNSQTNSANINNSTSNNKGKPQSRVKKRSSLSTTSDSSDNDNDSNAKTLMNKRPLTTQSSALTATTMTASLSSNTIMSQQQQSQMSTSTSTMSNSITNRASKPTSNSSTLQQSSKLILSDEGSSSESESSESSSSDDDDGGMSSNSDSSINNDKSLKKKKKQTNKASTLATKNSAKLSNNKNVNKIKIDSKQQQSKSSLTIKNRLNKQHPLSSSSSVNMPATMASTTTTNSTIHSSSSSSTNGIIPSSATAVASAMNSQTKRQPLSSRLILSDTGDSDDNDNDDDDEEEETKTDNDNSAKKIRYHIRTECFKAS
MKLYYTPGACSLAAHIILNETGLPHSLEQVDLKAKRTASGDDYLKINPRGAVPALEIAPGTVLTQNVAVLTYIGQQSGNVALHPAPDTVEFFRLLEAIGFCEDVHGAFGALFAPELPDVMRERLLANVTRRLKQVEALLEAGGNGHMLPAGFTQADALMAVILSWAGPLGIDLSAWPRACALRDAVFARQAAQQARREEGLD
MCECVPSPVAFGTPWQRPCAMALVLLVATAVARPVERVAAGAGFTNADAVAFAAAAPWPPPGRTVVLEGAACAELLNASSNVTSAERGATPTDVFARVAAGFAHELRGCVAEGLWGVALRVE
MESPLQLKIVELALSKLSGNGHDSIGAIISDLLISDIPLSKAIELSHPGSLALLDFVWMRSLRDMEHSRWTVAKLLQSEKDYYKWEFSLALVRAIRRADLKMVQRILTHFSNCLEKEVVEEAAKGGHLWVLQLLETDNSHDGIEWGTTCMNNAAKSGHWDLVHWLHQRIGTPIYEPRFHGKIADYAFYQCNVEKLKWVIANGFELSNYLCVDKCDFSKREKCWEVVRYVLSEGHSKAAILVNRAAVEAVETSSLPYG
MKKHNFNAGPSILPREVIENTAKAVLDFNDSGLSLMEISHRAKDFQPVVDEAVALFKELLQIPEGYSVLFLGGGASWSFAWFLSISWKRKLLT
DSHLITNEQFAAGGVHSVRGYYSAEAVGDDGISPSLELRAPSMGNLFANAGPALSWINDMRFFGFADAAFLHIRNALAGQTVNYDRTFRLERESWLANIPLGYSDGL
MTKTVQLIALMLAVALAAGAASYNVTFFQPSTVAGQELKAGDYKLLMENDKVIIQKGKEKVEAQVKVETAETKFSSTSVRYADEGGKMKVTEIRLGGTNTKLVFN
MIELYQLPEWPCARDSPDTTIKEAFADIITDEYLTTACVTISISSPYAEVEKRENLVVILEPYSRPRSLKRTRSGSFKEGKSGFMSEMAAAALDQVTKIQESQMLQQVHNYRPLASAARPVHIYHPLFANFQRMINRSDSEGEPFSSEELDSAHSFIHDCSKYHRSRTGRKDAM
MVVQLIVIHITTCREEVWQRLRHQRLASSSPDRVGSKKSFFPSQQQHPSLTRVYAADREGNGPARHSAVPSIGFVEALLRFRRGAVRDSLSGYMACLASEFRARRGGDGCSKDLPSSSELL
LNAQVVKRISGFGARTDCKYNMAPPVPSILKSFGKPLHGDEYYFTLVKQEIVSFTELRPKGRTDGFGKRCALYATFILKNIEWTGFARASKDSIPGYIQDKLNKPEMKGSFCGRLNEDGTVTIEDIDTL
MVVAIPLIYWGLGILLAGGATISYKKYSDAEDEQRRGRIDLPDFLKGRKADPEPEPEPELKPNNKRPGNAELRMALEALVQTEKKIRDECDDSEEQECPFCKPAVEGSRIQHSFQAGTVRKPTPKARGSLYQHYILPWFGFEADETDGMLRVKIEEWVWRRPPEASWDGMDHAQCLLYEVKLGYRDFIDESLVGTGDAYAYPNPAKPFLGSLERKILAQTQEQYSVVSPEMPKVRLLWVFSDHEVMWQFAFMCRDKGMVEIEYKHAPYHLAPDGTLFVGELYRSGEQDYGYWEDG
MVFLNHLDLVIYSIIVASIFWPFLKILLSVKSFSNSVFLFLSIPFVLFPIPIQRYRKMVKHKTEILKAVNKSNLTLEKKKKIKKIVSSNLRLIAFIFLTDIFRFSSLLNIYIETGKEYCEQRKHMNKKDISKAVYEDTKNFYKESAFA
MIKALLLIFEPIEAWERVVRAQRSLGFILTVFLLPLLGLTSLAEGYGLVQWGRRQQDTLHLKQFTTAEAAAFEIGQLLLSLATLFLGAKLVKSLGETFHGRYGFTQVFTTVAYGLSPLFTMRLFDAFPGISHWVTWT
MKDLHWFKKEFSALISDYRVECKNFKNGDFGDLDRLEFEREDTSGYVDFWSSGWLNIHFINCNNFNDLINVLLSPGETTEKDKAFEKLRRILGQ
MSILTSILKYSFKINILL
MNSAAAFGFYDTSTPSGDRLSGRRSVYASLKSEIDNVMNSYRGTARQRQTGLEPRNSHSNTTEFRQRSFIHSNSNTASFQVPVAGETDEHSYGKKQCTNHSRDHVAVRYKTEDPWSNRVYERGLLQPNKDYKQSKTYGQICIKAGHLERYSQPGSHFDDEDMPPSLRARPGSYPPRLCETTYSSLGQDSQARQSLPPRLVSGSVFSEDKEKISLYKAYRQRVSMVKDRAVKYLRDSFVLNKEERSYFQARRGMAYKSHWSGSVDPLSKRKRHSGVAGERKRQR
MVHRTAFVTLSAGLRNRIAGKRPGDKFACGSHDIKGDPQ
MFCEEELRDMSVLQKGDDYVEVVCGCTSRRHGDAGARLRIFKSGELKIACECYHGCPEDNLSPFAFEKHAGKENNRWKHNIWVFIDGYKVPLIKTTLLKFYNMSPKNAKRPHKLVLHRDEFIKCTKCSKRRRFYRRSKNECRSYHDALANANFQCSDIPFDKFSCDDAEERASRRACKGCLLSPTCGGCTSCVCFGCEVCCFSDCDCQTCIDFRKNTKA
MNDKLYTYTLLLADNNLILGQRMGEWCGHG
MENIVLKLDARGRIAIPAKVMKDFNFHPGDVFFMKPDKTAILLAKAENPLDELAEYVRYERKTGKSIELRAFAKKHKIFVKK
AIGIRHVGQITARDLLKAFGTAERLAEVATAAVDDPASRAEIEGVEGVGPTVAEALADFFAEPHNREAWDDLLTEVRPLPFKGASRQSEVSG
MRNKIVHNDETKGKKAKINWEHLDTVNHIIINFRNTQKMLKDLLDAMLDIFNCDRAWLVHPCDPCADFFTVKVESTRPGYPGLGASDKKIPMTLEMSESMKSVTGADKPVLYDTKSGFGIPDWMADEYQIKSAIRMAVYPKFDQAWLLGMHRCKSDRPWTNEEKMLFNSIGRRLSDGLNAILLIRELKKANKTLMESEDSLAKIFHSSPMGIVILKPEKLEILNVNQSFLNTTGFDQEQVLGKDFLGEDFFIVPETMIILAGLKKKGAFSQVDIKFYNKQGLVRDGRLSSQRVNISGKQRIQITIEDITDYKKVEKEKLAAQCHAAEQEKYALIGQVAGKMAHDFNNILGAIMGNAELSLLDCKDPEAAKTFELILDQTKKGRNLTKNLVAFARDQEPKQDFFNINEKISLVLNLLKKDLKGIHIISYFSASMPELLADPGMIENALVNIFHNSIHAMGNEENPVLKILTLSDDDNILIKIEDNGCGIPKEHQRSVYAPAFTLKNSKDVLNAYDKSVKGTGYGLFNVKKIIQKHKGRIWFESKVNKGTKFFISLPVIKKDLTKKEKTELEKAILHKAKKILIVEDEQSISDVQSLILADKPFFHIVDVAHNGDMAMEFLKTHTYDLISLDYRLPGGLNGMDLYKTIRKKDTRIPIVFISGNIEFLESIIALKKKDKRLDHLSKPCQNKEYVDCINHLFGSIEI
MANGDRVVLALGGTGTVGSGLVKALLDRGFKVAVISRDSKKLEKLERFVSPPTKGNLTTLVGDVGSEEEAEKVRRDLLQAVGKVTDVVSSLGFSWWQGGAPHTQSLKELRWVIETLLFSTFVSWKTFFPLVRDDPDCTYTFITGGAGEKLLMPGTGFLTVGAGSALAFCQVLLDEYPEVPCKLNQLKINMGVATPDRMAPGFLNHVDLGEAVATLVERKKMTHTVFTINSSADLKTVILEGTL
MSNSDRVVLALGGAGTVGSGVVKALLDKGFKVAVISRDSSRLEKLRSFVSSNNKNNLTTIVGNVGTEEGAEQAKEALLTEVGKVTDIVSSLGFSWWQGGPPHTQTLKDLQWVMETLLLSTFVSWKAFFPLVKDNSDSTYTFITGGAGEKLLMPGTGFLTVGAASTLAFCQVLREEYPEVPCKLNQLKINTGVGTPERMAPGYLNHLDLGEAVTSLVERRDTSHTVFTINCPADLKTVILEGNL
MHGYSSSSESDEEYSYRRNKGKIPVPANSSEATKKQKKKLKLPPQQSINRIWKRFSSKRFHKALAILPFDPVLPPTISDRSNELLNEGYERAAEECRRKVRKIIQECKRVNTRYRDPGWDLDWDLKMEKGHCLNSLGRTKFDLSASTLQNPNSTVPKAVKRVHEIYEKPTFMAKIEGSDVKQGSLGDCWLIASLSGLANVKDGIQRICVEYDTRIGIYGFVFYRDGEWIYSIIDDKLYLKSPCWDSPSMQRNLLQQIDREDVERVYRQTYQTGSKALFFGQCKDQNETWVPLIEKAYAKAHGDYASLSGGWIGEGLEDLSGGVTTELLASDILDLDGFWENELSRVNDEFLFGCSTGLLDGGYGEREGISEGHAYVVMEARTLKDGTRLVKLRNPWGKTKKGIWEGAWSDGSKEWTTDVQEELGHQFGSDSVFWISYEDLLRKYQHFDRTRLFRDPDWRCCQRWIGVEVPWKPQYNEKFHFKLTRESPLVLVLSQLDNRYFKGLHGQYSFRLHFRVHEQHRPNAEDYIVRSHGNYLMDRSVSIELPSMLPGNYSVFISVIGERNTNQPSIEDVVKRECKKRVENEKLAQVGYAYDLAHSKAAAHLEAVKALRKKADQKKASDARQKERHRLWEKRHLNRQITKKQGRKNNQKLEAKQAAREEKKRREEELKPKDVGVQTEETKNQEKPEEKKADETKLVEQAKKTESGSDTDKQDVKAAEANGSPQGTPLATPAETPAVEKTESQASGGVSAVTKAEEKSDHKADQEGNVPSSGSSEASSGPSYTPKTDNSVADAADEKDKEKVPVPPATDSKVSGGPPAAAEKKSEDKPAAKSSPPKKKSPNMYVTSDGESSASPIEDWEELYSSDDMTRKPRMNAAPAPGSVMVSKYKDDTEDENEPDPWNAICVVGLRVYSKDEDLELRIVMEGGELEEGGMGEKGGVDLDNAQANAGGARIRKEGEGEDAYEGDSEEERKKGKHKQRRYSVIVQKGKGEEEYESAVESQKEAW
MRRVHEDDDHWPEFGTLTVRDTWLGDGGDGPFEQHASRVQPCGTIARTGHGWLEAAAGDGPVAVRMELHDSTPPEDTAEWEDVVEIPYRSRSGAVGLTYVTGGPGDEHLRLAGPGLYRVRVCAGPSADEEVEAVWRLCFWPVAEVEPPRWSARARPAVPVGDGGWRHLFGYHVTDLLFAAWAARDADGVTTIDAVRQWGKRHHRGAGWLDGPLPEPVHRELDPVDVAAQLGVPAPTSLRDLLPVFAAAGLLVADGDGYRWPEVEPKAKDVLDLSPARRADLVLREELDRFRSFASDLVSVALWGGARQTVPGLAARTLVTEDDVRATLDWAVRHALLAVDEKLIRDLATLRFLDDASNVLLVGPPGVGKTMLATALARGAAEAGNRVYFTTAADLAARCHKAAVEGRWSYCMRFFAGPKLLVIDELGYLPLPGDGASALFQVINQRYLKSSTIWTTNVGIADWATAFGDATVAAAMLDRLLHRAAVVGIDGPSYRLRSHQSTSDKLRKAVNHAG
REEEEGRRGGEGKEEKREKKGGKKEEEKREEEEEKKKKGRGDRRGGKKRGEKEEREEREERGGKKKGRGKKEERKGERKKRRRGEKKRKGKGRKREEREEEREGGKKKGGKRKGEGERGEKEEG
MNTFEWEHNKDERQKTPQADFSRGFTGCINQVACAALGEVRVPQPSSIGAQLLAPKWMSRRVPGLPGNQVLVHDIVIASVNCLPEIKDMSRNMQKSGTKYLFFFFKIGSITYRKVDNTGKRSNLTRTQSSLFVGQKQNKKKKKKKNAVGGVSRTWGSG
MTNLSRRQVLRLSGVTLALPCLESFGDSARPDEVKRFIAMQMPYGFLPNNLYPDRDIQGSSPYMSALEDLKGSVTLLDGFHNPGVNSGHDCNFYFLTCGRKDAGKNTISLDQELAAKYQGETRFKCLVSGIGSRAGMSYTRSGIKIPVQSDPAKVFARMFVGGSAADAKRKMHELSEGKSILDSYSFYASKFNGLSGSDRSRMDQYLTAVREVEKSLQASEAWINKPYPRVEKIALPNNRQSGEIRNFRLFTKLFRLALENDSTRIITYDFGQLRSRLDIEGVSDGYHEISHHHNQQNKLSQLKAIELEMFTIYSDFLKELKDANLLDSTICLFGSAMESPNNHSAVKPPVLLAGGGFDHKWHRKLEKRQPLANLYLSLFDRMGHSKSSFGSSTGQFVL
MTTTSSLPTTTEVVVDGLPTTAEVVFAILNDLDRHDRAAASAHYARLAAACADPEQTFLAMDTVTVDGTTIDLFEVYDAGESLTGIVLSGHHDTATALAAVRAYAEDYLDDIFDDLLGETSRREVFQDASRLHHTHLRVFTFLGEQNWLLTDTPDGHDLPVTVFTPPV
MIIWLASYPRSGNTFFRILINQFYGIKTYSIYDDPLFERLTGVADVVGHQKREISYEEMMASEKIFFVKTHHLPSDDCPAIYLVRDGRDSLVSYAHYILSFKNESLRKAYKNKIKSMFGWNEFNEILKKLIISSDCDYGSWSQNVYQWNKPSKFTFTIRFEDLIKEPLHQVKTAIEALEIKGDFSRINNQLPSFAELHETWPQFFRKGKSEAWKREMSQELQELFWEHHGQVMQKLGYE
MIVWLASYPRSGSKLIRAVLRQTMSLVTYSDNRSRRPFAMQPGDLARYCDILGLQERAVPWDQFYMEATKCRETFLVKTHDPPRDDQPVIHIVRDGRLALQSYLLFNRKVHSHHLKTLFDLILGDDRFGDWSKHFFSWAERPSGRYLLIRFEDLVNPSDSLLCNIATFIQYRGPIQKWRNPFDELKRIAPWQYGNGDIEWRPNELWSPKTSWAFWMLHGRLMRALGYATHETVRQETSATPAGMAPYLLSRTAGLLAKMNEMARMCEQKEAVIQELKAECTNRLALIKRIR
MIVWLASYPRSGNTLTRTIFKNVFGIETYSDEKKPDQVWKETHDIVGHVEFDGNWHNVYQQMKSSNKVYYVKTHLPPLDNSQAIYIVRDGRMSSISYYHYMNKFFPGANRTILDILVGNDYYEDWTTHFNHWNPINRPNTLLVKFEDILLKSDQVITKIENFLDIKKITEWKNPFDELNNKDPKFFRQGQTSWQNPNEWSYLHEMVFQIKHLPLMNMLGYNYDAHVAENIAEKDIQEILSMSEKILSDKKMFEQAAYERLDLINRLNKQHKGK
MDARPGGKPVSRVPLVLITGFLGAGKTTFLRALMTALQARRTGFSVVVNDFENAEVDATRLRSCKATVQAINGSCVCCSSLHEFMAVLGDIEVPRGGVLLVEVNGASDVMSLIAAITARHECRRFTSPLQVTVADAQRWQKRGPQDELEREQVQTATHSVITHVEEVNEERLAQVRAAVKELSPRAVECSAENLADYLRLVAATARLGRQDEPQQTPLLQPAIHHHHHDGERAFTSMRVDLPFVVKRADLEQVLLELPEEVVRVKGLCRLAEIPTVPLSFQHVRPQAETWFLPLLGTQGIVPTGVIIGVGLPAEEISAQFLRLPAADLLPDYQP
MRAGVAMAGRGGSTGTLAGPAPVRPESGGGAVAVSGSPRRPDPAIAWPVRYLVVWPLRLVAFAVVLPLRLLYEAVRLAGRGLRTLLWDWFGCPVLTALWRFVIVPIGRTITWGWRRLLVPALRALWTALRTVLWDWLIVDSARMLWRYVMIPAAKVLIVLFDLLVVRPARWLRRPLHWFGRTVLLPVLRAVAAVIRAAAHVVAVVVRAVARAATATVRGFGFLLRLLLVRPAVWFWRTVATPTGRAFVRTLRVVVRPLGWCWRAVLVPAGQAVGFAWRLLVVAPLSWCRRTVVRPIGRAVGATMFVLVVMPTRWADRTVWRPIRDALLPRGGFGHRG
MLGEDFKIFIHKNLGYHLCFVNDGSKDKTLQVLQELALGNEDRISVYDCTKNGGKAEAVRLGMLHLAKQSQFNYIGFLDADLSTNFEDFNDLATTISNSNFKIVSGSRINRMGADITKQSARAIISKTINFFIRKTLDMDFKDTQCGAKIMTKEIVEKTFQKKFLTKWLFDVEIFMRMKKIYGHKEAQNLICEQPLKKWIHADGSKLSMKDSVKIVFQIFQIAFHYR
MSEGSKTILIVENDQDIQDTLREFIEHMGHVALVADRASRGIKVIEGMPVDLLLLDIHMPGPHGHHMLTYLKNRNRRIPPTIVVSGYLDKELVPTLVRLGVSGIVAKPFNSQRLREEIDRVLGRASRGSRLCLRCGQPIQPGDQFCRGCGNEVSLRQKCHRCDAEYEPEDRYCGGCGLKIELLRSFEADVH
MGDIYCGGCGGLGGHSQRCPETPGWIWRRLADVAERIAHTTGATPEMQNTAWAAEAHCLQLAREAQG
MSHVSAQPLRSGNSGANIALILLAVLVIGGFGWLLTRDGEQPLARSPMGFAGLVHWAKLQGSDVQNLEGVGMEANRVGLRILPLFDTDLETFFEPPEDRAAYLRTGTEFNISLYIVREKVEIVPSLVIAPKWTRGARHSGYAHASLLLEVADNARPFEQLGFGQDMLTRPDMKLAQLPGTLPEGQRHTATIYAPQLFRRDLPQACSPVFDTPFGALLITCQENGTDTLLLSDPDLLNNHGLGLGGNAALVADFLGPYVAEGTVLVDMTTAQRIFPERPELPRREWSDLLRFFEYPLSLFWAALALAVALGLWRSWRRLGPPARPFNDDIGASKSVSIAAKARLMRAADRKAHVFEAYVQSRLRWLDHRLHGHHGHSEDLLRRLVQQVKNKDPDLARSFGAAAAAAMSGQSDTSATALVAISDRFEAEIEKVLYEFGRTSRTS
MDANKILNSSVLDIIFENRNKAYGAYDLRTTYARRIVIALVATLIVIALFLVGFYLSKNKPEVKKPVMHVADVNIQKFNKEPPPPKKVQPPPPPPAAAPPKIEMKKFTVPKVVKDDQVKPDETPPKQDEKLTIGPVTQHGLKVNGALAAPPEVHGIGGTGKGPGTGTGTGDYDKEFTSVQVEAKFPGGMEAWQRYLRSNLRENTPSDNGAPPGTYKVIVSFLVSRDGSISEVKAISAPNPDYGTAAEAVRVIERGPKWIPAIQNGRQVTYRQKQGIVFNISE
MANYFHATXNSVLLNIRLTPNARQEGFGNVVFDDKGVAWLKVSVRAVPENGKANKALITFLAKRLKLPKNTIEIISGHQARTKKLSIENATDSLINSLKEWAKN
METWRIFCFCGCAGTPSGGKLAYMWEDERETILRKGSVVITVSVLPGRSKTQARGVLTDGTVKIEVAAQPEKGKANDKLSEYLADAFSVPVAHVRILSGAHGRKKQIKITL
MGVTVIICAGAPHPALELIPTYLDSADQVYFIGVDRGAYHLMQQGYPVDWAIGDFDSVTASEYEELQAYCQQIERVSSHKDDTDLELALAYVSQMPNMTACYIFGALGSSGGRLDHLILNLWLAHQPRFSSLIPRCLMIESDTVVQFFNPGVYQIQPGTNPQYLSIITMSPVKGLKIKQAVYNLSPTDLDYPKAYISNEFIDTSTPIQLEFHSGMVCVMWVKECEKC
MSNNLYKSAALAHSFSKFVKLKVLSMGGPLVMGLKKADLQPLKNIKLQEFAIKCSSDLSYYEPGSLEVIQTRDMGFDMAIDQRPHALPYMLQDIANKTFRAIQFRNIFEFMYYMEEMRTFSRLVFHRGKFNENLLSMALMNLQDAPIKRLRLQYIDFARSPTFVDSGAGSSITDLALDKLDLW
MRRRGNVAVETLNAAATAIASLENRVPHASIRRRKWASCWSPYCCFGGSYKNTKRIGHGVFRPEPSRPPPPPIVLPFTAPPSSPASLVPSEPHSSAPSPTGVFSANMFSPIFATGPYAHETQLVSPPVFSTFGTEPSTGPSTPPPEPARLTAPSSPEVPFARLLEFRSFDQFVRGKNEDTDTDTSEEAHTDGENERNGLENRKVTIGSEKDFNFENMDGGSENCSFLFPMVQTGS
MYAYEPSTACFEALVCGCPIIYLPNDLLLPTRFEGYLGSDGSAWGADLSLIEAAKKNVHLAAEKYEEKKHIFWEQLENFVEITQDRSSKNRINRSALGELPPQDISIEKYRHWLESRNQVLTDAASHLHLEPIPEDALPSFQLFIRLNPGDETRLADTLDSLMQISGHWLLEIITTLPSPEGLDEIPCIRWHTVSANAESKAVIDQLAETQNADWLIELPAGAKLDQFYLWRCTKQIQYTPDALAFFVDDDCCNEKGVRFSPRFKPGVNPTSLQSSDLAGPICVRRDAWLATDGASHHPGSPWFSKLLGISGKFGWDSIKHIPDVLITYPDAFPSHTESCVLTLVQEFQDRGIECEIVPVSGRSWCIRHPLNQPPSISVAILTTGQLDLLSRCLTSVVEKTDYPNFEIIIALTNEYGAPDLDIWLKKLDELNKPKVRLVLTGATGNHAARCNAAAASSSSEFVLFLKEESVVIQGKWLYELVRSGTQTGISGVSPRLIQPGNAMIENTGSILGLRGIATSPYQGKVKLGEELGYLDYCHVARDVSTLTAACMLIRRESFLRAGGMDEIDLGNHLAEIDFCLKLRKNGERLVYQPLSTIVSSNPKEALFDLDPSHVSRLAMDEDHSKKILSKRWYPVAAVDPFWNPALSLAETIPTPELEFHARWQRIPTHLPRILANQLPNGQGDYRIVSPLRALSKAGMASECLWPQRIKGEARYNSISEIARLNPDSIVVQHYITTPALAALNEWDSSGCRPFTVYSLDDLITDMDEKNPMRKGVPANARARLQYALKRCDRLVVSTDFLAETYRTFVSDIKVVPNRLEQGQWINLRNLRRTSKKPRIGWAGGSSHHSDLVLLQTVIEQTRDQADWIFFGMCPPEIRPLLAEYHRIVRFSDYPAYLASLNLDLAVAPLAQTAFNRGKSNLRLLDYGILGIPVVCTDIDPYRNSPACRVNNTVAEWTEAIRARIHDPDAREREGDSMRRWVLDGYLLENHLAEWLEAHLPG
MPRFALRVPRRRLTLVKILNVKTTDAEGHRRPVFRIEKGAGRKGRGRATATNQRYVNKPLKVFQPPYEIKQSPGRSGALVTPRQKKMSERSSQKFLIKALPSRFVSFNFIPPKARRGESYVQLGTLGGLCVCSGHC
GIRMLDGDVTDVVEAKSLGVRPNYIDIYSASWGPDDDGKTVDGPGRLAKQAFEYGIKKGRQGLGSIFVWASGNGGREGDYCSCDGYTNSIYTISVSSTTENGYKPWYLEECPSTLATTYSSGAFYERKIVTTDLRQRCTDGHTGTSVSAPMVAGIIALALEANSQLTWRDVQHLLVKTSRPAHLKANDWKVNGAGHKVSHLYGFGLVDAEALVLEAKKWTAVPSQHTCVAFTDKRPRVFLRRHPWVRLHRAPPTAQPRCLLSTGWWGTSESVPYGRVKLLPPQWAEPQRPHLAPTVLVGDLELPPLLDHSNEGFSNWEFMTVHSWGEKAEGEWTLEIQDMPSQVRNPGRQGKLKEWSLVLYGTAEHPYHTFSSHQARARMLELSTPALEPPKAARPPAPAEGPEEEEDYTGLCHPECGDKGCDGPRADQCLSCVHFSLGNAKTGRRGVICKLVGTPSRITTNQQAACAAGAGGRGLPASGTGAAHGGFSTHLCWGSTGLRPTSLGRWIEGLPHCDRAQARLEDTPSSECTNFVHQATSLARSSCIPDCEPGTYFDSELVRCGQCHPTCKTCVAGPSRKECIHCAPNLHFQDWKCVPACERGMPGGESTGGPGLVRKCVRRSSGRPEVVVCGARARRVVVVRRPARVTKASGVAKHTCSHSEETCEMVKSNWLCECKLFIQLCCRPLGG
MNAKLITLLAASLVTGCNYADASGPAQEVEVSINQAKPFVALQELSVQGKLYPHEHEGTESIGKAIVWLEGQEDCSLQVEVLQVNEDGQQWIELGEIRFITPDDRDLGAPDFEEGMTSKIVAELTTEFEEQLVMAKEAV
MLSTEDSMLCIERFRAVEHAEEEPIGKAKECSGQHHLEGEKDENKKKHHHHHNGDYIVDDDGAKIETLMADVEISLTDDEVREKAAFDDFVQKIDRETPKTDIIKRRHEKKTERKARKEKKKVAKQMIISRALQTPSTPAKRFPTLLVLDLDGTLVHSEFQRRTYQQHDFSLFNEEIFVYKRPYLDYFVSTILEWFDVAVWTASGCEYAAEIVRHVFPDPSKISFLFSSERCTNKYCPATGERIVIKDMKKVKRRGYDLKQVLIVDDTPSTWQRNYGNAVHIESYWGSRIDDHLLHLLTYLEYLGHQGDVRRVDKRRWRNQVLGSMIPASSTTHPTQPAAEDSDSD
MEFNRNGLSLNADFKQYFLRVSYDRIKNTYDEFEISSLFEVAGMSVTDKYPNSVHTSSQAMNNTQETTNEPFEIIDTSDEEDEATKMERAIRYDGHGISKELNDYGQFDDDESYTEMSDTKSNVFDSQEDVSNNRDEDRIGNGMDNAMKAEEMERDDAISMVGITMNTTSQNTLTVNLSNKSNNVGGAKRNNMTGRSNGCPRKQTESLRRKSSMSSKSMGPKNRFQCKLCEYSSNRKGDFNKHMRTHTDSRKMPCLLNIV
MHIFPYSIRPGTPAAELAQIPAAVKEKRAARAATVADEMRKEYLEGCAGQVYPVLFEQAKGTRFSGHAPNYTEVLVPGGEGLHNRVLRTRITGTEGFSLLGELEETP
MSAPLVSLRRTALLLRDVFAARTRRELCAAHAALMAHVRDGGPGEPPDDAEAARLEYAFNRLFIGPDKVPAPPYASVYLDGEGLHMGASTLEMRALLHSLGRTVPHGGQPDDFLPYELEAWHGLTCLLHDAAARPAARDALIWLCEEHLGRWLPVFLGRARQAAPPPMLGEVLNALEAWLAASLQRSLYEEA
MPHTTSLPFLGRQQDIAWVRGLCAAAVSGRSAVAVLEGGVGIGKTRLITHALSELDGFDLVAIAGIEAEFELPFAALQRLVLARRAVLDELSESHRTSLLVAAGLADGVIADRLAIGIALLALVTTLTAKQPVFLWIDDVQWVDEESLSAFAFVARRLLADPVVMIYCRRLDTDESVYLTGLPVHRLHGLDIEPAVTLLRSVVDTKVERVVAEQIALATEGNPLALRELTSELNQRQLDGAALLPEPVPLGARLQAYYLRQVKLLPEQCQHWMLIAAVEADGNLRTITAAAESAGLPRDSSGAAELTELVVVTDRVVFRHPLIRSAVYAGATFDQRRETHSRLGRASAELGLEHRALLHRAMATDGIDDELAERLTTAAAVSGQRGDFATRTRLLLRAAAASTAAGRAARQLAAIQSAIFSGAFRQAHALAADIDEQFLGPDQRAELALLRNEIRLPTFEPGALGVRAAAFLRLAVALEDAHRHAEAVGAIAMGIRSTWLSTHLTADAAAAELAYVALRLVADDVPDATVLSLRCYAEFVLDHPTALATYRTATRSSSRTLPPTCDTCTAIAAPSCISPPR
MRVGLLGRHDELARLREVLDDARAGSGGALVVRGEPGIGKTALLDEITRSLDGVRLVRADGYEAESSIAYAALHRVVAPWRDTVDALAPAHRRALRTVLGLDAGYAPDRFAVGLGVLELLAAAGRDGPLAVVVDDVHRLDAESAAALAFAARRLDAEPVAVLLACRDDPRHDAVVAGIAALRLQGLDDASAARLLTSCVREPVDPLVVARVVRATGGNPLALVDLAHDLTARELHDSGLAEEPVPVGRHLEAHYLDRVRGAATDVRTWLLVAAADTTGNLEVVGRACAELGVDARAAEAAEDLGLVELGPTARFRHPLVRAAAYGAARGRERRRVHAALSLAADAAGTPELAAWHAAR
MPLPENLVAALVTGGVIGDGTGGECVRGIISAPDGHMLISAEPIRRSDSTGPSRGTLIMGRYLENGVLEKMKKLTSLSIAFFDYGDPAAREGLVPVDASLEGASSAAVGFFHSRDGQKISCIGTVEDVAGTPALVLRTEEIRRTFLHGMVAIHYYLILLLGISLGTSLLLYAFLRGHIITRIESLRNTIITIADIGDYSSRVDIDGDDEISDLSVSMNGMLASLERGQQRVRESEERYRQIFDNAQDAMIVCQCTENDQHIPLIVNVNAETCRLLGFNESELRNSSPAGIIEPDFEGGFPSLLEFLEDQRTVRVNGALVSKTGVRIPIEMSAHLFAIRDIRTFIIVARDITERRVYESMRQEAFEQIDKNMEQFAILNDEIRNPLQVILGFILIDECAHQHQILGQIEEIDRIITELDLGWIESEKVRKFLRKYHGEH
MKAPRVNGKRMDEAAGERRRFPRRSCPPGRHRTKVTKGPGSRAAGLAMAFKLIESAQARWRAVNAPHLVALVRGRAVFTNGKLLERPDDLAA
MYCQGTESGVKDWVSTLVKKPAEKLFDDGIKQEQKVPYGKLEEIETVKEYGATMEALGVRSWWRRGMGYMGET
MQMIEKMTSKRRLCCSCCSKCQRKWDSVIENAFGKLGFFISTYPVIIMIVCIVVNLLLTIGIVNIEVENDVETLYTPMDSQAIKDRSALRNLYGDSTNSSFRPYQLSDFGLYGDVMIISKNKSTIMNQAYVDEINNINSIIRNTISVSDSTGQTYTYDDLRAGSNSNEGIASAVVLMDTFQKKFIIANITYPFDGDHILSPYLAQASHETGKLNSALGVKLQYYLRQSDVNAVELSKQWEKAFVSKLESLQTNLTDIAFAYSDSLGTELNKNTKSDIGFFSVTFVLMMTYASLASFTINCNNVANRMNLGIAGVIAPLLAIASSCGFVSAIGIQFTNIVGVMPFLVIGIGIDDMFILMSGIADAQPLSKISIEERVIFMMQKSAVAISITSLTDLLAFVVGATSVFKSIRNFCIYTGTNLLLFTI
MLSFKEKLKKSSPVIGTWVISPSPHSLNAICSSKLDFVILDQEHGAISNNDLLPLINTCKSNKVSCLVRPSSIDKYAIQHALDQGADGIQVPNIESKEDAQKVIEFSKYPPLGSRGYSPFVPSSDYQNNGSEWNYKMNNSLVTGINVEGKEAISNIGDILNLSNLDVVFVGLFDLSKAMGIPGDVHNIKVTNKLKEVINEGKKRNISIGTIATSLDHMEELINLGVNFVVYLVDMNVLKDSYLNIKNSFQDFIN
MNIFHSLDNKALSISTIRNSIVENNDNYIVESDDDEQHIYETDLAYLCGILNKIKELLEESRNKLKCHLWLNRSNFKLLEKVYDDIRSLKNRQTTPRTWKDLGVV
MLSSEAQKNREILLSDDKDFSASLEMTDLPNTITKCLERQVGETPNPKLQTLNFFPILKPLRF
MTGHLRTFGLGVTALSTAMAMYVSGTIVSAEREQIEDLRVSIAADRDEMEELTAELGVRSSLARLERMNEKYWSLRAPQVGQIVRGDRELARLLQPRREQESSDDLLLASYEPAAPGSASVQLAASVEPAAPAQPKLMPAVVRADRTETPVPLAATSDEGAAVSAPKPLQVAYRQPVHEPARPDVDLFSDSFMADIDTAAALERASYGPAVQ
MDFLTSQKLYDKAPEVLSRFAQDLFDYSENFESEKGQKISEENDENNESNKNHKLMLEKVEFLFQISQSKEDTDLAVKTAIKWAKSAIECRKDRGKASFEIG
MAGQHIAAVHASTVSSTAPCISLYRISIIERKPAVPLDISVRDLPTVHALVIRRRVTRDEIATALAECLPVVFDYAQQHGLAIAGPPFARYPEVGMGSLTIESGITVVEPPSTALADGIEALVIPPGRAVVTIHRGPYDSLPESYQRIERWIRDQGLSAAGAPWETYLTDPGERPDPATWETEIVQPVR
MEFSAGAELWLEAVTAVGAAGGLAGLAAVIHSITHRHSAKRFELKLGGLTVQADGYSASKVQELLNGALSDQARVDSEWSKMIDDSRDPEEPTE
RREAAAAAAGSGPAEGAPAKLPRAPEEAPRAAEAAEARLPARGTAGTREEEAARRELERRRSAGLSRRTPPLPFRRSTPASPGANRDAVERRAATEADPSGGGRRRGAAMAADHNDRVMRAVSAYAVRSHLALPTAPAAALCRR
MKHICTALFFFLFLSAHCQPVIYVTVGGAGDKSGSSWSNALPDNQLSTYLATATDRSVFWLSAGLYKPGILTNNTFLIPSGVRVYGGFTGTEVNLSDRVLTVPSSTTLSGEEGDPNTSGDNNQYVVTLVTADTTTLLNGLTITRGSSAGIINRLNRDIVKNSQPRISHCSIIANRVGMVNEGLSRSECSPNLTDCVVENNQEGGLQNSAANLGVCHVILANCSFNANSSDYGGAISSDGYQFGSAKITATNCRFTNNWSRYGGGAIYVRGNSSSSLALSACLFTSNQSTGDGGGVSYALDGFGSGTATMLNCLFANNSARYGGSLYYTSGSRSITPLLTNCTVASNLATLEGGAIYAQNNNNPTLTSCIVWNNSAAAFPAIRSENASVLVNYSNIQGGFTGTGNISTDPLFADTPSGNYHLLQNSPSINTGDPNSTTATVSVTDIAGNPRIGDSLIDMGAYEFKGLEELYTLADGNWNDPSVWSRNRLPAIGERVQLRHHITLPTAYQAWAGQLIYNARGLLVYSNGATIRLMP
MSTALNLLAVQNAAVLRALEARPAEGWSNYAELANHLQRDPSNLSKTLKKLEDEGLAAFNPLAHGLTLAGQQQLAMIDKAEGNTTGDEPGSSSGAIVWLRHAEIAPDPDNARRDWDSDDAHDHLDALRSDIIQNGLLQNMVVRAPETEGQPHILVGGERRWRAIGIAISDGDIEPDTPYACRLLETDDLGVRLAALAENLQRRNLNPIEKARAFEGLAEAGLSNKEIADRVSSTPEHIQQHRRFLQLDETDQQRMTLAKDDPSHLSVREARQKLARKGEEEAARAEEAKTEADIATVASPEAWLTMAEFFHRLPKEATYTYADLVVGAEARGSTIAAELVALGWLDLSDMPRGYGQLAGHYTARLRQPVPQLFDWQWSSSSTERDAGLAAVYAKMDLKVEGGQYLTLWLRPNPALTEDGQALADAAEQHRANIAAENKRQEAENAARKERWAKARANHAALLTPQSGLPLHHTQAVTKEAATAVDHPLPWSLNHDGDILDANSQCVTSIGGDWRRADDQDLTLGQIIILSVNTAAGLETPPIISTAEAQEADGEGETGEDAA
MKDDSRFKKILEGSKEKGLFEGPLTKPDWYDDERFQNGRKYYKNHVAACMFSMVVSLLSGLTFKSLLDVLVYTGKSDEKNKAYWRYNHTGIHVRSWIYSDVWDPSSEGHKSVKQIRLIHKSVAQRIAKDLKKGDSDKWVSQSDLAFTLVGFVGSIVIVPEGFGVTESQDLNDYLFFWRVMGHLHGIKDEFNPFGGSVLSAKRTVYDMAQFCLLPSLLNPPEKFESMARAVSDNYGLLYPFIRYATDLALDNNGSYGESVDESYREVIAKLYPLDSKEGKKQLAVMKWTYQTAYKYTIFRLIFDFLANFHLELIKLRVRLATWWKNKTGRNSLLSL
MPAVPDRLAAWGQQLVETHDRLRDELDRLLDELDETSALTPDLRSHCVAFCGAVGRHHTSEDGTAFPALAAQYPELQDTLDGLARDHHVVAGILQSIDAVLTGSDDLAQARSDIDGLAAILESHFRWEERAIVAALDGLAEPGLTAERLFGREV
MEKKKQKIALKDIKLSFKILEFDGLRDVSVSNFNEMHHDFINYINNNSTEIGIGICNISGKEDRIIKNHRKPKGVFSNGKLISQNNKIAYLGDRFPNENASSDIIKIGYETSEKIHLMIKYLLENNNSHTWLGTSQYLINWFSDDLSNDSQLDIVKPEFDDLFEDDEEEKQVFIKPNEENKKIGSSFVRGQKLFSNNATYNIAILNENKGRIALKYFRQLQVSQLLKNLESWQENYSWEAKTKAGNYELKKTPTFNEIINAAYGVDRERYLELDNDSFRSDQYQQLVTALIDGKPLPNTIVKKLEDNIRQRQKYSKHWYQVQQVSLAVLQKQYGREFTPMLDHQETDRSYLFGRLLAIYELFEAQRYALDGSSQERVTNAERYWNAYTGQPAKMMTHLENKIKPYEEVLKLNKPGIWHKLEKERKEIIQLMTPMYAHKNFTQSLDYKFIFGYYAEKQFYYTKQAKENEE
MKNGYRKLICTLFTGHPVRRVFLCSFFEKKLFCLKSENQKYFLSGVSNPSFIVENVKIKKFCIF
MKKKYDTLLFDVDDTLLDFGAAETQALGKLFNDLGIELDAEIEASYKSYNQSLWKKLEVGSITRKQLLATRFPTFFKKYFSLDVDADVLTPKYMNYLSMGHEEVCGARQLLTSLKNSEHKLYGRIKRQPRCSESTLERLWIRRLLRTDIHLREDGRQKTG
MHNKYKWLLFDADDTLFDYSAGEIYALEKTLASFGNSLDEQKLSVYREENKKVWQELELGLIDPDTLKFKRFELTFQKLGLNYNPVEFSKQYLNNLGESTQLIENALEVVTALQEKYNLAIVTNGLKDVQHRRLMNSSIANFIEHIFISEELNYSKPQKEYFDKVFDGLNNPSKETVLIIGDNLNSDIRGGIDYGIDTCWYNPAKNSLPENFKTTFTITDLTQLYNFL
MSYKFLLFDLDHTLLDFDTAEYIALTHFLEEQGVTEIQTYKDYYIPMNKGLWRDLEQGKISKPELVNTRFSRLFAHFGIEKDGAELAILYQQHIA
MRVISGSKKGRSLKAVAGTSTRPTTDKVKESIFNMIGPYFDGGRGLDLFAGSGGLGIEALSRGFEHCIFVDRDFKAIQTVKSNLKTLELTKHAQVYRNDAERALHAAAKRETGFRGIFLDPPYKEQKLKALLTLIDEYQMLEEDGFIVAEHDREVELPETVGDLVMTRKETYGLTGVAIYKKRG
MRIIAGSARGIRLKMVPGNHVRPTTDRVKESLFSVLGPFFDGGKVLDLFAGTGSLGLEAVSRGMDEVVFVDQSVASLRIAKENAQKCDLLTQSTFIRKDARTALKQFALKDLQFDLIFLDPPYHEKLLLPVLQLLQEKSVLSEDGLLVVEHPPSISITFPNSKFITSREISYGDTTITLLQQKGEPTA
MRIIAGEFRHRMLKTLPGNNTRPTLDKVKEAVFSSLGTYFQDDAMLDLFSGSGAIGLEAKSRGFNHVYFNDNSKEAVKIIKENIASLKAQQCYVSQLSYQDCLAQLAKKALKFQLIYIDPPYHLKVVDEILKLVDKYELLDQGGRVVVESLKEEEISSCASIIKYKEANYGISKITYLKKE
MRIIAGQRRGHKIEGPRATAAMRPTSDLVRESLFNIVGAMMPGRTAVDLFAGTGAIGLEALSRGAESAIFVEKDREAVALIHANVAKLRYQDRAQIRLADAYRWVRTHAAELVGPTAVFLDPPYREYEIGVKRIRAVLDQLVEQLPAGSLIVLEAGRILDDQILPDFDAWDVRRYGDTQVAVWLRDEPDANEAAGDEPRSEPEAEGGEEPGEEVGDG
MRIIAGKLKGRRLKSFKGHAIRPTPDRARESLFNIIGDSIIESSFLDLFAGTGAVGIEALSRGASEAVFIESSPSACKLISANTEICGLNIRAHDVESSSIFLIKKEVVPGIRDLERQKKSFDFIFLDPPYREGLYQPVLSLIWKSSILKPDSWTIAEHDSKERLELPEIGLKPFRVARSGDTSFSLFRKETE
MRIISGQWRGRKLPVLDEPGLRPTGSRTKETLFNWLSLYIADSRCLDAFAGSGSLGMEALSRGAAHTDFIDNNREVIQVLRSNLALLKCNNATVQHTDPVSWLNNKKGAPYDIVFIDPPFSTPLWHSTTEALVNSNMLQSDALIYIESPRTEVITVPKSWQLHRQLDAGQVCARLYKFDSTGNQA
MKQVIRIIGGLYRGKKIHFPDVDGLRPTPDRVRETLFNWLMNDIKNARCLDAFAGSGALGFEAYSRGASHVVFIEQSPKAHASLQNTIRQFDTPKLHLIKTDTLNYLKQSTEQFDLIFLDPPYALNYVPQCLDHIITNNLLVKGGLVYIESSSPIEVKTELWKQLKLKQAGQVIYGLFEKL
MKKAARRDEAKKKRGKPDEASEATTKKAAGRKSPREEDDQPIAGVRIIGGTFRGRNLQYSGDLRTRPMKDRTREAIFNLVGIDVIGRHVFDLFAGTGALGLEAMSRGAAKATLVERHLPTRNLIEDNIRTLGLEDRAIAVFSDAFMFARKLQPDEQGTSWVVFCSPPYELFVSRRDDMLAMIAGIVAKMPVGSTLIVETDEHFDTALLPDTIEWRVRKYYPAFVSIAEKTE
MATSKKQKHNLSSHKIRIIGGRWRSRQLEVIDAPGLRPTSDRVRETLFNWLAPVIEGSRCLDLFAGTGALGLEALSRGAAHCTFVEQSRPVAATLKNHIATLKADDYSQLVQTNANNFLATTNDKFDIIFLDPPFTDDVNQWCGHLDQSNCLSQNAYIYIECSKNFRSQPHDNWQLDRSGETKEVSYKLYHVS
MLSCVALTFGSGAIGACMEVLMSIILFSFLSKHRRLKFNTHVFFLQYVLSHDLQILFFIFLWYNSKMNITGGIYKGRKIQAPSENTTRPTLSKAREGVFSSLFSLIDFENKSFLDLFAGSGIMGLEALSRGFDVCFVEKNLKVTSVLKRNFSSLNIHPQLFTMDATKFLNSSTKTFDVIYIDPPYKSDLYEKSLDIIYEKNILNDNGIIIVEHDSQKTFDKFKIIKQKTYSNKFVTFLEKII
MESENLNRRWHTKNCQVVFRESRQNQRNQGSGIVGILRIDGGFLKGRYIKFPDFKDVRPITSYIKKAVFDILGDIKGFYFADVFCGSGIFGIEAISRGAEKVIFVERSKTLCKSILENLVDLGIESRGEIFCTDAENFFQKNDRVFDVVFVDPPFRQKLSDHLMEKIAGSYKVLVIRRHKSTMAQDINLMNSFFGGASDKRIYSDSVIYFFFRS
MYNIYYKRKIMLRIVAGKFRHLQITQPDLKTTRPTMDKVREAIFSSIQFELPNAVFLDLFAGSGAFAIEAISREANSAVAVENNKETFSILRENVLKTKSNQLFDLHYKGALDYLKISKQKFDIVFIDPPYVEYELINQCLIELKQRNLLSENFTVIIETNDAKKIIFDDFYYLYKQKKYGKVEVLYLCKN
MEIVITGGELRGRRLVVPDNIRVRPTMSLIRESVFNSLGDRVFNSKFLDLFAGFGTVGIEALSRGAQSATFVEKNKKSVGIIRKGLEIFDFSGRTEIFNISAEKFLQITNSTYNIIYLDPPYMFDCSDLILGILDKKILADDGIIVWETSVRSNINKKRFNTVKEKRYGETVVLYIEYEESGISREF
MQPGYRPDLSANAQQRLTRATLDFLLDAHPPGMTLALWDANPRDLPYLEEHVNAIVGAVFYGIEQQLSTQPVDPVLIISLLYNESRFSPVAVSPAGAVGVAQFMPNTAIEFDLDPIARTDLWERYRRLRKTERAKRRQAQKEFLRRWGISKFSTAEVIQHALRKDELDALAEYQQLVDAPKPERAALKDYVAGVRAELAKHDFFADGGESLGRLDARASYAAPTAAVDYIARRLKENSGMTSSAVAAYNAGPAAVRDGNPRSVLYGYGDLPAYPETVKYVQRIMVVYSKLRDQLA
MKIKQAQKRTKAGSHFGVCSKDLDAKMHQHLAVARSKIGNFRLS
MFFLAVNVTAFWVAAPIAALLSRRHPLVGLSIYGIIIINALVHMAAALGDGEGFFTSLLFVALAVWVTAPASVQGG
MAYGIGLGHDRTDEDVAYLFYNDQAPDTEKTAIGHLKGYLAFDGKSGVWVIHSIPKYTKPDKHEFPSNARPYGQMALCITFSTESLNDICKHLLFCNPNIYDYDISKSIKETLDETSQSLFSKKPKFIRKPPFVKETSLKSLSDVEFTGFAKDNQDVVDLYSEIIGPKLEINLIVETWRRGAGQVLEPFCRLSTKVIDVQAINMTFKNNDKQIDFTYTQDHSKWAISQDSASSMVCVADLNRMESQGKRGGGAVCFSSKPVWKAFKNIVDDINSCSDN
MSAGLESTDHEPVCSRSLRIFFEFGYRNLMMFLLLYISILLFTSNAANPRCKDENNQVVDWYVLYKLPKVSESSNPMIRNGTAYLYMTNNTVAKGWQLSTKKISAKNSIPGNTLAPLYNDSIASKTFWVLYNDDPPNRSTNAKYGHTKGTVMAHKQEGFWLIHSVPNYPPVPNSGNDIKRIPVNETSTLGNRSEYDYPTSGMQYGQSFLCISVDDDQFDLIGRQLMYNQIIAYRRSIPATFAMMFPVLTDAANQKRIKQAPFISKTLLKSSGGVEFISFAKSDKWQKDLYEDFVAPTLKTDLFTETWLNGRGRLPSDCERVKVYNIITINLASVNIDFKSSRDHSKWAVAVEGKANRTWTCIGDINRADTQYIRGGGTVCFNNRNVWNNYRKAVNDVEPCPKQYKTILI
MAELLIIADDLTGAIETGVQLSKQGINSRVVLDSDVEWGLILIDKNTTVLVINIESRHLLPAEAADKVSQVFNKIKGSGIKWFYKKTDSTLRGNIGAELETFMKSANQQTLPFVTAHPKLLRFTRKGFQYIGETLLHQTDFANDPLEPIKSSFVPDILKKQTAVRVCVSGTEGISDSSSLHTHPKKIIVFDCESEEDLKIIGKYVLQNNWQKAMAGTAAMVEILPQILQLKSSKVNYENPNSPMLLINGSLNNSSLQQVLYANKKGMVTLSFKQNLLKVSNIKSNSDYKQIIKTIKEKFGAGQDVIINTIDLDIPDQKYNFSLDNSGKGHFKSVSKQIGLIVSNILEEIPFSTLGVFGGDTLNGIMNLLGCDSIEPESEIYTGVALSSVYTKFGKMHLITKPGGYGKKDVILKILSHIKKQSK
MYFEPVLYKIQFRRPSETGKFATLRWTYIVLSIIAYFGYSVIQDLVKRDYIYVQHLMFLTGHPSLLPDLLVCTAGATVSDYDRMVFNYTSYPIKSPTVPEDEYVPSPCSSEYDTVYTFRFNFSAGQELPRKNLDEILRRITFATNNTTPIQFTLIHGQSNIYDGKPQKKPDMRGEDNGYASLGLDDAVYNSHYAQIGTKTYLSFHSVFVRRLRGDILGYLNWHGGDNIFDPQVTSTVETVPSPGKTQLVMVIPVTATEEVETLVMSIGDAFGSWGGVLSLVASFYYFLFGTGKMTPFGYVQRFLLRRGTKRIIKKDYADEDKCALDPSDAKEKGQHTQYLYQPPTGVAPFWNASIYQMLDQKDPSRSGVQTGDINGAEILRQLQEQQETIALLRTAHEDVLQRLKGHEARSRHTEHLIRGFYLDMGLIDEALESPGHLH
MAADAEPLEILLHLPLLCEDKNVPYVFVRSKQDSEDFSHPSPDSMDFPIITRSQVLLKLMKLKRTSIAPFDIPVDLNKAFSDASLTFIFNKITMSGQYPQNWEKVFITPIFKKGAPCNFTSVRRVAMTPIFSKIYKSFIAGCPKTCNLHKIDPQRFGNIPKYSASHYLVSFRDKILKHLDEPEHWVNLIAIDLKKAFDLICHRTVIRKLLTNFNVDL
MSQKQKAVLVMVAAMAGQAYLGKVVKQQGAALGLSVVAVSLIGYAVGAALG
MATQWVTNPTPFYMNYQSVIVGGKEVPDVTYVAPMSTAHFPVTGVGGGSVVWKIITDFGAVGQLHTSTF
MDVADKVSWSVSGKTITATNPTPFYMNTSQASFNGKKLKMDKSYIPPFSDEKYPLPGNEIKGTVTWSVIGDYGETREKTFSVK
MPAAAVRFRPGGEPSTVDSEPSFARGRAVAHAVLAWLVALGLAVLPLVAVPAGLLAAGRHVPLSSRP
MDFIFKIKMNLTHIPIEKLISKFRHTGGFNNDIDTTYSIILTIVYCKKMNKCNRSEILNFFLNLLEKIDDKGILSVENELEKLGEIGDIKNKLEYPKDGNIVSTVFNEIFYGKDLYMEQFKKNSKYYFGKPLINNDKIIIKEKTIQDLSKEFNGKLILISGRSRVASYFTLNNLLNYFIKDACIFLEDEKREYAKPSTYAIHKVFNQLKLKNAIYVGDSIEDFLMVERFRDENNRAKIIFCGVYGTNANSSKDLKRLFELKRADIIVENVNDIPNTLNNTKKIKPSIGYGGSRTYSPS
MTDPSIDTAEMASVPIDATKRNDPRAKVTGRGIGIGVLVAVFAVVGAWGSIKARKTQLGETTRFWGPKTIMALQLGERIEIRPRGNSDFEPVDLTSTPGLGHLRRALLEERHFDWTTETASPVLEMCVNASDIENEQVVKDGPFAGKNLPTCLQIRITDPTGKRFEPVEIDLSLVGGWAGPSDGSKRVQTTEWVRPKLYNWFATIMNVQQTRYDLRE
MSFRLRRKPVPPPAEYKVEDKARAETLYATLDTGTPTIRLITLLPGSWSEPITCSLTVTTLSSEQPYKALSYAWNNGYTTSEGILISVNERAIPVGYNLYTALRSSEVVIWLGEKGINDDLGDSILPMITGQRLSRTPEDELARVEWCGDDRDLPKLNVYIQSVSKRDSSFNSNIWDIFGGFCVLYLLSMKIPAAAIVHLRHIDYSMPIVKRLYAIMDQSWTVVARKATIYYSKFSAPWSMFSDATIEYDRTYLLGNVNSVYPYLHNGKPLMHFSRIVTEIETTRRLWLNIQPTSLLPLLRKFGARHATDQRDKVFALLGLVRHWLRGPRVVPDYLLEARQIFWDTTITLIQANGSLSILVGTLQQNSMQWDLNPSWVVDWGSLPELHENIRVGNLPLYDAARGLSGTARVHRPSVLETTGCQIDEITFVGQELPLGTEGQSSRLGLVVSQWEKTLDQLGTTEYVGGGTLSDAFWRLLCGDTEYCKDVGGEFKEEKIEFRRAGTSTFFTYLQWRQVDHSANRRTSIIGGYWKESGHEEGAKDENAFHHAVECASGFRRISITRKGYIGTGPGHVRVGNGVFILYGSRVPFVLRPAGRAAICTMVAVSELFGPEEDKKAFIDLTKGKSDRPESKKLSITCNDIHEGVYHVLEDAYVHGMMDGEAMKGRQADEVSLQSIFLV
MMEQVQSGGPSRSEEPRAREDWSADREKSRERGRHKEREWEKSHECSHDRHRDRDHREDRHHRDHDKDREKERDWGRDCDQVRDHDRDRGGDRGRDYERDRNKDRDRGRDRDRTRERESERDYDVEDHDHDRGQSGDRESNYDRVE
MESGPEAFAGAVFALFGAGLLVWAGVCVRTGEPVADGVSRSTGTTLAVLFGAVFLATGCWLLL
MRLTVIIGIAVMALIGVAAWLLPDARATMLGLWALWCLILIAALVTLEYLKQSIEQASELGRMPEADLRQALVDETTEAGILHAGDEHWSAELVEAPPFDKLRDPGLEVRDPGLEVRDPGVEVRDQTASTTATAVLEQPEPEPEPDDDTVVLEELFEPFDKLRDPDPGTDDDTEADEEEEQK
MPEFVYYNHAYILKNPEVPVKENLTFKKIKNTLQTNGGGQQSLFASYITDFDVGKETSWWFTVKTDAYDLSLLPSKKRYEITKANKFCYAKSINPADELDAMFEVYKASFDGYDEKERPKDVNKESFESYIQSLLLSGNHEFYACYLKENDQMAGWTILEIRGKVIGLMQQKTIPYFEKYNTNASLIDFFLTKWNDRLSAGDVIISNGSRNIRHITNFNAYLEKYFGFRKAYSNLKIAYRFPIGIIVKLLMPFKWILGKCSNPFLYNVYCMLKMQEFSS
GNSSGSDADSSDVDDYSNVSTADILGSEPNDLQPGQQARFTTRGDIRDAIHYFRGDNNGEPAREQFLEDEIHRQVRLDLATRGLPELVDDFVSDSDSEPSDASVEVRTVIDAGDFHSGSSSDDLDEVIPEEAQGWLARRLADLGVTRDEFSLEFEEFDKGKDKADDAESSSDEDTEEEDDGVRPETTFGRPEDMHKECVDFRLDRIKRTRWCHCTCRSRSFHCHPCNGLCLKAAKTDEVLGSPSKNMAQRFRRVLEKYGLVEEYRKFKALPHKTRVWYANLHPAQRQTVKVVAGVAGGVLALVVAGLAVYAGVKIHGKFRHGEDAEGRKNNLRVPRSTKYTHKKRVALRKSSWEELYPEEQNMFKTRDDWETARFQEQRYLEDQALLDRLHDAGSDYRFEAKSNDSPGSRVRPGMAPMAKSRPTKERGLDGKVGTPPKTAVISEKSEAICEEHVESVLRPPPPPADLTRAETRKLRRMFANAQHIARGYSHTVRDVMAFNKIKQRMLDTRKFRSEQAESMLSKTKLSFNQIANRTFKFYQDGEFMSTATVVADKVIVPMHAVQLAKEASISNGNTSVKLTGDPIPIADDLCVYYTNGTIGRGSKVTLRPPRAEVVHCVGYHDEESTEPDLASGSASPSGLHDAPTEVGDCASGMWSAEDGALVGFHIAGSKDVNRFVPVTPAMIEKLKANQPQLRSLVFQSSPPSPLSSSRGAVSGGATH
MNDIQIDLSILPKEAKEELIQFYVSLVEKYVKKSLTDNIEDIQPDKILASDNPGQFRRQLAAMWLGVPDDQLDKAYLGEMGKAHQAILASGILYKPLSDDEKRFVNGLVS
MALRTEFIVIPIVVGKRGKLTVGTREMQKSEAAAIRMVERLSLTKAGAIAIAQDVDSETEFFGEPRLILQMGQIPEGFIEAMAA
MNFTEIQIDSHEISLPLAPFQIKIKCKNGLASHGKARDVGVCPDAATSCGFFKIPTPRGNIKLYECVDASIFSDENEGLENETKNTLFKSVCDHIPKCYSFYSNLLNPTFKMYLTDTYSLDSFYLHKNKITLCCSLNNALLDKVITNTSINNVDQNKKSDLFCDNKQCGINAIGCLTYNKLSENTIFNEIDNIVGNIKDDYEYEYHDSSEIETFKSRSTKVEEKKSQDSEYYIDPVQISFNEEFAPSSLYSKKRRSYSVFGSEAPIHLHVCVYPHLNDEVYRHCSMIYSNLNKNRCYKTKGYEICCCYVRPGKNTCNPSELEEPPKINVLAPKVAPAKMVKINRIVFTTSSPVTEKSVNEMITTQMSLENKSTNARMIIQDSNKMINKTKPIPSKKDIAIKRCKIINYKRYRNHEIEVCNKAKIPSSYSKNMLLIICSIMFLIQHSYFFYK
MRKSKSKAHETTYERFQVQSSLEKAEKSLEEAIERVKMESKYPDPQDSEAQKAVEEAMSAFKKAVLEEQQKEGLKENSGIKPLYGYAPEFSSPSKIDRDIGDIDEEKKGILTRLLGEEALQEHENEEALRREELLKQDLLNEEFHDGYDSLVRIAEFNRDLNKTDDQPKEKHILNESEDYEMKCFNEKNVFEDESYEYEADDIMPTNFFLHSFDEEEEEKIEPYDINEALVLGEVIPPDHLSEDLPPESGEQEAEAQNEEGDQEEAESSEEPPEINREELEAAKAAILEEARKQAQEMLADASAESEKLIEEAKQQAQKLIEETVQKATEEASDKGFAEGYKKGQNEGYMAAENAVNEGMIQEAAAFREELEASLKEFEERKEEILGNSLNDLTDLAVNIAEKVIKISLRSSKDVVAKMIVAAAEDCRDKQWAKVYISQDEKALAMNLEKELIDALNQISSNVKVVVMEDEPSGTCIIESPDQIVDASVGTQLDNIRQIVSDNRT
MAAKPRVHEIASEIGVDTKVALEKLKQMGEYVKGPSSSIEPPVARKLKAALEADGSVGKAAQAAAPSAAPARPAAPKPGPKPPAAAPKPEAAEAAPVADAPMTVAERQAQAEKAAAEKAAAEKAAAQQAESAAPAAEAAAASDAVKPASGPKPGGAAPRPGGPKPGGAQPRPGNNPFSSSQGMGQRPAQPRPGNNPFSSSQGMGQRPSPGNIPRPQAPRPGAPRPGAPRPGGFRPGAPGGAGRPGGAGRPGGPGR
MSADESGVIKSSEFSVTSENFSSNEVVRSLPPGVKLYAKLIISRREDNVFKQFNSSIRDSLAIAVNFSP
MSFDIIEIPSGKIGLVEAKKGGNPAFSGRFGRVVECQNFQDEIAFINNGGQKGKQLSFLTAATYHINTEYFSVEIVDEICINEDQIGIVKAKYGRHLLLGQHFGNFVECNNFQDAQAFIENGGQQGKQLVILTSNTYSINTWIFEVEIRPITKIPLGEIGLVIANDGQVMPNHRKLGRSVECNNFQDAQAFINNGGESGKQLAILRDGKKYQINTELFTVITSANAEQYELKPEQLKYLKVEKELIGIVTTTEGRTGLPYGLTIEGHDNFQSPQKFIDAGGYKGLQEEVLPEAEYSLNPWFVRVEQVPFVEIPVHCIGILLNVFPERIGHELENNAITMKPPGKHQINTAIQKVYIVPTNTIQVRWWNISRNTHLDYPPLIIRTNEESKEYLLHLILEFRIQKDSTYDSTYEFIKAVAGNLNELRVVSHEYLISTFVEGNLEDIVIDIYRCEISRHNHEELKTNEIQDRIKQQAKSKMMERCKPYYISIEENKESMSFFFSRDTEEM
MMDSVIRILKTKWLWNIISGFYLVAYAFWVPNVFSTNLPAMILVLAVTLIVGFALLADGFLEAEGSGKASDTPDLPLKKLWRFAGAISMFGYLLVYIPPEGRIVAHWWLDMATTVFAGIMMLVYGVSDFLDIVKKAFQIRWLWSILAGFYLLVYAFWIPSLFSTNLPVMITALAITIIVGLGLLIDGFFRACELDKGPAMPGLPFKRLWRFGGAALLAGFLLIYIPPYGQIMAVAHWPFDLAITIVSGLAMLIYGIFDY
MEPPDMNDDFQETDSSQSDLWAKKKVLSAFLVAGILLGGAALYGEFIKSKDSSTKTETAVPYVYSVTDEYLGTYHGTQASYNLKNKYGSDMVVNGRYIRIAPIDFKLELKRDGEVNLVLTSLEDNQPNFYQGNYSFIVDRDGYLKLECNFSDGTTNPRYYLDINNVTKNTQAIKSGEPTVDLVKIL
MSDHRLRFLGWVLVLPLCVFPTSASWADCGSIPFYAPTMTDFTFNLVDASFDALARGVEGARNRGDRVIDVSFDPLKVTVFEPKQRAIVLHNGTEQILLLSTDLKASEKTAVLEVIPLPAKPSVQLGSFETFEKAQRLVVQKRMWAMAHGGAPAGMLSLSKEAGKIHFSKKMGAHEVTVAQVLDPDGFVNFVQSHLKEKYGAQEAP
MAIAQFGGAVRRIDCDRSSHQRKEATQDRPNSIQGMIWQWVAIGITLSLPIGFTNMVVSKRVALYMRYFHLPLA
VVKRTPVVYGTLRDAWAIMPVGHRGINYTVVVLNNLQYASYVEFGHRQQPGRFIPGYWERDRFVYDPDAEGGMVLKKNWVKGRYMLTISTQELEQQAPLKFL
MLGIALLGSGRMAHVYGPKIGAHPGLRLISVFNPNLASAEQAAARYGGTASADLDAVLADPSVDAVVIATPTNTHVEYVEASAKAGRPIYCEKPLDHTLERVDRAMSCLKAYPVPFMLGFNRRFDPDNASLQRAVRAGEIGKVNMLMSWSREPAPPPIDYVR
MSTVNIALVGVGGFGQFYLRSLSERGAAEKVTLVGVVDPTIANTEFATALAAADIPMYANLDALFAAEKVDLTAIAAPTHYHRYYATAALAHGSHVLCEKPLCATIQEAEEMMAAQAAADRFLAVGYQWSYSAAIQSLKRDIIAGVLGQPKRCKALVLWPRTASYYGRNNWAGRMRSVDGRWVLDSPVSNATAHYLHNLFFLLGETQQTSAELAEIQAELYRANPIPNCDTAALRVMTKQGVEVLYYTSHAIP
MSIRIGLIGAGRMGKVFANTLAFTVSEVDLVAVADSNENTLAEVTNRFGIKKSYQDYRQLLDSKDIDAVVITTPTGTHAEVIAAAAAAGKNIFSEKPLSQELDACDMAIAAVKKAGVKLQMGFMRRFDAPYMAAKQKILEGQIGTPVMFKAISRDPYRTSLEFAKRENSGGMIVDMGVHDFDMARWLMGSEITRVYSEGTCLAFPELKG
MAPLKVGIIGVGRIGRCHCASVASVPTKATVSMICDIYQPALDEVSAIFHVPKTTNDPMELINDPDVEAVVICSPTDQHAGQIMAAAKAGKMIFCEKPISLSLEIIDEVAAVVKEAGVMCFVAFQRRFDANFMRLKEAVTGGEVGDVHMMHIVSRDPSPPPVNYIKASGGLHNDMAVHDFDMARFLAGSEVTEVYCKGSCKVDPAIGEAGDIDTSLAMLTFENGVVATVDNSRKATYGYDQRVEVFGSKGMIQSNNKHANTCVVSNDESVSTCKAMAFFMDRYADAYRNEMVAFVDCAHEGKATPVGVEDGRAAYLIGKAAKQSMETGLPVKVADMS
MKNEIVVALMGAGRAGQEHARNLGSLPNVRVALVCDPFKEAAQSAATLARAENITESPDEVFAREDIDAVIISTPTPTHVEYMERAAAAGKGIFCEKPLSLDLSRAAAAVEMVRKYNVPFQIGFDRRFDPGHAEVRRQIEAGVLGQIDQFVSISRDPAPPTKEYMARSGGILIDSAIHDFDIARFLVGEVEEVLSFGSIRFCEYAEEAGDIDTATTVLKFKNGAQGVVQNCRRSAYGYDVMTEIFGEHGKFVIQAESKTPISHFRKGGWQKDYYHFFMDRFGQAFRAELVAFFDRLTRSEKLSPDGVDGLEALRIGVAATRSLKEGRPIKVADVTT
MAFINAVGVGAVTRPTLANCRHRPAHPVLAPPSVAPAQKCVPRMVVDDDLPSTTPVPAVAVPKSVGIGVIGCGRIGQVHARAITSLPGAHLAGVADPFEPFGLAVASEFSTTWTGDWRELVNDAAVDAVVIGSPTPFHAEQILACAAAGKAIFCEKPISNDLATIDECIHAVEDAGVQLLVGFQRRFDSNFAKVRDIVASGAIGDLRTFSIKSRDPSPPPASYLEKSGGIFLDMTSHDYDMARFITGAEIDSVYVTGSAVESPAQEAHDLDTVITVLKMNNGVFGTIENSRRCGFGYDQRVEAFGGSGSVSGSNKAADTVQVSTAGGISASNPYSFFMDRYTEAYTGIMGAFVRMVAGGGATPVSGADGRAPIVAAKAAALSHAEGRLVRLEEVDVAKWVAGAL
MIAVVSESDDETEAFLLKYAAYPQVQVVRSLALNRAQRLNDGLIASTGEVVLLHHPATILPEKKVRSLIEQALSSGASWGAFHHSFDFSHWLLHFTSWYSNQVRVKRKGIVYFDHCPFIKRQLLEKVGNVPDLDIFEDTVLSDRLCEFAKPVLINAQVITSARRFRQRGIYRHALLNQWLKLCYHLHIDPKLLNRLYEKRYSINTKYKKF
MADQKGLKMTQKERYENEIKEIQEKIEFLKTKCKEKREFIKKENFSLRELNLNKSDYLISKIKFSGFSDSENVLIVIIDFEDGSYLRKRIYNFEEKFD
MVANAQARAEQLKDLAATAVAPNAQHVFSLLLAENNEVQNALAQVTAGAKVDSVVQELQNRLGFSDARKEMIRGAMVAAASMAVGGKAGRGSAGGAKGIPPIPDSGASSAANAARLKMQMVAEQAAGAKAPTQITSYSNHALEQFAGRDGGIGVSQSALSGAWSSPLKIEYVPSK
MVAAPGDFSVRGGIIDIYALTEDHPIRIELFDTEVDSIRTFHSDTQRSLETLQEIKIGPAKELIVRGPERVRAIEQLDQGLAKSLKKFNSDQQKKNCFIKIFLLIARSCLKAS
VTIQVTTPDDVARVTLEDLSAGGLEPVDPKVAGDVAGSSASGGCGGAYGWSWWCLPAFRGRETYADRVTWSSGERLPAGTHTVSYQAVAATRGVFCLPPA
MEDLPADRPRASKEDVMHPYLLQSLETAQASDRLDMADIAQKRMLVISAARAIHRMHAELGIDLAQSELRRGHIVYDLAELATLIDDGVPDHVVASGLKEAAEVMAKLCHLLTENVAAE
MAGQMRWWARGWVVVLLFTVAGCGGSAVQDADGDGIVDDVDNCVFIANVDQADRDGDGIGDACDFLQPRLNLDLPGGGIAAAGTGLGWRDGREDSGDPIAPIELTGVPPGAEIVEARLYWASIGGPHPTLTLNGQSVTGDFIGNAVDTCWNLPAGNFVYRADVSSIVTGNGAYVVAGYPPPPDMSDPNGYVDGQGASIVVIYGVPGATVHNLVVLAERSVATDGIATTMSASLDGFTVPDAFTRVTALDVVGDGQIYLDSVAFNGTVVASPNAFPGADGALWDTRFDDITALVQPGDLSIGVEIAAVEDPAETPDCLVWVVSAAVIER
MPKHHPWLDLKDTHVFRCVYPECATDEELAEFLEVVYEHTISTRHSYGWIIDATHLLKGTAKQRRMYGDNEKRLTDHNARFAVGTALVSKSGLVRGLITAVFWLQRPSYPYAILGSMDEAERWIHQRLIEAGVISMSKAVGDFES
MAQEILVPIAQEILAPMAQEIPVYTIQEIQVFAIQEKTLIIALQKTQASVTPISGQFQKQNRRISKSYIQNNLSL
MIFTPPEIAQLRQDTPACASFIHFNNAGSALPPTPVTQVMQAYLAEEAALGGYELAARQAQENQAFYPAVASFLNTAARNIAWATSATDAYNRALSAIPFAAGEVILTTENDYVSNHLAFLQVCRRFGARVVVAAESPAGGVDVADLVRLIDVHQPRLVAVTHMPTSSGLIQDVYAIGRACRAAGVWYLVDACQTAGQLPLDVAAMGCDFLSATFRKFLRGPRGAGFLYVSDRVLATDLAPQYIDLHSATWTGDTSYTLQADARRFELWERNFMLVQGATEAVRYAQTIGLARIAQRTAQLAAHLRAALATLPGLRVLDHGEHLGAIVTCTVPGHDPLRLLQTLREQHIHTSVTTLDYARLDLQRKEAAWVLRFSPHYYNTIEEIEKVTKVFFKIIC
MIDLSAVRADTPALNERIFLDSAGSSLPPSPVLEEMFAHLRAEASIGGYRAAAQREAELRSGYPVFAELLDCAPGEIAFTESASRSWLALLDAIPLGPGDRVLISQVEYGSYVIALLRLAERAGFTVEQMPSDETGQVDVDATRAMLDERVKLVSVTHVPTNSGLISPVAAITAAAHEVGAFVLLDACQSVGQFPVRMRELGVDMITGTGRKWLRGPRGTGFLAVRQDERLWPRLIMDSAASLDGPDSYHIHSGPGAQYELFEYGVAARLGLIRAARYALELGLEAIGEAVLAKGTYLRAALGALPGVRVLDPGREQGGIVTFAVDGTPVDAVREALWQKGIVVGGGAMPDGLLDWRARGLDGCVRASPHYFTTEAELDALVHAVAALA
MTVGVEVAGLGTAVCLAISSLIAADVSRTTGVFEFTKTRMIFASFFMLPVLFIANVPLRVSAADALTLAVSSLVGIVIGDLSLIAAISKIGPKKTSIIFSSNVVIGALLEAAIYHKKTTIEATLGILLVLTGALIVVAFRGGAGAPAAGTPMNGHLNAERQNTGVALAVLAAGCQAVGTLISTPVVTAGTSPIMATTIRFCAASLLFVIMGRTRSDDIRTDASSATVYAKIVASALVGMCGGLTLLMLAITSGKIAISMTYASSTPLVLLLLLWLTRGERPNASSLLGAIMAVAGTALLIFEGAHQR
MIMNQKKINSNKEILSLISTFLLSILLLVLINDEKKYIIFIHSIYATFLIAMGIIVLNTIKFNVNKFSIFFGSIFATTGILEIIFAFMCLNRLKSVHYDYNLFIFISIIMDLLPVLGIYMSLNYLYENKLKYERIILNIISFILAFLFIIIILNWNVKQNKSFNYEQFTIITENIVTIFTIIIAIFINNKLKITDDKLDEIEKGFFKKITIIIILARIPSIFHIFLNDLYFKDILKQIITNFEIYYLYQYIVHTNVKKPYRKLNSINNELIQKSESLNTKNKKLIEETKKIQDLKNMLSSKEAKLKSTLDTSVNCIIVFNDKKEITYANKMFLNTFKSSNNAEDYNIDKNIKPLIKDYNKFINNIDYAFDKNKSIEEITYTTTNKIYQTIFAPLNINGTIQGTLCIMIDKTKKKEFEEKIIDINTRYERFLESIGDGIVVIQNGQKIYANKACKDIFKDKLDTIDFKDDYEKENLEQCHEIDGKKVYVEMSYSQYTKNNENKTIIVIRDITKRKKAQLKLKESQKSYARLIDILPDGICLLDNNLKIDYANQSLLNMVKVSSMSELKNLNIKKLINLTLDEESKFDFR
MPKGMDKFELALQFGILASAVACPCALGLATPTAVMVATEKGALQVFLSRVEMYSKRLISCFIILVCFGGSVSGSVGDKMVLVGNKRLMQENNVEVGPEVDKYVSEHENLV
MSSPTPTTIALIQALSTFVFFSLLIPSLLLYRATKPSKFDTPPFHRLPRLPPTLRTSTSLETTLDFLETPSSIQAQGCHLFHISIVAQDPIAMDKDVQNTTTNLGTKT
MYFSSRRHFDTKPVVSGFPIFVRASGMAAHISGMLAPSRYMRNMRRTRAASASFTW
MHPQEQDYPKFYRMEEMPLHPHETGLLLRKSVSDAVLPLEELNGSQETKERDRNDGSVPVTRFGECKRPEETSDAQDSLGFKQDGHFQDRHDVDVEKSHLHLDEHSSSHSTVTRTQNMPSGYTFTYANSSPHPSSSSLPRTSSSSAQRGASTRIPRRSKAPDDDQTFKSGT
MKPDWQSVAEPLVTLGKVVRVERGGGGSYDVGVAFECIDEEHRNAVMKYIEHLKEAEELKE
MLAIELPLGQNRNICPYNCLDPYSYVPADCPLPVCTVCANIASLDAEIYGVHDQLGELLKERRVNERQVNVGHNISIHRFPVDVMAIIFHFYDLLNERKPMTSPLVLGGVCERWRDITLQTPLLWDRVVVLPPRDSTELGLVKLWLDRSETVPLSLTVQHKHPSPTPCPPFEDLITLLRLHSSRWTTLKLIAPASVIHALLHNLENVPDVIRLRMDIPSNDRMESISMRKLLRPRTFHAKKTSIQQTFLAWDNLTRLDVAAIKMDELVHIIRCGKHLTECTAMYIAKHGRSFPLPSAPFVHKSLKYVKTTSSSNLSDFLRYLTLPSLRKLHYDFLWENAWTSVPALNAFLDRSSASPETFSFTFSLEPQHHQQVDWVTLRDLPRITHMVLDIEHNTRFDMTTALFSRLSDTADVYLPHLRALKITTPTMSQESWRHFHKFLGGIHNKHDDPNHPRSNIHNPFPIVSATSSLPNTITRKAQRPLESVIIHLKDRFTSDMARMMDPYLPYFLDVEKFGVSLKLVDSMDFDMLHRPPKVTAKG
MGAAVSACTRQDPNLLNVIRRTLMCVNGKASLLNEAGPGPLISRDAVKSLDHCATAGFVVRSCFWPRLCKNGLEQV
MDINLKAKGNSVLRTKDIQQAIDACSHNGGGHIYFEAGVYPIGTIYLKSNVHLRLDNRTIIQGSASINDYTQDTHKQMYRNETHMDRCLIFAKDCKHISIVGGVFDGQGETFKGDRPMMFRYLGCEDIRIEEVKMINPASWTNAFIQCKHIRISHIDIHSRANKNGDGLDFDACENVFVHHSTFNCSDDCICVQNSSTSHACANIFIDHNVFESKWAGIRIGLLSTGVIEHVFVSNCSFKNIACSGLKIQSSEGALIHHIHCSHLSMDKVRRPFFITLNHYRENVNYADEPINTKSQLSRITVSDIYATTFDNNALPNCMIIDAEPGNTIEDIVLRNIHYTVYGNSTYEERQIPYLGHTRAEAYTYEGDLPASGLFARNVKGLHYEGIKINTKQKDCRKEIVQV
MVERDWLLTHICFFMLDLDNELPPRNGWIKVNFDSAWGWSSHKAGLGVVARGSNGLLIGGMAIPTRSSSVLMVEALAALHALARLGRSRMPSPISNGTGSPAKGIELPTSQHR
MEHDGYSNVRIEGSAFSKPRLLCLGLTFFGAFILLLAIGAGGPDVWVVEGTNESATVTLVAGSTSNPFGKDRTIKQENQIFSVNSRISKPVFAGINTTDTHISATLGLELTVTADGKDVVDGETVYRDIHCYPDAPSENGTQWCSWFDTYTVDLIDATQYVITGWLIGPDYWTLYQLNDTALHTVQPASVRVETQVWYVNDAYTKFEMGWKYFFVVVTFVAALLPRWGYYMKLRTTRRSTWSFQQKWTLTLLIGLFWFNDPFFAARVYSEGGTQLGFTAFYMLCVLAFMSMLLLWWLCVFSDMVLIGAREGAGGGQGSALQLHGRCYWAPKAILVAGITLVNAGAYFYYRYTKTVSPEYDGVSDAKTQAKVFAGLLGTLMAVYLVWLAVAILRGCVYVQRLSAAYQFLYSITMVTFFISIVGVFTAAFYPWSTSGITFLGFHGLYNLYIWTLAFAYAPTGAERLNFGIGMSDRELMSVSAPMPAKPLSLGFNSNGAGRAFSPDRDFHV
MGIRLDSASAFQGAIISPHYDSLLVKVIASGKDLNTAASKMSRALAEFRVRGVKTNIPFLQNVLSNNQFLHSTVDTQFIDENPELFNLKPTQNRAQKLLHYLGHVMVNGPTTPIPVKAKPSSTDPVVPHVSMGDPPVGFRDVLLRDGPEGFAKAVRAHQGLLLMDTTFRDAHQSLLATRVRTHDLKKISPFVSHSFSNLFSLENWGGE
MDNQGVVTAPASSAPPAPTLTRSIQLDFVGDWGQATFHRILSWLTQEVCDRAGPESRTRIWSIRGGGVEALPMVHSGEADLCVATPSQLMRGALTGEGIFAPYGPMPHLRALAVLPQRDRMILVVHPTLNVRSFSDIRKHKPTLKIAMSADEGGTSFIGHVSTTLLEAHGLSRANVESWEGTFIGFKRPQQCFAAALNGTANAVIQEAIMLPEWNEMVDRQGWIPIEVDADALQKLSDRAGFKPATLHKGFWEKLDRDLTALEFSDFLIVVRDDMPKDLARLLTWCLVHTRATIERQFKHIPADKCALTYPLQPAEMAKTTLELHPGAKEVYAEIGVL
MLIFVANAQTPGVSIYSNSNQYIEYIPGNLPLIISAPHGGVLQSGQTIRGVFYPDDDTNLPDRSCGTNERDDNTDILVRRIQDEIFAQTGGYAHIIISNLHRSKMDPNRMVSEGSCANGHAASYWSDFQNYINDASQSIEANWGKGLYIDLHGQSHTIPRIEIGYNITASELNSSDLNSASIIDLSTIKNLVSNNLNGLTHEELIRGENSLGELFQDAPGVYYNSIDPSGSATSYPGCGVSSGYRAVPSYSDYGNTSCDDTTPHSNSYFDGNYYNNRRHGSGDGTGGPATIGGGGNIDGIMTEVNRRVRDLGAPFDSRPNTLEPFAIDYANVILDYLTIHYNDFSEFNYTASSYEISDSDPTPSLTNGVSGGIYLSSPAGLIIDQNTGTIDVSESTIGNYIVTYSVGPNAIATAPNRYYNTSINVEISDNSLSVSDENSLSVKLYPNPTTGLINFQSNTQISEVKIFSILGQRVKTLEINNSQSTINISELRTGSYLITFYIDKQSVGSKLIIKN
MCARTSAPCSTYATGSSSYQLIDSLLMLEEIKCRHSPSIHFPCLVHCPVSCPE
MIQTRNPALEGAFWKIISCLAFAGINGFVHHLAHHSNALRLPPAELAFFESSFGLLFMMPWFFTHGLESLKTQHLKLYCLRAIAAAGGILLWFTSLKDLPLVQVIALKYLSPFLVLGGAYFFFRERLDTPRILAIVFAMGGAILITANDAIKPHPVYGCIFNWTLLLPVGAAVCIGISTLIAKQLAKTEKASTMSFYLLAFTMPIFFAISIPTWITPAPDQWGPLMIMGGLVALAYYALSRAFVVADLIYLIPLSFTRLIAAALIGMIFFDEWPTLLVGVGSFLILMASVGLYRSESHLKKVTP
MSDREFRIHDPRMLAAIAEIKELVLAHYPGTTFSVGPGEDPGAVWVWARVDVDDTDEVGELVDDRFLDRMIEERIPLLFIPIRTRERIAASRRDHPSKHGELAPTGTQP
VGIHMFAQVGESNRPSFRPGLEGGSSGDEASSGRGGPSLLPGIGHRRSAEGQVINSPVAGDGGGGDGSSGSEESGNDGGVSTIPVPVKVLTTYEALRGLGFVGSRPLSEEDNKDFSSLPQNMNGFPRFTQMGDRVGPVGTGEANSPSAVASDTHWKRMLDRQEPLVLDTKKDATDDEAVDEPDGARHSLGPRGGPCGDVAASDGASEDDNPLSTSLEAKYFSQSFQQDPEELDEGWTYCPDHMELEFQQGANAGQSVVYQNEEGKWVTDLAYYSNFEKEVDEKKLDNKSQYLNEDFLPAGDAMEKIVKDQMEFEKENQFMQEEQIEPAAGGNTTFHSDSSWKIPPSSNILMRASQVSSEIRQGDESYLRLSLGQFFSQRSEALGCLGRTDGDDVKRPSFGYIITSPEKREPFPLIQPSDFSFDDNLHLGATVDLGEV
MTMRYSLLSGFQGALLGSEGEIASELSPWWEISRQSAIALGRGQPAALPLRQGEDYSAQAYLSWQQSATASEAAIATLPLALFYHDSRRLRGEKLEQAATFWLRPDQSAAAVLAWGQALSLVLRNQFTMAALGELSASLASAAIPLQVQLELLQTGLHKGTSLTQLAGQVTRLDRGSAPSPWPSTALPPPRQIFT
MSGDLGAFRKDVTAKCYGRDITRKRKLLDKQKEGKTRLRQFGKV
MMDRLTELREEKKTLEKENLQNGLVSFNNHMHVQSGQHLQNCQFGFNNYGFQQGVGPHHFPQGYNNCQFPEGQPAKSMEGRKQIQGVADKEKNTISTVEPKVQNGSFNNRSVIHYLLGITLLTLLIPTTSAYQSCKFGISGQYFGPSRSLSSGFDVQFCYQQPEVFAAQQDVIHIRCFQENPDFQMECKNEWSSTADLTTWSLIGSTFEKKSGKPEPKMYNLEPTLPTLKLYEDFPSQAEKLQVLVETSCNEDVACSDPKAGDVTCQRTLLPQGACPLSGISLSLAENAMRLIASRVLGTA
MIRNSPSSSHPLSVIMASYLHLATLLFSFLNI
MAACSPPRCGRQAHGFTRGPRLERRSFADRVYTPRIAKKNRILCTKLFPGRARLLRRRPHPLRRAREPQRLRLHELPCSLRERRVLRRPRLPSSRLRLEPRALRRLVRRAPRRERHHTRLALSLPARLRAQRGALVVEHRALGPQLLARAVRLALARLHVREVVRLVARRGRGLHGGGVGARGRARGLPQVHGRLERRLRGRLLRGRPRAPGGLGGGLRDEALLAEALHVLLAEDAREDAVLQERYGAHGVARVRRGGRGDAAAARVAAVRRRVRGGLGLAQGLECQRVARRRGLADGELEDLVGGEPRFRVPGDDLAHDFEAFAQGHLVLGERRQPGVRHSCGVLARQCRG
MKVKLESQHWVVFKANMFTSTLVAEYPMQNEKSLVQPSPIATWLPAIRGATSPSPASAASLAPSCPPHRRRRLLPSRGRRSGPKNYERIEKVRCHAAKEELELGVKELELEEEEEEEEEEDERLTKLKQRMVSQVLEIGIIFHSVIIGVTLGMSQNQCTIRPLVVALAFHQVFEGMGLGGCIAQVENLGFCVV
MGDDIWDGEVQCNKTFLKDRANLLWPIGTGKTIDLPRMMFMALCAAYDSSDPKGSVSFTGLLTELFKRHGISIPVDLTRTEPEKPIDRYSLTRSEGQQKKRKLEEGTSEQPSVGIPKLQEAIANLRVDFDTRMTLHDKHFSRLEEQSGRHTTLI
MIAPERKNGSAEGKVVAPEGKMVQLKEKLTYMKEKSVHLKGKLPHLNEKQVHLKGKSVQLKEKLSHLNEKSVHLKEKLPHLKEKLPHLKEKSVHLKEKMPHLKEKLVHLKEKLPHLNEKMIQLKEKLPHLNEKPVHLKEKSVHLKEKLPHLKEKMVHLKEKLSNLKKTVHLKEK
MKKIVLKTALPALALMMAVGLSFATNVEQAHNPNAKQGYINTPQPCTLSRTCSTIPGQLCTDNNGNQVFDKISPTVCTQPLYMPQ
MDLELDVIGVRDGAAHSFDQSDRDEEAGGKVKQLIHMDASQTQGGDFVALQVTASNADELDYIDATARRNSPVLQAAAACADGVDHMDPYVAESQGLMQMANGNGERMAMGTEGQQNGGNGYHSQENIRPIYGIAELNKKVYRGVRLWMIILLILVIIAVVIVGTLAVCSAIHVDEDEQFDRSLFKIPHYFNGSFDIPSNPSYNESQVSADLQQKLADLYRSSHALGRYFSEAEIYALRNNSVAYKLTFVLPDEEKEELRNLTVSREMVYNVFRQFLYDQDPVEPGGMHIDKASLKMDVHKQTEGKA
MRYSGSSHDRCLSAEYTCSALKKRNGELEQQLFDMKMFKQAFFHSHDGMAIADDKGTLLEVNHALCETFGYRREELLGNPCLRFRDPAKNEEFQRRYALLFQQGYLTVETQYLHKDGREIPVELNASVFRYDDRYLVQISIRDISCRREKESLLKRQQEICSATDDLMSFVDRDYVYRFVNRAYLDTFNVSQQEILGRRVDDLLGTEIFEAQVRKRLDQCFSGETVRFQGRFSFSDPERGRFLDVTFYPYREPDGMLSGAIVVIHDVTDIKLVERRKVQEGKRYQHILSNVSDGVFIVDGEYNIIHANATLQKDFGKVHSGQKCYEYLNGELTPCFWCNNIHVLRGNTVRWRMNVVGKNRVYEVYETPFELSEGQAIKVSFFREITEQYLIEKKLAEKNKQLAEKNVELENINIALNVLLNKTRNDRQGAYRKNLVRLKKLVLPYLDLLGECTVEDTGLEYITIIKSHINSFSDSRNELPGYSDFGLTRREILVADLIRNGKKSKEIASLLGLSPRSIEAYRNSLRKKLHLTGKKISLKHYLTSTFSIEN
MTMEENKKNLAEGDWELSEEYYHQDSSEKTLNLEDFIEAEEPDGSEEETFGKDDELGVELSRVAEQVRQTMEFTAHGMSAEEIAERLGVEASYVRDIAVCVQAFPEDNPMAVARLLVLG
MQTALRNYVTAPHHRALPHDIVLVNVSYSNLQQQHLEIRFFRSMCLQEVYHVIHQKTGSLVDDIMLQLHVPGEAVTTLPAYHHMDAQRPLGYFLSPTAVSSSTTTTTTTIRLHCIDTNPYSISAHGALENTALVKKYQMSDAAYKERQGTLYHWKQQQLQQNPQFTLSGHAQQHAALQQAKQAYRWGQPLPAGFRVTPEGVVEAIPTRKNDDTYDAQSVEHCVVGARCQVTPGQRRGQVAWVGSQKENDYWVGIILDEPVGQNDGTFVKTGQRYFTCHDKYGVYCRGKNVEVGDFPERDLFSDDDDDEEEDEL
MSKHKDPDAYIAALEARVRELEKILRRMKANAKRRTAQATGYRQATAVQCRWLAWALFDRMGAVRSYEVYDKIARDLTYWMRVELGMTRDVLSVRTVRRHLQKRPGGRVPEYLLETGLQRIDARRPEES
MGLLGCNGGIPMKHAWTLLLPLAACTPSPNDRICTTPTELPAVGDWAGCVHRWSYRLAKTEGPVSVIAKSVVGGCADAIAAADDQAANDAMAGETEKPKPLADKALGLALFHVAQARAGHCAIP
MIELFVDTDNVFHSHEFCQVYATCNSKANSDRNRPAIE
MKFSIDHSVHIHPSPPFKFHRLSNFTAAPVARSSDTLIVPLRCQPCPILPVFWTADDR
MDWFRLYAEFSTDPKVQMMSEAMQRRLVMLFCLQCGNGIETFHETERETSIAFAMRVSAEEIAATKAEFLRRGFINDDWTLRNWSSRQYASDSSTERVRRHREAKKQGHESDGNEVKRFSNGLEQIQNRTDTEQKEQKLSARHDARAKPVDESPVVIGIPLNDSSEYPITERQVSEFAELYPAVDVRQEFRSMRAWSLSNPTKRKTRGGLLKFANAWLSKAQNEAPQASQRGSPPRGPTSKTGEAVMKLQGVINGNQLDRNRDRQGLTGPVVLELGPSAGA
MSGPKRPHPHDEGTFTRTPGVVEKRRLIAISEADLSTASPLTTTSISGHINHDSFCDEIMSMEAPSLVPCISSPSSGDLREVPSPTETPWTPLTASSNTETAEKWNEGDGVEVCFGMLVNGLLSAWKSFPISKTSGPKIRAPILKPRFRANGITILDSAIQELGVMDSDTANGMIVLKKAISSVRFDIYTCQGIKQAQNKHGALGIPLEILVFGPRNSLGQVGSLLSESNLFLQEPIGRQIPVSYKNPHVFSWDGEEDDTDSSYLLEPSYENQIDFTDKIQAILNDSAVPQLSFQAEQDARITSTLKHHQLVALKFMISREGLVETDRLTLWRSVNRNGRQVFRNEITHSTKISEPAECLGGILADEMGMGKSLSLLALIIYTLPCQRSHNDENGYLASEQKDIWSSATLIIAPKSTIQGWRQQVNKHTRPGVLKLHIYHGNGRRISRDRLVEFDIVLTTYETAASDTSQNGILAEISWLRIVLDEAHQIRNRSTRNFQELAKLRAERKWCLTGTPVQNKLSDLFSLTQFLGFIPLENHANARKYILEPLSRKDPEGLENLRLALQTISLRRTKDSFGTRRKIEAIEQVILNARERLCYSTTRANAKKAMGSATGNSQGEILLRAISTLRQICSHGGAIIDDTPDIQHASEHNVCDKCGQPVDTQNDPQQTFHGACGHNVCYECAIDENGSENTSLNCRPKCCSVCQEPVMSNLDDSQRWSGGRLNSTLVDRNTEMAVTSAISSSKIEKVVAHLQNLEQASPSYRIDPIKSLIFSHWNRTMDCLGKALSYNGQLYARIDGSLSVEQRRTVIHQFNTVPEIRILLLSYGAGSVGLNLQAATHVHLLEPHWNPMVEAQAAARIDRLDQLKDIYIHRYIVKDSIEEQIQNTQRSKLQDAELSASRMATGEDPNIEADDSIKLRDLL
MMGVLALGTYWLVRNTPNVPTEEAASAVKHEVDYFLRKFNVKSYDDAGLLKSEIHGLEARHYLDTDILEIDQARIRNINPAGDVVNATGNRAYSNGDGSELQLTGNAVVVREARAVEVGQARTVPRLEFRGDFLHVFLNEERVKSHKPVVLIRGSDRFSGDSFEYNNIEGVAVLKGRVKGVLMPRPAVR
MARLARLERLGWRFFIRIRESEFYRRFCNLLFAIIYTNRITAGRVWQKKAGLCYV
MKSKEEKTHLLLYSKDKLALLLAEKKLKERFLKKYPDGAVEKIDCEEVSSLENVKAVILSTSLFTERRLIFFKNALRSFDEKQLLEFYNVPLQTSLVFLEETQNIKLKLEHPLRVKKVSLVLKEELAAISQELNKINLSSYQKREILRFLEKEPWFIFNEAAKIRLAKKAGVSPSEVLTIPVLSDVFKLADAWLFGDKQKLARLLLHLDDKPPEELLVGLVHVLRQLILFAEGDTQVFAHLPEFVLNLRKKCLKYRGREWLLSSYLRLAQLDYELKTGGRDKEAVIFFLLKNI
MPAQLGQRAYDKHTGRLAQVGLVQQVHMGLLPTPMAADATRGKAESFVNDKGEVQRKTITANGRPFAPQFTDVAPFLPTPTVHGNHNAKGMSANSGDGLSTAVKKLLPTPTAQDGKNSQAFPSQMERNSPGLAAQAALDTNQPSGSLNPRFVAQMMGYPPDWCELSPEQLAQVRAKSKAKGKTRAERTRLKATATP
MRRAVKCCRSTPRCRDCPRKIADDELLRAFGIPVAEPLPAHLRGVPPSLHKYEPLLRRSFEERAAAEV
MEEENAVELLQRYRRDRRMLLDFILAGSLIKKVIMPPGAVTLDDVDLDQVSVDYVLNCAKKGGMLELSEAIRDYHDHTGLPQMNSTGSAGEFFLVTNPEFSGSPPKRAPPPVPDFVPLPVLTAPPGVLSSIPDLDTSPVASSVSKSESFNYTQAQELTVDDIEDFEDDDIDEADSLLISRRIRNDATDLSLGLPSFKTGITEDDLRETAYEVLLACAGAAGGLIVPSKEKKKDKRSKLMRKLGRSRNENPLVSLNEHLDWLVCWRPCVSRWRYLRQWTSELDKGCLMP
RHRIDPRRLVVEITETVPIVDIPDAAAHIHRLDALGVRVALDDFGSGYNSLAYLHSLPVHIVKLDRSLVVC
MQFTYIEKLYLEYQDKMINDEKGLNQTDLLELELTESIFFSDRGIEYVKKQIQEMHRIGFRCSQDDFGAGYSSLGLLMEFDVDVAKLDRRFLLDVGRKKTRDVVIAITELTQKIGAKTVA
MPGAFTNYLEEKIVEHFLRNNAITPPSTVYIALFESDPGEASGGTETAYTGYARQSAAWTAIDVNGQTKNSGVVTFPANGNASASVTITHIVVYDAATAGNRLLYAQMNAPKTLAPGDVLAFAANALVFGLD
MLANPAYDIALVADQGKRDAQEDAIAARFFDALDAGYVVVADGMGGHDAGDVASGLVKATWQTELDGLLEDGGCSDEQVTERLTQVALRTNDAVAAYLEENGSELRMGSTLLGVLLRGKLLNWVSVGDSPLYLCRSGFMMQINEDHSMAPMIDAQVSDGTLSEEEARTHPDRNQLTSVIMGAPVPKLDCPEAAVELAKGDVILAASDGLQYLDNDQIQALANAHKDHPARDLAVALVQALRAKAHPDQDNITIAVIKPLNI
MYPPRVEAPKQSRQKEESQAIPERTEPLLTKRKMRALMTTCSRQSYFIRLTDEDRRGGFNSKRINCVECYLAAH
MVRDAARHLDADSIHKASMCAMAKLHVTENCSEIVSQALQMFGGYGYLKDYPIQQYLRDLRKLPDGEGFSSEPLVKGATFVWVKGTVTTNFEQRHVQGSNAASLRTTARREVDTTKSMNPKFLNWSSYVVMGRHEGQPVAKSIFCFLIEDGMRGFLQAKKESKLGWNAQLTSIITFEDVKVPVSNQIGPDNYGFNIAMAGINGGRVNITGFSLRTVQKSLDLAIDRLKVRALL
MSDYVQFRHELSQNELYLGQRDIDIVQKVEWKKDKHGAFIASKQAVAEYEAALAAYAAQSEASKGIEANGVEMMKQPRLKPAEFTLVAKISPSRCYLKPDGWKGPIEFTKTLADMKLECRLIAPGEAPFDQEFVLALENIRKLLDAGGTKGYKKQGIFDPQCNLNIKVRHVVFKHKNKNEGEKHEDNAFKLSDWPVNPHSPTALAAHKEMVAKDSHRVHPLPTYNVDGDLIAPADYSKLLPGAVVRAEISITHWVIANDNRDAYVAEIECFRLIVPASAQAQAQTGPSSIRKKKVLAEKDDGPSPKKVRT
MWYIYCCLPVIAVIGVIGVIVVIGVIVVIGVIDVIDVIGVIVVIGVIDVIVVIGVIDVIDVIGVIDVIVVIDVIVVIGVIGAIDVIGVIVVIGVICAIDVIGVIVVIGVIYVIDVIDVIGVIFVIAVIVVIGVIDVIGVIGVIGVIVVIDVIVVIGVIYVIDVIDVIGVIDVIDVIGVIVVIGVIVVIGVIVVIDVIVVIGVIDVLLALLELLARYWRYSRYWRYRRYGVIDVIGVIGVIVVIGVIDVIGVIGVIGVIGVVGVIVVIGVIYVIDVIDVIGVIVVIGVIDVIGVIGVIGVIVVIDVIDVIGDIVVIGVIYVIDVIDVIDVIYVIGVIVVIGVIDVIGVIDVIGVIEVIGVIVVIGVIYVIGVIVVIGVVDVIVVIGVIVVIRVIDVIGVIVVISVIDVIGVIVVIGVIVVIGVIDVIGVIVVIGVIDVIAVIVVIGVIVVIGVIKNIKIRNEFIQKQFGFRPNHSTETALLKCTDQWLLNMDKEMANGVLFLDLKKAFDTVDHSILLQKLYQYGIKGTPLKLLASYLNNRKQVCVINNKSGQETVQCGVPQGSNLGPLLFSLYINDLPMCLEYTQASMFADDTNLSCTGRTPAEIEHKLNADLSNVNDWLEANRLTLNTDKTEFMIIVSKRKLNQFRTDIRIHINGSIIKQVKQKKTLEVTTCGATGGN
PYGAATLTGLYFPAFPSPPAGSRDLVVLLHGMGGDERSAYLRPATAALRAQGLSVLRLGQRGSSRDPEDLHHAGLWEDIAAVLSGDPTVARHDRAFVVGFSMGGHVALHLAAAGPPRLAAVVSICSPLYLDRAVSHMDRRESLVYRRHVLSGLKRMYREVARRRPMPVPPPVVDRAETLREWDRLTVVRRFGFRDPAAYYEAQGAGKVLRSLVTPALLLYSRQDPMVPPATLAGLSAREGLSSPGRVVWMDGGGHIALPRRLDXPHRPSAPARSRPRPGRPEGPVRADRRLAAPPRGHRARVLSAGPSAITTTRPGSLELTMTMWTRLIKLIELMKPKRLMILMRLTKSINVAAPRAATRHAELASRTTALSAEEARRLMAGLKALRARVTARTGPEDLRHIKRLSWLGRASSAAGYATSALGPNPVSMVLIGLGRFARWVVIAHPVLHKAYDGIAAGDPAWHSRTFARGRRGLWDWFDWLEADAWQAQHNVAHHCYTGDERDPDRLAVNMAWLKRKPKIVRALALLLTACTWKWIYYGP
MTIVELRQIYYVIEVAKWNSFTKAAEVINITQPSLSQQISKLEKKYNIKIFDRTTRFVVPTDLGERFIEDAKLLINAVEGFNNKVMTRQHQINGTFRIGVERVIGYLNLYSLISAFQEKFPDVVITIIEGDTKNLILDMVNGVIDAAIYTQPIENERNSLVDDETLTEDEMVLIVSKKHRLAQKKFINMTDLREERIILPDKNTGASSIIFDIFNRANIKPNKVGECSQVNIVLELVQNQVGVTFFSSAVAKVCVGNEMAIIPMARSEVIKKFTVLSALKRNRLQPITQAFREFAIDWIIQRDDLPHGEIPHDNEAFKPISSSSGHSLA
MEENRTRDTEKDNTAKEQDGKSYFDGTTLQLIGWRFLGSLVSLITLGLCTPWAFTMIYRWEANHTYIEGRKLRFDGSALQLFGKWIVWLLVAIGMITIFCVVEGALIAGTGAFSSFDNLYRTSIFTISALAVYFVFVIVVFLYGAWVEVALRKWKASHTFFAN
MIKDEKLRDAIWEEIKQLSDYTSTSENLKTADLKRIEILNVEHMGIENLDGIENLVNLTELFLGNNQINSIEDVDFSKLNKLIYLFLSHNQIKRFDRVNFSDLNSLRHLNIKGNQIASLKDLDFSGLKSLTTLTLSDNQIKSLDEADFSGLHSLTTLTLGNNQITNLEGVDFSSLNSLTTLTLSNNQIKSLEEVNFGGLDNLKILNLQDNQIVSLKNADFSGLGSLTYLNLGGNQIENLKGVDFSDLHSLTHLNLSGNQIVSLEGSDFSGLNSLGELSLQMNQITNLKGTNFNTLDNLTNLFLTVNQIDTMNWFKRALSGLKIDDILTIYMYGNPVTGTAEYDTVTAEIKEGINTNIGFKPPPSNATKK
MPEEQQNSIVKEHDEHESEQSEEEETENQVDNVEQGLLDEYPEDTTEIDAVHLRISSIPNLDLTRFPQLERLCLRQNLILDIEGFEGLEKLVELDLYDNKIKHVRGLNQITSLQYLDLSFNKIKHIKNIDQLTNLTDLFFVSNRISKIENLDTLVKLDNLELGANKIRVIENLDKLVNLTKLWLGKNKITRLENLSPLRNLQILSIQSNRITKLEGLEELHNLQELYLSHNAIEKIEGLENNLKLKTLDVANNKIKHIENMSHLTQLEDFWANNNQFENECFAELTKELGGIKTLDTIYLEGNPMQTANRATYRNKVHLALPQVKQIDATYIK
MLTQKHFLLTSKIKLESCDIQSLDNLHLTPSIKILNLRSNKISALTNMEIMTSLTELYLGGNQLSDVSAISILVNLQVLQIRGNQLTDITPLAKLVNLQELSIKENKIQSIQPLADLQKLTCLVLNQNQICDIQALSKLSGLEELYCEDNKIQNIDAISGLCKLNILNLSNNQIAQIDAIQNLCYLTELYLNDNHISSINAMKNIKSIKILYLRSNEIQDISDLELLTDLEELKLQNNQVSSIQVVEKMNKLQVLRIEDNIVEDLSYVSQLANLIELTLNKINLVTYHQLENLHRQYNQIQEDLKSQKLHNWKT
MNLLVASLAVLVTLPSIILGGPVRLEVFDVDLVSEKDCYNNSLTLHVASSSIDRGFVESQTVKCLNIEHGQITYVTQGAFDEVPNLTYLSLEGNRITPRDLFSFGGLKSIRTLILSNQVNQYDGKELVIQGVYPQLRYLDLRNNSINSVRSLADNPFPVLKHLDLSMNRIVYFDFANLLPSTLSHLYLNRNSISRFSGQKFSNLMVLSLDGNNIDSIGDVYYGLNLTGARNLKSLSVGSNRIAALSKQAFKDNVNLQYLNLSSNSLSSLHSDTLESLQMSLQVLVLDGNSFDDIPISTIMNLTTLSMNCNGMKHLTVNPLLNMPYLKKLYLGGNTITDINSFAFRFQTRLEELYLNDNNLTYLQDQWADYMSSLRLLDLSGNRFELLDSLLKSPVAPIREIYFDRNPLKYINAAAFKAIPENATIHLQENPNRIVGSCRPTTPSVATEWPSTTGTWSPTSGRPWEWDSTSGSPWYSTSRGWWNHYKKMSTENLSS
MHPFLEPYNYYFLREQTQLLAQTHRSVNDRSTIQAVRGLAFETIKEELSHLTQEELAIVMAIEKITDSQREVDRYLENLRLFVRPFKQPSEAGVKKAFAKTKKIQMPDWETIDLKEYSFYAWNDPGQQSKFILYYQNAKLQGLQGTISNEVKKGICTICHGTSGVSLFTVKGKVNKDGQYKTKGNYICYNSEACNRQLQTRTHFDAFIEQVKAK
MVLMNRWICINGIFLEDICQINVNNNLWLLYSSFHLIREPYCTIFLLVSVTGLQLQAASI
MTKDTPPSCVPRPPRIADGPTARGKAPSTKKKPKGKKASTLNLGSVLRSPSHGHRTRVSVVWVKGTGMRGSDHGQLLRLVCGVAHERHGAELCNRADEEDAEDAEEEVVEVLRVEELARADEGDEERSHRLTDLAKVRLVRVAGEERAREEEDRADGEAEVVDGAGGVVRDEQRGGGEGCGDGEEDGDDAEAGEERAQVGGKRACEVFVGGGGSREQEVTRHDCGCHRQQQRGEELMGKNERERTGRTDGDERDAVNAEQPALLRGERRGEREEQARDEQEILHRVDPARQEERREGEHGRADDQGICHDRWAMGRVLQKGHCGFNGERASFVGDVPRTAGELIDRVCGNPVASWPDSEPASRLPTGSMDASGAKVDGDHSWAVHRPSATVAKAVG
MELNYEFSGFRFEAGPDPDKADRIRVVIFKDGEPFTDLHGRPVQRAFMGNIRPESVEEFCRRFATDKAYRNELLVKQTLSCC
MLEHALSPELENVFIVPSNEEAFVTNVVTKLNTLKAFGNKVSVIGLTRWQRFKNIDPEYYFNLELCIASPFFIDYHHKDVKDFVLKYREVYHTEPDQMAIHAYDVGLYFLSALMDYGKYFEQCIYNHQVDLLQAEYHFVKWYQDSGYENIHVDIIKYYEGYNIFRINELDKYRVSVSQSFE
MLAGLTALVLLLLICATAAADGRPGVYDIAFYARKGGKHLYVWQTDQNGKLTKELRSCYRTGLVFMGWYTHPYGGYRVTQDTVFTQDTNVWAHWGRIEGKESVPPTPGVYTISFEEDNHSRTLPSTVQTGEDGKIRDLPEPTVEKERDLKFMGWRNKATLEKVTADTVFTGEATLQAVWGSASKANLTYMSRDCIIRNNAYPSGKWLTSFAGKPDNGGGRQFLGWYTQEEGGEKAGKILMTGDTVLYARWSDPGATITFADAVAFDGDGNQLPRNKLRTREDGTLEYIPGGLSRNRLFIEWYTDKRHAVPLTADTVFTRDSRVWAKVEPYTGIQVHLDVRKYGQYTSRVTPDVLPVKEDGTLEVIPVPQWSGNGLERRSFLGWFTEDEKPVTKETVFTADTTIHAKWVEGYKISFSSYAQPEYRAAWTDGSGKLAALPAIGKAYNGNPALGWYTADGKKVTGDTVFTADAELTAKWGFKVRFYMENRGNGWGYGDVALLTTDEDGKLPYLPKGVHAKGWPFDGWVDASGNAVTEDTVFTEDTKVFGTWETGGNLISLIGGKGGVPDVTSIRTRNDGTVSELPEAHHKNGLPFRGWSSTEDGKTPVTAEMVFSEPVTKLYATWIPAWKVTFRAKGGEVAGGVKAVMTDEEGHVAEWPDAEHPLKLKFEGWYTSQRTDAEKEGPQSVFTKDTWVDARWSVPEVPAGGFTITLVDRDRSWEEHTTASGKLQFMSNLHRSDAVFYGWFMEPAAGGTKVRNGVQVGGDLTFHAAWLIPLTAETDW
MSVHRYAPHALAVDYGRGIVGLVLSGGLLALSPSLPLVVVFAGLTVLFLAFTVRTAWRHRARLELTSEGLILHPSSAGALLWRDIDGIRLRYYSTRRSRDKGWMTLRLKAGRRRVEVDSALEGFEEVMARAAGAVRAHNIRLDPATRANFQASGHLLDAEPAGEAAGQPFGFRRARPHPPDPGSGNGSP
MEKLQGMLTDTVAAGQLIEGIYTPHMDTAIERLEALASFVNQVM
MTKGRDNAAESMKDVFALIRSRRQSGVLSVERFENGVFEEGEIHFQAGKPVQGFSGTLTGDAAMKHLLSWRRVYFAFAVTPSSSPPSNPKDTSPPSQLSATHFGSYTQTHSDPLQSPITGPLRRDTEKSLPFSERTTGPLARQNAYDPATLVPHKVSMQQDVMSLSLTRPQRSVYLLIDGHRSVSDIARFTGKGIPEVMQIILDLRARNLVNM
MMRKSMSSPSWTRRAACSSAVWTAAYSCSSSIAAGVWCAQGTSERAIGVLALKVFRRSFAVPSKTRPCYLKTRHSLSSSRSSSSSSSTFVSHFCPSPRDVE
MRKNYFQAIIALIINLFSLNTSKAQTYHNYILNKKGDTIIGTIKKINVVDNFIRFFDAKKNEKYDYYLDSVASFFYQNSNWSLVKQLGKTDFTQKYTLGLFEKNNNNPTYIFLPNIKSNYTFRLGIWGNIIFSNTESRVKVYSNGKTTLFEYIYYYNSASVTRSNSMLFISNDSLGVSQLFFPEKNSTPQLFSYLNEVMSSYTADKPEFYNQLKYNYSFDYSYDKMKNFFLRYIKM
MVAAQFVAVLALGAQTLTQRSDGRPGAYHVLGDAGATRSGNVLAMFRPEASEAAFRRALQASGARLVDGPTASGAYVLAVPGDAALARLRRDADVTMAEPIEQAPAK
MPSGTAPGYRHRTSGEPNYVGYEASSWTTTISDNDDSLARRLVFNATDLDASGTYGHAGGFQLRCLSE
MFDDQSLVQPTSKPTTQPAECLRAENKRRRSRRRRRRRRRSRRRRRVRRRRRRRRRSRRRREEEEEEEEEEEEQDEEEEEEEEEEEEEEEEEAEQRSLVCVKLIFTKGDTSSV
MEELVGTTVMVHPDLTTDPVNMQGHLATISHVLYEDCSAYVRFRNQMIGLYSTDALLMLVPPEIVVDKLRTDVYEMDMDASEVVDILEMYQLHATGQPERQQEALDWAMTHAKISRAIVFSVEDWIEFQIDRLDRQQQPGRGI
MTPPLGPIRMGRSLTLGLVSSFLVGCCFGPEQVFDARVVRLPGANPAGSIGGFLSVAEASFTTGSSTTNVVDTKKNEEDEPADGEDKEIVVPLRSTKSRGSRTKTRTHKTSSRQNGILRHPAAAATIGLTMMLVGTAIGAGAMLALARQREEQKKAEDTRTAQAAKSLEPKKVVLTADKAAQQQAEGDRYLSQSWLCCPYSHFSPKPRRPSRRRISDKRTSSPTI
MTDGLKGYLGLNNHLNCWVHAIRQFKAILKINKKATDALIIVSLVNELYKEEKKLRKQYEKSILTKEAFNKTRVEKTDKIFKKIRAKIEEIKPKYTEKSAMGKAIKYLFEYWNTLIKYPKCFEATPDNNFAENSIRPFTLGIKNWLFSVSQQGVEASALYYSLVETAKANNINVYKYLNYILEKAPKMKREKDWDQLLPWNISKGELENLVNRSNLAAPNKARTEKYIFRGTH
MNHCLIQAVINSAPQMRYTKENQTPIAEMIVNFKGLRSEDPTRDLKIIGWGNIAQEMVDELKEGQNIVIEGRLKMNSVTRKDGTKEKQPELTASKIHQISPNDVFNSDQKENNESFENKETAKKSSWDSSPLVPEVDEIPF
MRRVESGVTDGGFALVTGDPGTGKSVALRLVREHLGTLPDVTVGTIEHPQSRVPDFYRELGELFGVPLVTERAI
MAGRIFYLTNCWVNPWLCFRDGSTSELSCDGPLRDAYAFFCQPVFSYGQLYVALSRATARSNIKVLAAPDDKDKKNKKSRQSKTKKNQKQSGAYTKNIVYKEVLML
KEGYPKNSEGCKITCLFNDPYCKGLCINLSTQADY
MPADENDAPPPSRRCKNSKCTRPAEDGRKSCDHCCSELNCNGARVPGRVKCMPCLETNRLASRKKREKQREAKEHGRKKARQGSDDESDGEDSDDSSDSIKFQPFASSELLFTFLQRLAKRADAAFLFRGSFTIPFDREPLQPKERVALIAQEVWRSTGYRFTVDEHKGLKTGHKTSLFCSQDLLTKKAPKPSQRPSAKPRETPGMKRSVTGSYF
MSANQNIIVLDCTKDLNSVKTPLIDCIAARIPYGEWYYGIDIEKKNKLVIFSGNSLSLSRLGIEYADKNRTGAETKPHLEALGDEWKDCIARPPVDLSVSLSLPLEDIIKSPVVEPVFFYFSHADPEWERSMERSDKVTKYTAEGYRGSTWGWATEGVHHKSLGDAEGRCFVVISGWESAKAHEAFRRTDEFKVARGQAHKGLIKAVEWFHVHVNKAK
MDLGQGEDLKLEISYREGVTLETPFLVCQGEEVKLETSYIMSWIKCNTGDIIPFMSRRRCNIGDIMPFMSGRRCYIGDIIPIISGRRCNIGDNLPIMSGRRCYIGDIIPIISERRCNIGDIIPIMSGRICKIGDIILIMSGRKCNIGDVVPIMSGRRRNIGYIMPIMSDRRCNFGDIISFKSGRRSNIGDVMPILSRRRCNIGDIIPIMSEKM
MARRVKRGTLHSARYTVSIGQRGVESVAGEVTLS
MQKLPAPVTTATSEQQLSKQPVAPSSSVNNSSSNSSSGSSSSSKLYIKQYTVLEASLTELCHFYFDTNPSKIIGLRHDYLSCMLHRLCLAPGQQLLALDHALGLPTAGALLRVGNQGKVFRLLEAGAAADRALREADLPPALLSCLVDVNIHHLMEVFQERQEGTANATAPKGPPEGPPEGPPRGPPKEAEPSEGGPEGPPKEEGPPEGPSNEAGPPRGASDSGGPPGGAPEETRPPERPPGGPPGGPPESPREKSERMQQQQQQRLRAEAAKAFEAIKAGGGLDGFVAAVSPYKVKRQKGETSFSANELFNKLLFNAILLAHNFLKPGGRLVLFTQAFEMAANVHSALCASREFVHANLEELLLREIQVIPGRTHPTMQSVISVPSGFIVSAIKINSSP
MTTRWLLILVLALAAGLRLFQLTDRVVWFDEAVSLLVARAAPAEIVAAAQDDTHPPGYNLVLHFLPRGEFPARLFSVGCGVLTVAVVFLIGRTGWPSPPSASAVETARRTGPARPTTAGVASAVLLALCPLHVWYSQEIRMYALQTLLVTMSWWLLLSRRWPAYAVVTALSLYTQYTSAFAIVAQSV
MQTLFKDALVFLKFFTGIYEGIRKFLLPPKAYSWQTFIYLSAYSWTLSFLAVGYVKSVIAFLGWLFLIAGTAWYTTDDPLRVPGTFMPVGAVITGFLVSVFAFGNPEDVITPRTIILWPTISAIITALPDFIEGTDIKTTAKIPKPEIREKIIVLVASCMLLSCWIQFYFVMENWLTQYPSLLTDNFERSTFVVRMAEPNIELTLEPETETQTKPEVQKVPENGVAILDQLQIRVEQELNKTPWSQVERWLLDATKEVTNLGNQIKNQQLGQYEERKLWRVEPRVANIKSGYVLDLLSIWDGPSSNPKGYYLQKSCRIEPIAVSTTTSQATTSPVDEKNTVAEIECDRLSKFIAGAPPARR
MKCYGILIVLVVTVLKEVLNQCTNSPYRTFGGSCNNLQNPTWGSVNTPFSRLIPANYGDGKSSPPGAKDGTDLPNARLLSVEVFEEDVQNSPDFTLVNMQFGQVVAHDMALTRGGLLGQNYMQSVGLQYATTGFSNDYNSTVNPSVINSHTASAFRFFHSSIQGILKFYEESRKSLTKIDINDHTNNPTILEQTSDRYPNLLHGMTTQPMGLNDASLDPATKHFLFRFNNMFGVDLKALDIQRGRDHGLPRYNNFAYYCYKKRAST
MKALRVVGLWVALVLGVASSAMAEGFALTEWSARGLGLASGMVGRADDVSAIAYNAAGITQLPGVQVMGGMGLIAPMGTLSLDTIHGKQETTTKPAVWAAPHAYASWQLNDSLWLGLGVFSRFGLGN
MKVTAFLFGKSRGKAILVITVSCLFIFFFFRGVRNSREQIISLELTTGAYRGQVAVLDSAELKKIGEQIARAKNIGPEQIEGNYKLVIKRRKSIQTFLFDNPAKLFEPETGRIMVLPDAGNCLNGALKQLAEKNPYGEFLTWDDVDKIFRKLDKARVVDFEFGDSFVVQRRAGSNHADVQPVTAADTTMLKKIYGGRWSWKRRAIVVEVNGRRLAASMNGMPHGAGAIEGNDFNGHFCVHFRDSKTHSKGEDLAHQLMVWKAAGVVDEMLVKAEPERIITVMLVAMEQGDFNLASRMFAPTPELNETEINRLLNTIKWLAVSGISNSRVKNDTQSFDSKVSFGLRDGTQVSNRRVTFNVIRGTGKIQWKISAQTITQLLGPFKKADETPGLRDESIYHEWNVDYRM
MITLYRIFEGCQVEDKPIIFLPATASEEELANAIFFCLDKSSMVIRTSINSTSAYLKFIKERSLKSYYQQSVACIVKLNVQTGIIHLELWQQADDGRGMTPCHEQSLMAFDVKGNSQLLAKYVMEILSERLALKKDKVAME
MSSSSSSLQVPNLDPRPIQSLHNERIYLLQSLQRQAERAVRLLQRYALLEAELSLLSVPSSPPSSYSGPRSRRKIRKEAAGLKIKISENTQQEQLILLRLGEIWLEVQGRERWALVRAEVQGYLQAQMMLGMMGGRYDGMYSSGFSQEQGQQGQHGQGQGQRQQGRRATGSQGSQGSNKSTTTTGGEAAAAATGSAATEHVGAAAGSSSFMLSPLSPSFIPGGAAASTVKFSENIWGATTIQTPQSPVVRRNWSSISSAGGHTTTSAAAGSERRPSGGEKMVFGDVLWEYQEGQDDDKNQGRQNISPRSERFSSSLSSSSSSRRSRNSLSWHAAAAAAAAAPPFTFIPVLDKRMSLPSLRTIWPRSHGVAEEEEEGEED
MPSCESNIETFNHIWSCTDHAHVLNEVIIASQQISDLWILPQDHSDLTFIDFVKGFVPLSLSQFLSSL
MNLKRGVLDIRSIAMCDEILKQLSLREYGIDEIVYQALLTCYENVVQEKSNELKAKAAYTANATFPLGDSVQVELYRLINFNNSFIDQVESKFPNRNEAITHFYTLFAIPNLPKLKVSP
MEQWLLIPWGVALFVGILFLLRLLGYTGLFLSQKEGETLIVLTQNSQGSVEWVVRSFFFWNWLRGKRSRIICVDAGSSDDTCKILRRLQGKYPFLFLQVSEERELDAFVHREQKENAMVVDLRSLHSGVTGLQGL
MPVHIKAHSDRCIGAGQCARILPALFDQNDATGTVTVLDATVEPATPTLIAKLAEVRDACPVAAIDIATAQEETP
MITTTTLQSNGAGVTAKSRSMGTRQDGLVGWKLVLPIVLGLLAMFAFSTGIMLAADHGGRTLRNAVMTTWLLAFFYPISMLFLTLVLVRIGCQISRYPPSSKLTSWGSNAPGLAVGVLLLAVFIGLKILTGMPTKTGAEALQSLLTYFCALAVLVAICEVVRWLGREITHPALSLM
MHMRQRIRFGIRGAFPVGQGGIVFGKPRQWQIMAWGVRGKRRVKGPRRLVGQVSYAPTPAARGALHGLQHLRHIRKRARLVHAQRRAKLLAQAGGASVVRVGEIDEGGQHEGANYSMSSHGGVQRVLLIDSPQL
MEESKRTESSIPAKKIDIIGFLMVISPLISSIFLWYWFLYIDVIVVMTQYIFVVLALTVLFTTILATIDSHRLGLKVRIFGKKEIYGGSFLKFFIFLLLWMYSYPVYLFRRGKYGGRNLLYPMIFSIVIFIISSSYIYYALELRYVEEDALQRRKLYHRNTR
MLQTQLNLKSAYCCIFLLCFLFSGGLFAKNNNVNLVVDFISDTTHALAKADTLFSLKKYDLAISIYKTLLIDDYKDPNYLHKRLAFSYAKSDQPDEASVFIEKYILGTLDVSFVNHSYFDSIKTYDKFKILQKKYQSKINGWALFCLYVGFIGVFISTILIFKPTKDRLGNLLIGCFLLLHSLFILRVSILITNYEFYLPHTLYISASFSFLYGPFIYFYFKRINQQHKFRKVDLLHLLPTLLFLIFMIPIYSLSSEEKLYMIIHNERPYVILIMIAKLISLMIYGLLLVMEHFNKRKKEKTLDISKKNWQRNLIMFYSLYAAFYGTYTFIFRKYEMGSLLFNLQIFLMNLLVLYISYNTFFNLALVRDNKNRSLKTHNINKLNPFKYSSSSLTTELSIELKDKLVKLMDKEKVYRKNDITLQKIADLLNTNRHNTSQIINEHFGLNFFDLINSYRINEAMSILKKNKSGVVNIIDVAYEVGFNNKVTFNKSFKKYNQITPSEYLRSFSV
MQYWPASSPLAEIKRDFSFNVFGVLLVTVFWLICAFLLLGALSYFESNWVATPLALFFFVCTSWWLYERMRLLYSREMLLVGDKGALYAWQESTGRILRYKPLPYCQLLQVTLERGKSGHYVQLKRPGLNLAVSMGGDEAQCRAAYEALSQALHYFNYRNKSQ
MEECIGKQCDPGYRQLNHKSGVLHSTILHLGKVVLPLGTMVSSSLSSQKQISWRDLNQKRGVDSYSSSIKTWRENVNDS
MAHYRDQRASALDYQRRLGEVAATATSPRRVVSVTVGGQGQVTDVSFPTGAYKRMAPAELASAILSTIAEARDKALDEAADLLAPMLPPGLDARQVMRGQADIGAVLPPEPPVVGLTEEEL
MNRSRSLRSSRGASKKNELSNAMYMLIKTENAVVSAYEGAATSMHSVAHQLSAWGGSSEDDHISDISDKLGVLLSEVADVEENYAAELEESRLTLKTIRNTESSVQPSRDHREKLMDQIHHLKHKDPQSSKLDELELALVRAEAENLVAEAQLTNIQRHGLKSTYLLHFAALIERSEKNLILAEHGRRILEFLDDTPVVPGDAPATYRHESDAKQVLLDCEEELKHYQPKHYDGGLSRVAANGLPENDAEYENASIYDGTRSHHQSTDNETGATTSAPLVSHVKNGQFSSEQQSSRAPIAQVTDGIAVSKDNDHTIGPNLGNEIVEDAERE
MRVTICLANLVSGIMRGSASRSFWYNWTITCLQRTGSSRQCVCWFIFVLQGYPGIVCRLAITNDIQPFNWNGFDYDGVSPLVSKKPSFSIPLCILNHNLVPRNGDSDSSSRIVETLNLLTLPEYRYDWWIIVRAIDIFPVSSSIKLKLRLLLELVEIVRPILFFLDRDILSFRVQAVEENHDSED
MNTLLGMMQRPLNFVINTSHGPDRKDKTIKFEPDDKIFSLSGPNEDFTLKANQNPFCNCCEGKYKNQKDVKYCHFCSKACCSRCRYKTRVFPRSMSNDRGDICKECDSKFWIRQMLKDKQ
MGHGSFRPCDGEVNQADRLVVRSAARPGDPGDGHRDLCPRMRLRSLGHGPGRRFRHRPETRQHVLLHAQHLHLGLVAVGDEAPIHDGRRPCDRRQGARHQSAGAAFGRCDHQTGPDRMADHVFSGRLDILRQHGNSLHPRVVLASTCGITRFRSKAAHDRTRPVPGASQDRRDNTCRLRRRHLHLGQSGFPGSADGDQAGAAAAGRIAIGVEHRHGLLPGGAAGGLSLRPPAATDSVDHLDPAGRRAVQPDAGRDRPPFDRRGLGPLPLGRAAGPLSADLRHRLPDPAVDIAARHPDPAGDLHRHDSRPGRHDDGTLADTAGRASGRLLLHDPDVPPAVGRPTPGAGPADRVLPADVVGRRAGRGLHSLAGADPVRDRARISAGHGAGLSGATVAGRTSALLRSAGHRRLLIRRGADPSADARGDRGLSEAAEADGRRGAAPVQPQPGDHPARRRRRARTGRRRTAPDLHRAPRRRPDGRSVDRGPGHLAYARRSGRLQGRRPLAQAGADRGPPLDRRLRQPVRRPGPQHEGACGLGRPRHPRQIGGDPLGDLQAVARRGPVALHHDLLVRPDLPEGVVLLDQTHQAHRIGEGVVAQRQHRALGPDVDLLDAGRPAVGLDADDPQELLRLVRQRAEPVDQFGGHGLAILHLLGVRQTAIQAQARDPGPPRPVPAVRRRRLPAFADTARRRPRGYGPTVRRPAGCPRRAGPDRGWPAGSRRPDCPATAAPAAAARPTGSAAWWGRSSDRRRPAPWNGQRGHAADKAVQDRTYRHDARPGYWPSECRCRSRRWWSRPARHTRRHRRRSSPLPAGSGSSGRGRRRTSPQEPVRAGTARYPADPSAAARRNRPDRRDTSRAAGPRAPARDPTASH
MGSGNGALWAARPAPARRVPPPTHLAVSACAPVPGCVQLLTSPVDNTILYVLFLLRYRLHLSVSSSFTETRGHCRAPHDGVRAQTPRSTPWSVAGNVR
MKLSRTFVLIHNRTALGAARRCVARGYGLIAGSFHIHRQLSQRDLPAIAGADLIPDEAAGQVLELVAETVDRVEALLSPELPDDLRATLLGVLCKGLFEYFGLLAASGELGAEILRFDWRRGLVSARSALRRQLRYARLPALPVRFGPRRAGAVAPYELDFRTPSGAKLGNDCGPSRKVRLRLASVRTPSDLLENARLRRGGVAGPDYTICVHRNQRIPFTVFATAPETALDPDLAEFVRTTTEEATRDFLSHRDAMARFVADFGRPERARFNFIKHEGMAGFASALSDLGVETTMLSHGCMVPHGPDVRRRVAERLSSAIYNSHPSIKVIAPRSPLQAAGKLPWQVVDSVVRLVPPAAEAPASLPFRVLFAPNYLPWHECFHGMAISDFDTYHCIEALAGVIAGLDDFRMDLRIRTTPLDVANAKRVPELIAIKPEDVAHLIAPEKGIYDATYGSHATLIAEADLVVTEGVTAVIFEALEARKPVLLLQRSRELTPSLPSWTLVDLANHIDRNAVYSASIADDLAAVLQMIRTRHNGRPLRNEELSGLIWTDPAS
MIAHDLPFCAILLNVNVAFAEKVASAVSACLPCAPGSQAKSLERMSGAISPPGPSFGGREMFIHVIGKRAG
MTTNDSNGHGRTRGVLATIIALIAAMAIALPFTFLAPSTASAADGTDGGALEITSSQLAKTTIVDTEGRAAHP
MESLFGIFKIIAEIRAKAMRCAGFSPQRVCPARESVSMNLWHIGKPNIGKEIFVKPGGAPPRNPH
MALGEEDRHRSRDRHRGQRHARRTGPGGGGAARAAYGGGQPGRGLDGSRSSARSASRRSRSAAGTGVSGGLAKEISLITFRRRAAKMAALTSTRLT
MSTQTAAPAAVPASWAGIVAIRVPSELSARLAAGTHSDTVRAFVLARRTFLAGERVDMNVLAAGLGVDRTSLFRWLGNRDALLSEVLWSLAEPTLTRIERSTDAVGAARLTRVLGDFVAALIEASSFQGFLTREPARALRVLTTKESQVQRRYLAFVEALLEAEVARGAIRFSLPIHDLAYLLVRISESFTYADLITGEKPSAERARAAFEYVLRDS
MSYKVGSALRFHDYDANFKAEVLSFIQISWLNEVREWQEEFVGNRNCREFVETITRDLLGGCVFVFSLRGENEGNAGSYYVIM
MSQEWLLPEEFTDNDFEDYVSALLQVSGMFVERNVKMDNTLELDVIVTELSQNESPSTTIVEVKSGDWGLEDVFKLKGWMDFIGVNKGLFIYSTRRKKEISEEVKRRFMELGVQLRCLPQTLSEFEKAIQILCNEEHVTVDEKDVKIWMITQRIERKLMNFLSSLRKHPGKKSSLLENFKAISSNTNEHAELLHEYYRMIKDKVFFLNENFEKLKELYRECQKGKYRVSEQCVSDHSKNQSEKTGGIPEELFERTFYDCEFNILQISTYIEHMVRLSILKTAVGLMLCKNWKDSYEDDESIPKSFYGGLCTLENESYSFLYPVFWQWFLLVFGGFILRDRENEEFEILSRKTKVPVEGIRKALEVYDRFFPKFYGSEPKPWFVDLSNELKLVSLFSCVFQGVGVYYRTLLYGKPGDVKSLGISDRRTLDYLEKCYHLAETVFNSKD
MLEPELLCSVSITVVVVVVIKVIVVVIVVEVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVAEVVVVVVVVVVVVVVVVVVVVVVVGVVVVVVVEIEEVVV
MAFLSPSPIELMMIAIIALIVLGPSRLPEAARSLGKGMREMRNSFQSGGGDDDDDRGEKRDPGPHDDDPEDGGVDDDASFDELEDGDRPEPTASEPATSEPTAPTERESVGAPER
MNSNRGGARVDNNVGQVPNGHAAGNVLGGDFPGYPSGSAGGNLGDRAGVAPSATAKGKLKIKLVSSVLTTDVARLVPLKREAPADENRHGPASRRDDAERVPNDPRPAAVAEYPAPVSPAISGAGSPRPCADEDEALPNAMGTPSDAAPPAFEGAMLDGGGVPAANAANKTVGRSGKSKKGAKHSAKARGGRNYVPKCTGGLWAPKKKELHIVAGGFCVFINEFYIPACPDRKDDYGFFLQPVDTSVVTDYLSVVKEPMDFGTMKAKASAKQYRMIEEFHVLSSVRLCSVIVDGIEPFWGLAYNSPQTAYHRYAEKIGEYGRLAILREGKNVMTEEETRQERSKEEEKRRRQQPAKKQQPQGGREVKGGKGKRRLAAGSDRTASTPVPADGRKPWRSQSHVTYDEFAGERRTPGAPGERSATPSGDLSLHRHSQDPGGTTATIHPDLADIIFDPAAELSTLCVSRSESVATLLATNARYLQTPRTHGEQKGPQPAPLIKAFAAALEPDGTIAAIVGGSIVEAEKPTGKPTYLADCSLAPSGMAQLNWWVPADYVVLEWKS
MYGTELDMAYLKSAPXHPXSXYXRXYSKPLSXSKANNWNDSDITRXTNKGHSTKTKDLDVRGCTCVPQRQVASSYAKDSESCSKLSYSKSWPTTKSTHKLIIYAYHESQDQALPTMSEQAITNQLNTQATKIHKRRSNANQQSKIEESSLESISKSRIQGVWDPAHSTWEGIPPGCRHIPPGCRHITLGFHTPDCRHITPGCRHFPLPPDVSHPEFWSGDVPPSPNVSHSADISGWEKRAFQLPRSDISGSTDSAHPESFEANFAQCRGVLLKLLDFCNRHL
MRNLRRRKLMADRDNRQQVEEIEHEIAETRAQLGHTVDVLQHKVSPRHIRARTLGRLQRRGGMYDRVARSVRQNPLMYGLLASAVAWRLFAGRREARLSSRDMSRLRDELAAAYASERWHGEGEPTMTGRQMQRMHHGAARLRGDLQGRAQQLRHRWQEQAPQTRMSGLSGLLGVVLGAMTQSMMIREPEARAGSPSARESYRDRAEESVAESIAVDETGFSVGAPTEAPPSPGLEENKRPIDR
MVGVAGKSKACNTCRKRKVACDLQRPQCSQCIKSNRVCTGYQRKRIFIFHQGTQETHYETSINGPGASTTPVSRSTTSPYQESNITFFSTHTRGRRSSPRTRNQISTLESNRSLLHVTYRKQLFNAYLRSHIPPSQLQSLGTPWLALIPELASPTEALETSTLALATSKLGRLNNDPILTRESLRLYTSALRQLQKALWDPGLMYRDETLAACMALAMYEMMECPSGDKSGYMSHCNGLGTLVRLRGPEAHIGGLGHHVFLAFRVHATLQDMHHHSPSYISSSTWTSIPWINIPKTEFDQFFDLFVRGPATFQAVDRLDGAEPLEKLRIALSIVHSCWELDADLATFYEQFEKSSLGPLYWPEFAKPRIYRKGQRDTDNDYADDDDDDDDAWNNISLFPISLHFPNLGTARTIILYWATLTMLWSGLYQLYGLIYALKETIIDPNSNNEHEREDHNTNTPPTSAANSNTNDTDTNNLTFLITHLPPLDHRRDFASMARNVCQSVEYCMQEEMLGLGPIILPAPLGIVIDTMKGYESFAREVRWARKVMRRISEGGLRILE
MNFESSDEFIREVCINKGFTNEEFEQAISVISSLDESCWNHVSVVAYLLVKPTFLTQRESIEDIIFSLKYLKFLEDKYRVRIAPKLEPAAIVNGTLLSLLHQDKDSSFHYEPLNYWAVLEILARIARDSKILGMNIRIGAREDMDEMMTPPAIYKEDGETFHPFDFVVYEAIQKFNQHRSFYRLFAIIGNIYREMNGIALTERAPHWCIGWRISDQYGRLLQRRYVLPF
ETQSSLYIVEPLSEDVLREYNFHLSIAGDKQRHNASLAIQLYHLWEAYQYKNEMVPVQEDDTVEDIPRVTCTNLDEATIRGLSTCKWPGRAQTISRSGVTYYLDGAHTKESMELCASWFTEAADKERNNIGGKVVRVLLFFTAPERDPEVLVYFLRDCEIDAAVFCPFVVSTDASRNRPDLMLKKLDPKVQSETVVRNKLAWDKLMASNERERNNLRLLKAGWPVNGIDQSVDQTTEKSSDGESEKSSVLSESDRSDMMSIVSDKMSVENCEDTDCNDRLLPSGDLPAVVGDMLNYVIEPRLIVNANLLGEVDNLNKLLHNSTSLERLSPTDNYTKPSLVFPCIIDALAWASQGKDDNVREIDPQTIPSFLSEADHIQVLVTGSLNFIGGVLDVLTSADDVYSN
MVDTDWKNKMMSSESPKLSSKLHVTIPSPFKVVAVSSPISCSAPVACSAYELYLRLPELKTSGHHVTSSLVKRAYPQTVSPSSGDQFQIGFIRLLRRETVHQPPRMEPAVGLSSSRVRSSS
MADPAYDPDLDPGLTRVTLSTERTDEGTPSPPSPPGPFLPPQWPSPPPPSGPPTILPPPPPGGPGGGIAAQAPPVPAPVYVTNHYYPAVPEAPARQGRFRISRLHPAWNVSALVAGLLLVPDTSRLMNLFGDEYGVMMGALALTGLLELRFRGRSWLVRVLTCNLVASSAVTAAGLHMYGVIVTGV
MKNQVLSIILFLLAAGTCIYCSVIYAHPADLMWTRYDHDLLHYLSFLTANFTHFDNVHLTENLIGLAIIWFLFYSPNVNTYPDKVASLLVSAIAVTCGIAFVNHTIGTYSGLSGALHGMFAYAAILRYFKDGDVKGIILIIGLIIKLYVDFNWPELTFNELAQKVYGETITIEKQINPDADFSYKTCGEAHLYGAIAGSVLALIRGLFFGKSSMIVGRSVH
MESSFGRHYIPTYRHTNQSGKKGFANLHTYQYPSGGGRANKFLIVQCKRKGLETRDSAWIEAVGQLSQYLSATHGTRHVNPT
MGLQSFRLRPATGVLMRGIRLDGNQVVVEWNPGFARYQLQQTAAVGQPWQDVGEPTTATSVTNTIGGTTRFIRVIGLLE
PDGTDPTAKLRALLARWYDAKSQSFVIPDPSGGHTRELALDVPEWVQAADFGITPSEVVAQPFDTVVLPPCPDAQALLGRVATYAPMHLTAKHVIFPTGTEVVVHHRN
MEALVGLIALFIKIAILGSVYATLVVLIIIILAKFFPDRIFENLLKNKKSLWFTSGFIISILLFGYSFTFWGDHGLGDSARIPLSHFQEIDNTNWIETNIEPVNYPYGAMSIESFSIMEDVVVGTTAVSPVDRPLPYFLWNVKTNYVTFFKSEEDYIHHASVNHLAMPETFQTFGKNYSDYWNGWRFWMLP
MSNFKPELLDNGSVPNAESGERQDPPVVGKTLARKQRPALKLFTEGWTFTVTSRFLPALFFVLVEFSKIQELVRYIRRGESGGDSLNQVVFYAAIGYRLAMLMFFALVIVLFVVRLKPVRKAEGIMPTVMAIVGAFMMTLVALLPQAPTSLLQTISGTLLLLLGSAISVAALSVLGRSFSLTPEARRLVTAGPYAIVRHPVYLGEALASAGMVVQSYSLFALGVCLAFVWVQIQRMKYEETVLGQVFPEYEAYKLKTARLFPKVY
MRDEEAPGPLTQASAPLPGVPAKSQISRGGPAPGRLAREDARTLGGGTLEMVTGVAEHVQRRSGAYWRDMAFGRLAPALFFSLFLARQLILLWGGIQGVRQPADLLFVGQQLLALTYFTLLVVLYAVRLPQRGTDHRLAVIVIAFSGTFAAIGASFLPGGTHRPALVLVADILATAGLVYSVWGLAYLRRSFSIIPEARRLVTGGPYSLSRHPVYLGEIATAIGINLATAGWLSAAAIVYFVACELLRIRWEERILAQTFPYEYTEYARRVPRYLPNPLSRR
EDPKEEEQSTPFPPTLLTHLRHRLGLLVLLMLFQSISSFILSRFEELLAEHGFIVAFLTMLVGSGGNAGNQAAVLVIRSIATGDLRNKTIGRYLLGELKVALALGSILTLVAFGRVVLFGYSVIEGAAIATSLFLVVATSVITGA
MLFLSLFFSINKVYSAQTNIISSAISQILSSAQLNFENALKNDMDSKLKDLNDKWKGYNTEVCKSLEYFTNSLVYQLNTFNDILNNIKRKVKGGTNRVKFNVDPNVGTGCFVNSQGLGVPQSLGTQRVSFVDPQPTCPSQTQQPYFAQQMNPMAAPQPSFLQAPTGFSASDPQATSCPSNQAARRSLQARPETFTGIAPQRAYGRGYGTTQ
MTLSQLLLSASLRLPPRGVRRLVLTHAGRRVGGLGNLTAQLPGFVEVELSGCGCALAGLGALLSPLPALSSVSLSRCGLGGGGAAGGAGALAPLAFAGASLTELRLSFVALGPEGLAGLSALPRLRRLALRGVRQPRLQLRHVSALGSLEDLEISGVETAPLLEGAGGEGGLQAAGGQGPHGGDLGGVGDLGVAAGLVADEEGAEGAVAAEQPPAVQLAGAAGGGGTGPEAAHLDDLAAALSRLRGLRRLRVRRLRGTGGAVEGALAELSALTSLALEDADLADGALPLCWAAWACGLVELKVRSFCYARPWPGPPLAALTRLEAAGAFRAPERFLGGFAPNLGLLDVGGIDWGRGPPAAGFSFGTAGDGCGLHTLRPPPPPPPSPTLTMEQEGDRAALLLRLTRCSSLHLAHLGSRRLAGVLPHALCLWTGLRELSLERVTSGLDDTALRLLLAPHASTLEALSLVGCRGVTWRGVRALGALQRLRRLRLAHQYEVTTASVIAAARACGLTELHLSDLFASCSCHGGASWRAHAADAFALGAH
MLDNMCEEGNGKLVLCGDFNAHNTLWGGTKVDENGSTIEEFMDDYKLVCLNDGRNTRYDASHGTGSAIDLTLVSDQIAGVSEWEVSENSLGSDHYIIWLGIRNQNVCLEENWFPRWRMKEANWGLYSMKASGRLMGIMSEMSNDVDELNSTITNILCESAEEVLGKSSGMRKRRMVPWWSDDCKEAIKARNKAFKIVKSNHSYTNLMEYKKLHSRVKRVIKEAKRTYWRDFCGKIGAEVKVGDVWSMIKKMGGIRREFSLPVIKNNDKEAVTNQEKAEMLAKSFVKVHSSKNLTDEELSWRRLFKG
MDRKQAAEALIFAFVIVIVIVVVLWSLRPRRPDRRGGSDASYSYGTSDGSLAEGGSGHGGCASGTGGDAGGCGGGGGGD
MRCGDCYYFIPDHGSAGMCSASNTDKVCAPGGCTGLPFKRVFAHDTACSHFRKKD
MPQDRAHRPDVNTGGHPASMPEIRAGGGTYFLGRYRVVDEIGIGGMASVHLARMDGPGGFQKWVAIKKIHPHLVEDDSFVQMFLDEARVAARISHPNVATVFDLGKHEETYWIAMEYLHGEPLREVMRRTEELGTAMPPEIACRVIADASEGLHSAHELLGKNGERLELVHRDVTPHNLFVTYDGVTKVVDFGIAKFSSRMSNTRAGTLKGKLAYMSPEQVQGEQIDRRTDIFALGVVLWELTTGQRLFRMESDLDTLAKVQECNVPRPSTLIRGYPVDLEKIVMKALAKNRGERFKTARELSRALQSLLMRRGLFIASDEVAAYTQSVFSDRIQKREAHLRWAAEVTQTINVEQMLSTPNLNTDHTNSEVQQSSQSGKGNNVRPAAGMPLPSASIPHGGALGLGPASGQSGPVSGPQASHPSHPSNPAWGEQDPRKRTVPMQARGPAGGPGGPGGPPRPAGPSAPKTTPPPPRPAAGMPAAPRPMPAPAQNVAEEPHPADGDGPTIQAAPPLLEGDAAELHASMQNDPPTMMASPQARAAKAAQAEPIALEPDPDDFDEDQDETIVAATRAEQGALHMPPTFPQPPGPSPSAQHMHPRTAPIAAIAPPHAPAYPPPLGYPHGAPAHTAEVAFADTLGLQHAPVPPVPYDPNMYPRGPHASGPSGPSGPSGEYNPMGMPPMGGPDIRMQPTVPRMAPSFDNRTMTVQRYRKRPPMWVVAALSCSIALLIAGVVIAIVSSTGTPNRHSAASATVPSATTPPTGAISAPVVPSTPVTAPAVVTAPPAATSAAPVDTAPPQPSLPRSRPRRQRLRPRRPRPRSLRRSPLSPLSPPSPRQRLARRPRRPLPLRRRRRRKWSRATVRSRSCACRSAIRSSTTARHSVRGTSSTAPSRQGGTSSSSQRRTARRRASSSKSFPIRRKRSASRWRSELARRARQASKLARA
MKIQHPNNVKSINTILSLIALGLAAPTLQAATLAQWDFQNITAPSGALTSADASFVDSNVTITDNDLELDLTLAGTDSLVVLGTSSATNGGGAISLGSNQLQISPAQIGVISAGLDPGIDFLEFEVTPGTGLQIENASITYDQRIVTGSSSTGGLVAFSGVFYSTDGGSNWTQSSTGSQRIATNPGLQDKTESASQDLPTIVGTTLVRITFIDNSNIAPAGDSGKAFYIDNYTLNGELIPESSAVSGLLGLTALAIVIARRRKQA
MFFSLLYCFSGAVCSFAAGYKSSIFWFPTNFVGALVRGLNRHQGDRLQAFRQPTSIQQQEREPSIENTLPVASLSPIGKSGTSPSRAGQSSSSTDGVIDNSENNHGTTAIANLRSHHLGNLNGARQSNTVRQKSFDRDNQQVHQHHQWQQLQSRCQRAVEKATFPSVNKDASFHFERIRVDPEEKGGGVSVEPFPGPTPLSCAH
MGGSEGDSDTGGTEGEPASACVEGGVLLQPLAVIDEEAGIVRAFTPDGEHTLSAALPAGVNDYPLLRTATDAGRLAIATSYVSIKDGVTESGGVLRLYDYTNGALLWEHVLPYSVTRLFIDDQARITAEVDQGDGTPAGLFVIDGEVNELSAFAPLGPIGPTGSIPGRIVANGEAGGFYDVQSAELTPVVDDGILWRVAGEAIEFITLDGTPNYVVATPEGTSSYALNPFLEFEYMNQYWDSAGDYRLFSLRDANLGSEVIVRLDLKSGTVDEIPTVPPGLSPFECFTRFSLDVEGRVYVALRDQGVAQLHVWDPDSAAWTTIGQPLTLIDSAFVDPRFGRVQVFRGIVYDGGEPPCKASEWVDPPADALAGWSYQLVRVDPPLGELVGADPKVDVTERCAAWIEDGGVRVRDLEDSDELTVPAVGSFLWLE
MVNPLRTGLFGLLFAASLLLLAVNAREQHRQAAVAGDPLEVANADLVARVAITNLPDPTLPARGPLARRALRTALQRYRVLLRAHPRSPTIQQRIGILLGVAEGPAAAWEWFRTRSTEPGLALWQAAYAPGTLSPAARDAALSHVETLGLAWYGELVRMQIYAKAGATMQAQEALARLQRRLRPAVMGAILFSFLASIAVPFGAGVWVAAFILRRHELLRPADRPRPFTAVRPRALIEAFIAWFFVIIAGGWGLRLLLAALDLPRAWPLAVPIGLLLLTQLAAATAGGA
MRGCARRLRGFASMPSRRKRSLQRRLFLVGIFSVALCAILIVEVWEAGGGVIRLPLRMAPETGFPVALLSWLGVAAYAWGYSRLFQLTPSKLWARAIPMGFGLHLLVLLAHLMATVVHEPPTHLSIWYLRYLDALWVTTLAAGFIVAWRMAARCRAGSLEPILFFLPLFIGYAGFWVESMVLAPRLTIAASIIGLSVLAASRFSLGQVAGTRLVAFVKHEQGFLTIIFFIALGLRLFYTTRVMSNPNYWETGSDGPAYDALATALLRHEEDARWSGMPLFAPGYVRFLALMYWLLGRNYFLVCAVQSVIGAWACLLLYAIAKRLFGLPTARLAAMFGAVNFSMVFAAAAIGHQALDVFWTLAVVWCLVRYTEDPTRWGRRMIPVGMLLGWAAVTREGNIAFWLLLLGWFLIGVRAKLGWRKAVSHVLALSVGFAIVLAPFVAGKGGGIHSRLGTQWFILQHAGFDLNAWFNPWREPLVAWERLREQPFEVIQRVGSEILHGFNAIFFYQEYGFFDPVALIRDSAYSYGIWWYAYLLGFWGLWLVLRSALRTPAQRLGWWLVLVVLVSRALPHLLLEGSFRHRVPMEPYLILLAAYGAVSVWQRAKFHPADLKFH
MEFFFLYVVMAFISFIFLSFQILIYKHESSSILNLPPGRTGWPVIGETLEFLSTGWKGHPEKFVFDRMIKYSSECFKTSILGQSMAVLCGPSANKFLFSNENKLVISWWPDSVNKVFPTSLQTNSKEEAKKMRQLLPQFIKPEALQRYIGIMDVIAQRHFASGWQDKDEVFVFPLAKTYTFWVACRLFLSIEDANHIARFADPFHLLASGIISIPIDFPGTPFNKAIKAAKFIRKELMEIIKQRKLDLDMQKAT
MNRTARTISTSVAAGGIGLLLTAAGLGLAPAALADSGPHPLSLSLSAPAPAELGLAGQPVEYTDTVTNTGSTTTDELVMRFVLDGGPGLPPNAASLEYRTETGVWKPVPLEFNASTFYGTLPPTFTLEPGASRAVHLRIGLPMGTPHNGDSNGGTQSLKVHTTVARGASGAAAALDDHVIKVDGPSPSLSGVPASVTAGGPGVTFDAKVSNPTASAYKNLSHLLLTDTHAIVQVSRMGRWTTLEPTVSRAEPGTFTFTLDGKDSSLGAHTETVTKVRLSYVKSGGGAHAKLTDCLLVNAGADPLSGTTFCDKQTPITVKPAAAPSGSPTPTATYSPTTTATPATAAQLAKTGSNGTSAMAVGAGALMLAGAAAVSAATLRRRSHR
MINIRPVKSADIEALYAVSLLTGFEGRDASHLYDDPKMMGHIYAAPYAVLEPQLALVIEDSQGIAGFAVGVIDTKEWEDRLEREWWPQLRLRYADPPEASRDVWTPDERRASMIHHPTRTPAGVVGKYPAHLHLKISPRIQGGGFGSKLFDKWRSIAVQQGAKGIHVGVNRANTRAIRFWGRLGFGELTIEGAAKARTVWMGNSTS
MFTPPPPPPPPASGGGAVKLERLDVRPTGGGADCAFPHAAEAEGRPPAVPATQTAFQLALRAILSLYKEKIITSERDVLALALYNTAEPYNKLSIEGVYLMHPFNTLGVDSIQEVEQLEAAGVPGSAAHEEFLRRVGHRRRELPSALPDALWAAQHLFQQLRSDTIKYRRIFVFTNDDHPTAGDELSRRRCADRARDLCDAGVELQVFALDGAAAAPPPAGGDAGPTGSGSGGAESASIFASAAAPFDTAARLAADEADGPRAFDAGTFWDWLLRQAERGASPADAWRPEADETGSVASSSFGAVRVCGGAAAVAELQQAVRQKVHAQRPTLSCRLVLGAGAPGQPRPAVAVSIYVPLLRARPPAVEWLERATNAVVKRRSRLVVRPAARPHAAEESEESEGGEAEAEARVVAPEEVQYSITVGGRAVRFTAAERAALVQVAAADAGVGLTVVCVKSRAAVEPVPYALRRCSFVHADLRAGGPHSLRLFVQLVRALHARGRVAVAQFRPRAASAPRLVALLPALDGVAPARSLPAEGLGFYLCPLPYGDDLRPDPDLATATLAVRHNPPRAAEPPTPAQVGLAGELVQRLTVAYDLAAVPNPTLQLHYRTLEELAVRRLPAADGDVKGEAAEAAPPAFVDYTLPDAAGMGAFRDLMHDFNTLVLSGEYSADAYCPGAGVAEGAAVRRRDPSPAGARRRVKGEDGAPPEAVAAQHVCNRVAQAEDNGALDGLTVVELKEYLRVVKAPGGGAKRKAELLESVRTALKREQKKKCKAE
MVELSRPQESMLQNPLSAPKNFFLLVLLLCTTGIYFIMLLITLPHLRDAAGGMYPLDMRPLGYDSTYISSLFDALGDDGRRYYLTKQLPLDMLYPGLFALTYTLSIATLQTALRWDSRPNRILRLAPVLTGVFDYLENFTALLLLALYPKIPGFIAQAGNAFTLLKSLCAGISLFLFCGMSLILILRYVQLQRRARPRPSV
MHSPSVVATRPYIWHEVLAAFGSYDKTETGLGRLKRTQMPHIAPFVWAVFLPFHFPAGNSEKFLPLITHAVPLFTSLRAVCLGAFQTHNQPRMYRSLSKVIRTHSTIDTLSVYRMTQCADLIEKSSQATYNIELEYCHGNSDPLLLRPKGIRFMRLHNEEPQNLRTNWPADIWATLKHLNPGPCDVDLPPENHRLILFTSQRYLDQGGRPSLKSLDLSEVYPNELRPWLTLFHKVDLDAFIYNPYNEPTLETLEEILKIFPKVKRLSIGLLDPEDDSDSNSDSDDNSLEIFDLDRDVPDLLSQLTNLEHLTLTVILPDPYAVAEEGGSSGAKARDTGGRNIGPEVSFAAQRSVGLQIGIRERSRHRTVYCPQKREESEC
MDAISKKIMPSSASATVSEAKVKPPDPLLNDSISALIRIWAFVCEHPGIVGTATIALGALTLLTYYLPLGFIPDFDLASVTGILLIAAFLGAVQIFWLGATLVLPAIIGAGNIVKPESGKDYKRLLTVYISTVLWLIAFWRGAVANEKWFIFGLPAVLLVALVLAIFRKTRLAAGYVLIAGIQGVVCLVAAFLFHPAPQSSISQGSNWLQWLFLVLWCLLVTIANTVLWKEGRPTLRAVLGVTAYLLFTLILMTQNLGYVHGAAVRMLSLGDVRGVLLTVNDSGAAVLSAACQGDSPPVGCAPQKIIMGEVKAYAYRNITILSRIGSQYYLQLCTNDDRGGACDTIEGLRIVVDKRDVLGWSSVGARLKNSEEYGKIEPVQPRN
MPADAAADADLLRPVPGAVLQAARGRRGTDFGPLTEQLARSASESTFFGDVTIANSFINSGDGGITTKIIAGVIIACMSGVTFFTQKSLTMKNMPKTALEGPMASTQKMMLYMLPFIYVITGPGMPIGVLIYWLTTNIWTFVQQYIVISAAPTPGSAAEKERHARVNAKREKKGLEPLDFTPPKTVSAEPEPEAPIRVQPSKSKGGKKLSDEEKLEQARELRAKAAEERRRAQEAAGEAPKPPARGSSALNKGAKKKRKK
MNRVVCPLAALVVASSVLSLPAFACGGCFVPPSDTTAPILQNAERILFVRDQKTKKSTAWVEIRYNGPAAGFGWVLPLPKEPKVGVGTTYLFDRLHLATAPRFIVDSAPSEGCSSARSDSGACGSMSDAATVGFATSAPKNANELDPANPAIKILQHDQVGPYDFVVISGKTADDILKWLNDNGYATPAKAKATLQDHVSQGHVFVAVKLANGKGVDEIKPISLEMDDAEPCVPLRLTAIAAVDDMAVQVFVAGPGRAIPKNHLHVQVNPARLDWLHGSGNYAQVAAAAIDEAAGRAFITEFAGIVPKTVRETVTTEEFSSSVETAFLDYTSIDLTKLKSAEQLCQAVEVLKAQKFPITKETAAILETHLKIGSAQGIIDPLFVYGEKWACPATAQNQPFNTKNLVEQLTKEFVKPLQDMGPILTAAPKLTRLLLRISPAEMTKDPVFAFSKELPDVSRESKATVNPVCRKPDFNVDAFRLTLPGLNRSWVVDSTLNATVPPGGFQALPNTATDPRFKTAPAALVVELLDETGPAKPINKEDIDKVDLAIAGAHWGQLQVPKDMVLKVAEKRWAMPVSDAVFSNSTNSSGSSCSLEAVVPASGRGALRISLILFAVFASVWTVRRRS
MARALAAGDASDNSVQDVPLSPPGRPTVLSSIRADQLPSVDPSKRGTALGSSDSSPIPPLFAASASFQGARRRRS
MLSFSFDAYLKSIQKNLQKGSERSHYPALKELLDDSDQSIDAVIEEKGNKAGIPDFTVKRRELLIGYVEAKDVGTDLDQIEKTEQLKRYLEAFPNLVLTNYLEFRWYVNGKRRQKEIFVDRTGNKLQARGTDKIAALLDQFLNYTGEIINSPEELATQMARLTKAIRLATATALESEEAQGELHQLKQGFSEVLLPDLNDSDFADMYAQTISYGLFAARVGHAQNPGGDSFTRRTAGTYIPATNPFLKRLFNTIVETDVISQIDWAIDDLVQVLSQVDMGSILENFGRRTRQEDPVVHFYETFLAAYNSALRKSRGVYYTPEPVVSFIVRSIDAILKDRFDLPLGLADNTKDPVTQKPRVQILDPATGTGTFLYEVVKQIYRNLEEIGMANQWDSYVKENLLNRLFGFELLMAPYAIAHLKLGLELQELGYQFQGKQRLGVYLTNTLDQAIKQAEVLFGSVIAKEANDAAEIKRDAPIMIILGNPPYSVSSQNASKRERVAKANEKYLADIKYTGEVWDKIIKTTKKPQTITELTYIGELLERYKGRVRKEGERNISPLDNDYVKFICFAHHRIEQTGYGVVGYITANSYLNGLIHRGAREELLKTFDQVYIMDLHGKAEQNCPDGSFDGNVFDIKEGVSILILVKNNPSISESEGTKVYYYDLWGKRKDKYDFLTSATIESIEWQEIQPFSPNYFFAPKDFSLNDEYQNLVSVADIFALYKNGIQSGHDDFAISVEKTSLVSRLKDFYSAQISNEEIRMKFGLTDKAGWTFEEERRTAVAEGYDSALLQHYFYRPFDNHWIYFSKRILKRPVKEVMQHLI
MWLLLITALLAPHLGSAGRNMWLIKERVQRSRELRLEKHLWENWKALPAEGKGDWVVPKEGLFPQPLDHFNRRNNGTFQQRYWVNAGLWEQQRGPVFLFIGGEGALTPYSVLAGEHVDLARKYRALLVSLEHRYYGASINPDGLSDQNIQLLSSQQALADLATFHEFIKQQYALTRNNRWICFGGSYPGSLSAWFRLKFPHLVFAAVASSAPVRAQLDFTGYNEEYMDGMELPCVDNSHRNVLEQLRSTEVKPFGVGVRQWYYQTCGEFGYYQTCEDKGCPFSRWLTLRSQVNICAQVFGIDPGSVQAGVNFTNDYYGADHPASSRIIFVNDGTTLRDPSEVTSQESAPRMYVANLARVCPPIH
MEKTNFITELFASPAVVAAIVAGIIAVITTIGNLWVVDRNTKAQLRAQALENDKKRETELYQERRKEAILKLEEAHNFLYQYIHETEQYLYNIFKVMSTSKSPREDFDNFVAQPTISYSPLRKNLTKAELLATAYAVSLDDEFAQLGKASEDIETYLQAASNRIFIRREQLSQIEFEQIKKDEKDCRTDARKAIKEMYDLAKKIDMKIIQQLKLPPKQQYGHSTYDLLAGLAIA
MQNFDNLINGEWRAGASYSPNLNPSNLTDVLGQYAQGSAADVEAAVAAATAAFPAWATGSIQA
MSDASVALLAQRLSDPVALAARVTASEHADLARTRALWHPQSLADGHAGVGLLFAALAHGSPAYARTAHAHLSAAVTGVRRPPREGLYSGLPAVAFAARTAVTRPGEYAGLLAQLDEQVAVLARKLIDEDAPRIAAGTAGARMAGYDVIAGLSGLGRLLLAAGSAHRATTELVLAHLVALTRPVTGPGGQSLPGWWTADPILFSEPDGVPGGHFNAGLAHGIPGPLALLSLAYRAGVRVPGQERAIRDTADWLLARRSTDGTGWPNIVPLDAELAAAAGGRPDLDEVRAGWCYGSPGVARALQLASLALAEPEWNRQAVAAVITACDRRGGARAHGDTTLCHGLAGLATVATLMSREPGGGELTGRIGELTDALMRAADPDHPFLWPAADPGSPTPVHRPGFLDGASGTALALRLALDPSAPAGELPWYAALLLA
MNTYERLKNQFTVSISQPLQFEKEDYGSFYLSGSWSDYWAGEHSRSEYNVGYSKGFSWGSAGITVQRTWNEYGDKDDAMYINFSIPLSNLFWWYLPPFRFYQP
MVYSKQLHCCSNMDYYSTSPREEHELVRYHSETRNYHFILHGNNLGMEQEMYYCPWCGAKLPKSLSEEWCKVIKENFGLDDVFAQEWAELPEEFKTEEWWKKRGL
ISQSTLKYTYLRDRKQPQVEALLFSVSHTTRSPRPGEKNGVQYHFVTREQFIKLIEQNKFLEHTEFSNNLYGTTIDAVRDVIAQGKVCILDIELEGVKAVKKTNLNARFLFVAPPSLEVLES
MGTTKSEDGMILEDMPVLSRPCLFSVGSGVFLELGLACGKSVSHWTSGQDVLLRGLEALGSPSVQTLFHHPGNPMRLQEVREGVHFVVTATSRAQRPGEVDGKDYYFVSKEEFFMIERNELIEYALVYGDYKEFQNNRDFMAKGYDIVLRVDVQGAAT
MALGEGLAAILARLGVSTMSDDASVVSINIFITLLCACIVISHLLEENRWMNESITALLIVTNKVTAKGKALQPIGVYILSIQRGDLDAFFPAATREYATLVDEIWKDPAIQETYKRRNQLHLLPDVAEYFLNQAFLAFCKNWGSRAIEVSSNEYEPSDKDILYAEGVTQGNGLAFIEHPCFRNTPFVLVLNKWEEEKDVTYDDSFYSTDVSSLPFIRQE
MSKTARRTQYFVSLMPIAICAVFVAGTVFPLQIGVSNALVIPECNDGIDNDDNGTADFPEDLGCSSYDDNREVGEGPDLFLTLSDGMDDVEVGESLTYTISMRTGLDVPYTTDVRFFLPSYVNLMSSSENGRRNGNIVMWEDVVVYPGFVKTLNVSVELMNTIPDEELLFAEAAASDQRATDTTMVLEKDVMRVPFEIFVDDGKVYAEPGEVNAYKISVKNAFGDDRTFTLHAQIPITLEFLNASGMYKRTNRSIEWEDEYIAAGETVVYEIVCAVERDMPEFTTITLNASAEAAYGSDSTTIIYEKVPPAGLSVTVNDGHIAAKVGDELTYEIVIHNNQSKFISSIDVVNALPIYSEFVVASDGGTWTGKEVYWKGISVSPNGKRVLYVTGRVRSDAPLGAALRNGVRVASGHEAVDVTEVSDVTVSQNAPAKPVKSNVLLRKVADRSEIRPGDTVSYSIYLRNTLDHAISNVVVEDRIDQAYTEILDGDVGQMVGDRIVWNIPVMEPGASWDVSYTVRISPNAPHGIGIANVVSVSGDGLENIALTERVHTSQIGVMTYLPNSGAPLDVMMSGVLMLLAGIPTIWTRRRLLV
MSTDIDERVDVDDYREEYEADDRAYEAYRSLSKAAVGSLVAMLMGLSALVFPAMLACAVLGVVLGIVAWRSLRRYPDELTGRPMAILGLFGSLVILVSGSALHTYVYMTEVPPGYTRISFAQLQPTATDAKTGEAVLPTELDGQRIFVKGYVHPGVASTGEVKKFILVPDMGTCCFGGQPKLTDMIEVTIRNAPGVRYSQFKRRLGGTFRVTGRVKAVAGGLQGGLYELDADYVK
LENSQLTNSSKRIKWIPADNETLDNYFAKPLNNDQQKKLNHLLLRATISCGFAFHWIENPEVKALFKYINPSIELPRRS
MKLRQHLLTWILLGGAVLISGCAAPPSMLNLNTGYHDYRASDYVAASGVANQFIRQNPGSAALDEAYYLRAISREARGNLTRSAADYHQAIALSHRPDLLGKSYKALGDMAFVKGDYATAATDYLHSLHLDPAAAPDPLMLFRVATALQNTGHWNQARTYYAQLAGGFPHSPLAAVAMSRMALTHFAIQYGAYIYSQSAWTEANALKNQGIAAMVLMQVSQGRRLFVVQSGHYRTYADALAARQRIAVTNPQAIVSP
MSAAEAKPEAINSAEAKPEAMSSAEDEPNEATVIRKPVESTAPEAVEDVPDAEQTSLSLPRISAYGDDDLPGPASSAASTAPNDEPTERTSRRQAPSVEEKLDTDDEPDGTTAVRPAYAPPTAPPPTTPPPAHEPAVAEQAVIPDPEPSPVPDPSPFPEPTPVPEPEPVPDPTPEPGPLPGPEPVPEPEPVSEATAEAAYQPFVQPSGMLVQESPNGRARHWAPPEEQETAAGQIYETEPAYATGYAEDAYAGEDAGDWQTGYGYVGEQEPIEQDFGDYGAQQEYVSSLMAAEAEDNPSTWIVLLWVGAIFMIVMLVLGIWLMTL
MTQHHSLDLIRDADERYVADVAMEVWGDAILKAGGRDWSGIKGSKRAVVGR
MSCGQIMLVVLCILYSVNARFNNQLVYVQALWRHGDRAPKILPYPKDENTELAWPRGWAQLTNVYLLSSAIDRAIVSAQAMLRGFFPATGRDVWMEGEAWQPLPFHSLTPGQPDPESLNAAPHFLGLHKVYILVPFAITMHCSKKLLRPGHYNCPTYNELWDQQKSSLADAINKEYADVFNFLVNATGFPSVGYRQIYPLYGIVAEVIHGLPQPDWVYRRWPSHGNLTTLEIIVDLERLIQMSEFNTSEKAILRGGYIAGNWLDRALNVSMGIQTNPSKMMLFSAHDDTLTPFMFAMKINNGLLVPYAACIIMEIFATAANEFAVKVN
MTWNLIHPRFRRQYYGMNGGGMGGGMGMGMMGGMGGMGQQMGGMGQQMFPGVMQSSKNSWGSFSSQREDWPRGWEQLTNLGIQEAFELGTFLRERYIDEKKLFGPFFDREKVFMQSSDQERTLESAQAVAAGLFPPTGNRVWNASFLWQPTPIHSHGIDRAQDMILRPKASGCPRYKRLNTTDRVKSVHQIEKKYADFFKYLSNMTGNEINGLNVAHLFELGIEIKNGLPQPDWVNGKFGDLPILPTIVALKKKLRLGEFNSPEKGKLRGGLMLNNLITYIEALRDGNQTFAAVLYSSHDDGLFALLSALGLLGDEMIPTAAGMMSAMVSHSHVWSFQDRERTGGEKTAMSVLFL
MNTETRSKFATRIGKDKSYVTRLDQAGRLVLVGEGRSALVDVEASLKRIEETTGGRDDVAARHAAAKGQQSPKPDETRVSAQTRKEMAQADVAEMERDVMRGKLIDREQVEAALADVVAFARQGVENLPHRVAAQLVGKDFEQVVAILREAISDSMAETHKEASKRLAELVKVEA
MLSWLKNIFSPPEPAGPPRLIQRFDGSQATISSSSIVADAGGWHIDTDKSTTFQLFELDPGDIENGLVTYRASIKSEAVKKQGYLEMWCRLPGKGEFFSKGLNNPVKGSNDWASYEIPFFLKKEQNPELLRLNFTLDGGGKVWLKDIEVSFTPFK
MEPAEEARLLAMSDDAYMNEEQLAFFRCRLLTGKTRIASYLLLRPTATLCLEAKERQEQREHHYRKLRGES
MSVAPGGVSMGSVLASFPEEPGESPHNLCDPTRIILQKTGYLQ
MINTAEKKENRLFIRVIGRISDVKDQNAIDRQFEGSSCDPDITQCMTMNVPVLAEDTYKDLKDTISTMAEVFCPPDQEFFHPNAPLNPAGSKSCKPYSKEDWASAISAFCRFQPDRDHPDPKASPYVIADGYCPTNLVVQVIPVDNESAALDLYMECLDRFSQGERDFAEQIPESYLENDTAFLCPDGTLFSRAEAAADAGYDTGMEISFRDLMSGDYELPAPAAESHMKPGA
MTSVFAGAVGFVACLILWRVQWQLKARKRARRDIDDPLNQLRFVDIAALRSRVVMTREEQQVYKLINHFLCSEGLGRYNVFPQVALQRVVMEDQEGNPDRAIVDSALNALRGKAVSALVVDPKGQPVVAVDYLTNIPPRGVKGAQDKVKALALKKVGVGYVEVYPADLMNPTGVYEQLEAHLSQKIRLSEALDP
MTDVALPTRRPIAWPMLIRKAHLWMGMLIAPSVLMFAFTGALQIFRLQEAKTGYVPPSVIEKLGRLHKDQVYAVARRRAEPAPAAASPAGPPAASAATPPRPGPTLSKQLLQWFFTLASVGLILSTFTGIWMGAMVSRWKRSARWMLVLGAVLPVVLILLPF
MLLFLLAILAILAFAAYYFGINRILGELAPLHFPSEILVEIFLEWKARYGRIFTVWLPYPTVVIGDHKVLHEHVAKVGILSWKQRKFAQKTLHDVGFGSAALETVHHYEQEIVNRWRMSVDKEVDVTENTDSLTSGIKRYRVGNERAIQSAVGNIVWNITFGITLEFDNPLLIKFRQLQQDLSPLMSGPIMMFIETFPFLLKLDFLLGNKFKQLQDLSEEINNYLKDAVKTTEGSFNSDNQASSYVEAFSDEQKKGSYRKAIRKFSLRADDKFRGNATGSRIRNDDQKLLPFMCAFLQEVYRLGKVLPLNFIRKTMQDTEIEGHQIRAGTSVLPQFSMVHTDPNEFERPDYFCSETLASLRSRSGDVHVSIPPPIEFTAGFSRALTDFIVKIQPRN
ANSDYSNAAPWRNAPPASSGLINQTIPKEVLPGEPIIFPESGGIIRGKQKLESLEAAAAPGYDFLNRDLTPDEKAEVGAVIKLPD
MKEFDKTNIRFITGLTSPCPNQPFASVFPDGDGIGVYTDAQKTIEQFHRRSPKDAESWQQLLCNTSSPAASY
MSFVKELDREVNHICTLLRAQTDIDIKTTSLEELSVHIKNILEDSYVYKNISEFDYRVEFMKFVESIELEIGDLETQHLKDSLTEINYLQAQINKIEKDNVLELAKITKSIKDKLKLCIDNMFKYNLFSEHVNYRFGGRGGNLFVIDTTKRAIKDRFRYLINTEALGFNLGISHKAYISNTLAV
MKHAYGLGGKWVVPALMLTITTVYLIEAVKMTPPVKNGDITASFFPIVLAMIMYLSIAIVLWQNRRNAREESTPTEDTEAGPTTSTQRYGALWVTVFTGLYISVFSVIGYTVSTFVYVLSLTFLFGNREPGQGRSAWIVKIVAAAIITLFGYALFELIFQVRLPTLWT
MLDTGSDPRPFSYPFKWETDTVLSDGGTVAIRPIKSGDAPRIEAMHSRLSPETIYFRFFTPLPRLSEAMLSRFVNVDYIDRMALVALLGDDIIAVARYDRLPGHSTAEVAFLVDDAHQGRGLATIMLEFLVEAAKEAGIHTFIADTLPENSRMLRVFRDAGFKDTRSFQDGVIRVRFEINPTLQSVKRMQAREQRAVARSIARILAPRSIAVVGASRDPYSVGNVIFRNILESSFAGPVYPVNTSTTYVSSVKAYSCISEIPDEIDLAIVVIPASEIRSFISEAADKQVGGLVIISSGFSDTDEEGALAEREIIRAARRNGMRVVGPASMGVANTDPAVSLNATVAPFGIEPGRAALISHSGALGLAIVEEARRRGIGLSSFVSSGNRADVSGNDLLHYWELDPNTDVILLYLETFGNPRTFARVARRVARNKPIIAVKARKYTAGKTPSARNLSEKTPSEGQALSPSGKIVKSISVDIAVDALMKYTGVIRVDSLEQLFELGHGMVAQPLPRGRRVAILSNRGGPAPLAQDACENGGLVMAKLTSTSKEALSALISNKRISNPIELGPEVDPSTYGKVLEVLLNDENVDSVLVLYVPTITGRMTGKSNLREASFFSNGRGTTELPAITAAKGVAAAISKAAQQENSSQPKPVLANFLALPGIEVELRRHPREIPSFDFPEMAATVLSRMADHSAWKSQDDGEVPEFNEVDADGAALIIRNSLDKSRVQMEDGQPGGPATAWIVGQEGLQLLEKYGITAKDHVEVIGYLSFEVATQFEVSIVHDRLFGPILSMGVAGELSEYLDSKAYATLPLYTSDIEPFIDSIPAIGLIKGSSKRMAHDLTSIYDLIARLGSLIDDHYAISNLEATLVSTPTGAYIKDVEILVNDWSPMASALTRSLN
MKYDMFKMAACGSTAALLLTYGAATSAHSINNEPLGNAGSARDIYSVSCENEEGGDTPTFRLSARVRDKAPVVAPTIRVRITKGTLARAAQDNNGDGNTQFSGYARVEGGNGNYTLWVDKVGTVKTGAEIYDLELHCEGPVSSGYIHTGTLITKTQNQ
MTEIVEFGTRSDANAVRDDHAEHLTGRFDRRFAKVELADNVPDDAADAAGDVGLSLLERLWEVVPLWAWGLGSVVLAGVAYTYTRPLWSVVGGVIPA
PEGHSSPSCPSCHFPASGTPVPAPLWKGPLPEALSSGYSQPQTPTNSPIVCKSAWDWAKDDIETLKDSGTSAVTLCETTATQPPNGVALPPLGNDTAGVPTLSPTGKAEKLGTSADIPAPDLTEAAPSDGGATALSPVGATSGTATAAMCAALPASADSTVPASCPPFQSDGDVSQDTTQALIDPPSVSPPTGGAAFATNWSALTDFLFEEPLDRSADLGVNDAFPEC
MEAIRHSFGNTDLLTYHPDPRGNLEARKKFKNITNRKE
MKKIIKTVLAFGLCLLLAVPVWADAQSGKQKPDYTTDYYMIVESKAGGIDIYSQPDLNSEKLNDELIPNGTALHIEGEVVDKDSNRTWGYTTYRGMNGYAPLDDCKPAESRQEAIESELYIAGRDNVDYNADYDVKAYDESGSQHLYQGPGEKYGTVPGVREIQNGETLHITKDANMVDGSRWGATTVDGKEGWIDLDKTEENAKKNAETVDMIAEPENGDKTTENNGVTPTPDAETTSTAELTATPEPETTATPTTEPTVTPEATPTAEPTTTPEATPTEEATETPEPTATQIPTVTSELTEAAQSSSAAVKNSKEKDSMDIKPFLWIGLAAVVAVVLVLIYHKKKNE
MSSRTAKKCVNENISLDNVNKKGHKKEEPVTLPSDDLNNLLNNEEAQQSKSKGGKRGRKKKQDTVAPPVSKIIIDLLNDDDGSTKDLNEDKQDENKSRNEITPSNSTSKMISAIPDSRANSSFGHITSRTLRPRLTPLSPKDNMMNIVNNSRNISNASQYNFNMTDEDNPQKVMLEELIWKVIKCELNSAEGIEWIHTANRNSSNPLQKEEIIAFVDESTAIHVLSQWLNATNIEELRVQNLFSYLCRFIQHAFAVNYSSRDTEKMKTLDKIMKNIAVPSRNGKNFTSNLKL
MAGFSLAAVASIAAGLMIGAAATVGVTLAEDHTGVPARTAPATRSPTGPYLVDYGSRCWHGHCVPWP
MNTTLQNLTAGKNLGIASMIIGIITLIWSFIPFIGGWALWIAIIGLLLGFIGFLMARNGNNPKKGIIIAGITLCLFAGGISGYWAYQSQKLAEALTKELEGVRP
MVPRPIFDVLGAVLPSGGGSNVSPIFDAPVLYSIQNPGGPGLGAASNLPILIPTYPAVTPVAGDPIDLGFGRPEFAPSTKSIQPTPTSSNLELNNALAVSILGTTTFASDQPALIITELQVPKASKSVHETTLLFCTTDSSIGPQLSGSPSSERARHESLQLSPATITKLGTPLPSIPSGALSPTCPPPYILASEVHAVAAAFDAPVFTPTSTSKSIMNAATLTLTSSASNPQLDASFRSGQVAVNNHGSPAPVTETVAKGAPPYASNSMSGSLHETQIAGSKSSTAPARVDTRTAETLSRIPSASLVSSVSSSYLSDYLNASVVVTSTSSAVKMAGNVGGIGQVIEAPPVTRPVSSSLSSSSSSSLTPSPASSSSATLMQEATTAGSPASSSLDAAAVTLSGSQPRSQATIQASIPTMAKAGFLDAKLFNVTNDNHRMTRTLGTAPISTLLANQSLPFLIVQVTSTAEKIVQITVQSPPQPVANATTAIKTTPATSISAGRTLRWRWGSLTALGVFIILLTG
MPVFTLRADVYCHKETGIILPSEIAGHSIGEVHVFDKKELGESVSFYYQNSTATIYLYDSGRKDLKDDSGHKLIIEELSNVTEQIRAMQEAGRFENVDIGRKASVQGDGSSFALISVPASYNIVKNANSEEKVSSRQTHSLISVGIYNNHFIKIRYSFDHAKQDEVDKANEQRDAFIRNVRGCVLEANLKEDIGKNIQIYRENPYSNEAKAALAEIFTYAEESVLISISINQNDMPWMTLKYPYGTELLGAYIVGQVDYQISTNKFESNHKAGMQEALRVYQGLVAKDEKARIDSLDELAAKKVN
MKVTYTTIFALLACSFLASARTSADNQGRSFEGDRAYLKEITQQSSGYLHSKTGIILPPKIAGLKLGRTHTYDDPGLGESVAYQSEYATATLYIYNYGRDAIKDDPGHRQITDELIKATSALKEIEKLGVYEDVQVSESGRKSGKEGIFTFISVPASYVQVKNPKTREPINPIETNSLIGVGIYKNHYIKLRYSIRPEANLEDSIKVRDEFVSALTSLVIEVDLRPTVMEHLETYFEDPFSARGRDALGGIAVYAEKSYLVILTINPKVTPWFSIDDYPYGSELLGAYIAGQVHAQLSSGIYASNEAAGREQVLKVYKKLKSKDSRAFVKELEDDLLSE
MCGVSWLTQAARPILYKHQSLATWDTVSQALKTLDESVRIQRIINYVLGDIVLPVQAGPVTLNVQFSVQLLGPATKIPRSRSLTNNPNFGGKHSSHKHQFPHDTEETQSMQNILRQNHDIFAWAHSDMKGIHPSIASHRLNVFSTARPVR
DCVPTVGHRGDEPARRITLRGTLYDDVIRTQRPSVEDIGEGGLVRLVAPVTNRGDAIGLLELFLPAAPDAEVMQEVGETAHALAYIVIANRSFTDVYQWGRRTAPLSLAAEIQHRLLPASLACEAAQFAVAGALEPAEHVGGDTFDYVVDRDTVQLSVTDAMGH
MGQVRRTTWLWLVGAAVVVVVLAWGISGERPFVSWTIGRLWVVLLGAVMVRSVAPGAAGPPPRPVG
MKQLGGFVGANSNSGAGAVVGRNDGAGCGVRGFNTQNGIGVFRQAGISGDAGVAGRFENVNSGNSLPTLGVSTKRYRTRY
MRLAHDAVRAVRTRAGLLGKLVGEFGFARYRICELLFVDPLVCRTHGVLILRSILRSNVNYDVEVRDDEACVSVAC
TDVKYHDGSDPKKMHNYYAYLYNEVVFELLAELRPDEAVVFARSASVGSQKFPVHWGGDNLSEYPSMAESLRGGLSFVLSGFGFWSHDIGGFEENASEDIYKRWTQFGLLSTHSRYHGNIEYRVPWNFGEEAALVSQRFSKLKNRLMPYIYEQAVETAQTGIPMMRPVMLEFPEDYTTHTIDKQYMFGERLLIAPIFNAQGQVSFYLPEGRWTNYLDNRVYEGLQWHTQTYDYFNLPLMVRPNSLIIEGSVNTTAAYDYTKDLTVHVFELTEEVTQRVVDQHGIPVGAITVTPEDDSYQIKTEGLINVQVVFRNRQIPGANDHPLGCSIVVEH
MVWELCVKAAAGAPDLLGDCPFSQGILMTLDEEHFQGLWVC
MKIKHIVALAILTVTALAVTACGGSGGKAGKNSEGLTTLRLNYPPSISIAALHIAEDNGLFAKEGLKIETKQNLTSGAAAEAVMGGQVDLAWNNVAGAATAYGAHIPLRLVAITDYQVPGNMQVLVGKDSKITDVAGLRGHSVAVLAPNTTCVLAIKSALAAKGLKSDTVTFQVVPPGDHPTVLSSGKVDAVCTIEPIRAQMIAELGAKPVFDVADSSLGQFPVGGFITSASFADKNAKALDGFVKALAEASKMALDDPSLALKVLEKHGELKPEYADKITLTRYATNLKDVAAVQSLADHLLEFGAVQAPVDVDGFFGTK
MLLQLLDLLISKVENTTTTHLVLKKNFLKVKYRLLEELKNVGDSFRWLKRGTLGPEMKQCRQNGDDYIKNHLVGNNCV
MSLSYADQTTVATDSLGNSTTYHFNELGQVIAEVDPMGHLTRSEWDRFDRLLSRTDQLGRIARYGYDEAGGLTTVALPGGSATTIARDERGLPIQVTTPDGAVWRQRFDERGNLLTVTDPLEGTTRFSYDGHGHLATVTDPLGDTTRVRTNSVGLPVEVTDPLDNVIHCERDALGRVTAVTDAVGGITRFGWTIEGDPAWRTLPSGATERWRYDAEGNPIEHVDAVGRITRTEYTHFDLPAARIAADGTRLEFAYDTQLRPVSVRNPQGLVWRYSYDAAGRLVDEVDFDGRQVTYDYDAAGQVSSRTDDAGNTTRLIRNTLGDVVEKHTGDGVTVFAYDLAGRLIRAANDAADVHLTRDALGRVIAETVNGRTLASTYDAAGRRVGRRTPVSAETAWEYDSGGRPVELVTADRTIRFAHDPAGREIARSMSTGAHSGVAFLQRWDADHRVTAQTLRKAAPTPIAGPEQSIQHRTYDYRPNGELIAVADRRSGPRNFTLDAIGRVTEVDGHDWIERYRYDPAGNLTEATWPTRPQDVRADGGREYARMLVRRAGGMRYDYDSRGRVVARRYRTLSGEAREWRFTWNADDRLTSVATPDGRRWRYSYDPFGRRTAKECLRPDGTVAGRIDFTWDGFSLSEQISRDEAGLVTTTWDYRPGGLEAIVQTVRTSTLDAPHRWIDQRVYAIAADSAGAPVEMLDADGEVVWRFRATLWGNHVPAEKNHAYCPLRFPGQYHDPETGLNYNVHRYYDPVTARYQSPDPLGLISHANPYSYVHNPTRWADPLGLSPYLIKMQRNRMPERLAEELTGNAPVLTEGTFAFRYILESIPRGQTRRYRWAVDEHGVLKLTFPGPGTSHAAMTGGGPVRGAGMAEIGPGYNLMTGEPILTVHELTNETGHFLPPRGLAQQFIDVGTDAFERNGIQVAVKTIYPGI
MSRMHSLLGLVMKITEVGKRGVVFTFDDLSTEEYDSPTNVYVIIGEKHVFICDTFLGPGSMKGVKVYLKKYSDKTIVIFNSHYDWDHHWGNCAFPNARIYAHHLTRDKIIDEGEKGLEEYKKFQRGEITITPPNTVFYNALSFIDEKVDIFHSPGHTEDSSSCFDLESNILFVGDNVEDPIPYIRSDLDGVTEYVETLELYNMLEFEKLIPGHGPIADKKLLQQNLEYLKHFPELAGPIDLKKFGKPYYHIHLHNLSTLAGERLKKEEYEDSIKYYQQVIDLGKKYELIKPESIKRIKEKIKEIKKEKS
MTSISRNTDIDKSPSNTSPLPEEHKDTSVVAPTSSADKLGPPNQPVPRVRPRTVIDEYNDKVRAYREIDLPDEWAPVDIVRAYVMAVLHMFYAVPRDEADAVAERWEGKRGTHFRALKSEEEFA
MRSFLFRCGLLGLFALAAAAGVRGAERCANGPLPERPDTLRILGVGNSFTDDGMMYLPDLLRAAGIGNVVLGRLYFPGCSLEQHCRFYEDDAANYTYYKSTANAWETVSGRAALTDALEDERWDIVVLQQASHFSGLYETYVPWLERLIGIVLSHNANPALCLAWQMTWAYAGDSDHWGFAAYGGDGRRMYEAIVGAAGRMRANRGIDVLIPAGAAVQRARATVETRRDMTRDGYHLDLGAGRYVAACAWFEALIAPTLGVSVWGNAFRIPEGGPETTPVDEATARRCWSAAAEAVRNPFGGTEGAGAIRP
MTLRAFTNTILIVLTGGAMLRDEFRDAASKSVSAQVLAAINGVTQDGFSGIIMTSFDSPDGTTTYVVVRNYKPITGRRCRFESSSKREMFLWATSWAWKEQLFN
MKGESNKAWPSPTYSAATAVLLTSLAHALLGHLALRLLLQLRVEFLHQPVEALDLLVLGLAPLHPALQPERGQLRRKARLPIAPSTGLLAGYNK
MQRQIIFLFILFFTGCSTKTIIVDKQDYQNRYKPVIGTRNNDARTAIDFGIVQKIWIAPYKTSNGSLIGSHDIYIWIKRPDFIPGTAIPSSKIRKGVPTETNTLPFTLHSGEIEYKNNLKDDKTISDFVNYENKNTTKSIMKRVREQEEKINKSMMTKEKTK
MDWLIFIRLWLPWILAALLVSVLVAGSRRSGPHKPTAASRVDSVIAVAALALVALWMGAMAAHRGLSDALWEARFGYPIMCGVVAVVVLLVSYRGASPGATVDLTPRHVWTFAPRSAFIGLGAALTLKVVLALTAGGVSEPDEAGAYRVFNVSFGEATTRVEIYGWHYSVPALALALFMVAGLYVYMRRIARPAWGSDRDADQTARFGRGRNAVLIASGALLLHLGFVFQSLSATSSVRIEIEGDTNLSTGTTFAALAEALAFMGLAATTAGLACWMYVAVRGMLVTSRPAAQS
MKKIFTTLAIMLGVCSLASAQTKNQNEFDVSVGYGLAYVTANQTYGSGTGHIGGVNFAVSADHYLNESWSIRAKVMYDPKGWGNSYLQLQGKTVTGVYYSLNYITLPVTASWHFGKEHEWYVNAGPYVGFLTKASEDYNNSDLKSSFNSVDMGADLGLGLKLPISNKLKFFIEYDGQLGVSNILAHDSSGAQNQRSALNVGIKF
MESCWLWVFAIMQAGNKSTTEIIQSARNPYLTVVESRCHCPLLTLAIIGKWFPLDFR
MGGGLGTVFCAAFKGVKSLTVVEGLGYLGRGEDEFDRSLEYLKNSVSKAKKEKRVFKTKAAAVKARHLAIKNLPGQQYMDKSSAEILVEGGTIELSSGFIFDYDERAAMKSFRGYTEKEVLKTLEGIECPVLAVKAQDGWPVDEEGFMRRVDAVPDIRVKEVEGSHYCHLDEKSHKKVWDTVIDFISEVEE
MASLISLEDHFISDAMKARSQNLDEFAFHLWPENLNEKLSSLRDLRISDMDKGEIIVQVVSSVPSSEPLDICKETNDQLAEAVEQSYGRLRGFASVPMDSPKEAAGELERCIRELGFLGALIPNNAHGKYYDTGEYEEFWGRAEALGVPVYLHPTPPPEATKATYRGNYLDEVATILSVGGWGWHADTAIHIVKLYASGLFDSFPKLKVIIGHAGEMLPYMITRINSRLTKGWGSRKRDLKTVWAENLWITVSGFWDLGPFSCMLRTVAIDRILFSVDYPFERNESGRDFMATVRESGLVTEEQWRMIASGNAERLLGIS
MKTITLEEHFGVPAASKWVPEMQGDWLAGQLDDLGEGRLQEMDAHGIDIQVLSLLSPGVQLLTGQEAVQTARNSNDLLAKAVREHPTRFMGWAALPTDDPRAAADELDRAVSELGLAGALVNSTFGTNGAFLDDPRFEPLLARIEQLGVPLYLHPAAPPQALRNLLFDGFTPVVGHLLETAAWGWHSELGLHSLRMVLAGVFERHPDLRVVLGHGGEMLPFMMARIDEFLLPERTGLAELPSAYFLRNFWVTTSALTALPPVLCALQVFGVDRVLFSVDYPLTQMGPVRAVLDALPLAPADKQKVAGGNAAALLGLGS
MARFVAVEEHFLSEHLPRNLVALPRPPTLPAETEQRLRDTGSSRLQSMDENNIKFTVLSHLVADISPSDCPRINDDLHERVTQHPDRYAGFAALSMHDPDSAAVELDRSVRHLGFVGAMIPAHLPDGTFYDTPRFYPVFEAAQRLDVPIYFHPTFPTPEVRKALYEGPYPQDVAMSLAGWSAGFHFESGLNILRLFAAGLFDRFPRLKVVIGHAGELLPYLLERNWDYTSRWSVAASRTRDLRQVWDNNIWISTSASFEISPMACLLRVTRPERIMFAVDYPMGANENGKEFMEKLHKSGLVSESQWEGIAWKNAQDLLRLKM
MWKVFPEWKQNLSDHATKRIADMDSGHVSFRSCLTSPGLAVPTRMVALQQTTKWLLPSNRTPRLGGFAVLPLAYPEEAARERELERAVKELGLLGALIDNHLEDMTHYDDERFWTVFAMADNLDVPVYLHPSPPSSEIVQKGFMGNYSIQATFGLSTAAWGWHENILIGHMGEMMPFMLDRIERMPFLKTGGGEEGTTSRKSLKAAWDENIWVTTSGMFSLNPMATLLKTTQIERILYSVDYPFENNEHGWKFIEELERSDVVTQEELEMIVHGNAAELLKLQSKA
MRVRDTTLSLGVRDTEPQLFVKESDAVVGTLVRDNGKSMELWWSNVAHCVRDAVEVLDVRDNGILPEVGGCLKELDIRLELCVRDTIEELKLCVSEIVVALDVRDVDVATLDLTDKESDLVVSEPNAGLDARHNAVVSLGVSEPEVVGVTQD
MKLFKRFMAYYIPFLKLFILDIAVAAFSSVLSILFPVLTRYLLNEPIPNRDYNMMIIIFAIMLAVYIIQAVSNYIRIRWGHILGVRMENKMRADLFAHLQTLSFSYYDKTKTGTIMSRISNDLFQIAEVAHHGPEDLLISVATIIGAYILMFMISVPLALVSMIPLPFMLFYGIVFGHRLKEKK
FRMVVSKEGLETKLSEDLLTLSGDKGLKTTSNVPPLPPVHYSPEMYIDLIKVSFHTDVFDNNIGYLRFDMFGDFEEVKPLAQVIVEHIWNKVLNTNAMIIDLRNNIGGPTTAIAGFCSYFFDGDKQVVLDKLYDRVSGTTTELLTIAELTGTRYGSRKSLIILTSKATAGAAEEFVYIMKRLGRAMIVGETTAGASHPPMVFPVGESDVLLAIPTVHSDTTLGPGWEKTGVTPHIPASAEAALEVAKGIFNKHLSGQQ
MFPPSFEIVMEDGSKKTIDRGRELPGGGTATTGRWLENGERYLLTIPSFAQPHFETDAIELLQSLSSTVHLVIDVRGNSGGNTPDKLVAGLMPDRYRFWRYVTPVTNALARAQGAPASLLVSEAEWIEPIEEAFKGPLTILIDRRSFSAAEDFVVPFKDNKRAEIVGETTGGSSGQPYLRDLGDGMRLWVSTKRQMFPNGARFEGLGIEPDIYRNWPPGADPVMSSA
MELLGGGVAVVELAPMLFPLEWAAEPLSAALTLASRAQALIVDLRANRGGDPDTVAFICSYLLDERTHLNTMYWRDGERSEQSWSLPHVPGARFGGSKPLYVLSSDNTFSAAEELAYDLQQLGRAVVVGERTRGGAHPCKGWTVHPHLETTVPTGRAINPVSGANWEGTGVQPDVPCTAAESLDQAHALALGRLAG
MRKAKYIFLCLLVFYGFVIAGDLNEQVKKDVVKNISELLQQNYVFEDVGIACGDHISSLLNDGKYNSISDYKEFAEKLTTDLQSISKDKHMRVRPVPQRSEILQADDPSINRIQRLLDMRGQNNGFKKVEILDGNIGYVDMRGFVEYEFAHETGAAAMKFLENSDALVFDMRQNGGGDPAMVQFICSYLFDKKTHLNSLYYRPSNTTTEFWTLDNLPGKKMPSIPVFVLTSSYTFSGAEEFCYNLKTRERATLIGETTGGGANPGGMFPVNREIAIFIPTGRAINPVTGTNWEGTGVEPDIKTTSEDAFNIAMEKAQSAAKSYRESKLSNIKDLISHTDDILNEAAELLKNENREKARQLVFEALEGRLHSGMFGEADINLSGYSYLSNKQAGIAVLIFEFNTLKFPQSANVYDSFGDALKQAGRINDAVVSYKKAVELAKQNNDENLEIFKNNLKEAEKLL
MPYFSLPVLFRRLSSAGKVLLLLLVSFPTAAQHIRSNEAPIDKAFVREAVQRGAALFEQEYIYPAVARKTSQLLLRNLQKGRYDAITDIHALATRLTADMRTVCPDQHVGWRVQAPRPATAAPRPSGINVKGFYRVDILPGNVGYLEVRAFPDESVAAHQVVGAMTYLSGCDAVILDFRQNGGGSLLKDLLLGYFFPKPVHLNDIVSRDYVEHEYSQPVQVRLRRLKLDSASNQLRADTLLRVPSKLQQAPVYVLTSSRTFSAAEAVAYELKALKRGLTVGETTRGGANPVGGKAVNERLLLRIPFAHVENAVTKANWEGVGVPPDIPVKATHALETAHLEALKRLIEQAPTPEAKQRLTWDLETARALYRPAPLDPVAAERYVGSFDFEQIVREQGRLFRLDRGYPVALTPLGPHTFVVDEELRFEFQPDASGAITSLTLLRKDGSLVSLPRRATGEKPASASQ
MSETTTGAERDFVWRFKLDEKPLVPLNDDPLLELMRVQWEQLLSLCVPMHGEQRVKVDGFALLRDLDTVHPIFADDARLGTARDDDRSDR
MNKTFLLPLALLLTPLFINAEGFIHQSSIEPIPEDEYVDALVQLGLPILSIDNRPYNPITKQHDPDYSPPVKLMEAAPVHNFVYGKFTPGWGVKFKSFSDPYGFTFNEQPLTSNSHIHNQSDLGGEFFVDQMGFSAYQLDNLSYVDLNANFESINGGLLKTPIKIVLEADEGNNYAFIRIRYKGNHITLTTEDGGAFTLPSKNVDNSKNQIVATLVDNDGDGYDELLAVGYNGIVYLYNLYDIESKYNQSIAASYRLTMMGIGDDGFEAFLQLKTADVSSLAGEELLILAKRQAHTANEPNKFLGHLSILSAGTTGYNSLLSKRFTDTVSNAYAANTFAVGNFNPAEPRKQIALGVADTIYYELKVMVYALDELENGKLTMDDKLFIAKHKKSKWHKETFGYQTSMVAADLLGIGQDYVAFGSHISVYDPSKENLVGVKSFNLGGDASQAMVWTAGHFSDDFNEYTASDLNIARPIQGVIPRKEGEELILTWLDSDANKWSVNKISLIDIDNSIFVDVSTNIEDVKYAELGGVNRNDIVAPTLRVSPDIIIEAPYHRSKPTIAGYDVNDVSVTLKYSGGHAVNFSQPVLTHAIEPVPYYLEDDIQQDADREIVQMKESGGAYSSSVAVSTSVGFDVTIPGISAGLSYAFGQSQEKVQSLTFSDEIYIEDNVGDSPILILEVIPFDRYGYTIFKGPSELNDMIGMSADILIPRSSETLIMTLDEYEVINTITGNKLFSFEHLFNVVAGDVRSYPSRKEVLEKYETSAFVNNGVTVHNNLGEIKVGSAISIGQLTENISGKYSENSASFSIGVEAKGFGFSQSASFAKGLETTMTKTNGRELSVVGAVNGFQSDNYSYKLGAYLAAEKNTKGTEEKFIRGGFWTE
MKGPVVAIVQRVLPHYRIPFFTGLHGRLAASGISLRLIYGQEYPDAVPRTVECPEPWCRRIRNRYLRLSGLEIVWQPCLDAVSDADLVIVEQASRLAANVPIVLGRRKRGRRVAYFGHGKNGNPAAGLLGRLGTRIFLPRVDWWFAYTGLSSLLVEESGFPPDRITTVNNTIDTESFRAALHSVPEGELAALAERLGIRGKNIVLFCGDMDGAKRIDFLIRACLELRRRIPDFHAIIIGDGPFGYLARSAAASHPWIHFPGALFGAARAPYFRLAGALLMPGTVGLAIIDSFVSTTPIITTDIPGHGPEIAYLEPGVNGIMTCDSLSGYVDAVTGFLASEELRNRLAQGCHDSARRYTIASMVERFATGVERCLSL
MKRNLGMPSAANNAKHVVIVQRRLTHYRVPFFEELRRALAARDIKLTLVYGNPTRREASKDDGADLPWGIKVPCKYFLSDRLCLQWFVPQAKNADLVILTHENKLIANLWAQYAMSNLRVGLWGHGANLQGSKDSIREIFKRRTALRADWWFGYTEMSRGLILSTGYPADRISIVENSIDSLALATEYTAALDAKLNNAISTEMACEAPTAAYIGSLYKEKRLEFLFEAAVRIKEIIPKFRLVVAGAGPDKSIVESFVGRNPWVRYVGPVDDQNKALILAESKVLLNPGLVGLGILDSFVCQTPMITTDCGIHSPEISYLENGKNGVITNNDIHSFVRETVRVLQDSNYRNHLVNGCKKSALHYTVENMAANFADGIISCLNSAPLRSQK
MTLAVQYRHDKIIGLFLKESSTNGLSLVPAPTETESTMMMCVASKYYPDFQYITTLSGAALRMQREVQWFKAVESWVAHQLRVSRLDPEGKTYWEQFVEDHEKLLENGQKWVKETADKCMLVSTLIATVLFAAVFTVPGTNNDSNIILLKGSVLIFTIFDGLGLFLSVAATLLFLAILTSPNEPQDFLDSLPKKIIMGLLFLFLSLVFMLVAFAATLTCVLDKTMERVLIPIILLASFPLTVFAVLQHPLLSQMIKSTYGPSIFRSEDIWKRGIIIG
MLADIDVLYYQEKQKSDSIRPKIFEYIDHPTDGKDIENMDHEETPLLLAAARGILEVVERIVEAHPEAVDYVTTQDRNILHVIIAHRQLKIFEWFKTRELLVHRLAKRIDVLGYTILHHVGITQFLHESTHGPAIQLQHELEWFDRIRKVLPFNKTHKDLLDKGKEWVKKTSESCSAVAVLMASVTFAAAFTVPGGLNSKTGSPVLLSNPTYMLFTGLDITSLISSLSSLILFLLILTSPFELDAFRQQLPIQLSFGFNLLFLSVASTMIAFAMAVVLTMKSTNMVWVEWVLYLVTLVPIIIFMVMKLQLLLELERNVQKSLQFLWKLLPRGFLAIFFEIPSKFLSNKST
MSKGKRRRRHSKAVQQTQPPAPSLPTPVTEPGRQRKRKRNHHKAKKRPLSSSQVYRKDGLNSESPQGGSSNHPPTDQERKNPRSVHSSQVKVQEQRSPAKRSTTPLQRAPKTKGGYGSDSEFEFEYINKAQAQLKPRVALRSPPSSPQKVAGPKNTTPVSGNERGNDVRAISADGDSESERERELASPSPLLPSPQRALPLENTTPVSNHDRQRTSRGASLSNTTLESQPVFTPEPERRSSQSSGTSLERVVGHEEATPASYSVYGHRIRESSASDTDVEPENASEAEPGHGPEWSLSSSSPCNKTARNRKRPNAHIASRRKAAPLPAPSSLDCLPEIESEPTLPSMDNLTFSESALRNFRVDHWRKACEPKEPPLPSRSDLSKVIGPSSPSVFVLMSEFQPPESEVESRYASPSPTQITPASSPPVPPTVPRVRSRVRSRLPSPQRWLSRLGRPVQKPALRETVWTSRLRPTCEIYFTNKPLLINSMRYPHLSAEFPPDAHGNPEFEFWDNSDDDSDFELPEDIEPPEAKRRRLWSSEDSPETELAERESSLESEELSELELLESSEPEAHIDLEPLLLDIAGSSDPQPLPELERSSDTGRSSNRESEHPHPPTSIRDELPVRQSRQLNKRIDEAIARDKEENPKFWGGSWMKEYERGVPIKERAEAEYKEEMAKKKEEAETKKREKQERDRILKEKRERKRKEMAEQKVRLEREKQKRMEEQERQQKERQKREELERRRAKARAGKRPKNVESALQEMLDERETTDVEMSVDPEGLKVAQTAYRLYMRKKAR
MDETVKPLRVPPHMSEYADKHNFSHLLQSMLSSLLVDQPEDPISHLTRLLQRTSVDVPRVLVLGPPAVGKHTVVSQLILILNCRQDDVIITHLFFCGGWVLEGFPQTRLQALSLQQAGVLPEHVVLLEAPDDVLSQRDQGELVDPLTGDAYHETFVWPLDDSVARRLEGRSPPEGQRMAELQRHRFEVTGLSSAYQHVLKVINADQPHADVYQQVLAFVRTRRRSRTPRILLLGPPGSGKSHQARLLSQKYKMVDVCCDWLLRSVAANGSALGEEIQSYLGDGRPVPDSLVLQVLGERLSRVDCSDRGWILHGFPQDLQQAKSLLESHPPNRVFFLESTKEVCLERLTLRATDPVRGERFHAVTRPAPSSEVQNRLKTRPEDHTHSVTHTLNQHRIHTAGLQCVFPHAGRIDADQDPHTVFESLESKLTAD
HSYPEDTTFDEKDGWKRVFVSDLEYKYSNATITQQDRKKAKSKSGTPGLAGQGGVLKDALKSVGKFIPVVITWYTGKDLENPSCWPSGHWTPTDDAFVCALTLRGWWDRPKCFSFIELCYGPSRCIFVRVVDTCAGCQDGSHHVDLTRGAFSHLANLDKGVLGVNMRAATIPSGVW
MTKHIISHLDENTPYINEERIATLKARIEKDFDRETASNLIQQLNDLNEFELGQFLIQHEGLNGHWTHEVVTWDVNHPTKDLKNTLEKCLFEKLPATLATRQRFHIFQQALEPLLEKNTVFASIPSGYMSELLLLNREKLKDTQLIGIDLDNNALKGALTLAEEKGIADNLQLIHEDAWNIQLQEEIDVITSNGLNIYEHDNDRVIQLYQKFYNALKTGGTLITSFLTPPPTLSPTSPWKMEKIDLASLQLQKVIFIDILQAKWSSYRSVELTTKQLEAVGFKEIELIYDDAYLFPTVIAKK
MLDGELTRPEILLIRIPMKATLKSCDDLLRIFAPRTKVSEEQTIPKIIYSGTRNLTFQVMKVVNDARQTYKHKYDPLSPFIRRYHSVTGDNDKAENMDDYNKDKFPIISSTMALGLGQNMKRAKSESEKRILEFFAEIKNKLNRVPAYFHSDRGGEFSSTKFLSSLQELGVSIERGPADSPQTNGVAERFNGVLLEKIKCMLLQSKVPQSLWHEAACHASDILNVLPHSSLNWQSPSSILVKAHSLIEPDRTSMPLVPFGARVIVHQPNKLKVKPSGVEMLFLGFEEFSDAARFLDPLTQRIVITRDYVVPTIELGSDGVTIHKDLKTLPTEVNTSSSDTSSVETARLFIRGHRSRSKPSSPPESRQQSPLVNQQVIDSEIQESEDDESDVSFETEARRVLQRNLHRCLRRNVANQSVETVRPNNVYNSVSERAPNQGRHFNLPPNALNRLRPSWAWADETQRPQNEICGDVDKSNIVSTRRRPTVRMPGGLDEQPDVVNLVESVTVKDALASELEKPLWLEAMRNEHASQISHFTGDLGKNKVDDFDPEMLQDEDARMDALAVTPLCLRVALTIDNKHGCIPLSSEDRNYIAERACEIDVGFTPCRCSNCLPEAADAVLNVIQQIDVDNFTTILQDPCSFEEDKSITIMNRKSKAPPTKATCKYSDIDAAHLVNYLVDQFAEFYEYTLGESPELLPSDFFGHTQATAVVKSIDQIREVEPHNLALLERRMEGQFSQIKYVSSTSQSPSGLREITTRKYLRKKLHRQPKKFI
MYNAGRGGVVGGRRGWLVVVVLLAAGVVALYFPRSHGGSPGHCPRGRALVADALSIDFPNPELDRFLVETLERAGYVVDYFNGSSVDLSLYERLADYDVVILRVHGGKGEVTLPDGRRVVVNGLFTGVPWSKRYEKLMWRLLAAKATPYNDPSKHYLAVLPAFFTQRLKGRFCPGSVLVVGSCFSLYTFQLPVALGRLGLSIYIGWNGPATVQEIDEGLRTLVDLVYNKGLNWTEAAIKAGKKLGTNKYNTTIYAVIVSGK
MGQRVYQQQEYHSDKAAALNTLGIDFAELFARRGWNLYKVDEPVTGLEALQDIIRQGKAFPAIKYQRYILLPDTDTPDNPAHIFYLMQSGLIRYPFFVDIQEDDENGTPVARAEGAYLIDSVMMTEDEVIIQVDVRAMISMGLWVPEKVLGLNNPCISFDILDMYYKIIQITKAYRQPCSEAA
MHDEAYRAVLHNYRCGTLADCFDIVIAHNSFGLPCFRLKIKPGFILPAEVRPLALVLFKECLGTFAF
GTALLAEGTAGSALTLAGTAQGTALLAEGTASSALTLAGTAQGTALLAEGTAGSALTLAETAQGTALLAEGTAGSALSIAQIAEILAGTAEETAIAAGSTATTALFTAESAIAELQPIAAQVEFQQLEFLELEPQVKFALNLGTVAEGEAAAALAAANLAEGTAASALSLGTVAQGEAAAALSLGTVAEGEAAAALAAANLAEGTAASALSLGTVAQGEAAAALSLGTVAQGEAAAALSLGTVAQGEAAAAFAMAETALATAEISLAKPIIPGPPGTPGEPGLQGEPGAPGPQGEPGIPLPPLQGIPGQPGPQGEPGTPGQPGPQGEKGDKGESENMEFSAITVPVFAGCDPVTNSATFSGLNISVIKGTEAQELLKFTHLSQIESLECQVKQQNNSSIEVYAAVPEWWQVRIEAGRPQMIFMFAEDLGEGNFDSAKYPITVPHPIAKHYETSPLPSYQKGQYEGIVILKDNSKIIINAISTEEAERVLSAIKAIVIPEFLEGAIQKISPRRGVSLLTITVHPKRVEYFSTGLKKTKSDWIDVFK
MNSKLFLACMATSAMSFYAHAQSSQKVEIYDQDFQYVSGEYSTKVENPSLSSIVSMVKLDDGSVLMSSKKDNKSFILKDGKIKPVTSFDAESAVLEALKFSAVADGSDFVFQNLTTGAFDGKGDFYAIYVRSKEFNTIGKKDELHKFNKTTKKLEPIDKPSDYKNFHPHKMVGVENGFVCILIKPIERDGSTKYEYRFSHYDGTQFDVLELPDSKTVSDVFKGKDGRVWVTGKNKVYTYADGQLKEEFSVGNEKTRIAEFSINSKGIALIDFTSGSVGAYNTNTNKLEKVFPKNESAIVGDESASRSIQEIEVDDKDRFVISASKYKMDFEFDLLKYQFESNGSLVILEYPNLKEIGGGVTLMNSMTQDNDDYKSYVGKSSLVDQAGNLSLLSLDGKTINKISKDGQVASYSIEEIGKELGFSYPDYMIYPNSWTIDEVSGNIYISTPTRKQTFVLKADGSKEKLAFSLDKKLGGKTIKRVTFDSQNQAYWLATNKGFAFSPINGEPKYYTKKQTGIGTGYGLFEFRADTQGKLWVSHSKGVAVFDKDGQVSNLENENSANYISHFSIKDNTGRSVAVGSKGTFAISGETATKEIDFESLKAELTKKYGEENNSVFMKAAIFDQKNQLWVITDKNKVAYFTGSDWNIIDMKQYYCAPEVFTLFNDKKGRVNVVAGEPLKPVVATPTDQAAAAAAEAKKTPKDYLLEEIDHTYYFPEQVVVFDVNQAN
MRETRNPGLHLLRIAVMKVLVVGGGGREHAIVEALRRSQADVYAAMSNQNPGIRRRSKDVLLGDVTDVERIVSWAKGHGIELAVIGPEAPLEKGISDALEAAAIPTVGPSREAAQLETNKEFTRTLMREHQIPGFPQFWAFDNLAGFEEFVNDSDFEFVIKPLGLTGGKGVRVWGDHFSSKAEALAYGREVLEKKIGGTARFLVEEKLVGEEFSLQAF
VLVIGNGAREHVLCKSLNSSNKVSRVFVTPGNGGTSECAVNVSINSDDISGLLSFVQSNDIDLTVVGPEIPLSLGIVDVFEETGLNIFGPTKSASQIESSKLFAKNLLVEIGVPTADF
MFWVSYSASVAQAYGVFGYVAVDIAYLTFTYVMEIIAGIVAIVIALLLVKPRFSSKWADEDYDYLLNDVIKIGN
MRAALFPLILLATPAIADPADEAATAAFVATYRDACFSAFDEQGQLIEPPTRHEAQSTTSYDGSKTPITLWEFSCMMGAYNLSSVVYARSDLTGLVPMTFATPDLTIITEDPADPESAVKEVKIAGWSASPFVTNASFDPLTGEMSEYSKWRGIGDASSASVWRLVDEKFRLIRHEVDATYDGELNPQTLVQFD
MSATPSMAQMWHIRGERWESIPHRVLRDKRLTFRARGLLCYLLSLPDDWTTSVSRLRDLTPDKEPGQPYEGREALESAVRELERCGYLYRWLRRNDRGHISGYVWAYSADTDALRSMLEEVAPEVVQRAAVSGSPVNGSPVNGADQPKQTETAGRTVSGSTVPGSHATLRRTFLKKNEKKNGATPSAPSGRSATPPPCGQCDGRAGDPVSARLVWLDDDRTRSAPCPNCSPTYARTEAGR
MRTLLFLLAGLCFLMPAACDDSSTTNNQTQTYTVSGVLYDATGDVPLTNAEVTLTVGDTVLTAYTYDGGDGSYTFEGVPQLGLAYLSYEHHSHIIADHTMNLDGYLQARSTQVQLPAVWLPSASGRFRFQLLDSGGEPVTQTTLSLTMESSWLTEDASGVLIPMGELVSLSTTNNLGIAEFIDLPVPGPVGAEYLDTVSVHIPALDLDDDGVAEYEAATMEYDAQTSPEDTEILTLAPPAK
MRRLFIYYRVLPERAGETLVALQALQQQACARFAGLQAELLRRPEEKDGLQTWMETYSHPDGVPASAESWLCDEALRAGVLGIQGNMYVEVFVPCAS
MSAAVYVAANMLKYGFCTDQDFKDLGWFYIEFRGQNRVFELFRVGLSVHRSTGDREVQLHRSASRLVTGRPVGRNVMAKNGRSAKTKLNPADPDLSEYGDVSELIYMLGPNQPPTSVIMKPEFQRKIYYDMSPIEDSTLASMLPIRAFQGAKFMEGPGADSVPRVYIKTLYNRVIKQEQQDAMINRWPPSQVFFLESDHSPFFSNPDVLFSFLLKAATF
MQAGQRPRKPSLVAFREELEAKRRDEQRERSAESLSKLQRQFLEHALAEINSKSSGTAPLGPRPLVDINLDIAAQALVIIQGRAQAWVEEGRIATRWGPSVRNTVGRLIERLLPLAREQLLVFETESKRTSFRADGPVIFIRLTKQLQERIAGLANIVRDQELQHVVMPLIAADHAWSTHHLYSPESQLFLDSWLCISAGEIWVEWNRKSWREDDPPERHATNRMRLRDVMPVDFLSSSDKTLSPVISSVAIKPYADRLRALQEAERVHEMMSTAAYAMQKEVPGEFLSVVDRLWFGDERPFQAARDAFRHVETELERWETELEAERSALARSILGIVSGDIVTSESRGKVLRLSVTSVTLYSGESSVSFVVSGIRFRKDGTLGKLHETLNLSFADEKRPR
MCEKVQRLIDESNEELRIKYTKELEKRDRALEVKDKALEIKDKALEREKDLNFAQAAEISRLKAQIAAMQGS
MNLTFVKATEEHATAIWTMRSLVAAFLELQHGSGDWSSEPSESGVLNAVRTSYVVAAFEDTKLVGTLRLLTKKPWAIDASFFTPVERPLFVVDMAVAPGSQRKGVGRALLKEANRIAVEWPANALWLDAYDALAGAGGFYASCGFSEVGRKEYKGTPLVYFEKPVVGAP
MQICLSCRCTTQSICQIQSLVQQWSSRERRHIDWMNPLAAPNGMVRSSLPTSSPETSHPWSHITPLAVY
MTVSAILFKSGTLYTPEFAGVGDILAVGDRIVAMGADLAWPSWADGPVIDARGLFIFPGFIDGHVHIAGGGGEGGPQYRTPELTLSQLTQAGITTVVGLLGTDGTTRSVQGLLAKARALTIEGINAWIFTGAYQVPTRTITDSARNDLILIDRVIGVGEIAVSDHRGSHPSDRELAQLAGEARVGGLLGGKAGVLHCHIGDGPRRLQPLRAVIESADVPMETIVPTHVNRHRELLDDAVAWGRQGGLLDITTGIMPEPDDPTAVGPVAAAQLVRDAGVLWSHVTFSSDAGGSAPLFNDRGQLIKMGVGSPLTLWQAVRGLHQDLGWEWSEALGPVTRHAASMLRLPDVGFLGPGARADWVMTDGTRILSVVAGGRLMVHEGRPVRRGTFETRDELS
MIIFHPPLSAHEKTISKMPRVSTRSSAKATRAPPRAKRDPGRATRSARKAPGQDPSPSDSLPVPPAPSIDLQQGEGQGLKDRLARAEAAIAEAKVAIAEAEARIARARADAAEAEARIALVRADAVEDAARASAAMRNPQPALNLDTLGHQPGHSAVNAETYGAIISFQ
GCYRQNRTDANGTVTLPFNPKTTPMRPVYSKYDEITNGMPVDYALTYTSCSNGAGLRQSMRFAALGRGGTYLVCGINWAYDPGPSMPEMCNVPCSGNASQSCGSADYLDVWLMPNFIDYYGLG
MSPPPRPVARDQNQAQSHQGSGYRNDRDRERREGPGKWDGRDNKDNRDNRAFRENRGGADNRDIRHDRDQRDNRGWNSRDQRGNVEGARGSDHRPGGRQPSPPNRPANIRDRDLAHREPIPNNENIPRGPRIAEDSYSASSHQERYRPSNRPRPESGDPRHGLVPSEPSQRGPPPREIMERPRDEKPWARREPENNKNWRSAGEDQGRQERRRDERLDSVPSSASAAEPQSSREEPVRKDDSRSRDNRDVNRTHGHDPGRTTQSMAESNVGSTSTRGRAQEREGPGRGQDEDQSLKQSRPRSRSTNRIRAVQFTATKREDRQRLAPGDGGVNADNEQGNRARSPE
MLANGQHEVEFPVDQCMNFHADNLSLHENGMRITALAGDKVVYSQTYYSIGGGFIVDEEHFGQQDSAPVEVPYPYSSAADLQKHCQETGLSLSGLMMKNELALHSKEELEQHLANVWEVMRGGIERGISTEGVLPGKLRVPRRAAALRRMLVSQDKPPLTRWRLLTGSTCLHWQ
MLTISKCRDLDYYEREVIEGREEYLSEGGRAAGRWVGSLAAADGLSGVADREQLTRAFSGVHPDGFKMTAHDLDVVGFDLTLSPSKSVSLIWALGSDNDAR
MAKAASKKATASKATKQTQKQKATTAGKKSVKRASATAKTAKVSTKTKKTATTTKKATAAGKATAAKKSAVKAKTTTAKSTEKKTASTKATKATKAAAKTAIKQTKKVTAAKTKKEAAAKKAAPSRAKTTKAAAGATKAAEKEKKTTAAAKVAEKKLKTKKAASTQQAVAAPPQPAKKSEPVAAQTVPTAKAQPKLPKKLLNELKQMLLSERERVLAELRGLDDQTMNNSEADNESSLFGVRPDPADSASDSQEMEIHLGLINLENEQLREIEEALAIIETGNYGICQSCGQPISIERLRVRPMARFCVPCLQKREKGRF
MAKRNVTPAPKRRTATRAAGSATTAGASKRTSSRSSSTSPKAKKTATTRRAAPAPAKKTAAKAATRSTSRPRTTAAKKASTAGTTRQRATAKSRSTTRTTAAKKSTTKKAATKKTAKTAAATKASATKTTKKTSTRAAARPVTTKASRTTSKAASKTAPPAATPQPASKPVTKPAVRYSDEELEEFRQIIEAERAKTLDELRMLRERLEDLNTYETSEETGIYSMHMAEQGSEAYEREKTYAQIQRMTDYLRKLDEALKRIEDKTYGICRMCGILIAKERLRAVPITTLSASWKLRGKCPEDGIDRVGPDLGNE
MTNLLILEELQGCFGDDLTDVEPETLIGIYDRESHDTLRISLPTVAAEGLETRAYDEHRTTAEIVFLALIQYFQSPIKVRPRPES
MNEKPFRVPSAHLITNRTPKALPVLTSEQPKEGKKVKNGTKDGIPMESERLIHVTPRPPKVHKVNKNHHKSSQATAAVTPSPFSHSSSPAAKPKAHKPPKKYSPKKHGWKKKL
MPEHPLNNKDVQVDINNVLGRKLTFYADFLSATLQLKNAFEAEDMGRVEELTMQREDMIRFVNGLDHEVNKTNRDDDGGGKERAVITNALNKTLQKIIEANKDCHSIAILKCNLAKGDLQTISKQDKVMSGYANNTLGIPKFLDVRT
MNCDGVRKKLCVSAVFLLCLITVIMFSKNLFAENDSIEKEGKSAGSDKRLALHEHRSKPLIVLEGREKANCPQPRPTLSAPEPYISMKNPLQPTKRDLLAGQTLFLVDAKPTPCQACHGVTGNGLGITPTPQTTPRNFTCFETMKDITDGQLFWIIQNGSKDTKMPAYKNLEEDQIWQLILYLRHFIE
MSPPRPPISAVIAGLLETLAREDRSVATPPAAQTFDEAARALYAIAGQTRAAHFSVWADTLEDGQDAAGISFFYDVPDTSARPGLLGAEKRAVRHALDLSLHGPLTEAMAALAKRGADARWPDRGSVPFPFEARDPDVAFRVLFQPGALGPWVISVARDRRTRDAFPSFASLPLSAEESGFLSERFLQLDSLFQGQGVLFTGARGSGRTTSLHAAIEALPDGVRGLAAMETPRATDLRFGIVQPGGEATMAGTLRAFLRQDPDLVFADEVRTDEELRMLINASLTGHATAGVLEAQTPEAALQRVRAALPDVSVAPLLVHHTVDAPSGARTVALYRVVADGTGPGRVERWRPD
MTRNTSQTALTEHNNTDLTWSAAVTQLRSEYGDTYGDRVEAAAAIKRAASNAPQELGGEAVMQPLLRYQDCDPDDVRQLIEQKHGDEIAARVQDAVIEYVATLE
MVLVHLTRVYDGQVDWSHTSRGNLPRIGMKLRGPTQAQRLSTPPAVQLQVDPPPPAIVVQPQADPYLQLGEHRGQLLHFQCIYVTRNIETHD
MKIIVKACIISWVLVAIGMWIRYQFIPATGPQGLGTAASIVFFGTLGMLLIAVVFTVTLVMAAWQRYWHPEEFQEH
MADFNPYQRAIIRNADWFIAHQSGAGAIDLEGDEFYAVRGDATLVGHSVTVRMYAHALTGAAEYRDSARASLEWLAERQDAQGGWKHHAAFTLDGAQCVFEGFDTYRRISGEERFADTALRAARRMVSGTIDAEGRLLLPNIIEIGEYAHFALLAWKSTGLAEFRTAAETILGHIQRNFDAQTGCWRPFDAAAIRRDLPARLIRPLLRFSAGALPLRGRLMARMADRMLPLIVGESHAQYAMSMMDAEALLDTLDGSCRFPELRAQTATAIGWVTTHCAGPFPGSVVESCPPQGGRNTYPVRLLNDTKMAALWPAACLLLACCGMNDA
MLGGVVSYSGVDGVVRDPGFLLGDDSYDGARGPGLLRHGLGQLYDGELGRPLNNLQLQAYGRGKGWEWVGWSSEQLQGEPLHLTFTFDTMRNFSSPPASSQPRGRLCVHK
MADPDASLDFKVKPDELDGCGQSAQHIGGLIPGETAKITDPCSQAAGRTTSSIAWIGYDAPQNIVPEAADDTYAKNAEDKLHGFEVGLHATHDGNIANNTILGHSYGTTTIGYTMKDKGLPVDNVVLVGSPGAGVDTARDLHIDPSHVYAAQSQGDPIGLAPAVDPGGLADNAWDNMKSGFGFGGDDHHLIHGRQTTAPEFGAQILPTDPNGGHSDYWNANSPTLPAMGRIIAGK
MLAELAGYRALEDHAGPTAGHRLLLMVDADGHGAIALNNPDTAANVATFVPGTGSPLTAIGVGIWRARALLDAASRSDPSARTSVIAWYGYDAPPDPAAAMADRRARAGAAALDRFESGLRASHVGPAAHQTVLGHSYGSTVIGAAASGANSLAADDVVLVGSPGIGVNDVSELRLTGIPPGHNGAHVYATADPADPVPVLGQLVHGVNPVDREFRATVFTSSGGTLDLPVLRAIPIDVRAHGNYWEPGNPGLRSQGDIIADRYRR
MKRTTAGLLAFALAALVTVSAGQPASAQEVLTGPAPAEAVGNQDGAPIEQRYLANQHNAGGTRWAGEQLLLFDARGRGRIAVVFGDLARATSIAVVVPGSDVDLPRFDNASTPLRSPYGMAEALHRESGDGTAVIAWLGYQTPEGVGVDAATGRLARAGAVALTGFVRGLAAVRPGVPLRLFCHSYGSVVCGLAAHDLPADDLVFTGSPGVRADSAAALHTTARVWAARAVDDWTKWVPNVRIGDLGHGPDPTDPDFGARPFSVAGAVKHEDYYQPGTESLRNLARIAAGQVKEVTR
MTGARSGITIETATTPEAFETGRGLIREYAGWLGVDLCFQGYDEELANLDAVYGPPAGRLFLALEEEKAAGCIGLRSLAEPGVAEMKRLYVRPAYRGQGLGKALVQRTIDAAREIGYRRILFDTWPPHMPEAQEMYRRLGCVETPPYYHNPVPGVVFMRLDLDDTGDGGR
MYGKMRTEGITLFRKRGTIEIRPADRDGDIAEARTLMKEYASSLGREFSLQDFARELAELPGVYAPPSGALLLARCDGRLAGCAALRSLGGGACQMRRLFVRHQFRGKGVGKRLAVAVIEVARGAGHTLMRLDVAPWMEEAIAMYRAMGFREIEPHPASAGDAVSMELPLV
MQITVTQATEKDTEAYEIQVWKPEDVVHYGREVSWQEWKPDFFIFKAEGDGKIVGVIGGHHIAGVIFIERIVVSPIVRGKGIGRQLVERAERYARSIGAHKIYLNTGKTWESNNFYKSLGYEKTADLPKHYHKVDFVIYSKFL
MDFFNMMLFEGIAEQECRAMMDCFGVQMRQFDAGQTIFEYGSGNDQVGILQQGRASLVRIDSDGGKTVLERLEPGGVFGEMMSFPCVGADSIWVQCDEMCIVALIRYDQITKRCEKACRHHSRLVENMFGLMRQKAIALSERVEILSRRTIRDKLSAYFLLQSLKNGEEKFNLPFSYSALGEYICADRSAMMRELRKMKEDGLLQTKGRQIFLGKSFLEAAGVVDKL
MEQFLQQLHEMELFGKIEKGQIGAMLQCLGAVAKDYAKGEFIFLEGDSLDSVGVVMSGSVQMIKEDVWGQKAILLSLEPGAVFGESFVCGGGSDSTVSFQAAQNSRILLLGFHKVLRTCSSSCIFHHRLIENMVTLLARKNVQLMDKMEVISKKSIRGRILVWLSQQVQRRGSRKFTSSMGRLELADYLCVDRSALTRELGRMQEEGLVLVNKNEFELLMEA
MLEIQQNEENMQEFLNVLSRCPLFDRVETENIPGMLRCLDGRISTREKGEYVFSEGDRADQVGILLKGKMQILREDLSGNRHVVASVDEGELFGETFACAGADTLPVSILCMEKCTVLLMDIRRITHSCSNACAFHQQVVMNLLRGLAEKTLMFHRKNHILSRRTIRDKLLAYLSDESKRQGKRDFVIPFDRQSLADFLGVERSALSAEIGRMKKDGILDTERSRFRLL
MRLLLLSSTLWVVASTMRKPDLYVWLEQNSYICDLSSGGIMPRFLSPDESKQFNCACGDPLISQAACARKGNEMPTCHSIPDLCMREYAILFTETSDRLAQPIPTDTASWSTTRTSSPSSANPTIIPIKYTTAKQNPIQKASACQSDHKGAAVQSIQSYDNFTTSHNHCAYDHNYPNHYYHHRSNHNNNNNCNDNSNNNYNNNNHYYSYYHYHDTHDHHDHNTPDDYDHYT
MKLLRKLLFIGVFCSYFVFLSGCGTADSSQEINAPSKNSKGSETIQLKMNGNDYQIDLERNTTADELHEILPEEFAMKDLHQNEKYFDLPQALPVQAEKVGKIEIGDVLLYGDQTLVIFYQNFVTSYSYTRIGRIRKPELLAEQLGSGDVVVERIQ
MPSAPQLTAQGFRRLQQTLGREERRLEEARDYVRDQLESNEAESLNLAEAQQQLAALEERVEELRELLDAAQVIESPAGAHATVGLGDVVVLTDLGSGQTQRVQLVSPAEVSGPLGTVVQISSDSPVGSGLAGRRVGDRFTVSLKRREVHYEVTRIGEDLS
MANLIPEELKALIQQYLTDGVLTDKERQVILNKAEKMGLDRDEIDLYLDAEVQKIDQQTDAAVRKQKGKTCPFCGGSVPQLTDKCPHCGENITPEASSELQEIFDNLEEALVDFKSGKDIAKSKATVERFMRKAKMYYGNNPKIQKLLEEVEMESAKVEKVAKANARKNTIVKILTYNRKITAAVILAVLIGIFMQFHESDEAKMENLIEEVSELVDYGNLDKANNKLVNTIFLKDIYHIENYDPIYLKVIKAHIKHGNYDAAEELALSYRGMIDNDWRWCETPTYIYLRSLYEKEDRDFSALESRY
MAIFISICLVLFSTWMIAKVCDGFEAAADHLGRNMSEGVKGATINAIGSSMPELCATMVFLFLFNDTGGFAGGIATTAGSAVFNTMIIPAMSILTAIKIFKLSAVNVSKKVILRDGIALITIELILIVTIGEHLTWWHGLMLMSLYIIYIAYMLTNMKKSHHAEYSIAEEEEQEQEQDKVSSENALMSWLTLDLENAVIGERKTTNQNAWALLIVSTFFIALSCYLLVLGCELLGHELGLKSYFVAVIIAAAASSVPDTILSVRDAKKGNYDDAVSNALGSNIFDICFALGLPLFLYTIINGPIFMPIDMVDNVGELRVLLLLLTIAAFILFYFGKALGKAKAYTLIGLYIFFAAYIISKAYDLAWVQPITALLKHMLHTMQLPFA
MNDIEWTPAYWSNDLLGTRVKLTNGSNEIVGVLRTLNSGDHQVLVETGGIVSLGRPGAWHVFTETVPAVVLPTIPGWYFDKDGDPWQFEAGDDPLKGKWAPYTRIRTEAEVAVEVLTEWRRNYLTDDPTYWARLDALTARWAKK
MKKNCSTKSGRAFALVLAAGASAAILGGCGATTESIVDKMFDEEVESSANEVEYDLGVKLDSDGMSMEFGLSGDGEYEFAKDGDDVVLHMIMDAKLNVPEMVSSFLDSDSVSAEIYGETSDDETVVYASTDDGDTWIKTTQEVEDSVIDDDKKEDIIKAYKKVLYEAELQKKLEDVDGDKCYVLKLETTGDKFSELYDIVFDAMEEETDESIDDILDEADMSRDDLYELMEYVKLDITMYASKKTGQCVRCDVDASETDLGALVSEALKMSGEDLDDLPFELEDLKFTAFSFSITSKDINNTEVEIPDDVIDEAVERGFGGGAVAVPADPDDPDVAVDPDDPDVAVDPDEPSDPDDLSNYDGLNSDGSFTFPYNDGNDYVTVFPYGDYELDKTMSDGYSAYFYDDDYNYYYVQDYCDYAIEKYILEGNSQVNDSYEDFVCDYEAVDGLAIDGNKVYVVDASYTYVWSDGDKTDSSYKAILIAYHDDTWDEDTFLQISLDSMDGSENFTLDDMANIANSILAK
MPRKTKLARSRAKKAHKMNEVLKLRKIHTVEECGVAHENTTNKISDAPMSDDNAEYEVIIKSEVDSDDEGHREPTSQLPQVTQYSTSALNVQPSTSTMNNTAMTEGNTKSGVIIKREPDFDSEYSGNIDIVHTDVRINSLQEQSKCNLSGLYEDSKTLIWAKKLARMTPQQRLLAEKAIDQVLNEAELGNLREGSVRIN
MTRVSAPSPHRESSSHINPLSDQQQRIRNHIRFPHRVWCSGNIDDSHSSARGSTPRIRDVVPSFWKANLFAGLPEPTTVFSSWGCPATFRECRWRSALFMAGDSGWGTTRRRYSGKILTRPGDTMMPPLQARKAMRQPGRFPKHCNRLSFGCCVFPIGLGSSIFCLRPRVRSKRCSTAQSRARIPQVLKVMLHLDIRILAHGMFPWLRCHGRQHEVRRLS
MNMKQTWGRLLTYTGKYKSYVIWSFISAMISVASSLIGPYMIGVTIDKMIGKGAVQFADVFRLLTLLGLVYLVGSMFGWLLTYLTNGSLIKRLMIYAVSCSID
MAKVKRIDIYDFKVTIDENEFDEVKFLAKAKDISIEMAICWLLDYGITFLIEDYKE
MHKLIISILFCVVLYVSAQPGLEDMQTIAMLAAGQAKDLMENGAAARDGRRIEFMGATYQRDSAIGFGDAMRSRRAIAVDDNSASSSSESEER
MNLIYFDNITASKNATIKDKKKPLGRGAKVWAGLCCFCFLGFVCDFLVAGCRFRSGFGHFTFFAFAVGGNASPFLDADDSTGQLDEALLPLVSGVGALLAGQYGVQPESVNDPHQGIQFADQVCKLSNLRRVDLDGVFIRVGVAGHHVDAVVFHQLVELGGSFVQVFGDLGNVFQDDGFNLVDFVLLTDDAKDHVDGEQGVGNSLKAAFHDALSLEAVYFQNLLENRARVVVAAVVVCVQCYLCFCH
MYTQFTASTPQYQIDINRDRLEALNVDFSEAMNTLGAYMGGQYVNDFTFSQRSYRVYIQADEQFRNSPDDIGQINVRSRSGNLVLLNEVAKVTPITGPQTISHFNLFRSIKIQGDAAPGYSSGQAIAAMQQTFKEIEQPGLGYDWTGLSREETKSGGQAILLFALGIVAVFLILAAQYENYIDPIIILLTVPFAILGALLFVSVRGLVNDLYCQVALVMLIGLASKNAILIVEFANQSREKGMTITQAALHAVEQRFRPILTLFCHSGGSIIAYKR
MFPFQQTSSLRPRTTHSIPTTSSLRHPIHPMSLPTKPTAFPSRILTCPIPTNPQPPSRILNFPFQQTHSLRHASKTCPIPTKTSSLRHASTHVPIPTNLQPPSRIHTCPIPTNLQPPSRILTCPHSNKPPASVTHHTCFPFQQTHSLRHASSHVPFQQTPQPPSRIPTCPIPTKPTASVTASTHVPFQQTPSLRHASTHVPFQQTSSLRHASHMSHSNKPPASVTHPHMSHSNKPPASVTHPHSSHSNKPTASVTHPHMSHSNKPTASVTHPHMSHSNKPTAAVTHPHMSHSNKPTRLRHASTHGPIPTNPHSLRHASTHVPFQQTHSLRPHPTCPHSNKPTDSVTHPHMSHSNQTHSLLHASHSPIPTNPQPPSTHPHCPIPTTHSLRHAIHTCPIPNKPTRPPSRIPHVPFHNPQPPSRIHPMSHSKPQASPRIHFPFQTPQPPSSSTHVPFQTTASLTHPHISHSKPTASLTHPPCPIPNPQPPSRIHSKPTSLPHASTPNLQPPSRIHSKPTASLTHPLLPHTKPTASLTHPLLPHTKPTASLTTSTPPPFLTRSLSHAFPIPTNS
MVYIQEISSEPYRTQSTSSPQNFNRPTSSNRSNTPNRPKSSNNNQSRPNSAQKFSSYQPAGQYYPTRTNPPNYPAEYSNDANFGHNIQNTYNNPYNTQNLHNDVYSPGYGGQSYQNEYYTPQYGRNGKIDVNKVKSAVLQATNEALKGAENALRQTASMLKNLQQSTAPRNPNYTIVNGMGSNVRVFVNGALVYEGPPLTHYDIYTQNGSMTRSNIVGIDELNDIFEVFLAVNFAGYTQSENEYAESIKTAESDVNELLKEAEVDFVRLHPCVKRADLLIRLKRALYEKPELYELPEDAMMVFKFLHIYQLCLETVCPTIQKASLQLNITIASRYCSEHLKELNLEKNEFIDLFQRAVGQQGGEDESDVAKDVESVNISLNTLTMSRRSFFEWLLINYQIASHALLYGVASRFLELASKFVGFEFDFDGKLGKRTRYQQQSLPQLVLKTTKVDTAKTVIPEVKSVAHPKNIDNKDDTLLQNVKFDENVNVEHLTPDQIGVLLAAALLEQKTDPIQELKFEQMDALCTKILSQPIGFMTSISLFELRSEAERRNTRRVERAVQQMESIMDELENRKDQILDPQEMRDRICDIWISSPLRPAWGVKKFYAKVLMSLALTSEAITVYESIFDWKNVVEGYQAMNMKEKALKVLETLRKQHPDDPYYLCLIGETRRDEDLLNKVLELTNDKYPNAHKALGMMALEKNDYKKGFKHYKRVYQLVPLSVRSVYNYGVCAWELGEIKDAITAFHHVTCIDPDHFKAWNNLAAAYLASNQKSKAAKILKQGLQIDRDNFKMWVNLYEIGAELPTKKDCFFAVQQMMSFKKAASEFDVKPLLKVILSHTNAAENTYYPAPELKDPLDQGELKQLVLTLEAAHEHCTLDPMTLRLMANLKKPKEDEQELEKLQGYIELLDLAEKREMQAGKQNPDYLNTINSLISSYPARIRLSEILGKNPAAAKKMIFFRLRPLVSAVQRLFKEKPSSQEESPKLATVFETAKELLTNLN
MKEELYITIGKAKYQLSNYYDEYCAMKKKKVLSSRDIKWLINMSETIREQELKIEKLDQKRAS
MFIGPNAQDIDDKADMATSPEGLQEIIAGARKTVPNLPLHASITQFAGLRAASGDGDFILKASDSVNGLIQAAGIQSPGLTAAPAIAEIIVGILKEQGLTLTEKANFEPKNAPKVKFHELSNAERAEAIAQNPLYGRIVCRCESVTEGEIVDAIHSPCGAITVDGVKRRTRAGMGRCQGGFCGPRVTAILARELNIPVTEVRKDTTQSYLFFDKISGEVEK
MGALDKEKFTTGILTYSWIYFGVGIFVFIVTFFGTGCLYTLCERQIHRIRKEFLKSILNQDMEWFDKNEIGALTHKMSSNMEKIKDGASDKISILLQAIGALISGLAIATYQSWRMTLVVVVVIPFVILSLYGSARSISAAIYKQMNAYSAAGGVAEEVISGIRTVMAFNAQPFEIERYRKHLAKGKAVGIQKAGFTGFFSGLYQFFMFVAMGISFWYGIQLVVWGLIEPGTVFSVFWCALIGAIRMGAAIPQIAVILGAKSAAGEIFSIIDRKPDLDSSSKSGRTIPDFQGRINIDNVHFRYPSRPEIKILNGISLEVQ
MPSDIQKVFDFTTYLEGFKKIERFVGQYFWKDYPAPKKYESDADHTWRMAMMLVALERHLSQPIDFKKAMKMVLIHDIPEIIAGDVSPLGKDGTGKDSPAYNTQAADQKYQKEKAAAEHIFAKLPALDADDLLALWHEFEAQSSYEARVVKAIDKLEGKLQAFEYTNGTMFKEHFDFTMKYGSHTYSADPATKEFGDILLRALETNYKEFKK
MTHISEQALPLLRRMIFRPAFEPFPFDSSACRIDRQLRVLPYPACLPGKISRPASRFPGYPPRFFRPDSAGDPPRESMRVAIAIFQSTIARFGIRPQST
MIITRRIIIFLCIFFAAAALIAVPFILFDSAYFKTSFQIDDKLAASFGDFFGGFVGTIFSITSTLLLIYTVLTQHLESSKNATRDRFFKMLDYHNENVRGLRIPSLDAATIDLIEEGRRAFVVYKIQLKRLLEAITAVDVELNASLPITVSPR
MPPNRPSFRHRRRRPRNNEEPDSQIPPTLTSGEQQVVAEEEICEDFSLASGIPATKALDQSVEKLAESKATEDASKTSTLGGAVEEMQLLEGESSSQNAELPASPIQPTPTPTTQANNPLFIDGVNDTPPKLQIREGVSTPQKDSEKPTTITAENTLPKAPSKALQHPTTTTLSKKFRRFQSDKKNKIKFVMPYRQESTNSSFDGNNAAVKRIVARRKPKASKTKQKLFEMIGTTQEEIKIEELQHRITELEMSEMKLKSRITEMEHKISQSDGHFTHLATDINSIVTRLDELEDRSARMDKSLTTLTSKRAREKAAVQDREGSVIWEATKVLGTAASVSTMVGLTYWFLFVKD
MLEFIGYEDEWLLTKPGLKKNYKKDYLIEHIQYQRKLIRELYNKLDDKAQEELKIKVESCIWPIMHSEA
MASQFERSADVDALVKRLEKESLTKFVTFSVDRHYNDKDWQPLPVNRVHWQWAGGSGMPPIEFTGIPFMFVGSKRLVCHQGKDLAVAQKRKYFEEKARKMLEDHSFGCQKTRRPSTKKVGCPAAISISKIATFPKFKVVDSAERSKKAASKMLRMALERDPVIWETRYAVLHSNEHAGHADGRNEGDATSQSGMISCKRARKSDLRRKCVKLTKQLLDSLNSIEDYHTLEEVSQVLSNLLKDVAHLNNKMEGKSLHPDAKNSRALSEGPAPLPQRDPKGPESAHMIVQELRQPVTRNSPFEYPNLTVPV
MAGNSVNSIGDLIEISYRTANVAVRRLEDDGVLQEVTGQSRNRVFRAREVFEMIQKPVDDLRYW
YCSEAKINNSNSSINFESDTTDNSSTKLRQQALCVTRVRCKRRKTWLHDNSSLTKKNFIDYCSYNPDYVSFEKENFELSYEQQQQYLQKKKLKTTSIFNLQQLSLDNNTTANNFRLSSSNDVHHGELIANNSNKTSPIINEIIDSLSTSSNKYRRIDDETVCSKLQSIPINNQQLPILTKMIQCQNKTSNYYGTGDSVIRQSTNDEQHPSTSSGNGHIRPLEKDLSPSIYKDINFQSSTSLLNDYYLLHHQFQQKQENQQINRSKLNSPLKDDISTIKNICSTTYSLNDSLDSNIEIMEINEQECIFEIVDGVLTIVPKDKIESEKQSTSINHVNGEYNLNMEHPNGKQVEDNNNYKRITERSESVVSSISTPSLSDHEQSPPLHTKKNIENSIEKMKNAEPFILSRNLMNLETFSTPPITHKMNGINNKNESKKSHSDKNLLDSDDSLSSSASSHSNASSSEDDLSSPRNSLRNDQRHKTSAFTKQKKKIKTTIDHYSSSPLSSPLIDVKEDQPEINKTKIGNVH
MGELDEIYSNYAEEVFKFLMCLCHNADLSEELTQETFYQAVKSIDRYNGECKMSVWLCQIAKHSYYKHLERNKKQQRHMFRDETLHTSPEAEFIHSEDKIALYRIIHLLEEPYKEVVLLRILGGLSFKEIGEIQLKNENWARVTFYRAKMKLRERGKDKNGQ
METKHQTISELEAEWALVQKAQKDPALFAPLYTKYFKPIFLFIFRRISEEELAGDLTALVFSKALYNLHTYNYRGLPFSAWLYRIALNEIQAHYRQTKKERVVSFSSEALSILSQDIQEEEATQLQEEREQALLLTLEDLAEEEMVYIELRFFEGRSFKEIADIQQISENNAKVKTYRIIERLRKILIRK
MRTMMKVLVVLLVAMTVMADEKEAQEPRELTAARTAYLQKLETLKKATKVQESIDAIQAEIDKVKVEAAVADVKAAAPDKPGDKAAKLKEFPKDLTAADWDRLPGKVVKVSAEPGTRTQYSATEVALKANETIFLVPHPTDKWDLNKKVSCTWEGVKLEGPKFAPNARAGYMMLSASTGKSAPIQMRFDQLMEGPLQLTLYCAYVSSEYPTIYYKGNIRVKMVPAE
MLKSIVPVSVLLFFMLIPAELLGQELKFGLKGGVNSSWIIDDDTGIESVRGVNIAGFAEVQFSGRISMLLDLGLNQRGFERVQTETSEDGTALRTVSAKTRLDYLTITPQLNVHFSKTHWRPYVGLGPRFDFLADRRIGEFEFSSGTVPDETAELMEDFVIGSVLSAGIKHGTPNGFQWKLELRYDRDFSDSIPDFPGLFRSNSVALLFGISF
MVPSLSSIFFKISTVTNKSLNRRRSQTSSVDTASTGSKSVHFKDFVETYDCYSPTEYDRRPSTEIDEFWQPTSISPVVERDEIDEEADPAYVKLVEKNTKINQKVGHHSMAVLISYRSIR
MIPSSFQALVVILLALLPGGIYELSREQRAGRWGLRGTDQIVRMLGFSLAFQVAIAPLTYWLYGHYVGTGYLARGGPVSGWVYLARGGPVSGWV
MGLINVQLDSGLFAEPFVAEKEVMEVSIRGNDRPYFQGIKRSPLVFSLTFAFKDSYDESKIRDVARWLDKDHYCPFYTASNPERIFYCMLYSDSQLLHNGLRQGYIQLQMRCSDPYSYSPVSVSRIYEWRESPLTMNDNHFSQGSLDQLIMNNEGHLQLDANKTKWINISPSKTWSSM
MTRYIYALSQFHVLIHRTFTRLIEQNEFHTITLKELYERAYQSLNRLRRPNQQDKYYKIIEKGIEAVNAYHSFANGQIRPGACDITQRFETISIDTP
MVTRHTIRRASVSGNSRNGKSKKTITGKRGTVEVEVRRDRRSDFEPQLVKKGQRRFDGFDDLIISMYARGMSCCQRQAHLEEIYAVEVSPDLISIVTDAVASCICSSG
MNELEIIKKVYSNIKKTKDVNYRKTMLYSVYSFLLLSTNYFHHNIDLKSILTPLLQDIDNIYKEKERKNKSCVFKDYVYKSRSQIVARFIRIIQKSDESVQIILINFLTRFIDENSNNYGKSVSDKSKKVKKDRPNSVDELLSRFGRY
MCTGLILCVHRLVAPCAQTCDSVCTGLQQCVHILFTVRAQYLERIRKKRDENPFTKVIRYRIYSFNKTNIAKKQLDAIQKTLLFSVSRS
MEESAKKQPATFTDFLRVRFKGLLDPAGRFLNGLGLMPNVVTIIGLIGNILGAFLLAMGQITWGGVLVMLMGPIDALDGTMARLRGQPSRFGAFVDSVTDRYSELVILLGLLVYFLRSGNDLFAALVYVAAAGSVLVSYVR
MLIYTLARGAPRDEDAAKKGTRFLLGVGDFLVHWFLWALAPVEGALRRLDATPDHMNAAGLMLGLLSGLLVGTGRLELGGWAITLAGVCDMLDGRLARARGVASPYGKFIDSTLDRFVETFAYLGFVAYYRHHPAAAFVAAAALAAS
MSEKSPVERMVRGGVAPLVAALDALGVSPNALTVAGLLLNFGAAYVVATGRLTTGAIAFLVASGFDVLDGSLARRRGESSRLGAFLDSTFDRLSETALFVALILVHARTPFGPDWMPAVILVALAGSLTTSYARARSESLGQECKVGWVERPERVVILVLGLLLGREILGFTIFALAGLSWFTVIQRIVHVARNIESDD
MAELKLLNKHWVRGFDTVIEPIAKLLIGLRVHPHVVTFSGLMLSLLAFNFFRQGYFFSAGVMVLLAGACDVLDGRLARETNRISKFGALMDSTIDRYSEVLLFLGLAMFFHDKHSHVVYLIFFTIAGSFMVSYTRARAEGLGIECKVGLMKREERVTYLVIGSLLGAIPGIGIYIMIATIWFITILANITVIQRIIFIRNELKRLESK
MSVETARKAVELRLVAILQPIIEAMVRRRVHPNVLSTIGFLVTMSSAFAFGLNHIRTAGVLILLGGVFDLFDGRVARATGLASKFGAFYDSTLDRISEIAVYVGLLSLYNDYHPELGDVGTIYAIMLAMAGSLMISYTRARAEALGIDCKVGLMQRGERVVMIGLAALLFGGSESGLALRVVIIAVAILANLTAIHRIIWVYQHTREPKAKKAGAGSEATDERPA
MVGNKLDARSLGKPVDGPISRHINRRISTRITKFIVVKNIPLTPDVVSLISFLLAVASGLAFFKAYPILGGILAQVSSIIDGVDGELARARNATTRRGGFLDTMLDRFADIVICLGMALYLINSSSAGLLEYIISIFAITGSILPAYLTAEANARNINVDILVPQYRPPASRDVRLFIIFLGGITGFILPSLALIALITYINTAMKVCFIYFRLKE
MLEMPLQDPRLPFVITALLKKIVTGGPSYADEFLTLAPHMNNPSVCLIVGLPCPTSDTEMFTRTMSMVASDQILYNTARFLIMRLSASVLP
MMMVYYVDQTRYPGLVHPCRKSFPKSLTVTARFLRSGWVEGQCRCPSKAYLGGRSLQQGLVFNPAGSPGALLTHEGRVAGLDANNSSVLRVVPPYMMKPVYFAVALALAAAASGEEVKSARNDFLSVFAVQSTTTYTIVSASTSTVFFSCLSGSYTALICQGRKKKSIRAMPDLDSISSDVFLDTSSDSAGSPAIERDDDPTNSEKFGYTVWTVAKTTTTVTVRYTNTASTIKISYYCGAGGINYPPNSC
MPKYPVKLSIVIPFSNAERTLERTLKSILFADSAGVDFEVIGINDGSTDKSSEIFGNYERRDERLTLVHCPNRGPGYARNRGIVESHGEYLFFIDADDELTKNFFAVLYMHLCSRDIDMLLFSQEIILNEREPLEPAAIDYYLRRTTWVQCAPTAKMLPQLVSVGGCSVQVSGYVTSRELWSENALWFPEFYYEDEVPFLMLLWYSRRSTCISDQLVRHHVTAGSITRRDVSKRHIEGVLANASEFSRMRASFAKSEKTYAGLFQRYCDRRVIILMSKYFDLCRSNRIKVSRKSLINILKKNLLRRRVYKLYYREMRKV
MLAVVWAVRTLRQYLHGVHFTLVTDHSPLTTLMEKSDLQGQHLRWAISLQEFDFTVQYRPGAKNSNADVPSRYPLPSTTDETGARHEREKASALHAGVGEAYTKNFCDSLCFMLAGDPPAGEEVTPEVQVANYCKMAAREQLGTSPVHRLFDLHHQDELECNHAALFDTDQPELVVGRLWEASESSLEGADLGATHTRVLDKRFFSQAREEGVVCYEPCGGLCAGLEMLLRNGVKDLSPAGTLTGLDGRHSKLFYEVVRLLSTLQQLQKKQRPPGYILENVSPLAHRPETKIRDEAFPYIASVIGRPVSFDAARADRAPHYVVNVVGEPLKALPTIMATQGSRAFRAARMGTVVRSSGTDAKEESREVNLDERSRAMGYSANELRMADGLNDEELASILGLAMDRRAMELLMAVAEASRKGLPHSEESLVAENSPGQPIAVDNNWADHARSDLQQRQALLAKWVGNSNAYTQQVAKTMRHRDWEEKLQTMCSQGQKGAQGIGAKRQSSSTNKKKEWQLYAIDQYRLRHKSHFVKEDGTQPARAEVPKEYPSTIVVRRWVRRIYKFDGVVLVGTVSSDGWRSWLREQVP
MQLSVTKIFGYKNLLNYYLRIIWLLILLFPALLLVENYFSKGYVYLVFAALFPLGIYSSWKGDWEPSLAIRSYLAILFLIVVYVYVSGFIGQEVWGGKDTRFAVTSELMVKFLLPFVLIPAFCRLQIGRSFILVSIIFAGFSIFGALIYNFAVHASRSNLFFGAPIIWGDLSLLIGLFAMIMARHEKEYAHRVLLFFVAAIGLVGSLYSGTRGGWIVLLTLPFFYVILFNGYRGSLKKVTLIALFLAVISVVAYFSIPWVGSRVDYAFQDIHNILSGNFSDSIGQRILMWLAAFKAFIASPVTGIGMGNFYAFKQELIAQGELPNYLIKYKHEHSMYMTIIGSLGIVGIILFGVFFLHLFKAFKHLLGSSDTFIWGEMGLVLLLSYLDFGLSESFLFTHIGAAAFFFWASLLLYMGYVAPHRSQCHA
MIGQTIALLGKEYRITELLGHGKSAWSWLAENGGGRVVYKKMHNEAVEHYTFSDKVLSEVDAWKKLSAAGIPLPVLLGWDAEKQYLVKEYIEGPTAAELCIQGKLTDEHFEMAWDLNSRLAGAGFHIDWFPTNFIFTGGRIVYIDYECHPYNAEWDFEHWGVYYWLNRSGMKEHLETGTCATLNKPGTPKPVTEPFEEEKQAFKERLGRSNG
MNENKLLDHIHFFKIFSLEEKRLILESESYFESFEPGDVIIEEGAEESNLYIIIKGEAKVTKSSHPENVLATLGGGTVMGELSFLTKRPRSSNVVAVGKVVCFSLNAESMLQLDSAMQHKIKDQLIEVLIGRLDEMNNIYLNLVR
MLDGKQENKNSTSNWKLDILMVLP
MSELALCMTADAVEVGFGGTTLLRYLFRPDLARTDSPRPYAHPVRTLAGDEITAVGPEDHPWHLGISMAVADVDGDNFWGGPTYVDGSGYRYREDHGEVRHRRWADVSVSADSCELTEELSWLAADGRVVLAEARVLRVDGVDPRFGRWMLHWSYRLRNVSDRCVRLGSPATSGRSGAGYGGLFWRGAATFRDGPVSTEDASGEHAVNGASARSLTFTGETAALTFASADADPATWFVRSAEYPGACPALAFHDRLPLDPGGVLTGRYRFEITSRAAPGATAAGEYRDRES
MSRAAVGGLSLDPADDARVRVRLGDVVLAEYVVVPPTPAGDSPKPYLHPLRTTAGETVTAVRPHDHTWHNGLQFTMAHLSGENFWGGRTYVRGRGYTPLDNNGTIGHVRWEHLDGAGGHCELRHELAWRTAAGRRWLTEHRTLRFGDVSADGGHWTLTWSSRLRNTSGHELRWGSPVTEGRDTAGYGGLFWRGPRSFVGGTVRTPDGRTAADAMGHRAPWLAFTGRHDESLRTSTLLFADSPANAAHPTAWYVRAEPFPVVSFAATYHRPWLLEPDAELTLTHHVVVIDGAPDTARLAELAARAADRRSCCAHGC
MVVHIGRAEMLSRTGMVIIRGVPMLTGTDQEGGEHGTCDDGALSTTAVVWRDRGPPVGRLWNKPEQR
MLESVWSKQDDLNQVLDRLTTELEQFDELVLPASAETDTSLPTNSISSSKGVEASQKLKDSRTRIANVNATLKRVRARLDNVAMLAQAKVLQNQNQNQHQQQQQRDSLN
MRKKSALLSVAADQQGFKSDHGFNLHHELSKVKFSDSVFSALYRDPSLTAGHTDNFVGSVGGDGDLLNYLPGRFRLREFENISIDKITLLFPAPRRSGSDAIGRYWKYFDLMLDSGHPEPGMSNTLYGHSCKWNFEYFIQWDCVQNDWPTIRLEFNPNKAELSKLKVFFSALSSQALDATRISRIDIAVDYAIYIDPSGWSNPAVNVDTVYNFNHRPVTRYFGSPKSDLQIRVYNKAKELADKNDLSLPCPLWRVECQLLKINKNDIDLNSYKAFFRFNPFDKLTYNDLFNFDCAGQGAYSTFVRLARLVGVDEALSDYDYRTRKVYRERLRNDCPDVKFNLPDVIYKNCFPVVYLNFLKLLRGMFQEAQEKRGIVLYEKE
MIYLNFKLDCILKINNKTIRNAVSIIKRGLFSVKYKLLVATNETLIFVKKKPWVVKKKY
MAVSTYQSSYCGIDQWTDIFYQPEPATASASKDAGTAAAALSDLPAGLRRRAEFYRRELILPDKSARRRSFSSPVMSRPKRLTRPMCNSYNPFTSRELPRGKFCGFPTPITRMLPKPPDPLGFTSHGLVPEVAHREFRGRASSFPSGGYPVMGAPVIHQISQTEDAPAPWREYAELERNPRGRASRRGIV
MTSAAPEWTRQQNESALVHLGRRPLAETLGLVLPGGLAEERTMGRLVTRISRRRYAPAAVTAFAPCRARPGRPEDGGPEGARDPSR
MDTLSSIKLASNISRFVGFAAGSLLTLQSLDASNNQIRDIHTKLTTQVLEYTNEAQFQLPNGASSLSPEDDVLFRLSQECESASSALTSYIATLYQGDGQAGQGKSVEDITPILQSIRESLETKYLPLAVSSIGQTVLEIGAKNNRLKANRTEDISHLAADLNMLFQESTSPALTDTQNSRAWAHIALNIKKILDYAAEQVLLGSLQFWTMNTRQEQISKEHENTFEWILSDQNSKSTSRPTVNFVEWLSKDEHLYWISGKPGSGKSTVMKHVVESPKTLEKLNAWAGGDTLVTASFYFWSSAKDPLQKSDTGLLRSILFQVLRQCPGLIAQAFPEQWQEYQLHGSQRFLNITDSTTSELLSAYRIIARLLSSVNAKFCFFIDGLDEYDGQPADIIELVEAISHTPNLKACISSRQWKEFEASFGGSNPWKLYVHQLTQVDMELYANDLLSGNEKLERIQQLDGKECFDVFVKCIVDNAQGVFLWVFLVIRALIDELIDTDRLIDLQQKLDSIPTNLENYFEKILLDTDEAAWAQTAHILQTTLLSAEKLPLMAYWFMEELSTSRAIMATELRRLSRDVASERLEEAAKLLNTYSKGLLKINNGIQFLSPALNEQEWLYGFRVDFLHRTVADFLQTAQMQQLLKKRSSTTFDADLSICKACLAIIQITPASNDMFAESSRALSILHIFWTHAKLLDRASTRDLQISLLDKMISTLKQHSNKLVQLPMVILGAGNYWAYDSDFEFAMLFHCVSYGLGEYVQSRLEEERLEFSMPISGLLSGCLSFDPRVRTGKLTLSLETLRALLQRGLNPNVPFGDRSFSWWQSLLTTMYSRHLRGLVTQNDFDAIELSSQYGADLQAMVEVFSRSRVDGMQAADILDKILPKEQFLALRVREEHRTHVI
MTLKVVGSPGARRNTCPKIIPTMRRTRLYAEVSLADTPKRRIYPSFFMRVSRRAKLNRGDPFGVSVDAEGCGFARREEEYLPQNHSDDAAHEALCRNIPSQKTKPVFVIFHFTMSEEKIVCRHCLHNVILNNYNQIL
MRDIPDIEGDRKHGINSLATRLGKEKMLSHVSLASILWTKAKATDLEKNDEITAFYMLIWKAISSFSCLIVYTNGVNQLFDMEIDKVNKPYLPLVSGEMSLQMGFAIVCASALMILSHVSLASILWTKAKTTDLEKNDEITAFYMLIWKLVYAEHCLIPLVK
MQAGARRRSMVRATRAGTDDPRSVQTRAWLCAAFERVLVEDGYGAATVSRIAAEAGVSRGAFYDHFSSPVAVAVEVVESLYESIAEVNRRARRFGTSRLETTRSALERLAAHMSENSAMYRDLLLSSAAPGAVLTALLEKFAVETVPAVREARPDLDDRDASRAAHLIAGAVLSTLIWWLRESEPAEPSVIAQDLMDLMPEWFVDPSGARKDAEE
MAASAIIVSSNSSDERVGSPPSRVILFGDIPIVIPSTSVIALETSAIAHVISSAALVVETTTVALPTGLCGLVPYSDFDSDSPDEMDSLEYITPLPATSPFLFTDSFEASDSSDGPPSQDPYTIIVAHWRSRITTRSSSPSDFSIAPVIASPGTHHRPSSSSLPTDSLPVHSSGLDAPGSLALTLANLLPPRKRFRDSDSSETSIEEDTEIDTTETKDGRELDIVDGDDVRNHIKVDPRDDMEEFEASAGDTVVLGIDPRSVPMVDRRLSSQLEEILLVHLALEMTLSASGSRAGMAERIRSLRLENLKIHDDRDDLRRKLRRLESFAERRLRTMIKTRSGMTPAAIEEMTNRRMAEAPEDHEINKNLGLENINGN
MVGTAPAGRRWAGVVGGVAPAPDGEAVGATASAPAAAVLGAVGAAASTAAALGAVDAASSAPAAAALGGVVTPEAGAVRRVYTAPKRPRGGGAGAWRATVERPEAEGGAAADGAAAVAGDALLGVEASGRRQPLGGGALCGPKGAAGLQAEDGPIGGAAHAGAGPESSRPKPQDGAAGTGPVAWEEAARGSAGDVPAVTTRGDGGNGTSEGLVSSKKSKASCGVGEESSAKGKEYSSKTTCREMMTRFVLRSRQRYPL
MPVEFEVGYLYPNPARDSVSFNLKGLRTGTTNITIYDVFGRSVGSYEASEGVNTITLSHLSPAMYSVHIDGQSGTSRKTLIKIR
MSHERNYTHGRIEEAAVSVDASQEQKTAAYYLLDDLVAVAREHGFQLTDFDATGLDLPSVCLQISGARETHKRQATGPDGQEPTPEGS
MCSALLLGERISVLMMSGMLLVFVGIYLVARSEGSTGGGASGDVSSRHITRGVLMALGTAASYGIEGVLVSLGAQDVSALVANSVRVPVVAVVASLIAWRRGKWAQACRLDRRTVGLLVLAGVLGWGLAGSLWVAAIQYAGPSKAAIIGSTAPLFGIPLSMLFLREKPTRYTLAGTVLTVAGIVLVV
TDVTIPTTIPTSFDGPTGGTVDITDGINKIKPYLTEHGYTVPDKIDIPTNFDNTDNGDSTTDKTPQLVTLTVGDKT
MTPEAPTINEIVYQNIERIQAEQLKRMADVTGLTRFSRYHVNDLVQFDFNGLIRYGRITTVMNRAGLFSYNIDSGRTWYREVDESKINGMAAESRAITILR
MTNHYEVKQCNHIWFDVTANGDQSQIHACAECGAKKKTSFDEYTDTFTHLENHIGPNTVVINLDAEEELNRGAWDE
MLASNFAKDVSTRPSDAARLLPLLLLPVLCMFTACTRSEASVSAAPVPAHAEPVAHASELLALKLDPAAEQRLDLKIVAIEADETQQRRQYAGDVIAPAGGDGTYSRFPSATATDLLAISRAQIEADAQIQRAKAQLDVAKAIHQRAVQLLEEEAGSHLDRDRAQEQLDIAVANLRAAQSQRDLLGPAAVQLGEASSLWLRVPVFSGDLRDLDIAAPARIGEIGAPISEQQTEATPIKAPPSANSALSSVDLYFALPNTSGRFRIGQKLGVALSLRSDQKLTRIPWSAVIQDSHGGEWIYCRTAPQRYLRQRVQVAAVIGDHALLQRGPAIGTAVVVQGGLELFGIEFGVAH
MPVEDKPLRWGSPTASTSYGSCELRPLLASDDDLVTAQSTRGSARVVVFDTFGYERHQDMTRTDILRVVQDAATPTTVRHLEHDDDDAVRKPRNVHMRRRCASCCALEADVLLDVPRVHARDIRKLLNAFALLNAFAVSNEPSVDARKQAILVNADPVRAVILRHQCLVLLPDDDVAVLQLIRLSFHDLVTTGSNSLPFELRALEALLASLCRIFAEQFDSTSPVVTAALSRLAHGAISAVQLEALRKFKNQINEFESRVDGVRRALMDLLDSETDIRLLHLTRIFEEPSLLQELFRLDPDEAEGMIEDYLQELFSIRTKASFLHYRIQTTESVVTMQLDSTRNYLLGVDIVFSLAAVCISVGTFVTGAFGMNLKSGLEEAKGWFWGVTVATIAVSLATMLAGVSYFRRRGVFM
MALIGPVAKSTARVQKIYNDRIRVYDYFKQYAVLRKLIEKSKDTEFGKKYDFAKILKSKDPATLFSELVPIHTYDQFYDSWLQRTLDGEQNVFWGEKIKYFALSSGTGGASSKKIPVSKSMIYNCRKIGVSQLSSIYNLNMPSSFYEKNVLMLGGSTNLKKVGEHYEGDLSGILVSNTPKWFSRFKKPNEDIAQIENWDEKIEAIVEMAPKWDIGILSGFPSWFQILIKKIMERYEVDDIHQIWPNYKIFMHGGVYFEPYKQTFQSFSEEPVHYLNTYLASEGFFAFQRGIGTDGMQLLLKSGVYFEFVPFNAQNFDGEGQLKPEAKAIQLKDVDRGVEYALVISTSSGLWRYLIGDTVRFTSLLNYEIELTGRIQSYLNICGEHLSSANLLQAIQKVSETLRINIQEFTVLAGEDKNGFYHHWHIGTDDTDYSTETIAYLLDKYLCAANDDYQTERKYVLKTIKVDCHPTQKFYDFLKHIGKNGSQNKFPITLKGERAKEWEGYLMQNEKGKVQN
MPAYLDTPSELWHKIFEIATEEPDPLVCFVQDYHGTRRDVTRPPYQRYKESSAIKLALCGVSRHWNAGKRRRLALLLRTINSSPSSGPPNIPSQGPAADTGGSRLGAFVRRLDLTFLDGIEDSQHYPELAGTGVAQLVSKLPNLEVLSVQTSPDVSPLDTLQVSSPHLKHFHWRRDSVDPDVRVPLSALLEFFDSHPTLETFHMVYNLEEANTLQPGEPPRWEGQSYPSLRHWVLRQNHVDVLAHFPSTLFPGLEALTFPYYPQINKHLPRLTSFLAVHGSRLTTIRLAPHKFLRALLIEVARGCPRLREVHLVYTRRWITSSRIAEVSLAITMPRITTLGLTLFNHYHGTHSNGWERTLKLRWKDIFPNLRTVKLLEEIDVDRLKDMPEELDEIAKYWIDHGVAFKDHLGLPFNRDLDSFVVEL
MQQTVLYNCYRRYQNLVYCLRCRRSFIHLDLVADLQTACSTNPNYRFAGNSLRRSLGLSQ
MELEELQQEWRRLDQKLDRSLSLQTELVRQVVMPPARRRVRRLAVWPAIDVAFCVFGLILVGTFVSGHGSEWRLLAPAIAVMIGFIALLASSIRQLAAVGRIEWSGPVAEIQAALERLRVAKIRQFKWVMLHSPLLGFCGFLVGAHWLFDWLTEGRVDVLARLDARWVAANYAFGVLFALAGRHVARWLAARWAGRPWWRATLDGVSGESLRAAARDVDHWASLQDDPSRPGG
MSLRKKPQTLYNSFDEEWDLRQAFRRRIDRDILEGNSPKVAIESLKTVLRLTRNILAHPEEEKYRRFKINNDHIKSKIVEPKGTMKLVMDLGFHIKAENFESYVVFTGKRYSELRVGSSIMEEILEREIKKQEEEESRREREKVELEAYKQKLHDQFLDDRQSVSARLQRERHLPPEKGIPARKDGPKIANIATIYGCSPT
MRGMQKVFWVLEETEIYAGRTIEEILHKFYPKEEKEILSDNLYGTVDLNQKYAIKADIGSIAIEKRIKELLDEIVVFPDLVLSLYS
MSRKLHGLLHQVSDLRPAFEAMAADWSTTMQRKFESEGAHEAGTDEAGTPNPPWEPLSARYAAWKARHYPGMPILQRTGALRQAAVHPQTDITADSLTLTVDVPYAIYHQARAREGAIPPPAVRQPDRAAEEPLDQGPARSHRDLVD
MKKEHIVHFKVIHGKEAKEFRGLLFLEEDQEPNAEDYAHFLKEYGHEITGLIDPQNFIYEAMRDGETYKIDVLENHEKSSRDPEAEHLAKSFMKHDY
MAIGIMASRFQPIVLHGMVMGERVLRVGGESLAGLRWTHRGARYDSVRPSNRSESVRRCTRLAYRKIVSFASISIALVMPARPVFTRPGLWTDIDYRLPSNVVLHRRGWYRCGILEHDSHCNSTVPSREREADEAECYLCVYECLHESSRLPPLSHPSVIWTPSISWVPIRGQQDVLVLRSWPTFFTDSSARFDSLSCGEWVRRNDRGCERPGCSISINLEEFKCTASSRRSSLPAPHPLQLVRAQANTHTGEAPASKFDVSLHPAPSMTNGPKNNNWELEFDFEPVPLTARSSALSFAASTAVNDESTPETTHYAASSSRQNTKGHSHRRSNSIFEGLETLWVEEVRDWGTNHNARAHSSGPCARSKNAPSPQEEEEEVRRAEKRMAWRRWTRWSFPQADADAGKALSLAIRLPTGQRLVHTFAAFADLADPYAYTDKNLFSLRLPRPRLLSRNPSLKHISRQLKA
MEQTLVIVLIVAVVAIATMYLVRRRQSASPQAPTETAKAQPVPPAPAPPAADTLAEPAAESAPVEQAAIDDENAILKLRAIELQGTASSKDDAIREAGGLLVATGAVDDSYIDSMFERERSVSTYMGNLLAIPHGTNEGMTKIRRSAISVVRYPNGLEWNGNPVKFVIGIAGADGQHLELLGRIAEVFLDESKVAQLEQAVTPEQVWRTLVKVNN
MAEGGRGRMKKKYRLVFVCDAGMGSSALGASMLRRKLIEYKIDAEVKNASIDNEGIVADIIVSHENFAHILKKRYPKTLIISLSDFMNRENYNKVVEIMQNMQQNKLNVLRKENILVNCPVETSDEAILSVGKMLVDGGYVTPEYIQGMMERDHSLSVFMGNTLAIPHGEYEYKKFIKHSGIVIKVYPQAIDWHGEKVHLVLGLAGIGEDHINILSNCATVFSEMSDVERVIQNQDVEEIFNLLTAEEE
MAKKKNATEDASSADLDIDPSPIDEIKSLSRVVDISVSLDVYEHTAPERKKLPQGNVQLVFKPFTPRRRRNMATMSDLGSDARPMGGEAARRPKS
PKAPTWTENDLLVLITEYWKRKEILRAKASESVTNLQKRECWIEITEVVNARCFSPHSKKTMDQLKRKWEKTIMLAKKAALSIQKRSGNLSDLAPAYQLALSIVCEDFPASLECQNGLAAADASSLTDHQHATYIDEVSSMVITELEEESSTDAPPPASEHKTRQPIRTEMLSEGAECPHPLGSRPFQPVLAVGPEDGAASAATLDAHSNHGAEAGEDDRFDFPSKSGQKRKWDPDLIELQREKVRRQIQYHRTQHELQMSVLR
MTDHKVASREEWLAVREALLAREKQHTRMGDDLARQRRELPWVRVEKEYSFETDEGIRTLAELFDGRSQLLVYHFMFGPNYEAGCPTCSSSADA
MLVFSPLFGKLLFGGTFFLNYVGHGSSLPPRYFLSENRDTLGRLVSMDWDQDILSSGKPAWEGDPASRTAADGEESGDTSSLDNLFQKRVCLSIVDQGTTTSCQLTELHTIPAVFERLRKSGRVTQTDRSELWALVMQIRPSPDVVTYLHGLLSTLPWPQARYLLYQFIMGDGELPPAPAGRVAIRYNALAHLVLSSDSHLALDLDRPY
MKWRQGEACALAQALGEHLRQALQELGLDVRSRHVPDGVRTRAILDGSPALPAQKAALQRLRA
MAKFARACFLFLITNGVPVVGIVPPLNAFEISKYLERPSCSVVGQCLDIFRVFKKSTGFLALSRLCE
MQHPRAESIVLFGSRVTGHATSRSDWDVAVLHKGANPPKLERLDEFWNHHIDVPMPSIQELRQEAICVGSLSHELLSHGEAIAGDGLSFEIEELVLSEDLAPHLEYSFTELVLAICELTDATGTVEASESIEDLDADRAVAHSAMVLSVSQRHSVCNSRFPTVGPIVLKNLQTWYGSSENLSS
MKKSYLVLIAAALVAQSVFAHGPKPGPLLNLPAPDVPGLVDGSDPIVINKARAIALGKALFWDINVGSDGMACGSCHFHAGADIRTKNQLNPGLKGKSNDNALFSELNSGGGGPNHTLTQQDFPLHQRANPFDKNSAAIRDTDDVVASSGTFSGEFKSTSRFMGSNDVCSRESDDVFNVGHINTRRVEPRNAPTVINTIFNFRNFWDGRANNVYNGVSPWGDRDPDAGVWVKTGARTVAKQRLRLENASLASLAMAPPFSDTEMGCRQRRWADIGRKLLSRQPLQHQKVHHEDSVFSPLGLVNSTPTSQLAGLKTTYRSLITQAFNPKYWSHSGSSNGFPAPRPGEPAFTQAEVNFSLFFGVALQMYQATLISDQAPIDRVPRVLTSGGSYLNPKWNELYPSDPEKAGRLTNGFNIFMANHCSTCHAGPLGTSAAVAAYAKVLKPTPGAFYGPETGKIFYGVNALAHFSGGHVAGLTDYANVVTRDITVAAPPYSARFRDIDFANTGVTDPDNDHGLMDVDDFGNPLSFSYQYQQYLAGYTDNVVDSVVYRTRACGFIAPLVDPFGSTVPDTEYFSDADQLEIDGARDGVNKSTGCIVSDLSGEPNVPKIPTVNAARAAITMQKMAIGDKAAFKIPTLRNVELTGPFMHNGGMATLEQVVEFYARKGNFINENQHHFLNGINLVGNALPIGSELSSQKNRADLVEFLKTFTDERVRLEKAPFDHPEIKVPHGHKGNASSVESGNAMDGTLAKDEMLVIPAVGANGALESDGLTPKPIMPFEYYLQEGAFAQ
IKPDIKPAITTPVKDTDEHTVPAGGAGDKVSGAIPTDTGTIPGVPDQEPIDEKQDISGALAAGNTPGTAPGTEKSSPRETETQVTSPPLHKEEMGKDSDKTGKKDLNKEYIVVRLLKDIPKFRGADGRNYRLSAQDVAVLPKVNANPLIKRKVAVQIEST
MLVIGFVGLNMYGLIILSTQLFYLRQCLAKDLHMFDPFVLETKDSRYYALKGVLYSMVVCVGVVGLKQYSIRETSIVARFGGMGLVGVGVYCYWSMQRTRKAFADLRKDSKKRAKARLKGSMKAVMAANRLSVFGKASPGGNGWGRKKTISGFDVEKLKAQIAKEEAAEKAKGGERLGEGGKGGEKDGVEEGGEKEGAVPPPPSLTSLVDEVKDHEGKKDV
MHREVEDSLSVVYVLRSRIVRAVPDIENTISGSWKPTALLLIALLLAIRIDYTSERKSAILFNIMAEIPGMGIHHQMSRHKKRDMPERQDTGTLLYGGRNGIWHAETLSPLSLLTAQTKKVREGSGMIKSQSILTIESISPKAKESTEPAPKMLETWLRLVAKLLRLPLRKKGKKEGQGKEIRYSIAGGKERVVFSNPADIELELAAAIEREESSVISGLSSAFVEGISAAIEFFYFMA
MAYSRSLLVPLLSLFWCCSLLAQDQVIEVRDVDRILVQYRQLPVFLSLANVDIPESGPIRQQALQRISELISGQGVQVLYDDAYGHDEAGIPRVYLRRGQAFVNVILVSEGLARYVPSAQPTTYERLLANAAAIAERDGLGLWGDGAVASAPPAAAAPARATIPEPTVAAVPPEPSSPPAARPSPQQTEPAARPAAPRPSGQRFVSELNATYYYPEGHPAVANVHRNRLIVYNDEESARAAGKIAAPAVNVASLPADDGSLQAADRVFIQGEQLIARAQAMPATRERDRAYTEALTVLTEAVQRYSNLLEQDENNEALGEKLRRAMQLRYGAMKMRRSPG
MGLRLFFKVFFLVFIFSLNSQANQSIYNKILSFDITHEIEWSKTKTILNGIYELEKDFVGGKIQLSKPLSDGRVTLTIDELVILVEKLLKSPEDFDNEKYSKREILNITFELVKRGVLFGAFNNSILTESFFQMLRGNELLSSLYDVRSQLGLANKLSTNLEKTLILASSFLSLASEANYKKLALEIQSQLRQILVSIYADLSEVKDASEAQKQFGVLILLTSYVIEQEFYNDNGKQVSEEKFKEKIEQLTGISSKDFESINRKFNVTIKKDPVLAILGGAMPAAIIVPITYVIGLGAMGIADATSGTSDFTNNYFLLTNWTSELLNNPFLIMAVIGLPAAAMGTIGGLYHYNVMQGPKKVVSRIVRLFKIRGQQLFKQCNQLLNGEELSKLFNN
MLVSQLFEIFTILKYVDLDLQSTAEIEENIISYFGEYFRILCNNPKTFDFLGSFLNTLPEPKQLELMRHFFINCHQLRNDALLFNFLDKNIGKIPVNDSLINPSLWSCSAGCLLGLFLVLKNNKILEAVLNSFLNSEIFDCEYLHRFVDILASLCNDKQKAEVKKKLKSAKIFHPEDAPLQK
MKVQRYASVMLLLCLLWSGLPVQAVTLGELYHARIEVAEQTEATRQASIQAGLQQVLLKVLGRQALLRNDNIQTALERPESYLLTYHYQNAPAGFELVLEFDANAILTLLKQAGLAAWGDNRPAVLLWLAVEEEGARMLVGEHPLSIAMQQAARDRGVPLYRPLLDLQDELALDEAAVWGFFAQPIQAASERYRADQVLVGRVYQQDTQWHSQWQLLDAQGRKVSFSSEQPQLEQIGTDVIAQLAEHLAGNYGVYQATSGEHLALEVAGMRSFSDWQQLERFLQSLSIIESYQLEWLVGDSVRVKINTRGDLSQLEQALALERRLQQTSGSNPQVLRYFWQVQP
METGIEYKSAASVEITQRAIICMWNQLVTRILRKRMRLGPLGLIFISLFLNAGLSSVSQADDIPGLYETEISVSTQDVEERKAALTAGMRQVLLRVSGRSIVLTITAVEEALIHPTRYVQRYLYRNRAGAGGAQEKVLWVRFDEKAINQLLRDNRMPVWGRTRPAVLMWMVVDDRQSRILLGNNDSHPAKAFISEQAQLRGIPLRWPLLDLSDQSSITPSDVWGSFEDTIIKASRRYQTEAILVGRVYKSYSGSWNVRWTLYDHGHREDWDARAETLVETLIPGVDRTASILAQRFSQVEADGQNSQVLVQVYGVKTLPAYNKTLKYLSQLAVVTQVQAHTIAPDNVIFQMNSRNGRLAVSQAVALGHTLIEKVVRTPTPVVPRASTAPEQPVAKVGADLVYHLIQ
MLQAAALAQLSRRMRVFTAPPLRASLPRVVLDDAVLAGADAVGVSGRIGTIGVTCTDSGEQPDRLRMLVEQVETAMAAIPPDLGAGWRLAALTLTRSRIVHGKEVRGDARSERGEQWTATCLFAVRMFRIN
MSAHQVLVEAVRAVLGAGPELELNGVFDAPPARGVRPYVIIEDAVLVDWSTKDMAGREGRLAVGLFETGEDRVWLRARTDQITNAIAELPRELGEGWRIVSLAFLRSRIAGEGSGLSMAVIEFRVRMLQAN
MVAPAKIPGTGGVPAPPADLAPCGHSIEDQIRLHYFTPAPTAYNPSVPSKRRQLDNGLIKEGLHASPAKDEGPGPGQYSAFIYEAGDIPLPQGGRIYLKDKEKVLQSKGVIGPKLAARMLKEYAGSKFPDPGTYFPEVKGVRPNYIKLTKFGKNPRFPRSFRKEEGPGVGRYDVMESLEFLDPFLPDGGKGVLNQPKLPTYFDEASQVTQDVPGPGAYSPKMLPARKDFSQEMVHHTTETMEESKALVKKNTSVEAPGPGRYSLPELPPLHDAPKITGRALPHSMPRPFAYNSQPDMTRKFQPVRNQNSGDLIFGRNFKGGQQMIRNYPGASTVGAGATSSTVLAHESTSSTLSQMRPPGGQASTYTQRQHEIARQKRQKEMEAEQIVLDEFRIAKKDKELSEKPGQSKWVEGGCEVFEVEPLFDNNGNAIAKSPGRAAGSPPPDGSPKSVRSGGSPRVDLLTAKPEAIRDEHPAVLRAAQSYQKMGGKCYKPTKAFLPMAAQRPVALDASDDSNVCLSFDVGRKEYIGVADALAGATEDLIQHMKNDLTLDELLHVSENALRSKARRKMTIDGIRPTVTNRILSEMPRLFEKPSDAKTRKLFELEDQIRAAAEAEQREITRRLQPVEEEPARPPSQGSSRGSRSGTSRGEKRPKSSEKKEKAAEPEVAIVEGAHEDPAMTQETLPQVQTDAAEDEAPAANEDQGSGTQGPLENVDIVPEDGASPAGVDDDGDEAGAETEQKVASASGIADPRDSPALEADAGDTEHAKPEGEGTGEGGEAAEDKTDAPDPRLSEAPGADDGPPPGKDTGEVPAEPQQTGDVEEPEEAVAPTVEPQHEVMPPGADEVATAVLTEVPTAPASRGTDAVVQSRGTDGGIPPSTTDAAASPREEENAASEVAPAPAPVEEQEQGAAGPGTTGAETVAPLSGDAADADAVKAKPLDNAAGVEGAAPAEDKTGEDTSASHGVEPIDAAAVTNDAEPESAPAGDAPAPVDPGTTELGDTEMDAD
MNASPALSPAQVRTLLSGSEMERDTRQRTISVRAARASLDNIRDEREGDSGGSQSYTVVTLPSKAGETTDRD
MASELLVEVEPSPTLGDATVSRVSQPLHHDIASHFQSRKRRRILYLNKFSQRQAMRPRQDPLTSVLSSLDGQSSSTSISQLSTTPSPSSSTAAGASSLPSATSSTAGGSPYAPAPSSFDAPSSSASTASSTSQVASTSSTSSSVSPRAESDPSSSASFFSSDNASAGTTARTSSSSFILPTSFSSITLGPTSSSSTTATTSTTPVTSSSVSSSRQSSTLSSTTADPSSTGTPTTSSSSTRSDSSSAPPSSTDTITASSTVSRSTPASTTTSSSSSSSSSDIDITTSTFIPPSSSSSASQSTSTYLTTVIIETSPAGGGAATQITSVSTVIASGTLSPNTQSNSSDFFATHHGAIAGLVLGSLAAVAFVAGWIFMALRRHRKQQTGARGSVKPINFAPDAPWRPPLEDDDRNEEVSRSMSEPYGGLVANRSETGHLTRADEPEATSSGHSGSGFGAYGSGSTENHSPVRNASYGPMTYMPGLGQAAETLAYPVEGQFVYPADGQAYTDEFGVAVDAAGRPEFPRRRTPSGGPDPALWFGGREIPYNQLGNSAPSPTNVESSHGHSTAAHGQDAGYMSTSGSGSSGENSNSSPYGHGASTYPTATSSHDHGLPSTPSDSSTYALRPGQAKRSTSRGENPPSSLRYKGKNKSSDSFKSFVSRLRGGRGSSPESPVKSRGPSPTYPPPMYPARVSPTMTRRPTSPTYPGDRPPPGFLRSHTPPPSSLLNSHSPTTTVFAAHAPPRDIPPENMRKPWERAPLTLPPLPSTSAEDPPEPRSLLDPRLSFRLGAVGQSSSSSLRDHVDYSRPIGGLVNNRMNSTTTFDTKDTKDSLEAQRTPHDVDGDDSD
MGIHYGLDINREMHTAGYAMVLFMFLTTQLDTLYNLKTSHEVIFKLRIRNVTSNETNLYCDIPYDDCRCSVVSVKYCLTDARLKFSRIVPIVLFVLQICLAQELFSLSGDHKHLLICALWITSIFVLIGIVALIYRSSCCHGYTLGILYLTGFLVVGFFGYAFLAENERRWPSGRNNFVMDGQRFQMTDGEALV
MNASSTPSDVETALNELRAELRESYRSERAAQARVVELEEELRELRVHGLTRSLARASGLIKLRDVVKESVVLRRSRTLASTLKKRAQERLK
MKKILIRFVLFLCVASVAWGAAPTRFSMQMHSLTGDFEKHKKCVDLIRAAGIRQGRDECFWHVVEKEKGVYRIPENILKNLDYSISQGLDTLIILNYANMLYDGGMAPTSPEAVRAFGQYCYTMARELKGKVKYFEVWNEPNADGFWKPKADPAAYGVLLKEAYRRIKEGNPEAVVCGVSLSGIENVSFIEKVIDAGGYDSMDILSLHPYCTPRTPEDARIFERMGDIRDKLGKYGMKKNIWVTEVGWPTNLSGGVTEYHQAVCISRAYLNTFIYPFVQTVFIYWFGPDGPDAEWAEDRFGMIHQDWSPKPAYLATKTLVAALKDAETSSTIKGENFFLLHLRDARADKNIYAFWALKGYADFEGKCSASCEMLGMDGSKRLLAPWEGFVYIRAGEMPILIASKEPIDWNTSPTRKFTIDFEGKTNFIPRGQSRPFHLKLPASLSNSSLEIASEKNGLSIEGNRIKAGLNAQIGVEHFAMFTMPSGGKNPCALIMDEAIISEPLAVEIKPMPPTKVAKFFQMTLRNLSLENLSGILTLTPPDNVTFGNNIFEIKSLKPNGAFVENVEITSDNPSDMIYQIKGLARLNGGADVEFQQIISFYECIQTLRPLAIDGDLSDWNKDAEPIRLGGNEQYVAGYVPWGGEEDFSARVYTCWDEDWFYIGLEFQDDILSSPCAGFTVYNNDGIEIYFDTEHDEDRDEAHYSADDHQYGFHLEQGKPVVYSWSQLKGYSKDSRIAFNPAPQPCHTISGKAFKGMILEGCIPMKELNIQPYDGLLIGFNVSGTDDDDPRTVHPFFQESQFSWTRRKNSWQNPQTFGDLHFTDSAKIPRP
MNPKLPKLVHRDFDEKIAEKPIVILTASYNNSKWYKRNLASAFGQNYSNYRIIYIDDCSPDNTGNLVKEYVKLQNQDHRFTLIQNKERGLALYNIYYAIHLCNPEEIVVVLDGDDCFCHNNVLNIINKEYSTKDIWLTHGSCCYLSSGSRIEWCMPIPKNTINDNNFRQWPHGPTHVRTFYAWLFHKINLEDFLDKLGWFYRMSYDVAMFMPMLEMCGSRHSFIDEILYVYNDLNDINDHKVDTHLQHSLNHEIRNKSKYKVLKFGINNDLQ
MPGGQPTDVTALLPDGKRACGLYDGYARLLGARYFDANGNSIDLSSAEDSDGRVDIFALYGDALGIPVFNDNGDRSIASLEKVYDLVTLVRDDQLEPGDNFDNTPPSLPCPNQGSFLRDSDVNLTLDEQEAVNKVRNESCGFFLEDDEDEGLAPR
AHQRNHLARLDPQRGPLEHIRFAHAVAIEAVPHLDRLIEVRHLARRDLAGILAPGHHDIGDPTEMDLDDPEVHCLIDQAHRRAEELLLIGLEPEKHAERQLGIERRAAVAAGELALLGGAVDAEGERLRFLRQPLRGEHHPGVLLGAGGSARRLLLAEHGGHGLERLAGEAGLGGERQDRGPVAQAGAVIGPVAPLVAPAAAKRLQG
MAKNDTLDTPDQVLDPDSSPGPILENMEAETSRTVPPSRHLDNISMTQSSFTELAINASTQSGTSLPAKNPAPPSDVSNATATPISTPIADSGENSTTPTSTTAVSSLRTWPKPIHVVAVIQPDTPTTTDDVRISTTPETTTNTDIIETTIPAGPTTTTDTVDATTPENTVVDNNMETTTIAYVVKGVTSENSTAIDDIISSTTPTAITDVDVADVTNVTISDNMDATTVVDGVDATISEHTTMDNTNSTSAEDVDGTTVASTTDVDVADATIVTISGNMVTTTIASTTVGDDVDATTPENVAISESMDATTPENTTVDSVDNTNTTSAEDVDVTNSTISGNMVTTTIASTTVGDDVDATTPENVAISESMDATTPENTTVDIVDNTNTTSAEDMEATTVANITVADGMDATTPTSTTDVDVADATNSTSAEDVEATTPTSTADVDVADATNATIADNMDGTTPTSTTVDDSVSATISDTMDNTTPEQNTTSANDMDNTTPKPQEDEHTDPQSRLSSYLYAQQAFARKSRQQETCQESDNHPIEPNDLSPELIDMYKNDLETIHKGEQMLYNAYSCLKKDEPIGKYISKPLVDVDDYVSNVKKGLSIPNLQPNQKKWILDSAHTYAIDVQVSLNTRQYELKNAYDRLNSELTRLANREFGYGYMSEINEGISESEIAKHQSYFKYLTQKQNTISTLLTSLSEAISNEKARVNTIFTELANTYGADYVPATSSETQPEAKALER
MKSSQLLAIVLSAGLVAAPLLANAQAAATGGTTGAGAGAATTAGIAGVSVGAVAAGALAVAVAAAAASSSGDSNTPVTGTSGTTGTR
MVAGAIELIVEGYVSLRDQAALDELRTQRRKLIADLDACTGIDCRSTIRQIEEDIVVIEAGLARLAA
MSSAIELIVDGYARLKDRQSLEELRMHRRRLAVDLKARTGFDCRWSIAQVEQDIAVIEAGLETLSSPADRGQLPSQPIRRFAARA
LGEKRGRGRERDRAQYFAFNNFNLKTVSGKTHLLQACADLSSRGVYVCASTTTAAGLTVTMGRGVDGDATVEAGALVLANDGCCCIDEFDKLHSHQQCLLEAMEQQELSVCKAGARCQFSARVSVVAACNPAKGHYEPRLSLTANVNV
LLVNSLCPLICGRDVXKAGLILALMGATKTSESDFINRNECHVLIVGDPGLGKSQMLKACADVALEGVFVCGNTSTSSGLTASVFLQENSTSGVEAGALALADGGVCCIDEFDKMTKQHSALLEAMEQQCVSLAKAGVVCSLPARCAVVAAANPDKGVYNRSKTLKENVNLSCALLSRFDLVFVLLDIPDE
MASASASTSRHNSKPNSRRNNFCNNRSLGPTTTRVAATTAAAATSSRRQHKRSSQKSGRKPEEHREENLGPGVEDGRGHPCPFHHGGWWSEHHGIRPHKEVVQVENTTMEEVVAPFSLPLVVADRGSQERTSFKSRPGGRYHCRRAGATKRLVSLSPLVAI
MDIIHVQNGTFDKYFSATEFFHINGKSYFIGISEDGSYRIYPIDKTGVILAKKIISEGKVETNIYDLNVIKDTYNGYIYLYIINSEQTLISLYTITDNLTLELSYSLRSNVRKVAGMFYIVNNNLFQYRQSSTTKAWEIHQFNINSQ
GDLHPGNYALSGERLMVLDWEHAHLNTPLWDMYHLIDMSHPLFPRRMTSDLRIRLLDRYLDQMELLGAGLERGTFMQEYSMFAVVFSLWMLLLIESDLRRIEMKMHINGDKWSKEQLEAQRGEALACLSQCAAMLEWGQVRRCE
MKKIFQQGMLMWMVVLVTSVTFAAPVTEQQIQRVYYQWTHAIESARGNPQPVVSLYAPKAISLATLAPLPLTNHAQLDAYFKKLTSNKGLHVETQQILTQIYPHIAINSGVYTFHFIDSENKPVALKARFSFVYHESKGRWLIVNHHSSVLPNPGS
MATTSPNLPDTTPKNAAPLVPKGTPLAPVLTDIISFLRVILLYSPLIILITVIGTLAAWFYAERLPKEYVSETTVMIGVSGSEATRTDASVYNVLDPNIVGNYVAIMKTRRITEPAEAGLRFTSADGEAETDEDVAVDLTATEEILIVTQEATVVTEEAPVATQEMSMTAEAPGATQEVLDITATDEAGALIEPTATPVTRRYRQRLLNRADVEVVPISNSTLIEISVRSTDKQLAQDLANATALSAIANMPPSLAVPFPLELLYEANLPANPVAPNVRQLQIFGGAGSFVVGIVLVFLIDAYRQYQRRRKAA
MAISLNQDIRAGKSTYHVQTEYYKTSNKIISNIFKDGKAVKRLEKEVEEGRDLDEQIKEFHNSIIERLTKPTLVKRKKKEEKPVQEAQKEVFTLTEQQEEKIAAVIYPFFGIATYLVISDAASNASSKEEFVDQLLGELEEEKAKEVREEILSILSEKVEEKPSELKEEKKTLPFPEEELTEILFPYFGIMTGVLVESAKRVWDGEKGKLLDFLAGELDDDVREEVLKKVSSLLGEVEESTVEVEKKEEKVETPKEENLPTGRKLDSSEVDKVLPVLQEYFGISAAMVAEDAFEESGGEVNRFVEIILSEVDENEREELEIKLRGLLGGS
MKLEVDDHEFQLKMSKIDEQVLEELTDAMNDAVDDLVRISSSIAPIDKGILRKSSDQQVKQSADGIQGEVSYQAVEQSLNYGSFDYAIWTHEYMTGLGPRSQASPGTDGYVVGRKYLTRPLQGESPKYVKWFQEALEKGVDG
MSDNTDTNSDERTETGTDEHGRDVELARENPEEDEDEGLSEAETEARERQDEQQRRQDIEHKSTQREADALENANPDHHRDEEPYNS
MSTPHEPDPALLIVALLWQPPVRLEQIVTRLEQEFGPCDARSPAFDFTQTTYYEPEMGAGLTRCYLSFERFVSPGSLPDIKLATNAIEAHAFTANARRSVNIDPGIVTGHSLILATGKDFSHRVYVRDGIYEEVSLIVRGGSLQPLEWTYRDYRTPLALAFFEECRQRFLRRRRS
MARELSQEAVLDFLWGAGGSAPNAALLRHFQRFLRDPALSAAQRRQRRDDFKRLVNSLATVRPAAAPGASKRIVLRRRYRDLLGEEQLRPQERHDPQQQQQQQEPPLSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGPGPLPFARPPPYRARPPPGPGQPPPSRSLPPPSGSQEPPPPRSLPPPSGHQEPPLSQCLLPPSGPGGPPPSRSLSPPSGHQEPPPSRSLPPPTGHQEPPPSRSLLPPTGHQEPPPSRSLPPPTGHQEPPPSQALLLLGPGPREPPPSRSLLPPSGHQEPPPSRSLPPLSGHQELPPSWSLLPPSGPGGPPPSQSLSPPSGHQEPPPSQCLPRPLGPREPPLSWSLPPLSGHQEPPSSQCLPPPSGCQEPPPSRCLSQPLGPREPPPSQSLPPPPSGHQEPPPSRSLTPPSGSQEPPPSQSLPPPSVSQEPPPSRSLPPPSGTRALLPTGLPQSLPLSLAGPSPYWARPPPGPRGPPPSQSLPPPAGPKEPPPSQSLPPPSGTRALLPTGLPRSLPLSLAGPSPYWARPPPGPRGPPPSQCLXPPAGPGVLPCSRPPRSQSLPPPGGPGVLSPAGLLQSQSPPLSRSLLPAPVPGERGSPEHSPPVPVPVFRSIRCQLALLEVQGIPSPLPEEARGLSCTVPPENPPRSLPGQGPSVPLGRREHAWLVAVSAGRWAQVRGLFLEEPQLALWRDFVSGFTALHWLAKHGDGQGLRELAAAAREAGLALDVDARSGCGYTPLHLAAMHGHQPAVKVLVLQLGCRVRIRDSSGRRPWEYLGSSTSGEIWQLLEAPRGKVIFPTQPLARSVSSVSKASLPAGRTPLPACLKSQRGPRSLNFHQPQDKD
MSSQERDVVHFFKVILEKNLLDDGFLRLPKSFVHNYWKRITSRSVFLSLPNGAELEVHWSRDERGDVVFGHGWKEFAQYVSLQATQFLLFRYELKKTNNNNNNKFYVIVLGSSGLEIKYPCSNSEKKNENVNVNVVEKGQKGEKKSDGSFEFVNGKRRKSLLSPPQSPPPPPPPLVISDDETNSFKRKSIIKEEPEDYSIDGEINTTKRKRRASRNFDYIAKEKDYDNPEVLDNKTMKKFQEKVKSRFQTGNPFFVCALGKTYSDRDLLVIPSYFAKPILGNKEGNAKLFLVDDPNKCWDVQMRISACRQFIITNGWKKFSTFYSLKLGDACVFELVDPIDILFKVHIRSYGEDPLTPSSPEYSEQEGPSYRPPSSSSSPFPKKHKTSKANFGSMPKNE
MLDGRPAAAVFWSFALLALLLLPAAVVVFRAGLTTGNKVVVKAPPPTRPPA
MNNKDLSPTLSLRSNDDHSLHLRWNSQAAHTFGFHRQLQGLLAQDEEHYDRYLAEFKIGAEHNDQSTLFDLILDVYEQHKKFILLGERVVLVTLAFDLNVNHPYKPLVEAIKKFKLAHSALAQVAWNFVNDGIKSGGNNLTLKG
MALRNASLAALAAAAIGISAAWAQTGQPGGGGGQMGPGMMGPGMMGPGMMGWDRDRMMPMMRGGMMGMMMGPGMMAQHVEGRIAFLRAELGITAAQEAQWNAFAEALRASAQAMADMHQQMMPMMQGGPQTVPLPQRLELHERMMTSHLEALRRVRAAAGPLYAALDDRQKRIADGLMMGMM
MSKVDQNIEEFVKYIIENNINLNPSPEYFGKKSGRYVNKQLTEEYQNLENMIFDVLLENNKDANGENLITKKDLEEELVILVEEVKTIGLANIDINTKIREWKNEITSKSQNAITYFLPVFNLNIATSKEKITSEINVYSSKEVLTHIKNKRNQNYSQIKKYLIQPDSAVLGIEVKGYGNGNEISLSKAIALGQLFVSLVNFLVDIDKPKCVLVRDISYVEEKYNTFSGWQAVKEVNSFIMDENNPNINESFLMPSNVKNFSFIFDPQKNRERQIRNAIRWLGESIVDDNINNAYVKVMIALESIAEVKPDPLLSPSITDQISTMTALITEENSEKKIDVRERLKKAYRNRSNLVHGTEKNIDYRDYVFVANKVKKMIQELSLNSEYTRYSNIEELWKDLTNRMLSADIRPFKDC
MENYSLFMGAAAALLKMAVEMAAVSMEKPSGALPAKRRVGGSKGDDTTGGAGPALAAPATRVGPRAPLRQLSGVTDA
MNSAMNSVSSNVAPSGSSGAATGGIAMIVILLLLLVAVVACIVIYLVPTIVCIAKGTKRAWAIILINIFLGWSFLGWLGALIWAICEPGRKKRAQRLAEQKEKEAQQRQMMMQQQQILQQQQQILQQQASSVVNNVNTEN
MLKILYLLNVINRLILLIAVPIISILIISVVNYMNLQQMHKQLQTVYVDRLQPIKWLGSIESSLYQEISYVKELIITEDEN
STPVASTMVASLARVFLFTLCAHAAAQLPSCEDDTAAHCLGDDADMSAAGIDACLSALGPAGRSESCSTYLRVVDGCKADVEGGGVCATAHQNGETMPCLMQRVKPEQLSDVCQAALPKKVLSDSLQDTFWKDGKRLLSEAEVAQLKGEDAETYERWAKRKSGKKTAKDKDRAYAVKSQKKSQATVVITAQAAAAAAKALAAGDDASEAAQEAALDAAEKEINSDLTGTLKSFSKAEIDGIVATAVAKATKGGGAKGEL
MTTTVKRTILTTLETSETSETSETPVTPLTWLKLAISFKYISNFSIIVACLAYYMNAMTVFFVCAPLIIVNFIVILLVQFFELDALVDGILGNSIYSDGSNGSNGSNGSNGSNGSNGSNGSNGSNDSSTVDYKSYKLQFATLSIIWHLFGVLWVYTIVNTGIIKVYLPNFMGIFCMSCLIAIFYFIVSVKNHVYGDINYIAYMITYAIVLFSTCIVFYKTDRY
MNIIELRKIARTLIKAGADVNAEHKSPINGYTPLMLAAENDEKELFESMLIAGGDLRKTYKNTENGNDVSLFEIATYFKSQGVLRVLRDIAPNAGFC
NSFENKCYDTFEQVTEKVNDWLEERLSMNGNDLVEAICLYNKGVQGLQVCDYSVNFMGVLTKNF
MSQSHTVTLPVGLGLFALLLTPIAQQAAIAYSAIALGVVFLILAFVSQAKQQQHRTLKLQALEQLVEKSQLDNQKLLTTTQTSQMTVEEMLQQFLPSYQQQAVSNAEKINQTLEQLVNGVNDGNQVRETLTMALITDTRNLAADVSAILDEMQAGFDRLDETMTQLDNTTRDSIEDLRDSMDDTTSKISDRISSVIEQTKEADEALVGNLTAAFNDVCAELQQTATMFETNVVAQTAATTEQANQLQPIIQTTQATTEQLVSHTTDFSMKLTEQLQQLMIMNRQLIDATTELADSKSAERKRLLNVQKKLLETFS
MNKRIFNIIYILLFSFSVCGQQKLTGTVIDQSNSEEIPFANVSIEYNDTIQQTQTDLNGKFHFKNIQSERIKLIIKSAEYYNYDSILILKPSTPNLTIELSSNEAILYELLKSNFNKKGALKDIKNNEIKLLLPGGLIGSSVTPSDTIFENKYKLTFISQGCVRLKGDNETEYNKEVFMYLDNKFGTSWRFEIRKDVIGINN
MRACPFARLIEDNRYCCQVSQTASDVKRGHWEATISCFEALAKAEAEQTPEPAAPEVEMAIAPATSSTPAPVATAPTATGQNEELPNRGDNGRGRLQQEPVKKVSTVQISGKNREEPAAKKLMLTLVAVKRISSLCGGLQLRSKRTRNCW
MKDDEQLSTLLEPDVMLPEQFFAGPRQSEERGEHRLMAAILDDAVQTYCMPLVARARGGWRAEREAGEWIESTDRSWIFSFERICEALDLDATCIRRGVRTWKQRSGRRRGVVIDFRPRSRADDEAALEIALRTALHRELVPAPMRGAGGARGTTAGS
MSRPSANAPTSICTPGCATTGRFDQVQALF
MSIKITATCGDKSVSIECKRPSWKSVREAYEEINKYYIQGKAQAVFEKIGGEPYKEFLNNEQIIKKQNIDGIAIGDIQRYTLNSCALRMSYALNYSYLLGIQYLIKNQKLPNNTGKLKFENKRWFGADKNLYYLSIYGIRNFLTLNWGNSDKPYYLQTFKNKDEVSDFYNNEF
MKCALRPEPTTPAKLNGFRLGYSAGANDRFSRRGAIGAKISGAAGAPPPIDVENILSEYANIEYVHFPVEIDGLCLDLKMIGKRPTVLVSKRIFELRRRFTLALELGHVLIPLHVGSIIYEIDSAGTADDNHFATEAEASRFASELLMPAKWVCGQIRSCKNPLDALFLVYSIAGNYSPPDFLHGGIMTGFPAKALAIAWSAVRPYKRPVAMTEAAAA
MDGSALLLNDDFKSSFELIAFEVTKDEAVDLSEVKSDEMISITPLLIPHVDQPINFIQGY
MNPGWDWVIQRRPCTAGFCWRPLIGRVGSRSSAAMEFGPYDWRLLVDLLFVQWCGS
MVVSEEYNGVKLGTLRNESCGLEADVWIVNDTTLQLTNLKKKGRSDYSLQFFMATKQAKKATDLYKIIRSSAEEYLKPVLAFFVKLIH
MSLLARVLHHHSDRSPKSLTPTNSISPTNSLSSSAPAFSLSIAIESPPLVLFGHAHESTGCILSGVLSVDAERHVVLDSVVLSLVQTFHATKPFVAPVSAVTGCKKCTTRKTELARWDVLTESAPFPPGSHAYPFSHLIPGSVAASTKLGSAQSGSFVKYHLEARARMSGKETVVAMPLHVSRSVFRGADRNSVRVFPPTEITAQAALPGVMYPKSTFPIELRMDNVVSAHGDRRWRMRKLAWRLEERTQVRAHACEKHLTNVRALEASRSPRTESKGLHHSTVQTSMFMGRPDIVPETSQPQGAPEELAGNPESVPDRSIEDRLVDGPFRHPADDSPEQETMSPTGAASNPEQPAPATSPELYLEELRVVAHGEVKSGWKSDFSGRGRIELVAEISALACSTGVVRHTSRISSRDPPLDDDSRSGANVSCDIADPTLGIYVGHVLVVEVIIAEEVVQQKKMVYDAVAPVSTSSVVGVPTGSARVLRMQFKVVVTERSGLGIAWDDEVPPTYEDVSALSPPTYETSTPSLSSTPAVLDAVGSTPVSNIAHVLDLDEHVQEFRL
MYSAKSDSFALGVMVYYLICGEYP
MASPPPTNNSTTLKGNAITQQHTLHAALLSSRIALQKSVTIANRLPLPDAIVAYREHDAARDAVKELEEELRGLEEELASVQEVLCNLNGMNAEERPLKRKRPTVEVDEDEANDRASIEHDFATHLHTAHAYHSQLTAHTHAYTLQTLSKWSSKVLAVHPTLFQPQGQQGTGTSKFAQQMRDGNGTGAGETPRDVVQAVEMALANDGLKRKTRGRVHGERRIGAKHDALAGPSVGSQDRQAQETDVDGNEEGGNNTAQTSDEQETFSDGDFYGSLLREIIDSRRLPGTLSSEALTQLLPNRRSKQLKPRVDTKASKGRKIRYEVHDKLRRFMMPSMPVVVRGWGEAQVDELFGSLLGGGGETGLGPVEEGTHPTTLDKQHDKTQNDTDIPVGQLRVFG
MAGRISLAQQLALLSEATPADLDLDDLYPQGSPSEDESHEANSRVRDHYVDVGPSSFRQLNSFISGPKYASTRVFRKDLELSEDEKAENKEEDQDEDSDRLDEKDVHDEEHVRTGARPDRRRPSPGMNTLNKTHDGSAQQEPNATSDLASTLHATREQDRRKGKAVSRQIMIWDTLLDARIRLRNATNAVNHLTSIEPPSTSRQQYPVLTSIRATLDEAISLSQDLFALQENLTKADASPVYTPRKRAKLSHDTERDHAKALLMHSATASEVEAAYHRHLVQVLAKWSVKVQAVAPNVLLPANRGSFKNVFSGKTAPPGVVDAIADTLHTDADKLLARTRSLRLVGKSDAEHAGESTEVFDDADFYQQLLSDIIEARSSGLNNEETEPKWMSRQRANKAKRSKTVDIKASKGRKLRYQVHEKLQNFMVPMAASKGAWHDEQIDELFASLLGCTS
MGGIYSDVDTTVIKSVPFLGKIPGLKWLFTSSSTVSSSTELVLLRLTLVFQGLNMILRGICV
MIDVATSCSRTTRRMLLDLPDTLLAAVGAWLPDESILAAALSCRRLNTTALRVFFARNDIPDPSKNCEVYFEGENVVFSVLRALNLATFTFLHSTERLSLIFLNADLSLGLQDMRGAAALIGRLHIDSLSLEFQCSICENGIKSELHIQKQWNTCFTELWMAVLRSSAISVSVRATWDFLQSYMLYSGTILAQRTSSRPVGAITRSLTALRHRMQQLDTSMSVRRVLSHLPPAKNSRLASLHIENGPILLPALYHLALATFQYCPVAALKLHSGTLVAKRRNMANDIGKALPSLAQLTIVNVDVPSDVLCRFLSRFPDLTTLSIEAPAEYHDSQTGKGLIHLPRRLLPHFNALTELSLTSAYLVGFLRRPEFIAHVERLNITMPMSHLCLPQLLDDLAPLKTCNAHICLRLNQFHLLERNSVFMEMQHCIDVALGLRAKWLDAFGRVGQVSLVPTQSSLMHLGTTLPRWLALFPAARRFTWDEYSSDEFPRVLARPALYSPGGAAPSRSTASLQFSDLPDDIITTILDFLGTQGLFNLALISRRLNYLTIPVLLSNGGIWDRETSTCTIKLDSLPAERDSLAMLTIALILPEIKQVQVRIPRTTYIYPSLNHVRRLISVLERFSALESASIHLGIAFKTHLEAHHVFLQQQWCVLFERLVNTVVSKPTCTTLRVQGSPLLYPDEVAWNQQHPPIPLNPPPATNLTFFGFQPSGLLSPMFEPWTIALLRNSKITAMRLEVNAPEYRFVDVIPQTSPHLRELDIQWLLAPEKNLRIAKLLSLLPQLEKLRMSSLFADSSESEGATASGKLTISPPAPHLRNLKALAASVPYINHLLQTPNALPVLQTLEISVLLASPDSFSPQGITPPGGLSALFNTLHRRGLSPVIILTLIFRAAWDRDPEWPRLAFDAAIGPADEDGIPHPNIVALKVKIISPYTRSASSGVGYDSSAPFVGFLRDRLRISMFRTLNEVSFDDGIEYKSDEQMRGAVQAICEIIRGARPDVEGVWVNGKNQTRPRDRITFA
MKMALKNNNLEERAQISCKSTKLLQSQCQCQYRILHMIIWFSNLTELPGKYTCRAATDMEGQKYRGLN
MQVRIGEEIAKSLSRSILETSGVLPVISRIASAAIGRIGVSEIVSESVARALRPQTGYISEMLLSEIRGIPRERNAPAIAPNRAERARARRRTPVPDLLGYSSGPAARAAIKTAAQKAAQGASPSVGDLIKQTMFDRFLCRVFADDEPMFVLKGGTGMLARMPRSRSTLDIDLAATEGALDAAVDELVQCASRDLGDHFRFVYKSRTELLTGENQPYTSGCRVTFDAYLGVTSHGKIGIDLAVGHMPSAAPERRAPANRLTQLKFRTYDYLLYPLVDQVSDKLCATIQVYGPEGRPSSREKDLVDLAMIASFETVDAAELRVAVSQEFLLRLLEPVDHLTVPEHWGAAYRRMAATTPLADHRPRIEDTVELVSSFLDPVLDGTTTEGRLDPATSTRVAGEGRSSRGRVTRAVVEGDLLPFSQLRLAHR
MRSAPDDARHPLLQRAAMCEALQDGRLRLYCLQIDGGIAAVACAMRLRDRMVVMQTEFDPRYAQWHPTSVLLQYAIEHAIGEGARGFDFLRGQEDFDDDLAPGNDQVRVTVFRSAIAEAVFRVRKILVLT
MAEDRRLRTLEDLLRVVRALAYEFNTDTVFIVGSQAILASMPDAPEPARVHSKSTPSRRTRRSGSRGKPRGRRTAIRLTHPNTSTVIR
EERRDTRNESSSQKPTERKPFFRKDYTRPPREVTCWICGGKHQVCVCPKRVRPIGHANAARATEGETEEGQT
MNNDQVGQPPGRPKIKYAVIGYGAAAGQFIADLTLQRLILNLINENNVAYDLEVTVYSSREEKDDGAGEAWSSHHDGCINSPIPGHWR
MKGNDETKLNRAISSIAAEPYYKYNIVTCGFTARLTAKEKEALKKNPDIKSQKTTQSKCENNSGSEGYKENKRQSQDDDNNADITSRRDKRLVAAGDNTTYTKIKRWGKNNKEKSCWGRLNKLGTSRKKANGQRPSTNKHKPNTAEPTKPYAAPDERKPEIGSDWIRQEEAPWNLARISNPSPNQNSYTYHKSAGTGTCVYVVDSGIDVDHPVSLLVASISYNVDY
MENRHGIIWGFLLILMGAFFLAINMYPNIFGTEFWPFIVIGVGAAFLLSALLTRTGGLAIPGCIVGGIGGILYYQTISGNWASWAYLWTLIPGFVGVGIILAGLLSRERVHFDTGGLILIAISFMGFIIFAGSFGLNLGMGMLWPLFLIGIGVITLISALFRKR
METRPLDQRGMMVLGVVLLAVGVLALAGRSLGFDAFEIGWPLFVIGPGLVLFALAVSARGAAGSALAIPAGIVTMVGLVLAFQNATGLWATWAYAWALVAPGGVGLGLTAYGIVTGQREFARAGLPILGVGLALFLGFGLFFEGVLGLNGAAIAGAETLLAGGIVVLGVVLLAGGFLGRGRAA
MTSQPNDNSNANPEVGPYGLSMHRFTETLGEKAIRKCKENPIVPIGALATTIALIMASRNLRSRNSKSFQYWLRARVGFQLLTILAVCGGIYQFGQSNLEENIRAQEQIRAEMNRKAQMEREGFDERMRAAEEAQRQDDALLKAARMKVQAQENKEGEGKGWWSSLGWTSKAKVSEELTPKTLVAVEPPKPTPAPAVPASTPSNGSSWWSWLGWKSSSKSSDSSSDKA
MSESMAVAMVDVSRKNNGSPRGRLVTGAGPGYGMRDVSWRAPSGRTRARGFITVATKNLISVASDLGPPKAISVESDQWSKLFA
CNVCGDIFDTKSRKGFARHCLEHDLALLFQALYDQEERDLTAKRRKLSQESAIKSPVVAESTAKSVSGDEPVGRRVAKFLENERVKKKNAEILEQRKIEAIQKKIEEDEKKHRKAAITLPCSIKPESNHSTQGLDVSSSTVQKNEEIAAVQCDPDVKSYSPEVEIQNTGTKLKIKFTKLYKKNLTPNECGVKINEETKKVDELITSEDSATAITSEPLKATSNVTAVPSQEKPNGTTAPATTTAALSRECPHCHECMKSPWLLVGHMVMQHGDKLTFLERRILPLNADKNAELCESFETFARNSCCAYCQDDKTVFESKDEEKLKCDVFTHVLLHHRDRLLEYRADISECDLRFEVVSQIGDEPPKKYKIRVKTKLKNKND
MAWKLYQNLKQTKGIVVDSALLITYTLFEVRYFLMNKELKQAMKGLTALKKEENLFSPLQSSYYYQFLGLYHLLMEEYDLSLKAYATAEQYAKEARIEDPELYYQLANLRSRLYHISYALFYAATALEKYTDESNLFRVIDTLILMGINQQRLNMFDESYQSFARALKIADQISEEQKKATIYHNMGYLYFKQSRFEEAIEMYRQSLQLGEEREKEKKANTYYYLAMVYHALGDKENAESANQAGMELIREEPSAQTTFYHLTLQSLRFKTEIHTQDLELVEKTILPYFQKKGIWITVAECAEFLAGKYRELKRTGKVIRYQQVEIEALRRMRDI
MRRHVAAFAAMMRELGGERLEGWMRAVETDALHALVAGQRRHHAAVTAGLTLPYSSGAVEGQVNRIKALKRAMYGRANLDLLRQRVLIPH
MPRVLGVDDFALRRRHRYATVLIDAESHERIDVLADRKAETLEAWLHDHPGVEIVCRDGSASYAGAIRQGAPLARLVRDFAQLMGERRGDDLDSWIADVRAAQLSEPAPFLTGLDQDREAVLAGLTLPYSNGPTEGVNTETKLLARQMYGRAGFPLLRHRILLG
METVFNEFHTILMGNEPEKMDEFLERYSNGKLTAFCNEIKRDIAPVKNAISHPESSGFVEGNNNKFKLLKRIVYGRSGLVNLEKNVSWHLCLKQMDSVCNLCFPVLPKYSIFGKIRKSG
MGDLPSARQLSSLFVKDPAHVESHDQLSLAFIRQEKELELAYQLTRQLLQLMKNKQGEEAAAWISICSQSGISELEAFALGIQKEQPAFLAACSLAYNNGMAEGFVNKLKHIKGSMYGRGSFELLRQRVLLSAA
MSVGVTLIWGARNQDVQHWAHARKARGKEILLDFSVIRKRLRIKGDQDVFHNIQQRAESQENAESKFNKFD
MKTFSLLVGLGLLTATSTLHPAAPTTVVAAPKATYPFQNPDLPLDQRVNDLVGRLTLAEKVSQMLNASPAIDRLGIPAYNWWNEALHGVARTGLKTTVFPQAIGMAATFDKDAMLTMATMTSDEARAVHQEYVRRGERGIYQGLTFWTPNINIFRDPRWGRGQETYGEDPYLTGQLGSALVKGFQGDDPKYLKITACAKHFAVHSGPESSRHEFNAQISDYDLWDTYLPAFRDLIVDAKVAGVMCAYNAYAGQPCCGSDVLMTDILYKKWQFKGYVTSDCGGIDDFWQHHKTDPDAATAAANAVLHGTDIECGGQPGTYSSLLESVQKKLISEQQLDVSVKRLYKIRFQLGMFDPVERVKYAQIPLSVVESAPHQAHALKMARESVVLLKNEQNTLPLRKDLKKIVVLGPNANNESVQLGNYNGFPTNIVTPLEGIRTKVGAGTEVVY
MTQNTAFQQAVAAVKSGQDPSLAARHLLQQLTEDERLSLLHGDAEFWEGMHNLYTGVYLSTPYVHGEIKRLGIPEIRYCDGPRGVTINTATAFPVSMARGATWDPGLEEKVARVIGLETRAYKANSVGSASINLPRHPAWGRVQETYGDDPLLLGEFGAAHVRGLQTNVMACIKHFALNSMETARSRVNVTVDEGALHEVYLPHFKRCVEEGALVVMSAYNSVNGEWASENKHLLTEILRKQWGFQGVVISDWVFGVRDGTWEEAAVAGARLSSFPRVPRAS
MKVRKLGLLGAFILSGIFPAGYAQNNIEKRVEDLLSKMTLEEKIGQMNQVSFFAVDDKAIAQYSDDDMDTFLVRMGIAGGQGQKKPSEMTKAEKIALIKAEAAKMLDNNITQPIRDGKIGSLLNITDPVMVNRLQKAAMDESRLGIPMIIGRDVIHGFKTIFPIPLGQAASFNPQLVEDGARIAAIEARSTGVNWTFAPMLDISRDARWGRIAESLGEDPYLGGQLGAAMVRGFQGNGNLGDPNAIAACVKHFIGYGAAEGGRDYNSTNIPPHLMRNIYLPPFHNSIKAGAATLMTSFNDNDGIPASGNDYILKNILRDEWKFDGFVVSDWASIGEMIAHGFAKNDKQAAEISANAGLDMEMVTGAYLKYLPELIKEGKVSVATVDNAVRNILRIKFRMGLFENPYVDTNKASVMYADAHMKAARQAAVESAILLKNDNNTLPLAESKKIAVIGPMANAPHDQLGTWIFDGDKNHTVTPIGALKGDYKHIKYVYEPALDFSREKNTANFEKARQAAASADVAVVFLGEESILSGEAHSLSNINLIGVQSELLKAVKSTGKPVVL
MKAIELKQLLKQMTISEKIGQLQQLTADFFTSGASEITGPVKEANLSPEMIYQAGSILGVSDKEKVRAIQAEYLAKNRLKIPLLFMADVIHGYKEIFPIPLAMAATWNPELVRQTAEFAAKESYVNGLHVTFSPMVDLVRDPRWGRVMEYNGEDPYLNSRMARAYVQGYQGDQNDLRQPNTIAATVKHFAAYGAVEAGREYNTVDLSRWQLFENYLTGYQAAIDAGAKLVMTSFNIFEGIPVTANEYVLRTVLRDKLGFKGTVISDWDAVEEIINHGTASTGSAAAEQALRAGVDIEMMSFNYNKFLEALVDKGILSVSLIDEAVLQILTLKNELGIFEDPFRGLDATLTEQDQVNGTKLALTAAKESLVLVENKQKALPLDERKSVIVLGSLANTGELLGGWSWHGQPQETESIIAALDHAKIKYRFVDDQNHTALNHLDEISGDSILLFLGEPASQTGEATSKTDLRLTSTQRRLLSLAASSGKKVISIIMSGRPLIDSEIYEKSNAVLLAWYGGSEVAQAICTTIFGKNNPSGKLPMSVPRNVGQIPIYYNHYSTGRPETSPDEKDKYVSKYLDSSNQPRYPFGFGLSYSNFEYGIPKLSSAVLTKTKSIELMVDVTNTSLQSGVETVQLYIHALVGETVRPVKQLINFKRIMLESHETRRVIFTISETDLRYVHSNLQSKSDLGDFEYFIGSDSNVNKKGNFELRDVE
MNETVDPRVQAMTLDEKASLLSGLDGWHTKPVKRLGIPSLLMTDGPHGMRLSATPDGIPAPATAFPTGVGLAASWNIGLIEKVGRTIGAECRRSGVDLLLGPALNIKRSPLCGRNFEYYAEDPWLAGNIAAAFVRGLQSTGVGACLKHYALNNMEKNRQNSDSVCDRRTMHEIYLSAFERAVRESKPWTVMCSYNKINGTFAADHHYLMTEVLRDTWGFDGFVMTDWGALNDRVEAVRAGVELEMPASGGATDRELVEAVESGKLEEAVLDRAVERVLRVIDQRDQAQAVIPAPAVDLADHHRFARQVAAECMVLLKNEGALLPLALGKTVAVIGPFADRVRVQGGGSSRVPCWQTDVPLDELRKRLPDVRHAPGCDADAEQADPDLLDRAVRTAAEADIAVVFLGLPDRIESEGYDRSDMRLPAAQEELLSAVLAVQPETVVVLMNGSPVEMPWADRVPAVLEAYLGGSAAGGAIADLLTGRIAPSGRLAESFPFCMEHNPSYLHFPDEDRTVQYAEGVYAGYRYYTTCKTAVRFPFGHGLGYTTFAYSRLRFSQVALLEGETLTVSCRVRNTGDRTGGEVVQLYVSLCAGLVRRPERELKGFAKIELAPGEEQEVTFTLDKRSFSRYDASRECWVADSGVYTVRIGSSCTDIRLEAGVAVYSTDRPAVRLDEYVTLEELAAHPDLAPLVEAEVARLAAAPRDPGLDAMGEGFAHAVLMTTPLRVMARHPGSRTDRAWVEQLLAACRDALET
MATISISPIINILSAGAQLASFHCFHSTSPGSDATSGSYLTCSGHSSIHQLFFSPFNLTKKVNSAEQTLGEMVGTTGSNGMYICVTLDPKHPNNRWKCEGYDMSSTVFVEDLKEKIRRRASSVPRNYGGHNLAIFTLSPETREFESLPGDQIVVKLVDYDNESSSCEVSALRKYAEFQKAKGQTPTFVTSGVLKRMALPCNIGVIVMRKVPGQGLEDTDQWREKIRERQGMLDKVFKNIQNFNYEFIEKTKLVHVDLGLRNFPVDEHMNVYPIDFGPPSIYPVERVPSRSEFDAWFSKRFDLIWGTQQGGKY
MANHNEHHSNIKSVNHPDKFYYLKKALQVLFSLSIFSLLFYHPSLAPFFVQSYDYFSSNISIKLFTCTTERNCIFLICNGILVLIIRTSGLVRKIAPVKISPKDVRIDVCNMEEVDEAKGANAVMKVEVLEVKGSDRVEKGGIFDPGHEIDKREIDHEAQEEDDDDEEEEEEEEDGDENDDEQLMSTDELNKKCEDFIKRVKQEIQGV
MGNFLNIFETGIKLQWHRRPFETYGLRESYKQRVSRIRVGILVALFFTILFAGIWHEMHTSLLQSWFLSDYAAKLSYHLEPGPSEEIVFPKQGPFNKRRGYNRISEFQRRLEAKNYCVTEQVRFSPELLKIAKQGVTPPYRENPVSGLIIYDVGGLSVYDATEGKLVFDRFEDIPPLIVKSLLFIEDRDLVDPPIPYSNPVINWNRLAMAGMLYSVSKLGLPVRVEGGSTLATQLEKYRYSPRGNTSSVRDKLRQMTSASLRVYKEGPDTRAVRRKIVLDYINTAPLAAVPGHGEIYGLGEGFHAWFGLNLSDVSRDFFSPDSDPAKAQIFRQALTLVCAVRAPTYYMVYNYPALEKRVSGYVNLLEEGGVIDGDFAARVRETPVLFSPGKYMSASVPSEMRKAINSVRTKLIRTLKVTGYYDLARLDLKVETTINSYLQREVAELFENLRLSEFLKENGLKEKRLLANGDPAKMVYSFLLFESTSYGNALRVQADSLDQPFDINEGMKLILGSTAKLRTLAHYLETVAGLYGEFSLLDKKTLRKRSATARDPITRWAARTLLRNKNLSVDSLLEKALERSYSANPDEAFFTGGGIHRFKNFRSKHDDRIISVKEATIHSVNLVYIRLMRDLVRFHKVRLAYNAKEVMKDARHPERLRLLEEIADDEAKQYLARFYYAFRRKGPDDVVKGLLKRRTTSARHLAIAFFAWHPGAGEAELAGWLKERKVNITESRARYLTRSYGKPHL
MTDLWKLVVLALFICISCESEDDASEITGTLDGTWKLEARIYDGSRESLTECEMMQTVTFSGEESLSMYWLEIPPCEFSGYTGTYILNGEQLSVTLPEWPDSNGAFISNYKVLLLSESDLRVELLNDSERGDYPDEEKTILIFEKESPAS
MRIPQPREWRFEGRRSVRSILEELNINPETVIVICGDTLLTPDDVVEGDVEVEIRPAISGGSGR
MKDQGHGSHWETVLGQESVADALHEIVLNSGVLRAKDVLTLLGPTSELLDSISDSPVHYMTILTNGNGANELVSAYPFADEGALLKGQITDIEIWNNNEEAVVTMQFGEGREISFFATDYHINKDKYRPGRYCDVRLAAFAYKCKVEDTGRLSFRLEGDAASNFRAKIGEDEHDESPISFDASEMTSLLSMSEDYKDDYQFLSPVQYVEAFETLGVRMLIIGISVDVGLDEGDRVPLPLFVRESMFDGEDNPKPEAGESVGGILWMQGHLDRWYEGLKQSGSGCDDDSAQDTDPSRDCSLPTRMSEILNESWRIFRDTISVENGCDRDSCCRLFADIIRRQASGETGFFVRTDVFPDSARRKKVDIACGFEAAGKPLCSCAILLRSVKDNNAFTGKMFRIYKDLHFLESLLERQEEGACGYSECRFYMLTPVEAFRKTPAYKTKSEDFPEFGLNLPETAKYVRYHDARGSGERQELFFRKEYPVSWYTRTGEQPDWHFLEIDLDKVVDCIVGVYRVHDDGGLIYQHGIITENEYEDLIDGNSDDGLEAAFRLSETPFEKAELQPWTIEKGKILYASDIRVGKSASAVICDVLSDFLAINAEEMGGWTLRVRIEDGKLIRYFSKSDMYLAVGKERRGIALRSQVAYYETDTEGFY
MREKKIRGMKRKTNTMIKRIEEYTNTFPSTFYHDEYWYMPLPVSQAFINSQKNT
MFDAQAAGATEQQLQAIAAEGLQEIYFKDRGRRAADLEVEFTDIDYIELDF
LFSFVNIIVGRNIKGQGDYPCRVNAVPRPIPDKKWFLEPYFIFTSFWAYKIYYVYGFMLLVVLILSIVTMCVTVVCTYFLLNAEDYRWSVSSLHFSCHFICLMSGHFEFAVVDNEFSYQNDI
MLHKARKHAARCMEDSFAYFKHKWNKSHATPDFKVVDLVLVSTTNINKIKGFKKLKYSFEGRFVIKAFHGENSVELELSEELSNKNPTLPLILIKPYKSGDSEKSPL
MLRALAAEELTLLLRLYAKQEKDYGHGPAPTARRECSKPANAGLIPPAVQCLHLFVLEILFPAAGPMSLDLRRMATIPGLTATAVRTAMRSSPGAVARRITLPVKFTRDVILPVPTYLKMHKHVQEILTIKFVYSIARLIHLLIPTSAATPTSNVKPAVTRAPGLIKLTTAAMPALTRRSIQRYPDGEQATVSAVEIIVSAA
FQPPPASSSQAQQPAKPLALQPTTTTVINLGRSLGQKGYDSFEPVMPVMPRSGGADTRSLEQSADAVVQQVQFVEEYYSGLLKELQVLCRDVSAQGGNIAALQAEGEALMAELQSDALATR
MVGGIYFTLTGEVRSTIMGVPNVGWCHYVKRTWPPLEGLLSALEILRRFANDPMLEDYTRVDKRAMRPLHKLLFDVVHKIILPRKHKRTEANYLNLT
MLKGITNPISASGNGFTYEHRVAAVYASALLCEQSAQALEGRIVKRVALQRKVTGTPGSSRFSAFRSKAQAYVSCGVR
MHNLYAVTGYPDPPEGTMEEEEEDDDYENSTPPYKDLPPKPDFLRREVQERNVGGKVPESQKLVLEKLGVDCGLPISSPFHPKPRFYLDLDLKPKAWHRAMSPPKAQSCPHLHMQPRIHSPIHLHHPLILPPALGERLKPHAHSPATPAPWLNQTSEGPGCCQKRCMMYLCLPVVISLILGCVGLTVTLIKYQELMEELRMLSFQQMTWRANMTGMSGLAGLKHDIDRVRADTNQSLVELRGLLGCRRVTCPEGWLPFEGKCYYFSPITKSWDEARIFCQENYSHLVIINSFAEHLLGARGTQ
MPPVASFLQQWSFSREIASGFAEYLLDECPWLDGETELNSELAVELLADSFGRWKLLEEVPTFIDAYNEFVLVSSGVRHPAREQAYTAMLQVLAEYGRNDGCGEGDFWLVEATLSEEKPRVVVFDRSFKFSEAALDQLARAAAICPFFSEVVVGDNEGTVLQTKAVPQNGG
MVSPFHYKRVCSLIEGAGGDIVIGGLSSGRLEMTAILNPDKGSKLMKEEIFGPVFPIIDFEEIQEAIDYVRYEQEKPLVVYYFGDKSGPNAKQVESETSSGAFVVNETIYQILNARLPFGGVG
MYGSAPHRNPDYGRIVNDAQFERLLGYLDDGEVLVGGGHDADSRFIEPTVLVGADRESAVMRDEIFGPILPLVEVSGLDDALAFVTGRDKPLAAYVFSDSAPVRERWERETSSGALGFGVPVLHLVAPELPFGGVGESGTGAYHGERSFRAFSHEKAVLSKPLAPDTLAATIMPPFTPQKDALVRRWLRKLL
MSSVILAGFQTTVQDCGRVGLRKFGVTPGGALDSVSLRLANLLVGNPDCM
MALPEREYYPLFKVAEIMKCDIEDLLHYASIGLLQICFPIPLTEMFSNKVCDNESEYVFPSVVRDEISNIPEGGQVYRFRNNYFSMYEFVLTSDKRNDLKMYGLLAINYFDIAHFYDFYLRTEYEADCLFVCSADFPRDNVEPFVSEGYKPINIEFSDEYCIDLHRLVITKYELTLLQLGGKKYIESEAEYIDDEKELEYFLKNKTNDLKNPNHYIKSNLQIEFIRNLLFLKYGEDAIKNPRNFIEKNKSPLVRDFELNGLKYPSGKSLEKWLKK
MNFIERCLSGDALMDEIDDYVERWSDGEEGKGMALHDFLGMTWEEYSIWGTRPSILPVILRSKRNGVSLDDELGAERRLLVARADGTEKAERMTNLSGLEKSNVPGRKNGAEAFSQTYSGTSF
MTGVIEKFQIWVLPVTLILFPIEVFLFFMGINGIVRFREIIRLL
MKKWSFLLSSLLISTAFATTPFYRFWRGYKSPHLTYLNFLEGMRNDFMSKTVTSAEHKGLIAYLPTLPPQHIALPDEIALVVYQTKAAYMKLLK
MGQRVGCRVELPVQLGEVGQRRPVRRIQLAALLQQIGVLVAEAVQRGGLVGDHPSTGVTLTGHVGELAAQLADLGEVSS
MKKRDFPRSCFHLFSMLVAERRRARYQRDAALQAVVDRSIHGLSEGKVDRHVNLHRREGIGQGDMGRF
MQNLKMSTSSKKYRYQVASVNISVNELSDNCTVLTTRCNYISRIKKTLKIICKSDGENVDISKKFCTSTKDCKNESKICSSIGQIGICTCKSEYIGFGNKCLKGSLTLNETCQRNEQCTVVLVSVCLNNTCTCKRGYPPLNSSECLLLIKQDVGTVGLVFGSLFICIILILIATIIYHHVRHGKTKNDAKESIEMTDFNNAAYGTNTEAPQNNPNVHCGSERNEQPFAYSYVEIPLERNMQETKKQPMTNSDIIDDDYNHLNEKAEDADSDESNDHVQENLYHAVGEGIYSILNTGRKNKEFDVYST
MKLIIKLSIFLFSIISFSSVAQVCSSKNICKTADLGSGFDYRSQSNYGSLTPGDTSRLKVVLYSKNLVRIFCCSDPILGKVDYRILKAVREYKRVVEKINKIEIEDPVYEKNAKGEYIVEYNEWGEAKVDEYGSPVYKVLKYNTITKSDTIWKTERFIREEEIFNSKTSDKKYFEETVQTTKSVVIELVVPPANLKPEGCVAIMVGRKFLSEDYKQFSTGK
MVNGLFLYSSFLGFCDPQGASLHIHTQSHDGDELWSHSYPGAHSGEACHTLALLVPLEGEVTCPRTQLQHSLVGPRTEPATFQLL
MLTDVEFAVCDDKGELITQQYCECVRIFDTYAQAKALINTRIECGCRGADEYTVVSRSVSRWWA
MKHELAYKISKRFSPPKTDLRKALILDDYGDESYALMEITHKLPEDPSIEEFNYYGWIYSFMAAEDLLFYLYAVLVVADTVRTIDDGPDWLDSYIFSVDRSLPEIIQLVEEDVESLRAELKALSRILMVYEEEQASVPNIMKFIELPI
MSSTTYGGQAGSSPSRNRAATAGIFGAVVALAYVVSEAMNMDIGNPDDAGDGPDNPPSDDPDATPDTGNPPPPGDDGCDANDELCWEDDEPEDDCVDASDILGKTSHFGERLAERFRGLDWHSIINHGTRYYDYKHGTTIFAYRGKIVSVLRDGRINNIQNKTQSSIDNNLGDR
MDLRDGTTALSTLGENNIPPQLDPITLCFVILVDAKETPAFLDAGCQRTIISQHLHKALIKESPK
MTFAEAKNSHPEYGGILDRIAFLAALDRGLSEAEDAELANLHVQDAEWRNLLNLT
MAKFDDALNASREEQETVESNEPEQGGEEAVEAEESSEEVKEAQEDQDSQKEEQKVPLAAMMAERDKRKELEVEFRHLQKQLDEVKAKREEQEEIDPDSDPVAYYSQREQKLVRALEDKIYGMSVSYAKARWSDYDEKEAIFLEAAQKDPTLGQKMAQAGDPATFAYETAKALELQKKYGDNPESWRAAIAKEERAKLEAELKGNKQNLDKARAKQPSNLNDLRSAGGNETASYKPFTFGDALPKK
MEGIFVTTPDGRYVDANPALAHMYGFSSPSDLKAHFNDIASQLYVQPGRRDEYMALMSEFEAVFGFESEIYRKDGSTIWISENSRAAFGPDGKPECYEGTVVDITERKRAQAEIETQRAYFRQLFESSPQGIVLIDPEGRIVDVNTGFEALFGHEAHAVRGKLNRDLVVPKDLAFEAENFNRTVLAGQPIQGETVRRHRLGRLIPVSVIGYPIRVGGHIAGVFYIYTDITQRKEFEEQLAHQAFHDALTGLPNRVLFLERVERAVERANRRDDYRFAVLMVDLDRFKLVNDSLGHQAGDKLLMAAARRMESCVRA
MTAMTDTPTYEELAARVRELEATVQQQPPPSSELLTGIVDKGVVGFYVTTPDGRFIWANQAFASILGYDSPEQLKAEVTDIQTQFFLDPGDRRHFRETLERDGSIENFECEMRRRDGDTAWVSENACCRRDPDGRITAYEGMLFDITARRQAEQKQEEAEAFVALLLNSLPVPVFYKDRQGRYLGFNRAFETFFGKNREELIGKTVVDINPSELARIYHEKDEELFTAGGTQRYESRVQNAKGQMRNVIFDKSAYKGRDGKVAGLIGTVLDITDRIRMEAALKKSEERFREMAELLPGAVLESDAKLNITYANRMGMKLFGISDDDIAAGINGLDWICPEHREKAARRVAVRKHGKTVRPTEYRMHTRDGGEIWVQLNASPIVKEGRTTGFRIVLTDINQRKQIEKERENLIAELKAALAEIKTLQGIVPICSKCKKIRDDQGYWNILETFIQEHSEASFSHSICPDCADKLYGHEDWYRKLQDKS
MTDKEIRSDEAAVVQRPSEEALRDSEERYRTMIGNLPGFVYRCQNDRDWTMSFMSDGCRDITGYAPEDFLHNKKLAFNDIIRQDYRERVWLNVQNCVQQKKAFQHEYPIVVASGETDHWVWERGRGIFSDAGQLLYIEGFISDITERKQAEETLQNERLLLRTLIDNIPDSIYSKDLACRKTLANSTEVRYLGVKSEADVLGKDDFDIYPKELAEKFFADDQLVLQTGKPVLNREEYILDEKQQKRWLLSSKLPLRNQEGRIIGLVGIGRDITAHKHAEEEVQRERAFFDQLVETAPEGIAIADTQGRVLRVNAEFVRMFGYGVDEVVGQYLDDLVAPPARWEEAEALTRSTIQGGKNLLETVRRRKDGTLVDVSLIGASILVAGKLEAVYAIYRDITERKRAEEALRESEALYQSLVKVSPLSICRKDL
MKYMSKFKRNASHPYSLITPDTPLAELAEFLRHNIFALVTDYERKFVLAVATSQDLDNFVTRRGT
MPRRIKIVCSSDVLGAEAAFASLGELEVLRPDQLTAEAVRDADALVVRSTVRVDEKLLAGSRVRFVGTATAGFDHLAIGYLRRRPADAAAARGCNREAVADYVVAAYLQSGVASGMMRGRRVRPTVAVIGRGHTGRAVMR
MVPLDWEYCSCILKQLQTAAHVVHRSLRGDGSGSGSKRALQKLLPKILSCLQHFRKAIDASFLQDTAEMTNQHESSCPSTVTQDQMEEIAELLAATQMYTRYKIPTIENIQQERLQRVQAELDAVAQLGDVLSSHSLRSVSLADSEKLKRLVTRLRKQEQELAFHRGLLKSQQEFSGPDSEYSAENFAFGSTPFPTWLNLFTQRSVLDAIARAPKHAKLTVFGSSSGSLVLFAAIALGLPSVGVEILPFLHEQAEQTREELRIPTDKCRFVCADMLTMPLQDTSILVLTSQCWDSELYQQIQRKLETELHPGTLVLDYKKTLQKSHHFHMVQQLAHQRVSWTNSQSLFIFERL
MVVDKNQRCRIIIQCLFDNFPWINHRSGKTPLKEFYHFYNLITAIQKYHQKYFPVFIAEIVFEIIQDFIGRADKILIDDAIRQEPSGNSLGNFESEYIFIPYTIDFFQF
GSITAKKIAEELAKQFGLKINKRSIELNHPIRAIGSVEVPVKLHKEVIAEIKLSIMEA
MRYNPITQTWVNAGITKEGEDYAALNIKSQTGIVPSGDKDADNTAFINWRVADFKAKISNYYNVNDVLYCMAFLKMIAAADNRCKNTYEYLDPITLKICMA
MTSESQMKAERATKSDVIIRKYLPGAVYAGVVVIILSHLGLLPKGHTSILCDDPDIRRILTTETVSVAALLLMATAGPFVNIFFLEWALPGKSGRSARHASSLSWRLLKDCFSGQLLALLIVEFFKMTVSEARPHFMQTCRPDLSDQLCSMGYVEVTWRNCTNPLGLDKPHLLDSMKSFPSGHAALGAFIATYMTWYLMTRLRVQWSQLLGVVLALLWGAWGALCGVSRIWDNKHHWWDVAIGAALGTCIAALTIKWLSSKHLAESRRQSTHPTTSSSLPPA
MGALDCVTKGVSVTDSTEVFKISSNLTLNESRRDLESQQTPSTTSHRRFWKWRLFCDIPLLIIILLLTGLFELGVIPSYRAGFYCNDPKLSFPFTGDTVSMVTVMVGVIVIPVVVFWVTELFFVDSSTSMRGKLLQVTRRTGWLVLVYQYGMIFNLSLVEVMKGITGSPRPNFFDVCQPDTNRACGNSTNYITTFKCTSRNFSTYYQNDSFRSFPSGHASLGVYAGFFLTWYLQARVFRWSNRSVLLVPFLQLTFMSLAAVCALTRITDNRHHWWDVLVGVIIGFATVYYAVKVLFNDFSYMKPDTTTNTSEQNQVEKTPILNGRREDASPTCYG
MNKINYVRIHSFLTLITVIVLLTSLYLQYFVKLQPCPLCLMQRFCVFLLLIIMGLSFHTLKRAHLLSILQVIISAAGMF
MAEELLLERNGKPGAPLTRPLLAVAFLASFGSSMLYGYNLAVVNSPAQVGVTPLLTRGKKNSNIPTVTGRTRSLSPLRIALEIRLCTSRVGDQVVYFTRRRVGVHRIARLLEPHRVIDVLWILPRRHVLCSVAAVQ
MDDKWRILVVGASESNRRRPLSLGAEVNQSWAKRVEQRNDVCGYWPTFFMHALDARNCGSEPPDKDALRDFGTGRYLYDRSLIYEWDSALLWNVAMPANVARFGLYYLSVHRELFRVFSPDIIVLCLGSVDCLEFGGSRASEDILELGQVEGLVPGESLQFDYCSTAEEFYDVMHEVAETITWINQDAIVVHLDAVVSPRASRRIIERIRSFNEAARCISREFSFKHVSHPLLEKSAGDALYHWLRRDDNHPTDAMNAELAKNILRHVPLGRPARFASRETPVPVLRVSDWTKERRI
MAIQKHLRAEIMSGITQELKQLQQAYQSINPAWIEPEKVVFITNKIAQLKKQQYKDWGIKIIIAVLEALSLLSMLVLSWATLWLF
HLIPLDGAQCSINGIQITEATQLNQGAVILLGRTNMFRFNHPKEAAKLREKRKSGLLSTFSLSMSDLSKSCENLSTVMLYNPGLFPIKGPVFLRLEFERQQREELEKLESKRKLIEEMEQKQKTNKAELERMQQEVESQRKETEIVQLEIRKQEENLKKRSFHIENRMKDLLAEKEKFEEERLREQQEIELQKKKQEEEIFGSVKEELQRLQELNQNEKAEKMQIFHELEKLKKEKEDQYLKLDVEKKRLEAQEREQALLVANLEEQLRDKQIMIQLLKRGDLQRIEEEKKNLEDIRESLLRVKEARSEAEEDCEELEKVQCNFMDFKRKQLGQLTDLERDLVQERNHLEKQMIEEQENLDYLKQVHTEHLNFDKNTPDTALAVEECNKIRLCEYRLHHKERQLLYLINNHLPALLEEKQRASEVLDRGLQSLDNTLYEVEKEMEEKEEQLAQYRASASELQQLQETFEFTANVARQEEKVWKKEKEILESRQKQQREALEQAVAKLERRHSALQRHSTLEIEEQKQKLATLNNSCREQTGLQATVEALEQDREK
MTPVDGSNSSVLKCKVRTVTSKSISIISTNPATALTVTQPTAATVTPKAKKAHSVKNGLRFNILASIYTNDWNYNVALSYQPIKLQYPL
MANRKNMTRKNRKANRKTRKANRKGRKANRK
MFLGGYVYGNQNQNSPPSPARGSIWGSAYSPFRNTNMPLQDITMSQTLPLTNVACTPAESGVSTDTCPTAPSAAMAGGERLNQFSFETMDRICEVSEKNKETGKKGKKRSVTSLRDDDHSPCQNSFIAISDYRKRFRLLGRRDEVLVKKGGTRSESLAWLHAKMISTVLLRVGQARLENCPPK
MKVISLYFLFSFLVIFNCSASDAIKAPTKSPSEYYNYVFIAEKFIMQGEFDSALYYYAKSLSTEIRYRIDITNVNKCLKKANDDNIKIDLFLYGYLHSDSSVSSDLFIERVSSYFTKEGIEKLRDSISGKAKYVDEDDIDYVQISKILEDLENADQKYRLDFSPSRSDLRKRKRVDIDNFRKLINLYTKYGFFTTSKLQGAAFPAYKFILTHNFCNKKRYIKHNDFFIREVLAGRLDAREYADIVDNYRFDSTQVYGCHTVFSVGDSLIVFHLSDSGKEKINNNRKSIFLQDIDTMQKKMIWQWKNYHSFWFENVCEVVPYYSNETATIIAEQKLKEMGSIAKGYEIISR
MLQSTRLKQPATKINSATSATSRHIAEKISPVGDKEIAWSSANMGSQKVHFNATNKRNNRNIVSHASSDPITQQHEENISALFDEWNSVKQELNHPEKESETRRCITQYIERNPNPKLQNFRPFDLEEFWGQKQLKIVNK
MIAAVKRLGIIATLVCLLAPAVSAQPSAMIGTNPPGSVLYVVGNALAKVATEAGTARLTVQPYSGSSTFLPMLESGELELGVNNAVDVGLAFSGPALKIGGRNPFPHTPGLRLVMRSMPLMVAPVVRRDSPIKTIHEVKGKRVTGEYPANLAIWYNLFGELSSAGLGWKDVRVVPVAGLNEGIDALTQGRADVSTYAINGAKVREADASVGVRHLSIDCSPEGEKRLRAAVPGYYARRVKKDEAAAVVDDICVVAYDAYVVAGKGASDAVVEGLLKAIWNDGAKLAPFHPLLREWSRERMAGADVTIPYHPAAMRFYREHGAWTAEVEQAQQRLIKGGR
MESKGNELPLILFSKVSFSLKLMFIQSCLSKLIIAPIRFLLSYGRFQHAKGETWSPSLD
MLKALMDDNEATLLAQYRIPANAGHMLHRGNPREDFIQIFLESHLSSNVAIGTGEIIDAYSKPRGQRNQYDIVIYRKNFPKLVFGGRTHGFLAESVVATIEVKSILDQAAMDQAVEAAYKAKQLERNFIQLYRIGWTPLMIANYVVSYTGPAKMETVYGWMEKSYKKYGIPFPVFNGENRNVPGTALDGIFVLGKGFIRLENTPGGLRIPPDCMNAAHSICDVESGSLLALFVSLQEQCSHLDGVIPHITPYLANVPMQAKFI
MPNMSYNKVKSQSENENTQSPHRPVNRKDYAKSANPQPVTTRLAKTYAVENALNLTRQRPATAVQHVWNNMKGKNAHESLTPSSNAWPAATKGTSGNNAHSNKPKLCKALNPKSSINTPHQRLKQLNTIINNITVLNPFTKITQDRLWENPVPKKAKTKSTDFPLQGTDTRLSETSQNSLAG
MTVTSDRGNKGVQRDLTHPYRILTIPPQVTQNWVTSLEGFIGQYYHDDDDNDDDDDDEERTTEGFPPPKVLWRKAHGTSPGVYRDLLGTSGVQQLTNGSLLLSQVTKDSEGRYLCEATNGIGAGLSKVVQLSVNAPPRFSLQGTNVSVGTGSLAMLRCPVTGDQPMKLSWHKDGRLVMPSESYRWSPDLPRSLHVVDKGSRHVRLAWEVPQDGNSPITKYTLRYTRLGGKLAATDTSSAQQQQQQPQEEEVAVGGHVKEVMVETLIPATQYLFTLYAHNAMGPSKPSESLRRRPRQGAPMTARSVLVSWDILTPAHARGHLLGYRVLYAPADRVTELSGETTSSLSAPLRGLRPYTNYSLQVVGFTRVGEGPPSDPVFCTTEEDVPGPPSGVKAAVAGSGRLVVSWLPPANSNGRLLTYTITIRGRDVQGGLGWVAGWVAGWVAGWVAGWLGGWLAGWVAGWVLKFVVRAPTTKHQVSVGGGGPVRVMVAASTRIGEGTPSTPVTVNPSNTVAAKVVSWGGVTEVHWEEDVSLRCEAVGEPPPSRSWYRDNTLINDASQRVSSYPDGTLVLRRPEERLLTTPAGWPIPTAKTTSPTSSPSRVSHRLLLGVYRRRVFYGQGSPNSGPGNLQAQSTNSPTLDNNTQKFSKQRQTKLIRTHGPANDTWTSFPPYPPHIHVTRTGATTLTVAWRTGDDRGASPGPDGIVQKGLRRMAGRDGSSYPNLS
MGFSAGLTDNGQRLNAGRLQEVGKADATGHIIEHHSAMASLLRQKKHQQVDQGAFTCTEHSDDVLNALDGENLISGNGLVTAHQLHLDAPGTFFEMFSSIVRPLEQGIKLHSCSDQIIKRERNRRFSGWSIGCFRNGRTRQSADSRIQSKTTTAFVVEPNFVFTGRSGLVLPFPDRITRTQIIQIPEMQRIALRRGLRKQQAGRIKPICALILGNVTILTQMLVPFAL
MFFDRYFDMDFTEKKLSNYSYNVTAEPKEPDIQPDGSITATLDFMRGEKDPKKPHQSHSKSNETIYSDTESNTKPVDTVHAEPRDLPATAFDNTDTSITIDNTENADIQADSQRPSSNFAKARIF
GGLGLLLAFRMADEARYRALGREGKVFEFCFRLPAAPLPDMPAQEPAPGRARGAFTIRPFRDADAAGIARCAWLAYGYTRPDNALYDMAGLVRRHAEGLTQSLVAEAEDGSIIGHGCLDFAGSPEVPECTDLVVLPEWRGHPLLLARLLDAGQALAAARGCRGWTVQAVTAHTVSQRGALRYGGVPVQVQLASVSTDWAIGAAPAGGGARQSEVLFYRGFRPGPARVLHAPPRHAAMVAGIYAALAEPVRLAPGALARGETRLAVSKDQAEWGAVALTVEEYGADAVAAVAGFLRAFCLEGVATALLRLPLADPATAGLGEAFEALGFSVCGVLPHADLLLYQYLNNVVPETASEKIALPCRPLYDHVLAERRRVDRAVFGAVAGSVS
MSVLYPHFRLYVPVCNSSKMKVSKHKHRQVKNNSLVRRHWRNQSVKNLLVDALHKFFAVVRIKVKQFFTINEQLDIVRGVEQLETPPEAYLAFLMPMALSRLTRDLSVKWERRRTDEKNTAKELLTWLKAVKIRIRERYRCLDFSTTVAAIDGQENARMHNVLRESPNLELSEISQNQHRIRKTGNCWVTGIVPCMLDEKSLAEYEPHGWQPPARGCFVKAGSRTIVSCFLDSSFQRSCVSIGVEDAINVEGLIKRIFVASFARVCRKSKKARCKHCAYRSSAKNKKIMDKKSLNTYIFTVTGNEMSADAGDKYTIVRRSFGLSESAK
MFLKVHPRSWKNSLLSDDACKHDAIVLVDQPGLHASDLRNLPSNSHIAQSLSSAPSSRQFAYVPLTLPTTSTDSSLSVVARTASEKCGFQLVTTMPRQGGASFSNEKSIILSPYQSWNRESRGKEKLLNTMLFYQLLFPLSFFEPPHHRHRLSYSLHFVLYKRQEPETSSVLSSALSNASAKQKNGILHNYQLLTPALIMTLLVVLFLFLPIMYFGSLLLRAFRTQSDWKRSPK
MDGWGGKGMGETKNLPAGSWAPGRLRLGWSRANGRALPAGPRPSPQHARPCHALSLAEHSRASARPGPAGTAADSHRDCRGPPAPSIPSTRGREDKGEEVPGARSAEETLKKGRPTRLRLRPPFCTTREEAARDGGHFRALHSAAAAAAAPAAAPLTFTENSAAAAAAASSGSGRRDG
MFNHKADVRTADIISIQYGGVDSWLTFKGSPFVLYYPDSPWRKFLRKLVKKLKKYARKFHWHRLVGTENVVPLEEYMANIRYIIEHSQAKYILLIDTYPNLDKSREPRIREYNQALKALSDGKRVLYVPNYERLSLDETRNYDDQTHLSAVGQQVVADAIIEVIDKLSANGRLETGK
AEFRTRDEELPEERRTRTERERIGREIWSRTLGATGLPLRAVHAAQSLGFLPPAGTEEGPVALFASGPWLRLRTPYGSVALRTVPMALPVAPGR
MCRRPARAVAPAVAEPRLAARWHALLLALLLSFCWQSLVVQTHQHLDPVRLAGLTAAAAENLKTPGKQDPGDIPANCPICREIASAGAYLLPTPVALIAPVATSFHFTPASLDALTLLPPARGWQSRAPPLRFQA
MSRTPSLDRADKARAAAGRSRRERGAAGAKRLVLLCALLLALLWQGVIAQAHQHRQIGFGAAQTERNSANDRRDDSAPDSPANCPICEAASAGPAILPTPAVVAKPAALAFFVTAFRPRQLQRRSRSHLWQSRAPPHQHQA
MKKQLSLYTLLMLLTTSSFSQNTSDFTPVKDNTIYSENSTYSNGEGDLFSGRTGISNGNNNRRALIEFDISSIPVGSTIIEVSLKIRVNKVSFSGDGTHNYKIYQVSKEWGEGTSLGGGTGAPAVAPDATWNDAMFGTSSWSTAGGDYLSTSLSSLNISGVGFYTFTSTSNFINAVQNWLDDSSTNNGILLIGDENDDNSARRFDSKEATNSNNVPVLSVTWSAPLSTSDLFKEEVILYPNPTSSYINLKNIDQLDIQSIAITNVLGKQIVNTKVFQSGIDVSNLNQGLYFLHITLSRGKYTKRFIKQ
MVHGLSLLAFSLLYVGVLLAVAWSGDRAGPGNPRLRPMLYALTLAVYCSSWTLLGAVGSASDNLWSYLPIYLGPLLLLVFGGDLLRRIVVVGQRQRTASIADFLAARYGRARDLAAMVALIVVVASIPYFALQLKAIALSVEVLTGMPRGTPLQDPALLSAVLLAVFAVLFGTRHVDATRHHRGLMWAIGVESVVKLFALVVVAVFAWQLLGGGVPAAVREAPLPPLPDPFAAQTLLAFFALLCLPRQFQVLVVECQSPDDLRPARWAFVAYLLIVCLAVLPITWAGEAALEGRATPDAWVLALPLSEGRVDLALVAFLGGVSAATGMVLVASLAIATMVSNDLAMPWLLRGADDKGRLGRRILWVRRASIAAMCLGAWAYSRAFSGEVALATHGLLAFAAVAQFAPALLGGLYWRGASRAGARLGIAAGFTVYAYTLLLPALARGLDFGAGWLAEGPFGIGLLHPEALLGIDGWDPLTHGTVLSLLANLAAFVGGSLRYRPGLEARLAAEPFVAPDAERSEADPEATGRATEGDVLALCARVIDETAARAEFAAFAAERGRALRLDAPADRGFLQFGERLLASAVGVASARTLLAAALRGSGMAFGEVVALLDEAGQQRRFNRELLNTTLEHVSHGVSVVDADLRLIAWNHAYQRLFEYPDGQLYVGKPVAELIRWNALRGECGPGAVEAHVQRRLEHLRRGVPHVFERIRPNGQVVEMRGQPLPGGGYVTTYTDVTDYKRVELELRDAAQQL
MGFMIHNVAPRLFGEGVVRKAPPLREPLPEAAPRALGAIKARCLKPNPEERYGRGSHTNDLCSEALAPLLEGAGGDPRKAASRSEGRAFDDLCYVALQHQAMKQQGRRPAGARHKLEKFFQAPRVVLPEALQPATLDAIPDGETLAFFRALASAWGDAAALAAAVDGMPLAAGTMDDHLVPSGIAGVLVMAAEAHGGDAAAVGALLRCMVKLAASPATREALGMPQGQGRDDGRRERLMDAGAAARVVAAMDAHAERDAEV
MNSPCQTRPASPEGEGSTRCDGCKSCGAILELDCCGHRFHPRCIFTWPISQCEICAAPVNTVKIFREYKNLKNSMVRKGKWSNDEHKYANMMMRQFQLGALPLVDGLHLRGFISSLLQCDPLRVTKKYSGHAIGKQNFFYQYRKTYCYNLHIKLQKRVSSLRNHFYWHIQYRCKFGHSLNIQELKAAESDYWIREFLKFADKIGQQVEFSTHPVPTSPAPAAHPVSQKSSNTSSAPSLAVASVENQEDDEVKVEASPEEPEEEVSIDVDATAPSSDKNASDEHERSVSPLSFDEWSDASPSFADVLTGMVFEDFSQGDATLSPILPSKVVAPRVGARCTLGDWLQEEEARWNETMAVPSWSFTLSDCA
MNQFRFLTIVCALGVLALQSPATVFADEAEAEARVLFQEGEQAYREGDYERALERWTLAYERSGRPAFLYNLAQVYARMDRLVDEREALLRYIEYGEVPDGIRENIDARLRLIEHRLALSRELGADELTEEERAADPRELFREGERHYHGGRYEEALAAWQLAYQLSGRIALLYNLAQAYSRLGMLEEEKVTLEAFLQDSGEEGIAEALRTSAQERLEAIVERLERTRVEIVGSLDGAEVFLNEEYIGKLPLDAPIRVSPTTHRISARAEGYADAVATISVRPGTTSRVELHFEALEIKSERRVKKVPLALFIGGASVAAVGAVVGGLAYGQANGAGIGTEEGDRALRMAKSADVLLDVGAATFVGGLITHFVQKKRGGTAPESANDSIGFAPTRDGLSIGYERRF
MFVRAALNLSVLVSVGILASACAGKDELPPWQGSGDDDRQSQSSSQSTYTGSEAGTGPGTGGASGTDAGPGNGAANDPNGNGPNGNAGNGDGGSGNGNGNGTGAPPRHDPATVCTKLSICCSFPKACTAETYACQGVSLLGDPAVCSTVLTLYEGIGCDNKLNLGAAIIPGFPGCPKPRGGFF
MDLPSGLDADDNRLHRSFRATHTVTFDSPKPCHAQQPASGRCGAVHVIDIGLERDATNLRIAEESDVAAWWPTPMRAPTSTPAAS
MNLYDLNQAYYLWEDIKEIIDRIGTLYTIEKVNGQRFIKSITETPETTIFSKENEVIFNQLVPKIKSLHKDMYSLIEAITKHKNNGEFNIHMLADRYYNFDEFRHLNNKFKHFDTRGVTITLTSLIMMENNKNIIDVYCNFTKGDGSFKAIRYPDFIETFLAFLVNYELITFND
MDSHFDYPETTVTPLRPLRAVSEASSPASAQSPSLPTASLEPPSASPAVISASLSSLLAEAARAREANAGIGDEVYTQPQHTRPVPEIKARVIAVVSAKGGVGKTTLSAALASLLQLPGGRTLVVELDPQDALRHHLLGGTEQGGLVNGRLRDEDWNSLLLPGTANTWVLPYGSHSAEQRRSLKQAMDEDRHWLARQIERMQLGEHDVLVLDVATGPSRYLEQALDVADQVLAVVNTDAACYQTLNVMEQWLAPLASKPRPPACHYVINQFDATRAFSCDMHQVLLRRLGEQLLGWVRLDYSLAEALAYGTYPLLAETPTQGVQDLHALGQQLTTRLMEQARAESSLS
MTEIFGAGKLLATENFEYFDVYLVVGIWYVIMIYAYTLLQKLLEKRLARRFGEEAA
MRRSLAGLLFGIAFAFACLTVSGYLLQRSAFTPDRAADAAATVLQDEEVQRLAISVVADATADQMYPGDPTGSAIIRQNITLVASLPLGAEVYAPVLADVHSVLIGERDGPVVVEPEQLVLITRDERAATLPPLSIEVPRLGVLAAVDGVLGWLVPISAIVALVFVVLTFLARPERAPLLRTLGIGLVALAALAIVFSYVIPKFLPPVLDESPWARVPARMADDSLGLTLFASFVLAAAGLVLFLASTRMGRTKRWSTPVSTYRYREERSWS
MRSGRPTVSSPTGARRLSAGCPGNPVSAHARSSRSVRRSPAEAGFTLIEVLVAVFITVVMFALGYKTITQASNGRESLKAQQARLLALQTTVRVLEQDFVQLAPRPVRQAIGDDPAQPALEGAAPGGPPPIVALTRGGWANPAGLQRPALQRVAYLLENGTLRREYWNVLDPTLASTTYRRDLMTHVKALTVRYLDQANQWQTQWPATALRQEQVLRQRPLAVEITLDTEDWGKIVRVIEIPG
MRQMGALCGALGITDVALSRHYFGSPNQAMHYLFAIAKTANLTLEDDAEAGPRLRAQGNMMEWGFVCLANRIEELKAEPTAARQEDFAFWIATFNLMGEEEDRAFKKSGRRQTNALTELPPKA
MNVRWLGKPYTPTRSELCGLVPPSHADGLKALASTLRWPVGGIQGAQEHGEDLDFFAPKVMVEWLALGMGSLRDGCL
MTVTTLIPTSGGNNPVNGLPIQRTYCVVFERSTLEILATAGTHNDAQEIANSIYVDKKIDAIADEVRFHDDSINPINIIGMKLSQFEQFVTEHPNHPAIAGQ
MDALNIVDITNSGIESIECMPEYDLLPSMLKHATKKSKMPKEENYTKKDATKQNSNQNKIDKTKSELFLKCDICGERFNKKFILIKHIKQHMYQCQTCCQSFSFKRDLMHHIKEIHEFPSYPCSICEFKSNNKCIIKDHFIRKHSSGFKYNCMVCKKLFKLKSDLKRHMNYVHTGESSNVCSICGHTCNTTRAMKAHLRYRHYKPAYECKICKRGMTSQKNLDQHLIWHQRKREVVCPTCGKIFGQTRDLTLHLKIHQGIRPFSCPVCGHTFYKKTAQEQHIMIHTGKRPYICDICDQTFAQRIVLNNHRKRHPGPLQPLPVISIKKIIQEFMQEWTASATQQQDKKTSDS
MKVAMYAPVGENSEIATYSENLTIALQKLPFLEVVTVPILVGKQSLAHYVEVAEKLNSDDIDLIHLQHEPYFWGEITPDGSAFWQMRYLLKKPLVLTAHNTSSLAEMLRKKEKRTPLERLHAEWLIRKKAYRDSVEIAPYATALTIVHSEAERDVLLSRGAKPNYVAIILPSQEMNRVARLTRNVYTRAIELFSPGNW
MSRARPHQPGAGSTGPAAGQSPAARQSPAAAHTGPPLRTSALASRVLAVFLGGIAGTLLRASLMIAFAEGTALVIANTAACFALALVYEVLPAAGRRPLLRLGLGTGLAGALSTTSALAVHSVLEGSYPYPLATLAAGILAAVAGSAVGRAAEVRS
MVCARAALGPGALWAAAWGVLLLTAPAGAQRGRKKVVHVLEGESGSVVVQTAPGQVVSHRGGTIVLPCRYHYEAAAHGHDGVRLKWTKVVDPLAFTDVFVALGPQHRAFGSYRGRAELQGDGPGDASLVLRNVTLQDYGRYECEVTNELEDDAGMVKLDLEGVVFPYHPRGGRYKLTFAEAQRACAEQDGILASAEQLHAAWRDGLDWCNAGWLRDGSVQYPVNRPREPCGGLGGTGSAGGGGDANGGLRNYGYRHNAEERYDAFCFTSNLPGRVFFLKPLRPVPFSGAARACAARGAAVAKVGQLFAAWKLQLLDRCTAGWLADGSARYPIVNPRARCGGRRPGVRSLGFPDATRRLFGVYCYRAPGAPDPAPGGWGWGWAGGGGWAGGARDPAAWTPLHV
MVQLVRPKYIQELAALLDVKAAPEWALPYALASIPLPEGWTCLVADDESVLFVSDLRPQAPQREHPLLGRAAEALAQLRANGTGGGSEVRMLGPFENPESPAVEYFYIDIHTGQQVPEFECSPGTTIQSLPQEILQLLTAPGSPPRVPDQQQQQQQDPEHSAGHEADAQPSPLGGDTNVSVDESVGPPSAGAKPAAMPGRTSRPQSASSSTSRSGSARNAAGGVAAGSQSPSSSASASKLPRRQGSDSNADSWQETGGVGVGGDGGGAPGAGAEPAASTAASYPRHVPTDSASSPTQPVPPLLAAPKVWG
MARTKHIVLLKFKDGTAPEQIEQCFNEILELSEAVPGIDDYVAGPNTNPESMNHGFTHAFIMTFADGAARDAYLAHDERARVRAIVDAHVDKALSFDFEV
MEKANKGVVKHLVIMKFKDGISDDQIEQMNKEYANLLNLVPSMKTLQLGKVVEMSPGNYKHGNGGYTHIFESTFESMEGVAEYTFHPAHLHLGHLYSHTFDKVLVFDYIIPITTISPNSSTS
MDWAALYPDFFPVRDNGTQQPGTNPRVEIADVGCGYGGLLVALSPLFPHTLSLGLELRLKVWDFTRERIRALRAANPGRYQNVACVRANAMKHLPHLFRKGQLSKMFFLFPDPHFKRTKHRWRIVSTALLADYGYVLRPGGLVYTITDVPEVHDWMLQHFRAHPLFQDGGATRRVGRRPGGCPCWPRARRGSQGAAQWGAAFPGRVPPVADSGAEGRMDEGGGQRGTLLDRGNFSPLGQCGTVGPWGPSWHPPAPPVTTRSHIGPPPQFPINLLLADPGSAPILHLPPPQTHLWSPSGVGLMPTPPPPSRPSVPQFPLCFPTPQAQKCH
MRSLDSAAMHVPPAMREIVLQNDHIQINRDSAVQYLLFASLVAFDSIIKFIPRDSVSCDNETKCLWLMPTL
IALGNMMMTAALLGIDTCPIEGFHYDKVNHILAKHNVIDLEKEGIAIMLSLGYRLRDPKHAQVRKPKEEVISVVK
MGRRAARGEGRSAAICNAGQGISGKEKRGAQQVLPLFCAPSGARQEIVFLEIMWSISP
MDIRLDPGRYAVVVHLVDRQAEPGMVESGGEPAPGALPDFIVEICASPPGEVGHRTVIDTFDRP
MDSKELQEIIAVFRKSGLAKMTLETADCKLTLEASKPALSLQVPADIDSSVPGEKDRPKNCSAPFTAHGIIANGTSIRSVAAAASSGLDLINADPSAEDTSGISAAQEDQAHTTVLTEVRAPLVGVFYQAPEEGAEPYVNVGDTVKKGQTLFILEAMKMLNYIDSPCDGIIHSIKAENAAMVEFDQLIMEIQSSNQPESQPDTQ
MAFTAPSLRRARSDGGGRVSGHRHSRSEGGISYPPSSHPEFIARTAADNLSAAGQQFLHPTEPISSFYRGHHRRSSSGSRDRGGVGPHSTPGSSRASPYPSPKASPLLGYDPLPSIPSPQSLPVSSRSRPTSMPAYGASSFGLPPGGIGELGQQLDPGSNLADMDPHLGNSTTPGSLVSKPNVTTTATAEASERRRKTDANFACPVPGCGSTFTRHFNLKGHMRSHNEEKPFQCKWPGCGKGFARAHDCKRHEQLHFNYRPHKCEGCNKSFQRMDALNRHRKFISVSSNQSSTQSFQSVQREDRTVRRLRTKPKPMAPSVPLGSALETRWDQALRTLLVASWRWTSAVWVPSAAITVAMSKLSPITG
MKSHRTQIPEPIVRLLHQEQVPSWVSLDSPYRQQLDQKETYRLIPLPQHTIPYS
MTENAYRDWNANEYFNCSPTNPSAERCSVPPSCCRQIEPPGGVVVVDDSGPITPLIALGAAAEEEHEGEENVEGDVYVPTLCGRGVMSLKEKDAWKRIYTRGCGAAMFHYVESRIVEIILYTVLVIIVHLILISLAVTVRKEVTALGKVYDKYYKVPNSYCNRVFGPMWREHQLSRLDS
MSMQALIECAYDTKLLTATQRTNLYKSMSARGWRVQEPLSDLLPPEHPNLTHSIGDALIARGLTPEEIAELVGVAGPEHPHPFRPSKSHLSIV
MFVPVCLLLVLLLLLLVLVLVLVLVLVLVVLVVLVVLVVLVVLVVLLVVVVVVVVAAAAAAAAAAVLVVTVAVLHRFFRPWALFRGSSRIKFNGCPDQGSY
MEHRRPIDEDDQRGAYPGRAEAERERRQEADERLRREASDRIQNEKDLDRVRGILADHGEQDSVEYAEATRGIQVARGGRPFTRAHVAALRSDAIHESHQLDSAGSADSTESSDSSRRPPGHGPVDQQDDRHRARRRAR
MVHQIGLDCNALICNALACAGFWVLGLTRAAAAFGGLLILEYQKSSAAKASHCAGGSCDLQPKATAPVHKNGFREFGLLAFS
MLADGFIAAPDPFATPPGPAATLAHALAAGLQYDTTLVSVAPGDFNRFTDRFVARSGGSKSKQVSSR
MKSSIVCTIFLFVSTFGQYTAPSIQENISLLRNVMPCSSDSECQSKVGSKSKCKFYSCVCPLDGQWRDCPMDENIVSQARNNPESYIGDQCESDKNCKISDSFCNEQTKRCECVKEHIPSHDKAACLKGIAQQLGDNCNEDSECQVKFPNATCSAKKICECRENYHAAENHCWKNIGLAEKCGDTRECNHIGAHCNETSKICDCEDKTVVDEKRSRCLNIVGHKGKCLENIQCTQSLNNSQCIDGLCTCNTNYHYAIMQKNCIKSKVFGDPCQVDEDCNQITGADNENSIFCGRSKTCDCKKGYERALNGKMCFKFMPSSASGLYPAILVLATIFLFLL
MVISSSSWFVDLLPLVNLRSLYLAQCSEEQFKQLINMRQLTRLSLPCNSYSSTFLGRFVLGKEEERFPHLQSIDRIWCDYTSFEKFSATTMNTKIRHIHIVVPSCSSPANFIQRLPELNSITVDYLGSEIEFPSSCFILAKLRPQRNDGLSKVSLKKDSSSKPIDQNNLLLITFAPYVYSLEIDFNGHCDFVKLARILQHCSNLERIQIRVKYYPKGLDLDSIRQLNPFFVNFTFGDVHKETGNPVIMTKWSL
MRLGSVKWERQSCMGEWNTTVSTDAPQGEPARRPDGEGSVSDEQWAQFIRQAEGGGADAPKEPSARARMVTARLRALDEAAAGGRRRGRKNKPAEPWQPDGWRTGPGPGARNGRSRKRRRLAAVLGFVVVVGIFVVTMRPSLLTGRLSGADPSETISPATATGPGRPTREQPFRGSPALHWADGAEGIEIPQAEAVGGMSKDEVVHALRATRQLLVAANLDPGTLRGEKPVEALELLDPLQEGERERLERALARPDADHDPLTLFSRFDPGEAQLAGGVVKTRGQMTFEAGAAGSVEVHADYTFVYPLVKPGGDEVARTIVRRRLTTALHDPERFVATRGKLSVVSSQQNVGNTACGTDDGFLHPAFPGDSPEPDPAGPDVDPYDRSEWQPDGSCGTVTRT
MVDFRVQQVPYVSTACPLCEYIVRVALQAAYPPLNPPGCVWPSACLIREERKVTARRLITNTSRLKIGPAMTIPSSVPAKIRSAWLSSALQVSSKPNTERRYCHHALINRMVYLATTHRGPPVQGHLTSLPPAIQPHPVTKQVTGPGNLRPRPPVDADALSSDIHSRPWVSPFRHGCERDLVRANSHAGASLLIEKPAATPCSAM
MVSHSRLRTTFVRASFLLAIASPNFAQDSSRRDSTPGESAPEAKAEAAPDPAAVIDAIIQEGKENSKVWETLTYISEEIGPRLTGSAGLERANVWTRAKFTEYGLKNSHLMRWGDIPVRFDRGPSSARMVSPVERDLEFTTPAWGAGTDGPLRGKVVKMPLTLEGLEEVRDQLTGAWVLTKSQRRRRNRDKEAAEAERELREKIDAALQEAHILGKVVGASRDEITTGGKRGWRELTMETLPTDVEVTIRRQDYDAINSRLSDGEEVELEFDLKHYFTEGPFGAFNTIAEIPGTEFPDEVVIVSAHLDSWNGPMSQGTQDNGTGSSVTLEAARILMAAGAKPRRTIRFCLWTGEEQGLLGSRGYVESLSEEELAKVSACFVDDGGTYYQGGLFCVADMEPMLNAATRPVHEAFPDLPIDVVVRDKMPRGGSSDHASFNSKGVPGFFWTEKNRPGLEGMGYSFSWHTQNDTLEHAIEEYLVQSATCSAVTAYSLAMADTLLPRYVPEPEPETDPEAIAAAMAADGFEAVETPLSGEWNGVFTEPDMPFSLTFVVNAEGEVRGTTQSGETPRQLEKGRWNAEEKTLTFEYESGSFGRLTASAKLDEDGTLKGSVKADPDSDGYAWEAKKKTDA
MSSDRTRLVTVSASYGAGGSVVGPALAERLGVPFLQRATTSTGGTAHPKAGPDPCTERLSAAETDLTPAHRLLASLTQAMPAGPTQSPPASRYQEEHLRRYCEEGIRRAAADGAGVILGRGAAVALGKSRGFHVRLDGPASLRVVQVAAIEAIGVDEARRRMAAADRARVAYVRRLYRADPADPRHYHLVIDSTAIPLDAVVEVILRSLAAFPAVLQQAGEAKALQEGTR
MTGRSCLGLVGIFWTACCLFFATAGVTSFFEGDSIGIPMAVLFLGLSVAGVAMAYKGFKRTDPNQLSANAKERLVLEVARAHQGEVTVEELGVDTDLSVRECKAILDELAESGSCDAWVGRNGETIYVFRGFLPDGRARRSFDPIKGEVELEFADLEHAEKAEQSR
MLSGTADSYEAAIQHAKAMMTAHAGYNEIAAVQVGSDTYLFSTGMPSSDIPKDSVMALNTLATDFEIGDFVIR
MVLIPAKLLMLRLTVFLEKDILVSHFPHFPGLYLSLCLSLSHNSHQQILIALHPNLIAFVRQCVLRMEEFHIFILMKTNHSLLLPVVSFCLPPD
MIKKAVIVAAGLSSRLYPLTKDRPKGLLPFQNTTILERSVQMIRNLGISEIAIVVGYLKEQIIEIFGDSVTYIENSRYEQTNNMYSLTLAKDFIKEESFLYLHGDIVYSEQDLRAFVNQQEDSLYDASMLVGYGEVDEESMKVRVTNEQLLIESNKLISIQESAGEWIGVAAFSNSEALFSEMQNLVSVGHEQDYDTLALTNLAKEAYTVLCYPTIGPWIEIDFASDYERAKVMFLHEDI
MYSILFEYKNGDIKSSLAIKNFTNCLISPRNGKKGDLYSVINKNIISDDLEDKQVIHMDLNNNKLVIKNSWYGEYPLFYYVNEGENILFIHYNLKELVEKLKAHNIKIEMDRIAFIEECIIDVPLRSRTLYKEIKKCIAGQSIEFNLKTMKKQSKVIWNPKFNTKGFEVDEATYLKDAKDILSNLLDGYQEELFSNEEVLIPLSGGYDSRLLASLAKQKGVNFNSLVFGPKESNEINVANKIAEELNISLRHTELLDSYYLEYGKDVVDITGGLSSPMHTHLYAVLKANNIMPKYIVHGFLGGTYTGANQQSISKNFSMTEDESIDYVLNKHFVHHTLWSQISEEDKEEIKKDLREAMQDCCVTNLPCHLAEYIKDMDRQFGLISNVFAPIENFSTIIRPFASKDYANFFSELPYELRVDRYMYQKAGRELFPSVFDIPDQTIPNNIPFAQKLYPNIRKLFLGAYVLGYLATKGKVKIGKSMVYEKHHELLDSELATLLDKSIPFATDSMGLDFNQYSKASYTRFKETAVSFRLITLYLLKNNFTKF
MTVNPPAEELQISGAGSNGADILVPAPDAANGIPKEAEDSDDDADEDYPAVAAEDGAPKKKKNRKRKPKKKTKQKVQTDPPSIPISQLFPNNTYPKGEEVEYQDENRYRTTDEEKRHLDKLNSDFLSDYRQAAEAHRQVRQWAQRSIKPGQTLLEIANGIEDTARRLVGHDGLTEGDSLIAGMGFPTGLNIDNIVAHYSPNATCKTVLGQNNVLKVDIGIHVGGRIVDSAFTMAFDPMYDNLLAAVKDATNTGVREAGIDVRVGELGGYIQEAMESYECEINGTVHPIKAIRNLCGHTILPYSIHGSKNVPLIKTNDMTKMEEGDVFAIETFGSTGSGRYVEAGEVSHYALRRDAHPANLTLSSARSVLGAIKKNFSTIPFCRRYLDRIGQEKYLFGLNHLVKAGIVEDYPPLVEKQGTYTAQFEHLILTPTIRTMAGIAEQLIEKLNAVEAGIFEGQDATRQQLALAARKLFHKLETKEEKTMRLAIEEPIMFSVLQNLIDIGLFEGWAAAGGGEKDVNELAKLSKKDMEPELLCHQLRLMAANHIIQETANDRYASTPYSIAIGDKSTQVASGLRIRTDHVAPCAMHWPDFLAKTNYRKPLDDKASCYIDTFPEKKSFFERCSANPVHQESFSSFMDVWAKGKRPWPEFYDTQALLDGADLSDGSPFVVDVGGHHGIDLMRVAEKHPDLPDGSLVLEDLPEVVGSVKLTTSKIRTVAHDLFEEGVEQPVKGARAYFMHAVLHDWSDKTSVKILKQIGAVMKRGYSKVLINDIVIPSEGASCYQAAMDCLVLQASANERTEAVWSKVIKDAGLKLVKYYPDGRGYESVIEAELP
MKILQRLKRALCRGVKRGKKRVEGSTQHEQGHQADPQPTQDVRTTAQNDAVPGLTLSNTPNVLIPPHSLETAPTARLSLNPSSPGDETAQLAAPNVNERVAVLESKIAMLKLRDVCMRGMLGLLTQVHPSTGEHEIAEARLVAKNDEDLRVREMLWEERERGFAMEETISFLKCELRLKEQTYADHEAELLDLKRHGAVSLAVSIRDALQQDLIYLPRYQRCLAAFESSLESFLRELCAAAERRQKATEEPKSPKA
MTAVLFCMGCASACAAEPIVKQQWGTIGFVSARDGYLAWSESKLDERTRDYRAQSFFHRAYRLKLDGKANPEMLTESKPTTGHYQLMLGQAGWAIWTRVNGGAATIFLTRRDADQKPATLSLNARIEEVGEFTAAGVLASVSQGVGYGKSLNFIPLVGERVDEASMHQLHEFPNTLRLPADAWLGPHHLLHRPRNAETYRLNLHSLAALQDKPLWTWQPKDKDDHLLPLGLSDKYVYGFYRNTLSRLAMETGKQQTVTLPGTVIDTTGNGSPGPRTNGYRLSDRTFWSGTRGFLILAEPKKPEAIATITNLFYIDVETLKLYPIELPQLPATEPQDVALAADPHTGAVYFTRDNAIHTRSPAKAPRPWPNPQWQP
MRQIETKGMMEQFQAHLNNTNNQRIIFSGCFGSGKTTFLNNFFNDPAQQEKYYVCKLFPVNYVTSTNKDIYELIKFDILIQLLGTGITIERTDFEKVSTICRSVKSNALKLLQSILEVASLIDENTVKLGKAIEVIANIYKDYKDDSPKTKIEQFFKRFRE
MSEVGVYPSNEVNAFATGPAGNTLIAFSSGLVNIMSIEEIRGVVGHELSHLIHYDLKRILLVQAAGRHPGLVISNDEQNKFSPLITILPLTSQVDKIYPFQVFSEIKVQKFDGKLGEIDMEMMEQIERALHLTLALKTLSPE
MTELIIIKTKEGEKIKKILAERHINYEVYQEPEIKKISEAELGQAYREAWSNPQRYQEAKHEEEKEKGIIHELQGTHPGIIISNNQQNLFSPLITIIPLTSQLDKIYPFEVLTEINNQKGKALTDQITTIDKKRLGNYLGQLDKSVMQQIQAALHLTLALEE
MPAPHDASPLVQLDQKRQGVLLIATSVMLGGLAVLLGVMGMSWIVTTLMGLFAVIPFVVGMVMVKLERLVVTEQAVMQLRGADVVHELALARLDHLHYCIAHKGSGGTRSTTHRFNFVDREARETFEYAQQVISEAQVEQLLTHLASRGIEVRRSHTNQQ
MLMCYALPAIFSSLNIIDASHSQLSEMAKTHLMPGCLFLLILGTDFFALSLVGKKLLGMYVLGAVSICIGGPLSLWLALYFFRMNSSGREIMLLGKACQH
MKFTVLISIALLLFSAYLGISTALVPELSGRDPPLSALLLTAVSDGVNKSMENGSLANEIARENSKDSIFDEEGRNSKRGGVLRKIALPLLLLFGLKTGIAVPLVFGTVALAAFKGLWSGLTALVVTAALALRGLLPPPRIVQLPPPPPPHHAHITDYYTDYRRADALSRGDDYRYGW
MQGVNDNPREQGDRVRRAFAVSFAAHLLAALAVALLFLAGWAAMWLAGAGATPLARLALVGVFVVAPVLAAHGLLAALALRVQVLPYALIVEQGVTSPRRDTLSYGGIRDISRRTSLNGRLTGTASLVLDLHDGGRLVLSGLKHAGEARQVILEAMRKRQAGGAIRHEPAAPAEKRLTAGAA
MVTGVQNLVGHTLILLLDWRHDPVETSDPDLKVREGYLADPARSLCLSQRRSLARTHVRDRGTELKKIQSRRKTTKDLNTFITCSPSSPAHLHHLFTFITCSPSSPAHLHHLLTFITCSPSSPAHLHHLFTFITCSPSSPAHLHHLLTFITCSPSSPAHLHHLLTFITCSPSSPAHLHHLHHLITFITCSPSSPVHLHHLFTLITCSPSSPDHLHHLFTFITCSPSSAANLHHLLTFITCSPSSPVHLHHLFILITCSPSSPAHLHHLLTFITCSPSSPSHLHHLLTFITCSPSSPVHLHHLLTFITCSPSSPSSPDHLHHLLTFITCSPSSPVHLHHLFTFITCSPAHLHHLFTLITCSPSSPDHLHHLFTFITCSPSSAATFITCSPSSPVLLLTFITCSPSSPAHLHHLLTFITCSPAHLHHLFTFITCSPAHLHHLFTFITFITCSPSSPAHLHHLFTFITCSPSSPSSPAHLHHLLTFITCSPSSPVLLLTFITCSPSHLHHLFTFITCSPSSPAHLHHLLTFITCSPSSPVLLLTFITCSPSSPVHLHHLFSCSPSSPVHLHHLLTFITCSPSSPATFITCSPSSPVHLHHLLTFITCSPSSPVHLHHLFSCSPSSPVHLHHLLTFITCSLSSPAHLHHLFTCSPSSPVVGSVDLVWIESLRRTRVFRDTSSEEFLKI
MKKQFICKIIALASCVSLSTSVLGTIMVSANEVTDAKKDFIKEVLSTYLQDCNVTSDQVYVSNEFDVDTNDGSDTSMYFVIDNSKILGTLTVGELAGQFYSSFEKNDGSNKEFDKIQESYMNKKEISVNAENGFEIYSGCNSVISDRDYSLIEREYPIDVTTSSDSALYHIQLNTKRVPNGEYKGVSLCWAAVIATKYNYRNNSDMVASYVAFALSGKYGATPIGTPLWILRGYDYILGTNLVKKYDGMMDYNQIINQLKKNNPIHMSLSSSTANHAVLLSGINVYNGYAVYYIDDPNSPTTAHIAVRVSSGTMNGTENFVYYNSQVHAYTNWRWSYY
MNRIETSGDVHYRCHVGHVYSPSSLVADQEQAGESALWQAVALLEEQAVVHHEMALRAARAERRAEERAHVQAALDARRAAAAVKALIPGPMSGLPSEAAFEAGFEPTSEASSETARADPPGPRTDGAQAEPE
MATNEELKSAILLLNEIKEIYYHENDRNQILENKASVGLALSGILLPLLGNNYFNYDFSKVNVNNFKDIVANVIYLLILIGILFCFCFSCFNFYKSIKTKTYKQFDIRGFTIQNSAKEENKSAMNMIMVYKEIIEYNRNVNETKYKLVDKGMLFIIIGIILYIILLIINNIKL
MQKWGWTGMAGGHXVSSLGNIIEIDAADWLPFGEGXQGRVRDELLRSHGVCRDSIDFFVPSLQQPLPNQGSSSGGFCVAPTTGMAVPVLLLASGFRXLCFLSPEPCFEKEGPPRPVFSTT
MSDGLICIPSLVFDAAELHAKYKKKKVSDLHAISKRGSPNSWHGPFAIIHQSCLEXNQYAINERHLRLEKCKVKDQITPVKINYNELGEILDPNYLYNMLIIEKRHPISLMSEQYLKARNAEHKPLYFAIKARFLEPYTNNIIAPTSRRLDQEPNTTITGSDQNAEAIEPFVXTSETNIEEQEQERRSGSPELGEQTPEPTSSTLPEPNAMTSKPSAAKRELSEPVSKLNEKSCNRG
MNLYISLKSVTKALKKFRDCRLDTFLIHAVAQSFDGTFSPDAIKSVVGISEGKINGVLKRSQFIKSDGDLYEKRLKLDTIYKDSVVEYKNGQLEIVKLKDRYSPDILLHDPVIDYNLSVLKKYGDILGLLDITVMTAIQEKNQTKKAIFKRLQFDDSNVASSIDRLLQHELIVMKNMGGVYHYHLSAKGSDVWSLLYNQSV
MKKILILLCLVNTLVISFGQKVRIETLLEDDVSIRALEIWEGKVWYTGTASKFGYVDIANPAERKQIKVAPTDLQFRTLSQNHAAFYTINIESPAHIFRINKKDLTITSGVTDAAATAFYDALHYSDGYFYTFSDPAEDLVLQWSRFKDADFPELKPLAPALQMKKGEAAFAASNSNIASAGDYVWLATGGVVSRIFRYHKKTGATQIFETPFVQGNSTQGMYAIDFYNAKKGI
MNEHRPRDRTATSPLRQALRITLSAIAVIILIGWVLPAVTGTSWPSIATSLSRISMPYLIALFVLELCVILTYAVAVQVVVPGLRYWHGLLTHTTSTAISNSVPFGSALSLGALYAMLRSYGFSRSTIALAVALTSLADTVVKLALPVVGLAALALAGQAVPRGVAWAALSAAVLGALVLGTVIAVACSARALSALTTSLQDTVHRVFALMGRSSTPDLVTPALSLRQRATTIMRRGWHRLILPATGVRVIQFIMFVVCLRAVGEDIGLAAAFATFALGRLLAMVPLTPSGIGITETGTAAALVTLGADPTAAVSAVLLLTLATTVLQVPIGAVTGGIWWVRRHHIHPYGDDISTPGASS
MEILPSSSEYKPRVLGDVYFGYLIPTVLCGRCDTFRKDLCSFLSEWLSLVLVSDVETFLKDHPDFGLSSIGNSFKTKRFSKVLTVSPKEVTTDVWQVFWGVWADVFKGYVAEGCDGAPLLYSVACIYLMFYLYHCQCGPDVLPIPLARDTISYCLDTCAIALERFSKSTVLDTFYYLFRRHSIIICLNDGLQYIPQDRLGRPIALTRKAADEPEEEEFSTSEDESEE
MSTKSNSARRVSGLAALLSLGLALGACSATVPPPEGSGDEARCEALAAEVSVGAIVSPQPDGSVAVGTATIPASQSTRIYETGSAVTQDYRADRLNLETDTNDALVRASCG
MKVLKFAFLLWLMFSFFEGMGQASIIESISVINQEGHVRVRWSEPNPELTEKFSVLRLINGTFVALDTIYDGSALEWIDLNAPSNDSICLYVVDNFRGSGYSEPKLQSILLNKTVIYNECELKNTIRWSEYQITNFVSSYRISVSIDGGTNFQQLEEIQTATLTPVLRIQQPYYTSPDSTISIYEYTHENIVPNEIYHYKIEALVNGVSENVFSNIVSKESPAYVRPNPPEIVRVSVNESSGYVDITYETSITDLNLSKNIVITRNDPTGSGETPIPLTFPPTSYMVSDENVFTETTSYIYELELTDNCFNPVPDPVKHRTILLEGNIDPEFSVSLNWNGYEGWDVIEQKLYRKQGTETPVELVTLFLGENSHIDDVSALPNREALFQYYLVAYGSDQNVQFSRSNTLSLQPDFDPVMPNAFYPGSSKTENTTFKPVITFYNSTNYILQIYNRWGAVIWETNDPDEGWTGKNNSGKLQPMGVYVYLLQYEEAAGLVRTKRGTVSLIH
MWMGMMVSFILEYQPIGFCKLQFKHDAFFTYKDTSLYAIYYRL
MIDDESSTKPEPGSTKTNPIVIDDESGSTIKFGVLLEELTYDTAWPAPSGQDADIPKALALSLDECYFQEAERNYHQGTRTNERSSPLGSAANPMIHIDKDCSTNQRDSEAGTQVTPDYLSLPYWAFDSPPVTF
MITLLSEPRMVGVNEPWGGAWEWVGEWMSGGRPASLLSCGRKPSRNGHPGTPPSPSHGRSLPSHTRPPPSEGTRAGHTLSPPPRVFGRRPRPCLAGIDESLRYRATTAIRGVFHKITGREGCHVFFSV
MHHYAQLVQRPLHHNPPDLSSTSDLNVALATSNTTARDGHLANLACRPAMVPRRDALGDRGEIWGCKALAYAVMGTYVAAHSRACCYGCFCPHNPHPLAPLHPPHPQSHPLLPPRRRLLRPLPRGQLPFNASRVGLLIENRPNPILAPLMLHFMTVVPPEWRFRFMGSPKSVASINQSHAIREQVRIGKLDLTLIPENMTTSSQEEISVFLTTLWVYEQLLRPAEHLLVFQTDSMLCANARQSINEWLDFDWVGAPWHPDGKWGGNGGLSLRRVAPIIEILKHQVRGHRGGPEDVWLSERLSNRPGAKMANGSLSLTFSGEMHTGRPEHVRPSDLQIPEEDREKRPAGGG
MAGSVQDQSARDDAHLRSLGIKPELKRSLGFLSNFAVAFSYISVSTGTFTLIALGLGVGGPAFFWSWPLVILGQTFVAL
MDRVLFKHGPITGTAPELAPPLQIFTAFLVQDRCSENKVFNLQPSSSNAETLSRVPPEPHNSNGFGKQYVYSHQLYRSDSRYDSSSYSDCLFYKV
MAQVPSPGEHTGHPLSHAPQAEADSSRDGRSGTTRRLFSRIFARLGRTPKHSPGSEAVPYGYPAPQGYPQGQPYPPQEGYHPYPQGYPYPQGYQPYPQEYFPPTPYGYPPVQPYAPHGHPPAPYGGQQDPAAPPAPAAPAEQYAPGYQAPPAVQQEPEPAAEQAETAPRAEAVQEAVSQEQAAVQEQPAVQEQEDAHRDRLEVSENDVTSALVNLAMRDLTLVESLLDIVEELEDTTEDSELLEKLFKIDNLATRMRRNGENLLILAGQDTGDPQMEPVSLLDVCRAAISEIGDYDRVRLGRIPPLFIEGRVSDDLSHLLAELLDNATAKSPDYAQVVMSAQSMGDGRLLITVEDEGIGLPEDQLAEINRRLQCAPVLDEDTLRHMGMFVVGRIAHRHGFQVQLQARAFRGISAHVVVPTELVGEEGPKPEPVFETVTAAPATMSPPAMTSPTPRPPSRDDGPAFTAAGLPRRGAHRSNRTPLPLPGTPVQEAADEPEDAESRAARIRADLAEFLEGERAATENER
MDRCRHETRLEGYLSQRKIDRHDLRASYKARAQQIIGSAQERNGQINGLRIGVTANGAANAGMGLGAYAKAIEKIGVVASRSINQDQLHVDLEKDVINAAAAMAGYTDSEQNIGDMFGNNLTLDTIDVHTASQIVNAAGALASHSKAIQNIGSIQVGKTSVGQGAKADQAKVTENADGSIVNTAAAIASQSIAKQQVGEITASGTGNSLAVTIGGKIRNAAGSLAANVKADQDVGVMQGNADFSMINVDIEGAVKNIGGGVAASAKAEQKIGTIDSTTGGHRNQIQLTVDKDTTISNAAAGYVGSGTVTQAVGGISGKRISNSSVSFEKMGSIYNFGRGIVGKGSSSQLIGVIDLGKGSGSEDQISLNIGNVSGSGSGLAGSGKVNQDIGVLKAGGHDNSITVNTGDISGTTRGILGAGTSRETIGAILGRSASNSSVTVTTQNIVSSAQGSGTEARTLLSVSLPEIRLNISI
MSRRIYAVATGQNHANLPPILSVAEQGDLVVWLETPLARQRRWVAGANEVLRRRHIQQTSIELEDADVHSPRALARRILDDLSQHPNAEAVFVLNGGQKHMVLGVGEARQGARLQLVYSDVRPAQLWVLAPEDSAFHAEALRNPLTFDEFLLATGLSEAPGTTSCLVWSHNGGAQVEPAVSYAQAGPIKLPVLRSWMQTNPELRARWQDLVDKAIRNTGVRLGVAQRLELVQRLGSVDSTLFTATEAFFRHAMQQVLQQQASLGKQFEQSVARAVVRFLTENPDLARPVVEVRQGLVVAKRETPELTFAEYDVAILLENAMLIHLECKSGALDEKDLFARLAKLREVGGQAAQQVLCVPLRTEPADQLAHTHETLQRLQRLCQVSPNFQLLVYTEPGQAPAYEVEGQRYEFPTFEQGLRQLLAPFRPEVARGPR
MRRSFSVSHTLALCCLLTGSMARQPAKRDAPLSNGFGRPSSSYLPPSNGGGNGGGYSGGGGFGGGGGGGFGNGGGGGGGFGNGGGHGGGGGFGNGGGISSGYGAPPAPSSSYGAPPSSSYGAPPSSSYGAPPSPPSSSYGAPPSSSYGAPSGGNGFGGGFGNGFGKKGGGNGGGISSSYGAPPSIPSSSYGAPPSSSYGAPPSSSYGAPPSSSYGAPPSSSYGAPPSSSYGAPPSSSYGAPPSSSYGAPSNGNGRGNGRGKGRGNGFGNGNGFGKNGGGISSSYLPPSTSYGTPVGPSRPSNGKSNGGGGYPSSPSSSYGAPPSSSYGAPPSGPPSSSYGAPPSGPPSSSYGAPPSGPPSSSYGAPPSGPPSSSYGAPPSPPSSSYGAPPSSSYGAPPSSSYGAPPSSSYGAPPAGPPSSSYGAPSNGGYSSGGNGFGSGGNGGYSNGGGQSYASNGGYSY
MLNRPSYEQFVRHNLGLHDGDRRDIIGVYKNSKTKKIIKGDNFSCISIQSNAEKSYKAALKYFNHTRYDKSNAERIFVSAKWKPKENLNTQS
MADAFRSLIDVYANRRNARIARSRSHLDMLWRKVDPYNGREVQDFAEQASLLSAASQQYIAMLTATKQTNALDLLGAGRLDFAPKVPDEVRLYNPDQEYRYAKPVRTRTNTGFSQRLPLEEVFNRPARQYRHLRSVGKSHQEALDSSAERVKIAIETNVVLAEREAESQTLVAAQKSKKILGWRRILHPEKSEGGCCGLCIAAADRTYDVRELRAIHHRCKCDVLPITRSNDPGLELNSEDLRSLYSEAGSTAGADLKKLRFLVESHGELGPVLVSPAGLKIPFYSATAPDLAAAA
MILDQIVAHKRTELPRGADFTRLKPAARSLRAHLRGRHHLIAEIKRRSPSAGELGGRSVRELAMGYDRYASAISVVTDRRFFGGDPADIRRVKAVTALPVLRKDFIIDEAQILESRALGADAVLLIAALLSVADLQRFIAVAAGLGMDSLVEVHTEAELDKALAADAGIIGINNRDLNDFRVDLETTLRLKEQIPTDRLVVSESGIASLADIIRLDTHAVLVGTALMRAADPAERLAALRRPKVKVCGVTRAEDAAAAVASGVDYIGFNFHPGSPRYIEPEHAAAITRELPNTVAAVGVFVDAPRAAVRRVVDVVGLDVLQFHGRESAAYCRGWTQPVIKAFRVIESLPDADGYRVFARLYDSGDGTRFGGTGRSFNHRLLAGVPGKVFLAGGLGPETVTDLPVDPFAVDACSGLETAPGIKDAVAVWRFARVAKDRTRFGRFGGRFVPETLMAALDELETAWGRAMTDPAFGAELTRLLRDYAGRPTPLYLARNFSAAAGGKVYLKREDLLHGGAHKTNNVLGQMLLARRMGKTRVVAETGAGQHGVAVAMAGALFRLPVEVYMGVEDMARQRVNVERMRLCGATVTPVETAPGCGTLKDAVSQALRDWTTNVRTTYYLLGSVAGPYPYPAVVRDFQKIIGREAREQILKAAGRLPDAVVACVGGGSNAIGIFDAFLGDAGVRLVGVEAGGDGVRHGASLGGGREGIFQGARTMVLQDADGQIEEAHSISAGLDYPGVGPQHAQLQRTGRADYVSATDAEAVAAFRLLARAEGIIPALESAHALAHVLKMNLGSDDVVVLNLSGRGDKDLATVEAQP
MSIRKFFIILIALSMLIFSGCSSTDAISENNSPDIVVKGLTLKVSLIQTAYEMYNVNVSNPNKFSESYYKNSSRSDYKHYKWLVKTYESMDIEMKKVLNNLFLSRDSWDYINSVITLDDDCSVEDIVNKINSDNSLNLPDFLKKDLDKFLKYFYSEYFKDYINKKDNFYEKKAHELNKKLSINDVNALKFVEKVSGVTLDKNYKSLMYYSFNPVETHSFEYDNFMVSTISPNSTILDVVSLPFYKYSRPLFKTLSTNEDFLALSTQLQKNQNFVNMYNDLYTTSYPFEDWCLENLISGFAKYLDYRYYGSTYEHSNYVYDLKFYNYLKDINFNPNKTSLEKVSLDFYKSILN
MYLLKDWSVDTHGLVGGGEWAPGSLPLWLSPPRRALPAHAQSRGPSAPAAADMSPPAPQRARRHQASPGSLAGSVPVALRLAGRPLSCPLCARRADAPGAGAGGWPLSAPAGARPVEGGQGGAARETREPAWAAPATLAPGPGYGWHCQAAAGAEGEPGH
MTTLRAMKRLKLTVVLCGFIAFCTAETLVVDEGPQLKSSPKNPESDSSSSLGRDHPHLIFASFAGLLQQWPDTFAYSGHSVIPGVIPRGTLLYHGTNYHTPPPTKGLEWLAFNPESPYVIHSRRLGQVDLYTYATTRALRIVYLDGQSYSLGTPGFMDSQSVLINGTAPREFGDQGRYLEADYERAQELCRIGKERGFEGV
MATCQPLIFQELHKGSFNINGCRSSLFRNILFIIKFKKVR
MIIVLTRAFFILLGILFGHQLSIYLYPSHLTNIVQFWIGFISLSLIFGITGLILGGYVGKYLKYLQGKVEDFIGRMPIPDLIFSIIGILLGIVIGAPAYLGLSKIQLPSIIGTTISMFVYLLIILFTTRIVYRSKDRILNLIRKKDKIYVEKGAISQEKYTAKAKILDTSSIIDGRIYHICSTGFIEGAIIIPNFVIEELQKLADSSEDLKRKRGRIGLDMLHKLREEKKVEVKIIDTDFPNLEGVDSKLVQLAKELKSAIITNDYNLNKVARLKRVEVLNVNELSNAVKQIILPGEKITVQVIKEGKEEDQGIAYLDDGTMIVVENGKKLVGETVNVEVKGFLQTPAGRMIFTKLLKENQKRFFNKR
MKLSFIIFRIISGSVLLVLGFIAGNDYLSKSALFNVPYLAQTLIAFIAGAAGLYIIPYVIVNTFQTIVRWLSTLIQVSVQTTVARSMGSFFAREAGKVRNRQKGNSSLEASVDVKNGKNKILLDTSAIIDGRIFDVAKAGFISGEFVVPTFVISELQGLADSGDDLKRQRGRRGLNLLDGAKKEKETPISVWDGEIAGPDVDGKLTRLAKKMRAKVATVDYNLNKVASVSGVKILNVNDLANFVKTVILPGERLTIKVIQEGKDGRQGVGYLDDGTMIVVENGQELIGSEVEVEVSRLLQTSAGKMIFAKIKSHPNS
MLAEAIRLIFMLAVAVGSAYLGKIWQISFVSKNLALILFIVIGTGIGYVLGGVIGRKLDRTVKWIEEKTQKVSPSELVMGSGGLILGLVVSSLVSQPIKEALSPITPVAVPYAIVLVYFIFGYLGLNIFARKKASLDFFSGISAGSASKSKNNTLVIDSSVAIDGRIAELVKMGFISANIVIPRFIINELQGIADSDDELKRARGRRGLDVLQVLTKVGPAELLEKDYPELIEPDDKLIQLSSDLGATLATNDYNLSKVAALEKIKVLNINELAIKLRPVVLPGETLKILLVKKGKEKGQAVGYLEDGTMLVVESAQSHIGSEVEATVTSILQTSAGKMMFAKLVKGAK
MKLKTLLRPLLSIIFGIIGTFIVKVIVPPEIFTISGNYLLILAIAAFGILGFILPELVELAGRAGTMAVATQIVRHLPSAAAGGLLRSSLPFRKRRPGKAFASRGKYANPLILDTSALIDGRLFDIVKTGFIYGTFLVIPSVIAELHKLADSKDEIRRARGRRGLEVLSAMQAERQVKVEVLSAEPRDAAVDDKLVKLAKKIGAKIITVDYNLNKVAVVSGVSVLNINELANAVKTAVLPHERLKIQINAVGREKNQGVGYLADGTMVVVEGGANLIGKTVEVVVHRILQTAAGKMIFARRANAN
MDQSQTHSPPPLTEAQAPVPGRASQGPPKGTGENLTATSRPLRLIRAVFLVVYAVVAVVITLDPLRVEPSAEFEFWYRLGWKVTVGAAMLMGGAVIALEYFSPLRRLSTLFAVLIGVASGLVASLVLYAIMWLVFDLYQIDQPKLLTAIVVFFGMAVCYMAISVVLQTRDDFRLVIPYIEFARQVRGPKPIVVDTSSLIDARLAELMGTGIVQVPLVVPGFVMAELHAMADSADRLKRSKGRRGLEVIGRLQRVPGVEVRIDHAAAAGTGVDQMLVGLARAMPALLLTTDTGLARVAAIQGVSVLNLNDVAAALRPGLVPGSRVSLELIKPGEHAGQAVGYLDDGTMVVVEHAAERLGQRVEAEIANSVQTQTGRLLFARLGGAEAIAKPAPAPFAQGEPTHDAPSATDAPLGATHAGGADPAPEPAGPAELRDAPTEIGGQPTPPTPPTPPPLQAAPSTGISEPGPTRGRPLQAGPRVRREGGLGGGTGRNPRRGP
MSILLIISVMLFLSIEFFIFTRDTKLGILSLASLIILLTIEQILKKIGWELDIFKSNKGDIKVIDESALIDGRIVDIVRAGFIGGSIVVPKYMVEKFKKLSNSENQLERFNARRALDIIAHLEENNRIPFKIMDVEVSSHIDEKKKIFEFAKKLKASVITSELMMIKEGAIENVPILNINDLAFALKPVILPGDEMNIFIIKEGKEREQGIGYLEDGTMVVVEDGYNFIGKKVDVKVHSLLQTSNGKIIFARIKN
VRHAQGIHNVEGEKNNEAYLSEDLCDAHLTPLGWQQVDNLHKHVKASGIFNRIELVVVSPLLR
MYSKKSICIFHFQLFFHPNFSFGKMGRFLRRPIYI
MHASGKFLSVVCCSWTLAVISGCRTPQADGSIQVTQRPTGAVEQAEVPRTRLAYSKKLPTDDTSAAQASAAQASAAVRNSATSQGSATASISDDGQSLAVQPASAQQPSKQEQQQLLEAFRDADPRVLEAARRRMHAAQQADIEVTPLPDLPTRAELDHDPFAAEAEAAAIEAPFADNQSQFVMPASHSESTSEAADARRPAAPSSPDAPTPTAVPLLDSDSVTEQLAAGNAAHTDADSQPSENTPKTSNTPEAAKAVADAAPQWSEQKLLSELSKRFAELPEDGNDAALLRHHIRYRTLLMLSGRIDEALEPVEGMGSSEQEYLRNQLLALWTAIDPQGHPVPQRRWSAALPHLREATSHMAAATGTLEVRSLAFCTEVESFGRITPFESTRFQAGQQVILYSEVDGFAAERLSTGYETQFQGSYEIFDASGKRLFQRVLPADQQICNNYRRDYFIGYIMHLPSQLAAGKYRLELTLEDMKGKKYGQSSIDFEITGSVSKPHAGS
MITIGDSAKVNTFLDANPAIPREILFADDTDGFDAYGAANFGKIGDTVPAKLELKPPTGFDFFKYMSLVLQLSPIKKWNEVPEGVTKLGGTFVLDGDSVVWGWADAIPGDYPDVEELLKAAGA
MIDDSDDDMNPMYDYWPTNFWHKTEWSFHNKDNCNQFLESGRNNFFIEFFKRKHWIRRCLVAVSGLILVYQIENSILLQIIAFLPFAMLIVVMEVACRKRVQ
MKYAKKSHIIICDDVREEKGNKISLMGLYQKNIIVSVLPTILKQLFVIVTLVDVVIPFSKLTMKVRLPGVKKPLILVNVAKELKKGSDATLIFGFAPLKITEIGIAEFAFYFDDTKRANFIHKIEIQKNENLIL
MRFIYHLILSLALVSCGYSMRGNINLPDDIRTISLTSEFYSPLLISITDNLKNSDINVTDSKNKNLYRINILSESFKRDNCQ
MARGLENEAEATAKYQEQTNHHVLASGLXVNPKFPWLACSPDGLVGSDGLIEVKCLKAFHEHSIQTVIQQADVFNDAVKRQXFYIKGNKCKLKHTYGYYYQVQMQLLVTGRRYCDFVLFSKHGPVSRVLKGLVEMKH
MRHGIACEPLAANAYVEIMNNDVDIYPCGLVVSPWAPWLAASPDRKVYCPSMVPAYGLLEIKCPVKPLADCQYLTKNENGYRLKENHNYYHQVLMQIAVTGIEWCHFLVWTTEESHLELIRFNMDTW
MQWGIEHEEDALMKEYAFIYDTEVISCGFIQHPKIEMAGTSPDGFVDDDGLVEVKCPQANTHLRFFIDNQIKPEYSAQMQFQMACTGRKWCDFISYNPHFVGKSLLRGNRARDGKDLDESCMKLWECFFLPALPPFRTRKLNVPDIKHIILPSAKDEKFCFLPLAMRGNLSKIILIISWKIISRFYVMFVKIQ
MPFKQTSVESMGKHNMNVPSFENMDSDQQEKKKQNVTRFYKTYAEPTGKYNQTVTSSYKTFFEEQGSGERSVMPFKQTSVESMGKHNMNVPSFENMDSDQQEKKKQNVTRFYKTYAEPRGKYNQTVTSSYKTFFEEQGSGERSVMPFKQTSVESMGKHNMNVPSFENMDSDQQEKKKQNVTRFIKHMQNPQENTIRLSHRHIRHSSKNRERKSEVLCRSSKHLWNQWVSIT
MKKIVFNVTIISLILFFVQSCSIDENVTTTTAVSKSSTDYIGTYLSACGENKQQEKVVITDSGTEDVVYANWYKISHSDSACSTVLKTIQYNHTARAMGDTTDDDGNSVSKVSFVNVNVTATLNNTYTSNAMMTRGVDFLIGRRTLLKI
MAAAPGTWHLPDGRWGRFLRSLLDGRLAYFSLFVIVHAVLACTTAGMTGLDFVELALICAPQGVLALHRTMSTATLTVSSAALVLAAALGITALPTDGSPPGYEAWQLGAITISLLGFALIRRYLAAWLSLLAIATIAVCWSVFTGQGWVPGVALVDRHVGTLLVGTVFAWSLARATATFTAYQALERRTRAQERAASARAGARRAAAEAVLEQAGPMLHAIAEGHPLTDADRRELLVIEGALRDQIRAPNLAKPPLLEAIADARRRGVNVLLLDEVDGPAHPRMHEQAAVWLAERVAASHGERFVGRVRSADGGLAVSAVTGSVSDSTTIPALATRSTVPAGAGDVGVPS
MRTVPKTPVPVPKAGCCPRVSIPETPPSRHLPETLPWGWSLRAVRHRRGRGLRQQ
MSFNQNNISITTPSSGPKASILKQQNVAQKKRGALQNTDDYSLIIGTTGLERFEIEKLKAVFSKFDLQDKGFIMKYELDDVFKFMEFMPANDIKKYVNDELQERDRQQQTIEFIACCEIYSKIKKRLAAEEEEAQNIEYIDAFVALGGNFDRTGVISKEVIINTIKNEFGLLFDIEKLFDSAHITSENLTFQDFCMMFESSEDSKSLISAISNQQQFRQTQLTSGFDVKYKDFETWNAQF
MTDLSKAFKRINFFRGFLATEEDFNDATAYHVEKHRLHNRLCHGLGIVPGFLGDLRVQARGKGELAVEVLPGIAVDGNGNEILISDPEIKAINPLDYKLPQTIYIVAKHVEELTDFITYKENLEFKGHRRISELSRIEAQIVEPDAADGVELARIQLAPGVRRITDAKNPQAPAENEIDLRFSPKAGVVGSRLSTTFRNDLMDLTLEMQEIYAFLFQVQGITTAADVDHSLITLRMLFHSHLVDAWNLYPLLGDVLRLQWTFIEDVEANYGQFSSRKEFVSLKRHIELLESYRKEGRRDQEFLDTFVAYERKGVENMRAIFSERLKKVVKEAVADTPLAQVAEKLKVHSKTFKKTMTVEGVKLSRIDEIDILEPDSEKAHGFKIIDARDKYRSRQKLKYPDGTVVEDVGIAYEGGKCEFKLTNVVPGHPVLIFVRMDYVRGDWEADMEVNGRKAGTMRCAGEDVKYRWRNWPFLIESDYVNDVELTITQTPTTEERDINYFHVWAYQPAGK
KSPAAARRAKSQYSGQLHEVREVKACMLNNMCEVTQRVIGIVKTQTQLIFLMMNQVEGQQKNLVHAIESLPGSGPLTALDQDLLLLKATSAATLSCLGECLNLLQQSVHQAGQPSQKPGASENILGWHGSKSHSTEQLKNGTLGSLPSASANITWAILPNSAEDEQTSQPEPE
MDMHNSTWRNIMIVGIIAENAIFTVNQFKHNLQQHNDVDTAINYAIALRLRPKLMTAIGAILALMPLALGIGMGAQMQPPPCQSL
MTGQAGETVIPKINSGLKPPWNFYGKVQGQLLKVHASFSPSLGAVTGRIVTTGNISAEEGGSVTLQCHLSSTTAKVTQVNWKQQDQVLAIHHASLGWHIDPAFTERTVPGPNLGLTLQSLTRNDTGEYICIYHTYPDGIYKGTFFLEVLQSPVAEHSAGFQIPLLGAMATVLAVIGTAVIVVVTLARKVMTLAAYLSPGHPISATLVLSCPLCV
MVAVLVFGGHGLDGEGDIVVVVLLVGGHGLDGEGDVVALVLLVGGHGLDGEGDVVAEVLLVGGHGLDGEGDVVALVLLVGGHGLDGEGDVVAEVLLVGGHGLDGEGDVVAVVLLVGGHGLDGEGDVVAVVLLVGGHGLDGEGDVVAEVLLVGGHGLDGEGDVVAEVLLVGGHGLDGEGDVVAVVLLVGGHGLDGEGDVVAEVLLVGGHGLDGEGDVVALVLLVGGHGLDGEGDLVALVLLVGGHGLDGEGDIVVVVLLVGGHGLDGEGDVVAEVLLVGGHGLDGEGDVVAEVLLVGDHGLDGEGDVVAVVLLVGGHGLDGEGDVVAEVLLVGGHGLDGEGDVVAEVLLVGGHGLDGEGDVVALVLLVGGHGLDGEGDIVVR
MQEELHGYTRTELTYVDTLSAAPLGWEPSDIARASSEGYAEFVGYGCASCHGLDGKGSDSVPSVTGTTPRRLANMLEKGPKTMPAYADSHLVGADMDAIAAYLAGFAEATPTPEPIVRLTATPFPMPTATAAPVAAPAATPVPVATLAPGAPTPTPSPTPEPTATPAPVDTAQLENAQRLFFDVGCDICHGELAEGSSDGPAIEDMTAEEIRDFVRDPQRPANSKFSEAMDPYDIDSLSEEELDEIVFFLLNRINN
MRCASLLALLALAACSATSPPPCPCAPVSAAPSSASAAPASQRAAIDVLKRALPGVVLLLNQRSDGKLGFGSGVLLDERGLVLTNLHVVANASSLGAMLHDPGRVSFTPMDGGLARYFFENQKDVVAAHLVRGDPTLDLAIVQIETDTSRYARLPFRTTPVEVGEQVLALGHPQETVWSFTSGMVSSIHLGAIQHDAAINPGNSGGPLIDMSGQVVGVNTAKLLSTVGVGFARPIAMASYLIDQATAPFDPDLSTPEKAILSCARASELASPSYYNCLDWDAIYAAHERALPETIKSLNLSQEAAAKFTQVFKKLGKEYWIGMLKKAVMAETEGRALEAIGAEHGEKVRTEAGGWGPLGMKPGEKREEGVAEIQRMISDFKAFQAELDQDLLKRNGLKVDRRNPRGRVDVRKMGIRVDAVMNPSPGRAWVGASGRNLDGTIYRYSAYFVKVGDEWKERHPFPEDAATLPAGWPPPLSSYPKDLAKARAYLTAVIMSGDSIPEKR
MKRESFLRLLDQLRQSQWQSPGTFQTACERVLVVRALRPPPHPVFEQDQAAGPRKACRAVMKIMICCQLG
MVFIAYINLARRTDRRATMEAQFDRLGLDAERIEAITPADIPPALIERHTSRRHVEHVRLTELSCTLSHHKALKAFLATEENHALILEDDVVLAPAVKSLIATDLAGFDILRLETFFDPQHHFRSGAVAICPFKLHRIASRCAGTAAYIVNRHAASDILRHEAARSRSYDFVLFFPFRPPGSRLAAMQVIPALAAQDHRLQPEAYASDIQQAADTRPPKPAHLRPFHAIAEFWLSDLSINLVKTANRALGRTRREVVPVSLD
MYNRIVITYLINLKRARERLNSCEKEFKKIELEYVLVEAVDGKQIKLPHPNFSHFRYSALHGKKINLGELGCYLSHLKVLKKFIESEHNQALVCEDDIEFNPLIKKIIEEALNCGIKFDLLRLSGGSDINKEKGSPFKLKNLHDKFHLSINFGFKSGTGCYLINRKGATEILKRLSTMSLPIDHAIDRDWLLNLKSLSVTPSPVNLKKELHLDNSFINATNEYKLNFFIRYWTMVPYRFFNELIRIIYKTFLYIKIKLEH
MTVTLSYINLDTRADRREFFEEQTRRLGLDFKRQSAITPADLTPDEIARFCAAGQGIRPVELACIKSHLAMIEDFVSTGKALGAFFEDDALLSDTLPQFLEDYEDSGGFGYDLIRLDHARRVRLFPASQTTSTQIAIHEFRSTLTGAAGYLLTRDAALKLAAYNGWGDRVFDHALYDPFSRPGNLLTRANVNPALVVQFASRGESRENSGIGLSDIDPPPVRKIVSSHKPRHRIANTRTSISAFARGIRNALDHLILLPKGLKSTRVYFKGDSPYCQIDQKQPGGPVVEGKEGHIDKPSG
MSKKWIGIILVSALFLLVGCSKEPVENKKVVDDKEVISSKFTGKWKLETVDIGKIDRTGYQPVFHYYSREFEIDSKGNIEEVLVDLSVTKYYTYKIKPKIGDEYQNDGSTMSKEVYKYRTETEKQYVKKVLENLKGLDTIKIKLSKQKGDEYVIETEQMQDFTFFMYLSDKKLIKEAVFEKEKVSSKDTFIKQ
MSSQQKYHIQVPENYVKPPTNPQPNDRLEIAYNNIQLVDINEIKKPIVPELDYCKVESLMNTIEEDYDKVPPIEALRSPSGCLYVFGGCHRFEAHKQLGKQLVKVNVRNATPLQLQMYLGSSYFTLEAEYMKEKQAREQQQ
MDSVTNNSGYKRREFLKSAGLAATGLAMVPKMAFSENNPDIDDVRDGSTGLNDHGMQLTDLGNDKVEIFLPDKIYAIVGDTIQLFYRGMIKAPNPYIYDILVTCSKGKQYPRYFEDLPAAEDIGDTLFKIEVKSKDGTVLGAKTSTLVTKGIVQSPSANLHILCVGDSLTAGGVWCQEASRRLTGNSGNPAGSGLDNISFLGRKTGGGIGWEGNGGWQWSSYATQGRSAYKFYVSGISTPPAIGAVYSNNNIDYTISEVNLTDGGGYISATSSGSPAASGTLAKVSGNGDASIKFSSSEADSGNPFWEADTGQLDFPQYVNTYMKGQCDVICFLLTWNGQTAWKTDFSEVIMTAKSLIDHIHANYPACKVKIMGIQLPSLNGGMGANYGAAGKGYADTYGMVVTALNMNKAYQSWCNETEYSSFMEYVDVASQFDSEYNMPETDKPVNTRSNKTEKIGTNGVHPSTAGYYQIADVVYRNFVANFCQ
MDIILSHTTDQPIYRQIKEQITAQILNGQMPDHQPLPSIRTLAKTLKVSVITTKRAYEELEKEGYIFTIPGKGSFISRQDKDQLRKKKLSEVRARLSEIVRDCESFNIDKEQLVRMIREMKR
MSKEFQSSKPIYMQIVDQVVIDILQGKQKSPEKLPSVRDMAVKMGVNPNTIQRAYGELERMNVVETRRGQGTFVIENNALLEDIKLSLQEEIIEDFINKMNKIGVKQTEIITQVHHFLNRGI
MDKNLQENMPIYMQIMNNVREAIASGELAPGERVASVRELAGEFEVNPNTMQRALNELEREGLLVSERTSGRFVTKDAALIGELKRRMASEAVDKFRREMAVLGYSEQEMMDFFLKRCESAPEKQMAYERIG
MPSRLHLQLDPRSGIPVYRQMMDQMKYYVVGGTLRPGDQLPSIRELASALAVNPTTVVKAYTELEREGVIEMRHGKGAFVAEQARSLSVAEQESALRRSARQLALESAQLGVPAARVVQLVREELGRVAVPGAAAESEPVKFTVVADG
MIKKARKFIPLFLIGSLLAEDNGWYMSVGYQIGGTQQFINNKQLLENQNIINSVTQSAINIAGPTTGLITLSSQSVIDALGYGVSNTVGNQLEGISNILNQIGKRKDFYSSRQISSISQQIIGLKGSSDPLKAHSSQITAKLLSNTQSAFNHGIALSTSIISSINSLNPSNNTQEVKAQLQNTTQSMTALLQEIEHSITKTTSTTYAQSLLSNLADAVNASSNNTAYVSALVNALNTLGVGVFPTTTSTHVVLNPPGQVVFYPANSLLGSTSSNSNNQQQYNNTLLMNTLQGGLSANNQNNPNGCTNQIQCLEQFIQNLAPLAATPTSNNQANQQVQAIAQKLQSVAINTLDNNAINNTTYNLNNLHNALNFQAYESTIEQYNNALKQISWISFTEPKNLLKNTSNNYQIGTVTNAQGQNISAYDCASATGSLSSDASSGISCSATSSTSNTNSASSFDNSLVATSKIQTINGKEQIGVNSFNLVSQVWSVYNSLKTSEENLQKNAKILCANGSPSGTSSCNTSSSGGLSISGNAQLQNILSSTNGTTAQAKSNASKPKAMVMVNNEEEAKTTNLAQNSGPTTQSPNSTVMGALNTVLQNVSNFQQSIQSAFQNQESNIQAWANAIYNTNNPNGSQSQNLTTNNNQDLRIQLRANFYQLINTINQQVPTDMSALIAQSQQQNQQTSGATNNNNACASGTNGSGGNWCYQQWSDSKAYYSGLQSALGYQTQATTQSGSNGGSSTTYNVQQITLTSNGLLNQIITNLKGVNGNGGNNGGNGN
MSPDECLEIIRTSEGEYGLHPLTTYSKSQRDGSRLCVLDLDLSQKLWDRLQQVTCEADTLMNYVNERNYIPIGFHSSAEWLPSSVNPCFRISRYTSPSVGFQAHFDSQYTASANQRSVLTLIIYLNLPEAGGETSFYSKAPTEGPPGQLLDGSGVSSLTTAEEVDLNGGLASYRKGVVKPEVGKAVLFPHDTLHAGEPVTKGTKYILRSDIVFINKDHSPHKLDIANYSLCL
MRLDQMPHFSKPTLAVFPFRDFQIGWRWHLRALKLFPDFNLQWARYFYDSGDGHARGTAFTDHTEAMSAANEFNQHIRELITQAVTEPVHQASITLKVEKALTADLLS
METLQMSSLLADTVNLLIERLRAEKNTINSIDGKKPEEEDMAADYGGGGGGNGHGAITLDPVSIISLLALGAFLINNILQLLRANMGAAAMPTMPREGGRLMRSQSDVLPFIMPSTLTKNGLPFPGDEPSFIDFFENVGSLYYLQPKKRNMCMKRVVCNYINLKEGRENNPAPLKNKIFDFMLSTVTKLVAPEISDNDKEEKCTRAQKFCSDALLKDARVSIEYKIYSQVSSWITKLAFNGLASG
MTEYEQRSTWSCKYVSNSSYRSPDAILNIAIEVHNISLSPNNGQLTLRENLETEVMCEINNNAVPPPTITWFLGTKYISSTEGTYTTSINITGNREDNTQTLQCKATNNNKPPKTAVTTINVECKYGYSMPKPETTHITLGVPDSTGIDFSVTVLAYPSPQDELQNENGTVDNVMQKSIYRNAVNNFTLRFNKSIVDQSDFGIYHLLVSNPFGVATIFILVLPQRKPDIPRDVTLTCEVTGASVHWVSSFNGGDTQTFTVIAFNVQYGTNTGNRQTAVIAGGLGGTLTVVVIVLITVFLVHRRYNSPVTFVNMTPTPITKTAGQQMIITCVTSYCIPPAKITWYMSTVDITNQTNGQTDLYDGLVRTMSTLSINAVKSDNGKHVYCTAGNNPGERVNPTVNVLTVLCMYLNL
MKKIRNSDLSKISKTIRRSRMIYTKFLKEKPNKFRFTIKKSSASESKKSIGSLGAKIMNDSKLKEKVTKIIKKGIFEKIIALRKGMNKLKSERKGSLFKINEQIESVSTPISPKSLSGPKKSGFQSREKIPDDSLNGSYQSSVHADSLPDNSGLMSRLKSTPNSRKFSQHLKIIITNKVNKKKSSVARLRPSKKNNSCKSLHEAGQKDLKNPQHQESRRHTKYNFSKLNIIESPMVKLADGDEMNEDKEFHLSTHKLEPEALPKPQLEKSRNHSSAKKRIISTMKNKRLFAKVHKMQNLAPSRLISKSNLSALSIAKKTCKDFNSTALSMSTARPFRNTSSYFTRNIGSKCTSRSSIKVELEKVLRRITKKRNWTARRSRRALKGRTGSKISAGTGQPLQFEVADKARHTKPPHCISELERVYVHGFHEPILNCIP
MDMVMKVKLFECYEYIQIKMQAVLTQMDLEDALLGIDKMPSTLIDEEKKRCDEREDRRCIMKDVRTNMYVENSNKQVVYEVASLCLSFGGRDTILYSRESITVDEVYDSLTSYDKMKHLVVKPDSQGESLIARGDKIEMLIMIMEGYKNGILAVNIRVDRKNYSDGELLVASVNDSKVSEEWILDSGCTFHMSPSRDWFTTYEIVPEGVVLMGNNASCKLKGSTVSGDAAVASSSLSNDDITKLWHMRLGHMSENGMIVQVRRDYETLDSSSYSTAKRHCRTNEQNDHAEGSISPSVAIEKKTPQDVWSGNPTNYSDLKIFGCPTYAHVDNGKLEPRSIKCVFLGYKASKYVEADLVAYALKVAEDIDANREPSNYSEAISCEDSEKWMFAMQEEMESLQKQKMGSCKLPKGKKVVHCKWVFKKKEGTLGVEEPRYKARLAANELEQLDVKTVFLHGELEEDIYMQQRRSPRQWYKRFDSFMTSHDFKRSNFDRYVYFKKNNDGSFVYLLLYVDDMLIAAKDKGEIRKVKAPLSEEFEMKDLGPAKKILGMEILKAIKTSKLYLSQKRYNEKVLCRFNMQSAKPLAAHFRLSSTLSPQSDDEIEYMSHVPYSSAVGSIMYAMVCSRPDLSYAVSAVTPQTRPKRYDQIRRATSKCAKYFILLV
MASLKYEISLLDRNTRFALWQIKMQVVLVQMDLEDALLGIDKMPSTLADEEKKQEARTNIYVENSNKQVTYEVASLCSSFRGRASVHEHLAVFKEILSNLEAMEGRSKSSNRGKTCNFCKKKGHIKSECYKLQNKIKREAVNQRKKQPENFGEADVVQEYSDGELLVASVNDSKGSTVTGDAAVASSSLSDDDITKLWHMRLGHMRSKRELDSLKESITRRERWSIFILICGGHPECLRETGKQIKYLRTDNGLEFCSDEFNRLCKSEGIMRHLTVRHTPQQNGVVERINRTIMEKVRCMLSNANLPKSFWAEAASTACFLINRSPSVAIEKKTPQEVWSELLLRHNTLSPKNRTRREIKPSKKYAEADLVAYALNVAEDIDANQEPFNYSEAVSCEDLEKWMFAMQEEIESLHKNRTWDLVKLPKGKKAVRCKWVFKKKEGTPGVEEPRYKARLVAKGYSQIP
MDDPTSIDRRYEFGHRAFDMYRAKKFTSERRDEYGVYRDECGQARGVAEHATSFTLTRLSPELYAKDEINEMVFGICGAQERLGEELKSLVEDTHQPLDRGYNELFRSMAEIRTEIESLRQQLEKEATTSTSIDAPNAPSIDVSLPAAQIPAEPQCSAQHKDEWEVSYINTRINDVYYPLNYNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTITSLDAKLLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDIYFPFENKISGLDSHAEWLQKEVKAIQSQLAAQHQISASIDRTRAKSLDGKSPRSTDEHKSHRSTPSLHQPVSS
MSRQRPSGIREQLRNLACIRGLLRLVCRPSKSRISASKNVPALEQNTANGESSTEKAIVAASPVASLSPDKSPSTTSLPADAPVPTTSSSASAPLPIFSSPANRHPTASSPSECSLRNTLPSVFPRAVSPSTDSLPSTDKRQTPKTTLSLPPELLLTIFEWLDDSRDLVAIQKTSRTLRNLIVANSNTICRRIEVRRWSIEARAALDVIRESQAPWRVAMREVFQRALDTDNAGTYKFFVSRLQSSSDPMVSAFTRLVLLEKLSREIVKPWAEGMRCWHSRESGKRGPSAQEVERTEQAVYNVLRMTEFLHALQVSEEWEPFRFGPEGKFGFWSARSWNGPLWKCVIDIKGLVRKFSFKEHMGIVRIVLGLKKFSRSFNEFYLTELLLASLWDRSVNFRKMDQQEGLKAEKLMSSLVLGSLRDDMQEKIHQFSLRYVETFGWETGFNIGRYQVNSIAISSDRNESGVEDIEICVPELRSSVYDWCRDCGRRFLL
MGKKNIIKGMRNIIKGTKNIIKDMKSTTKGMENIIKGMKNIIKNMKNTTKSMESTNKRTKNTTPMNRNIRCNGNDENFNKYNGLIKANI
MTEDEPQVQRIPENTDDIMDRSWHVPFLGALVTFFSSSLYRLSGLLFVEIMEEFSVNREQASWPVNMMSSGYDMGGLLYGLLSQCLSLLQTAFIGALLTSLGVITSVFVSNIWWMTFTLGLVHGLGSGTIAVCVQVFVSQHFAVYRGIAHGIVYTGAGVSTFVLPSLILLLIRVYNLKGTIFLLGGLLLNLIPLCAFFSHPLTIARAEFHVIDICDNLNDSADALHREAKQYGTLGKPAFKSPESKPKLMRMVAELLRTSIFYVLFLSWLAMYLNLDLITTPIVDFSKDIGISEPKAATLLSYFSITDVLGRLLIPLIADKNLLRRSALSTISLLSAGILVAALASVKSHAMLVVVVLAMAAAMGCSLSMFAVLLADYLGLERLSAGYGLCSVIGTPILLLKPLIIGE
MKKEQEAFEKKKGTTSSGYIDIASILNDDAKDGTKKRKVVDVTHNQKSIKESFNLQGR
MVQLDEATVYNPNHLFGLFSTFNTNAINSVTLIKGGYPAQYGGRLSSMLDITMKEGNKKKFSGEGGIGLVSSNLTLQGPITKNKSSFIISARRTFLDLIAKAVVPKNKNNTNYYFYDINAKANFELGKKDKLYLSFFKGLDNAKYAGASSLNYGINFGNTTGTVRWNHLFNKKLFS
MHGALHDRPWATGARRGRAGRTAALPDGRLIGGPFNALPRTSHIARRLHLTVR
MFSVVYIVLSYTLLRVGCMPSGLRIVSNVTPAEDEYPFIVRLERRIVLKYNNTIAAENNVHICTCAVLSRSLSLTAGHCLNSVGSITNLTNSLTVQTVVRFGAGGGNIAKVISVIQHPSFYEPGSVMRSNIGLVRTELIELKQYARLSALEIVDLQNRVITLVGYKAIKNSVRIGASGVFKPSQLQLLHVVAMPHVNQSELKFSTECASIHCLPTSTTCPGDSGGPLLHSTGIIGVSTISLESIQVCSELNNTQLFRMEDIIVLTKPHVQWINDSMTRDEWISDIN
MFMERIKTGIFGLDELIGGGIPKGHTVAVIGPFGAGKTTFGLQYLNHGLLNGERGIFISLEEESESIVRTAESFGWKFSEYVKNGMLTIIKLDPQDARATMTRIEGDISQEIREFGAKRLVF
MNKIPSGIPGLDEVLGGGFPENKTILISGTCGTGKTTLGVQFLMASSPGIYVSFEEDLDNIREYSRAFGWNLKEMEAAGKLRLLQYDPFRLEDILEVIQNNIRETGAHRIVFDSISALGIYMKDVSELRRMVIQTSNIMRKNKCTTLMISEVPANSRSLSRFGVEEFISDGVVLLDNVVSQTELKRAISVWKMRGSNHSRGIHSYSITDKGMIVKAKPK
MENDSVQQFVETISQQHLLQGLESVNPLQKQVFFDCLKKYGKETLLMQRSVLASQRHDLLPKAESPPYTLSSNELKQEGKRAVINGKVACLILSGGQGSRLGFDGPKGICPVSAVRKKTLFQIAFEKVKVLSGICQRDLQIAIMTSSKNDLQTKEYIEVNHYFGLKKEQVSFFSQGNLPFISKEGNWLLEAPGC
MAAEKSQQQMIYHFLLRQIKMGNYKSGDRFPTVKEIADHFNVSYCPAQIALKTLERDGYVRLSKGRTAEIIWQPDEKIEEALDFEERREVLKDLYESLCHFSPLFRLQGLSLMDKEQLAELEQLLASDQEPLLFSLYKGFEGSLKPMKNQMLMYLFTDIDAFVGTALVDRMKYVNDGQGDMALEKVRNYLLNAIRCIKKQEYKNSFQQLLELSRYFKGFFDFDQKKAFQQKEAEVFSWEPQKGRKRYCDDIAIDLICKINQGVYPVDSYLPQGRLLADIYHVSPITMRRTINILNELGVAKNINGIGTKVVYPGDQTILYKMKDMTMDRNLIQFLEALQILAITGESVVFYTFPFFNEVAIKKIREALKLKGDERSKVQTFAACLQAIVHCAPFAAMREIYSKLTLMTLKGSVLRLESTGDEGIAWWPAMAEQFENSLDNKDAALFSKTLFNLFYRSFCSTRETLCEIGVEQAASVTVPLVFR
MGQNNPYAAPRARVADVPDPGQDHERLKRIASGQRIIIYSLLGSFFAYAMQLMVGPVAVVLTLSAFIVSIVGAVRLAGALGRGVVWQVVYAILMVIPLINLLAMLALSSQATRALRKGGYRVGFLGARPPKA
MASKHLKAVNALEKMEGGLVYAFNMAMAVADASHTDCDTTPKMGGFGDSEGPFGEMDGMLVGLIERRNGEGSAKRQEGLPTNTSGPNKFRISVITNDSYYPWMEEDNNKQSLVEYDGDEGLLEVKNNGFGRKKCSPCMHTHLVKNITRLYLPKMSIVRLRVQDSHVHSSPYLIANVLGNSIKTLTDIRLVGVTLWDGSRSWKLIMESLASMPSLQKCLLHDLKTAIETHWYLVSFPRDEEACHLEGVNMRDNLEEIGRAWAQGMQDLLKELQEHSIDLLEQRELFMRLGHLYYARGIKIIVPNIQTQGSEL
MLQERGFKSISTLKSEMQELIQYHQCEKFYRNLTNSVYILIVHDLYVASKETDVKRSINAPWMRMRVRGKEISISPPKITRLRLPQFPTAKYEIKIKPIKIRKEKELKEVEDTKEDLKVEPEGETNKADEASDPDFNNFKHFH
MVMNARIDRSSRIPLWRQIRDDIGTRISRHEFADAFPPESRLQEEYGVSRQTIRQALRQLREDGVVTAQRGRTPRLATPTEIEQPLGALYSLFDSVRATGISQHSIVRELAVTTDATVADRLGLDRTEPLLHLARLRLAGDEPLALDEVWLPAGIAHPLLDVDWHNTGFYEELGRRCGVRLTSGEEHLRAVIPTASDRAALQLPRDTAVFAIDRLGISHDRPLEWRHTLVRGDRFSMTARFDARSGYLLGIDSARGD
MRRGLLRGLALAGCLCVLGPAIRAQTARLQLERGPYYAGESMRLQLSVVGFDDVPTPKLDFDPVDGARINVTGTSPSVSESINIVNGEMSRRREVKHVFELQLLAERPGPLLLPPMRLTQGRKRAESAPLQLQVQALPTHSEISVELRLPERPLYVGERAPVTIRMRLPDSMQRNLESYTLRVPLFDAGGRFHFIDSSEPGDVQLRVALASGELALPAQVAEEQSQGRRYMVFSAERTLIPLEVGRQQIPAASLTASEGVAFQRDFFRGRRPTRVRKWRAADRLRELVVRPIPHEGRPASFAGSVGRGFTLEVSADRSVVQVGDPISLALVLRGEGLERASLPPLSAEGLLPPTDFRVPAGELPGEFEGDEKRFSAQVRVLDAGVSEIPALAFSWFDPTRERFETTHSRPIALSVREAKRVGAEAVERAPQAKAPVPPEEPAASPLGWGSHADLAIVADARRLRGASAGGRQVYLQTTLYGVGLLLLVAALLDRRRRDLDPRLRERRLALDRARRRLREAANRAPETALEEVADALRRMRAELPEVPAPEIESFLAECDALRFAPAAGGADTELLARATALVAEFSERAR
MTRTALKALSLAMATLALQTQAVAAPTAEQLNSIKLYSDVTIAQDSVTGWGPWTEFEPPAAGSPPLNLPPATSDLYRTLPQLPDRELLGFGTFYTVLNNDTPVSSGAANAIILNGTALSPTSAGKLLPDSFELRLNAITGTYSPPNTVRLTLQADGTYQYASNQEFVKMTLFASPDAPTDAPSPVTFQQLVHYISGRDAEGNPVAQGTVVTGPIGYTTPVADIAALRRGNVVATYNGHSLLNALNEGVEGKVAPMTMTVNFGQSSWSGTWNNGVDANGAVGFSAAGTISGARFNSAPGSITALDSNNISGSVRGAFYGAQAAAVGGIADITKNNVRRVDPFIAFKQPAITPTPGTPTGGSQ
MIVNNEQVPNATDNVAEIHLIPISKNISKTGTTVTYALNNTEITSGNYINTSEVTNSEDFGVTAQYQQQPSSMTLNQMAFEHMIHPAIELSETSSDLVVSACLGNERIDDVSLSVVDDSLTLSGVVTNMNGSQRFNRVVALPTLVKADLVDARIESGIVEIRLPKVEKLAENLIDSNNMMK
MSITKMEHSGNVDISLQDVDVDLKVAVEADSDRRAKPKTLECKASIKDSEFNFSSIVVHWMYSTMSKVLPNKVREWTEERLCRVITDYIDNKMPETIKEVKLSAEMDEFKVDYSPVSKVSVSQQSLEARHRGEVSWKSDSTPSSQKPDDLPREDQDDEDKMFNLWLDEFVAKTFAESAHSHDYLKARIAEDTISEEDQKEKLRLSYVSSLIPELSSNSAGSVQVEVSSSKVPDVEISEEGVRVQLHGCPCFYSQRL
MAVAIVSTFLAHRRTMDLFDNRYYKINKMLLRIIGRWPYQSPFEGRVFLTLLLILTGSQLIPQILGFIAVWGDMENFVECMCPFGTAATCATKLINIIYHDEDIKRLVTQMQRDWENVLPGPETEILQRYSERGRKYTMAFTIYLYIAVTVFILGPVLPTFLENSAVNESLSSRLPYIAEYFIDTEKYFYHILVHSYVCSMAFVTIIIANDAMFVVYLVHACGKFAVLGYRLSHMTEYCKFDENDHQSFKTDDQAYRHIANCVAVHKEAIQFADYIETSYSTSLLLEVGQCIVLMSVTGVQVLSNMDDPEKLSAYVSFIMGQLFHLFYSSWPSHEVMDHSTKICDSIYDGEWHRISIRARKLLTLMMMRSLVPCKITAGKLYLMSMENFCSVLSTSMSYFTVLTSMQS
MGIKRWLPLLFANSLACNLRRTGIRSGCRKRGRPFVIKHEFLTTNDHTGEPGLFGSLVNQFSEPVPASDEPMSQKWIQRAKETIRLIESILA
MSFQMWACPHSPWPRSDTLFEEVEPGDSIASQPRIMSSMESQQPDIAVGNGVESLKVNAQVKAEAEAEIEDADEVAAITVEDGRADAMELNESVAERATERRLGKKRAHNTLENDSDSEDVASDSPPALAPTATPAERPPRNRSKRRATKSILNRHLYMPYASAPRQPSPISDSDDMLEVEDAVSMKRRCMAGAPGERSAATIQDANAGDVFAAGPSSVKGQCCIRDGSNEPPLAASPVRSGTSEPLEEEGAKEEDEAGDRSESGKEEGHD
MLKNKVFICDTYHHFELPKNALASLSKALRADGEIILVDFKREEGASSDWIMNHVRAGESVFCREIESAGFEKTASYDILKDNYMVRFRKK
MYMRKEVFIACRYEVERNTGDDILAVDVTEWSMETYKVIRCKYGAGQNETKPCEPQCDLKLVKLQGGDVSQPVVSINCTLYNDKSEIILNGSIQCSATSIVSTSKARTSQSPSSTNELSSTNSQHPHPINDTSSSANNNTSIGFKTPVTADKTNSTNGVKPVMGMQGSSENVSKGPSSTNERPALLANMNSEDVSGLSVVAITRGTAPKASNSSKLIPGSRLKTQQSWMKKVWYQCAEVTLNNTDEKSNRIVRVFMRYFVEKKENTIDMNERGNNRSELNEDPTERQSLQEHERNDTQVEDEVDSKDGRQDSGTFKGDISSRLRENTSLAIFHESMCPVMCPSEHLTTSITLIDGTQFLAPIVSCFEGVTDTSSSTPSAFTFQIEVFAPYLIAAAVVSLLVLLVASVRYYTTARQVFYVKGRGYADGAVEESEEAFNLAGSCSEITTVSTLGSKTEYDE
MEVQSALFASNKCIKMASIVIPVLIVKEYVQCVESKFSTPSHSNRAMYDVAMADNLTFLLISTLILENCSYFVNAIRSSWFCIHDVVKYLKELHLMRSCKLMIFETLVSVRLYD
MNWLNSAYVTLLVAVGIVLCAMAAYVWRRRVVPGAIALVFLTLAVAVWSLTYALELVAPGVAAKLLSAKAQYIGIVSAPVAAFVFTLQYLDQIQRLTRFQLAMLLKIPAVTLGLAWTNEYHQLLWATWSLASAESITPLRLTYGPWLSVHIAYSYALMLAMSCLLVVKMLRTHNLFRLQALAVLGGALAPWLGNAIYLARLTPPYPLDVTPFAFAFTTLGLGWGLFRLRMLDVVPVAHRALIEGMRAGVVVLDARNRVIDLNPAAERLLNKRAGAVIGLPVAAVLDSDLLARPDAAQT
MLRNVLGAVLAVVGAAIAIISPFRDWYDGRAGRDFRLQELFTSGGITDTRADLFAGIFLVMLAVAVLTVLGVVLRSRLLVALAGVVALGITVLWMVRQYQLADALVVGGNGMQWGAVGALVGGALLLVGSALMAGRHPAAVHGRHRHDVRGRGDRPVPVDDGDRHDDERYDDDRVGDRDRAAEQRYDHYPRASHDPYAPGRTVDPYDPRHDPQHNRPPDPGPPAAPPPDRGTGGGDRTAADEETVVDGPWHTGRGGSWGDARRRDDEERRHGGRDAA
SSANLFNDICLVNGLHQDERLFDESAQPSFQPAQIGTDHIFPKWRLFQHYLPNLHRVSPSYLRSRSKRRPLTRSRQTQRSTAPVAEKEPKITAWLPGDDYLLINSVVMTCNLSEVYHTVRFAHFYTEKEVEARWRALLLDPIASRTALAAINKISPALKSQLDRQIPFSSLEDNLLTQISFSDVYTDHEMKPLLLEDLRNSVFSKLLREHPSVFYCGRDEFDLFRQWSRLKSCHVIRDSAKTPRSTPPDDSSLKGSSETSNHDKKPVTSNTDSLPCGNSFSNTPLGGDPTSFSDTELLLEETVHTALASGLDKLSETSTAARRRHMLGQSSYHGFQALVTENVLAALTKESRESDGQSSSASTHPSRGSTSPFLPEVRPQNSVARPPHRNGAFSTSHGTFPRDHPYTAYRNQSAAKSIASCADFELQVRITCSVVLFPGIVSSLLVLNHSRLHNFCGAHFWDQERTDFPNLCIECPMVLMFATKRRLELYRKRRRLWARLRRTAEEAKRWTALVEVRASNGLELTDPQPIYPALAALTGTRTRFLIKEKEVTFGRSSFVYKPHIDLSREGDSARVSRCHGRIRLMPDGAFWLANFSPHPVFVDGHPVLADEEVELRDLATVVVAHMTFRFDINQLYVNSLCGGGTAPSEDANDPKSSTNSDAPCSLLDGRPSPSPPSDRSVKRCDESSQI
MVVAVVLVILVVLAVVVGLVVVVVVVVVVVVVVVVVVVVVVVVVVVAESGVVIVAVAEPPTVAVVVVVVIVVIAAVEAVTAAVAAVAAVAAVAAAVAVLVVVEVVIAAEEAVTAASVAAVSVVEAVSVAVVVVVVVVVVTAVQAAAAVAAVAAAAAVAAAAVAVSVIVLVVKVAAVEVEEAVAAEAAASVAAAAVAAAAAVAV
MTAPALTAAHWNRSYTDGIAFSALRPQEIAALAEHAPAPEGGGRALDVGCGLGDLAAHLALVGYAVDAVDLSAAALERARAAHPEAGVRWLEADVEVADLHHLLGDRPYDLVTMRLSVAFLADRTNTLHRLGHLLAPGGTLLITTPLTATTPAERRRIALDEDEIAALTSGWTDVRRLDADGLGLLVLRAPCRDTAATERSTPATGNLAIAGALAVVTNSRGRVLLGWSARGMWELPGGKTDTVEDGTGGARAETLEETAVRELAEETGLKADGAAVITLLVDHAQRVPRITGVVRAFDVTGTPAVREPEKFVRWEWFDLDALDCLGPVFAPAAQALNAIWPGTVNRLPEISAYPHDAPAPAVPGADPEAARRRTAMTEQVAAAHPRLPVEVLAALRTVERHRFLPEAGLAAAYAPDRPYVTRRGAHGQATSSVSAADLQALMLAQAAVTPGARVLEIGSGGCNAAYLAHLAGPSGHVVTVDLDPHVVHRTRRALAETGTTGVTALLGDGNHGAPAHLVPRGGFDAIVVTHAARDLAPAWSGQLADGGRLVLPLDLHTHTWSLALQRHGDRLVSTGDWIGCGFVPDTTAAPVPHTRLADDVLLWHADGPVPDTDGLARAPHGPGHERRTGVRVRLGEPYDSLHLHLLTTLHGHGGCTLFQDREPSGLTRLPGGRTTPALTAGGSLAYLVPEPTADGAGGTDGAEFTVHALGEHAPALAERMAAAVRHWDRHLRGHGYPRLTVHPTTAPDAAPPAALDLVLDKPSARLAFRPATAPA
MHFKMPYVFKALVKPPGKRNAVSLDFGGHLDLDLQEADGEDAPTVVDWTRRTPHNRQGEAMTGRFRGGMFYAAVTNDDGSYLRAADLTAADIYTFPHVGPWSEPKPIDLFNAGRLTHSVGDYRECQSSSEEEVIENVRKAASEFLIVEGLVYRPHPVPVIKTSLENYRDSSTLRLSVEYDVELKSNMLHFHIHEIEAALAWADEVVGSSHIPMSVVNEVEAVVYRPELLPDIDLFVIDLRKTLDIALESAGKTLHVMPDDYIDAWQTLRRSRNALFEGCPEEVGDAAMRAWTGFLDAHDAVEEEKHRRWGREYNPDSETERQMYNFFLPRWEGHDIKFDIGQGFSPITAI
MRKGDTQEIRRLNRRAILGHLRRGPLTRADLSRLTGLAKSAVSRLVDELLQEGLLEEGAFTSPPLGRPGTLLHLRPGARFALGAEIGVEGTVLLALDWRGEVLWAKEWAHAKEAGPEERFARLLRETLPEAQGALGLGFTLPGVVVGNRLLYAPNLAWRDLDLRGCRKSPPRPATRP
MSTNTRNIKLLLTLVRLAVLDPVRRESERTQLDTLCWNAFARRRSVIKATNRQLKDGVSLRELKNSFPTGLEEMMDEQPDDFARVKLMLHHPFRVIEDLQTIDDDNFEPFEDAWQYCQHSHLHPHDAYGDKFELDDDEFEDLDDPEGNPDVAQSWEALARVTKDAMG
KLNLQSQCIVKFEEKFFKPIYEFLISYDTIPRIYNSDDILTLPPLPPDNDAHEMLDQ
MNLIITNAAAVARVIGCTPQRLRHNARENIWTFVRAVPPERGKTQFQYHTIATELAKYLGITMEELEKRIRGEE
MRRAGVGLRREELEQYSNRKYEDIVEEIINPERLPEVDDDLLFRYWIEMTNSDGVAGPMNSRWVYRMINTDRHLQEKIA
MAAGAGKKESTQVTVSEKEYQLITQIRNLKEKGFTDEDILQLDRSKDITKENIQKARLDGIEINKMQIKIKEREIAYKKAQLEKKESLEKHDDFVDGKKPLFMLESDIEKIQFDLLQIEEINEATQEEYDKDNKED
MSDAAVDSFDNDGYILPRMIQSTATAGRVRDMSRPAQMFLLLSVE
MGRSHRALNDVYTTAEILKRMVYDLNKRGLSTVGDLLHAQGGVIYAPPPPQVFLPDVIRDAVRDGRNLRILYLGKNGESRRTI
MTDVFCCKECNVEKPRTEEHWHRDKNVPDGFCRKCKVCKNSYRDQWYRDNWQKCQENSAAWRKANRAQFDENMRQWAKRTAAERSAYKKQWREANIDRVLERNALHYHANKDRYRQNGNKWRAKNRDKHIAGADASRKRHLDRYRVHAAKRRAMKQNAPGTFTREDIKAQLIAQNGRCYWCSEAFVGDNHTIDHLTPLSRGGSNYPSNLVCACRSCNSQKGPKTPDEYRLYLKEFGK
MKANGGGAGGYMNTAVIKKQIFNDIEILNETKLLDLLNYLNFLKNREENDPTLEIIENDDFYNQLKRGITEKENGQVFDWNSSI
MLKGSFWYPQTVPRAILLLGVLIMIPLHQSELLGLMSTSTLVFGWVPLQLAYDVTLILSGVVVLYAMYRMAPEPPEEHEPTIESDESEAKPAGGEQ
MEMTLTDQDQSCLAIIGKTYTVDKHTVYCLPDADMLKGPHQPKASSIASGSFPKQFFASYDSELDNHLKGTFTHTSPQIQNKMIVSRVLGSRKINGEALFNLIKGVIENVKVQIEEMRTQCFDGVANMSGCYNGVSARFQEREPRAVYIHCHAHVLNLSLTKACSMIQDARNTLGALDNLYTLLEGSAKRHSHFKIIQETLDASKPALTLKRVCQTRWSSRDESVCAVKLRVKAIIVPLDAIASTDPHLGPDATRLLNFIETFRFLFYIIALEAILGKTAVLSDYLQDTLKMLRDDFQVYWNEAEERFGENDLAVLSAMESLLVNSFIHPKPDEESFKVVREFYTTDFDFGRLHHQLSVFYSDAKRATVEDCENDDSYKDLTGCYAMDKLCKLFAQSCYRKAYPDVFKLIKTFLVIHVSSTEAERSFSHLRRVKTWSRSTMRQERLTAMALLTIEREEGGKLKNNVDDLVVQFCKRGNRRLLLKSCLD
MNNITVIARKGDRPSGIRNIARGNIASCGDTYSACAGNIGICIYCKCSQGISATYRPIKIYSASSNV
YYFRLCSNLFRVKDKYDFERATAESLKFFEITSPEYAEGIGLHETQTTRRVCASTLAHIDRLALNFGSTDKLDSLKEHLQACQSKAESDRYTTLLTEAQDVIAHERRQQRKAEQSYSHNYDQTREKSNDNDYNSDMPSP
GPAVITVENGRAYIAFRTSHTFLSTILLSYDVTDRKHPRLIGRLDLTETIPNRFAKVHQTIVAVDSLERTSLVDVSDPSLPHRIETAVDLPNGHDVVAIGDLVIWSGTGSGQSVQAFDLSDPRTPLLRATIPLPGLASWMAADGSLLYIYVRWDRSSGYPGGVEIYDLSNPDEPRHLGGIPVQPQDFVFDDLVAGDGLLLLVDSVASEIIVVDISDPASAAEVVRVPIQIPRFAYADGTLFLWNFRDAQLFVDVISLRDPAQPRLLSSVPLSAPALELAVDDGLLFAALNTGLVVFDVSLPAAPRELGAEASGFAGGRYLAKFLVDGPNLYVGRGTSGMMIYDVSSCSACPVDLDGDGELTVLDFLVFQNAFDAGDQLADVDDDGQLTIFDFLVFQSLFQVGCD
FDFGLMPGLNPRLFLDMIGFIEMGRDARTYRLIQDTRYGRKVIIESDSVPRMVEAATDYVARRLLERDKALADEVGDTTVDTARSKSKARPT
MALPPLLIDTPEVAPRRFGLFSVAKLFDFNDGHWQGGVEYEALNCAGGDLDAITDACDPIAKNTAPNQPFATYPPITGYYLHECRAVGGWAEASTRAMRGYAAREQAFLEKVVVDQVVGASALSNGCPEVALAEAQGYLDSTYVGDGVIHIGSAVASMLGSRLQRQGNHIETRLGTPVSIGAYGNRVIATGAVTIRRGVATTTPAPLAEVTPAMNTIRALVERTYVIDTDCAAALSGVITISC
MVRWRSLVTVIGVMSLVGQPLAFPPRSSASAPGRIASWPPASPSFQSPLPPPSPTPPSAPPPLPLPPPEERPVVPGAPVAFLNGRITVEVPDRVPLARLRLAVREQRRLGAGQAGLALAFDLTAVDARGAPLARFAAPLTVTLRLGDLVNWAARPDWLRPWVGHWDEKRQGWQTLTPTLLDEAAGVVAFPTDHLSVFGAGTQGVKESGWVLNFHDTRVDRFSGALVWAYPLDLPPGPGGIRPDLRLAYNSRRLDGVLTWAQSDGVGWGWSLEVAEVLWRNVRRCFDGANFYLCWDAVPLLVMNGEAVKLVPEAPLPDRVQYLGPGSATYRFRTEDERFWRIEWQPGPENGSWEITLKDGTRYRLGTTPDSRQTLRGSIGPWTGSGWTTGAATVRWRVKEIVHPTGVTVTFSYAEQTLAQQCDLFRAAGFLGPNEGCHGDDPNSERASYFTQMEYPGTRVRVLWDRRWNGNGPHDGFGAPAYRWDFAAAGMAAIFWQTDAVQKVVLERRRGDGTWAVVREIRFTYGTFIPEDETNKRLRILTAIQEWAPEGNAWKALPPFTFGYTGYPNKDWCNVFAQPCSEWDQARFFYPRLTRIDNGYGGVIEVGYETPDGGHWQAKNYRVAWRQVTDGLGGGWQEAYAYSGDSRGRCYLFWGEDQTGCTWPDGFTGSHRRPLPGLPGSDRDLSGPEWESPAGGVDPLRAP
MSKPVAIITGAASGIGLALTRHLVSKGWRVAMADIDSENGSRLSSELGADTIFQQTDVSNYADQARLFSRAFTWGGNRLDFLSANAGIDDRQSLYERNETLDAEGMPKEMNLKTIRVDLDAVIQGIWLFKHYARKNSTPGGKIVITSSAAGI
MKGKVALVTGGRSGIGLAIAQKLSADGARVFTAQRRADTVSEGIEADFADPASAQRVVSTVIDYAGRLDVLINNAGVMGEALVEEMSFEAWNRTLAVNRRPPAFSSGTV
MHATTKRVALVTGANRGIGLAIASRLARLGLTVIIGVRDEKNGKEAEKRLTDNGLDAHFTLLDVSNATSIIAALGRIDDIFGRLDVLVNNAGIMIDAETGILVLPLGLLQKTLEINTF
MSPKTAVVTGATSGLGLLTAHRLVQSGMNVVLVGRDAARLATVTAELRGFASYTADLSQRSQVRDLAAALAADGIRADVLVNNAGAAFPATRKPRTAWNAPTPSTTTRRSC
MAFIPGEASDFYHCCQRGSTSCARRILEDAASNGGPTIEELNALQPNGSTSLHAATYYGYTHIVELLLRYGCN
MATAIVPTEEKTNGFRLLSLVIDGGTLVLRHTFDQAIPPKTLCSKLADVEVRTKLNELKKTGKITADQWRLLYPQVGKPDSAKFDISLLTCLLHHICGLNEHSRSWQGIPSSMDISLEADIQKMRLWRNEMSHSKSMSFSDQTFLHKWSEIEQVILRLGKDIPTLRNDIHMLKESSIDPKREKYYQEKLKESNEIDTIRTKVTEIESNVQDIEQKLEGTNIQQQDIYLRQGNLEQKLTEDSVNRDVQQKSISERLSESETKANQLKESFAQLSQVTEDKIRRVSSKLDGLRSMTRLYVEDAHRDEVYVETSAHIKPKETLNKTHCLILSGLPGEGKTTMATKLISEVSHVNSVLKLREPSDWKHVDISKNQFDIIFIDDIFGAGSFDENLHQGWSKLLPEIEKAVSAKKISVIITTRHYILEEAREKMRRLHLFIDENVIVLDSTTLTSAERAQLLVKHLQHADKSCLPGFISQCNSVYDSSFSCTNYRYMPAHNFDTSTYIWGGKRIGFPEIVNIFANTDQLYKQGASFFEKPVAFFKGCMEDLFLEEDKYLALILIWCRPHQELQVNDLMSADIKTTINKFNFELKGELLKVLRKSLKYHSGGFLQFDSRSGKYSFCHNIVKDMVGLVAGQEYPEAVIEFANEEFIKQYVTTDKEKVDGFHLYIEEYRYECLRRKICADVTSSKYDRVYNLCAGSFRLRSTPIFLANI
MDIATTLLEYGAKANAESKAGFTPLHLSSQEGHTDMSTLLIEHQADPNHKAKNGLTPLHLCAQEDRVNVATILVKNGAEVDAKTKEYKVRS
MIITNALFIQRFNIQSLCEYFLSHGANINEKDENGETALHFAALFSNKEMAEFLISHGANINEKDDKGKTALHLAEYYSRKERQNFLFHMAQISMKKMTKEKPHFI
MNYSKIIYLLLFVSAINLTLMVPGGFIESRDFSHISPVVLGSFNVFLTTLGMLSLFLIYFIYKKQKWAFITAFFCGLSYFVVYTIDLAKIFPQSPTRMPTALFLLESLGTLLSIPLIYYTVKEAKEFSGSNNKVLFSKSMYWIIGIAICIGLGIIIFATKAAMTGK
MKKISFFILLALFRTLSVKAQEDSIHKEVNLKEVRVEANNVNMHPDHTTYMPTQQQKNAANSGINLLYNLMIPQLTVDRVSGSVESRDKRKLAIYKDGMPSTIDEIKNIRPKDVIRVEYYSNATDKFPNEENVVNFIIRKYESGGYVDVRTETSFLNEKGDYKAIFSVDRKKMNYTVQIGTNYQHDHAKGMESEEMYSLNQSFTKYESPLNRFTKNSSYYALMRAVMTTKKSVFQTQLSLQQSQNKLSSNSLTSYSDNVYPENSVQTEKSGKDFAATIVPNFYTKLNDKQTLKGNFSFSYGRNTYDRMYAEGTLMEPINNYAKENKYGIDAMLTYDATFNNSNGITIPVAFIWQKSSTAYGKSIVDTQSMNNYNLMSWLIYHHQFFKKLTVYFQAGVDLNSYKINSGNRNTKLWFRPGVNANYAIDEHNNISFYFYGGNNAPDISYMNSAVQRINQYEVKKGNKDLKTFSLETIGASYRLNVKPVNILFFMQYNGFYNMYKEHYYYDDTDLVQTAINNGDYHTLSTGMQISMTLLKKSLSVILGLENDYQKSTGINSASLNQFVYNLSAIYYYKSFSFTGWYSPAMKYLTYSPCYTEKKCNYGVVVNWKHKALFVELGCSRMFEKHPYSKKHFDFGVYRFNQKDFSDILGRSIYVKLSYDFDFGRKIEHKSIELDAPKGSNILKV
MSGTSQNNNESNKSKAGVFFGDSLRNSKANSNNVVDANSVTFNFNGPLPDGKQRLELFEDWKKKKLAPHLAACQARRALKKEQYEKRIKEEEERRMEEQRRIEEKKEKVEQERRKLQANFAEWDPDGSFQTGYQFCYKAKYPKNEMELRNEHRRRHNLPPLTKHQLTNVPTSSMSTNNKRHITDIPAFLREVDYNKTKDLPKQLLPGESIAEFEKRRFADLIEVARDVQRAYNEEKRKSGKRVASSAPSVAAARKRLKEWQLTEPTKTAADNQDESAKQEALVKLKKD
MKGCTLIFCFLLFLVAVDAREYKKRGKKGMKQRIKICGSDGNTYESMCQLFEEKCTNAGKLYKAYNGDCRSNMTENLIQPNDKKRQKKKSASDDRLVCGSDGVTYINKCDFNRARFCKKVKFLHVGKCGGNCEKVDVCPMVVKKVEKIKAFKKKRADKIEKLKKKMERKGNKNNKSVEKLKKKLEKKVKYLAKRLKKFLTNGKKRICASNGHTYQSKCDFMIARCKQHATDGTILKSKKC
MKTEKIDPARASYQRKDDEHWAELESILREGKISLSETLINYPAFIRRREMTRLLADYDLFRMIQDVPGSIAELGVFLGAGLFTWSKLLETYFPGDRSRRVYGFESGGGYRDFAPEDGDPKPWIENVVGRKLVPEGYLERMVKLTNLDNLLPGVERCRVISGDILETVQAFADGNQGTRLSMIFMDVNLYKPTLAGFRALYPLLVPGGIVALNGYGSPPWLGETAAFEAYFKEIGQPLPHVRKLAYSIRPGGYFIKE
MPEIFTYKQKLYKKCKIGRDEKTKQILAKNQSLFNIQAVF
MNDTKSLPDLPDHLSGNPRSPHHVEEIFEHDIGIRLNGKERFDVEEYCISEGWVKVPMNKALDRRGQPLLVKIKGTVEAFYR
MNATTQTPPLPDRLSIDPRSPYYNADVFQHEIGIKINGKERTDVEEYCISEGWISVAAGKARDRKGNPLMIKVKGQVEAFYR
MTWYSGRRNRESSAKGRSVIFSIWAILIFTFVFISEETPLLSESLPRKARFHGVRPVDVVSSPIMVGDTGSDDSGVLFEEDKRRVHTGPNPLHNKFSSVSNIREEEQSWEQGLGKETNVNALLAGVSASFLLKFIMIT
MGTPIRMVEVLGAAMSGLDADLSPVRAESLMRGNASQRGDL
QYGGQYKSTDGFQQADCTGIVQSADKIGFWCDWDTGDGAVMMIGGGGYQCARADHGIGISETNAASFVAEASNTQTEYDFGYDAERKSAPSLSYSLNLWIR
MTFTSTILYPNYPHKNCNFNYYLNTHMPLIEELWVPLGAGFVAEYKYVISAVSHNDANAPAMPDATVHNVFYD
SCQHTMLLDDESVGHQRCWLRMSCSCLHNEELTPYPMQPFWKLFRFHDSEQEQAFIDHNINLVMYPISIIHIMLGIGFIFLFFVNNYSSSSFHTVHFLVLTSPVVMIFVTCFILLCPRIRKYFTGLFCLCYLLAIGCTIICVHLVRVTAAEHAMTKIRDTAGPSLAGDSVALGVIDDTVRFEQANHVLTMLILFAIVQMLPVMMIATRLKTALTMVCCNVTLSMYIALMVEQREVMTQKLLLWQTLWLTCFMASVWMTLQWRRCFRVQKLLETQIMLGRKADSVLNHTLKNTMSDAAGQIELFLGSEDGRNLGPAARTSLDESTAALWRGMRSCRHRMVFMQLEAGNYVSRPSNVDLLAFLRELTAGRTVQMLTQRLRRRIGQMDKVLVGLIIDNAISNASKHGHPTEPSVRLKASDLSDSTLVLQVTNVANPANNALTPPYVQSMFSGVDRAQYQHSSSATSDGIGMQHVFLAAKAASAEVSLEQHESLVTFQLSISWKPPTAGADDRVCQTQCPFPQGVRITCLDDSRIALAVTKIALEKYPGKPTVVTYGTDGVKDVDLFIQDSVENAHIVILDHHLDFPGSLVSGLDVLKQLQQANFGGLACIRSGNSAPEEIALYKDAGFHCALGKDQPVREAIPIIAEAYQKHRASPVLGLRRNAESSQSCSTDATFVSIHTLDQRISVPH
MYSLLVTWTEPQGPDERKNALTQAIFWIKTTSLTLFWLVYDTTYSVALKSSAQALCHYLNHELQALTLLTGDKVIGFKHHADMARRVEEVRLNLFTVMQLKRDINDIWVWSLVVSSVFALVVPCICVYEACYAAYAPEQRYATVVYTAYVAYEFFTLAHASQSLINGIERLHDSIDPDDMAFEGANFFTLNMSLLVSMAASIITYAVMLQQTTQSLKKLAVNYTSMLSGSSNLAAFYWRASAYEKRVGISYCECCSTRKIFWIDVRRCSLCVLYTIAVSLTEPQGPSELGDALAQAIHFSTIWLFTLLWLVYDSMYSVSLKSAALAFCEYIDRELQVLKIFEGYHCIGFNRHSDMARRVEEVRLNVVAVLRLKREINSIWMCSLVVSSLYALLVPCICVYGAVRGVFEPEQRLALVMYAIYTACDFAALANASQSLINRVSDSVLAVLCSRSQA
PDLDPHVLFRTIEILHSAYKDGHINIADYLSVFITLVLRFKVSSERTGRG
MREIPLAKGKAAIVDDADYERLGIHHWSCSKAGYAMRGFRENGKMVYLKMHHAILGKPPRGFVVDHINGNRLDNRRGNLRFVTHQQNAFNTRKHRVENGTSRFKGVSYMRDKHKWRSRIMIGGREKHIGLYGTEEEAALAYNEAAKSYFGEYAKLNEI
MCIVTWCDNKSHPSGSGYCRRHYDQIRKYGKVLNERNAHNKNQIEFHDDVADLILRNNDGNVVAKALIDIEDIRLVSKYKWSLKDNGYVRTVIKGKTVYLHRLLTAAKSGDEIDHINLNKLDNRKKNLRFCTHEQNCWNRFSVNHGVSKLKRNLRKPFIATITVRGKSIWLGYYATLHEATVARAKAEEKYHKEFRCNVSMPML
MLRYRKNRYGLTFRKIRLTQGKFAIVDSEDFEKLNQYKWYAVRSHLENFYAVRMTQGPHRIRKFIAMHRFIMNPPSGFIIDHKDSIGLNNTRQNLRIATVLQNNRNCRKQLKKTSSKYKGVCCDKQRNRFRADIKLIGKRKFLGHFDNQIDAAKAYDNAAEELYGEFANLNFPKNGDSPNNDLYNRILSSRIERFIDYLSTLFDVN
MIILLNVRIESSLEHAISDVIQRSQEKEILEITGDMDNEELLGLHYTERRTQLNIFYVL
SRRRRATVNHESRIDWLELNTRATHLLFRDKKRQLHLFDVKTQTRHTLLNYCSYVQWVPGSDVVVAQNRGNLCVWYSVESPDRVTNFPIKGEVEDIERSKGRTEVIVDEGINTVSYALDESLIEFNSLLEDRDYDAAVEVLEPLELTPETEAMWHELRNAAVEDRKLVVAQRCSAALGDVAKAQFLGGINADAKAAAAAEGGGDGLEHFAVKARLAMMAKQWKVAEGLLLENGQTEACIQMYREIHRLDQAVAVAESKQHENVDTLRREHLDWLKQTGQEEAAGGVKEREGDYLGAIKFYLKGGLPGRAAAVVVTNHGRAPFDKGVVEEISQALKRGEMYERAGELFDALDRPAEAKEAFVRGHAYRRAVELCRRPGSNLQHEVVELEERWGDHLVEVKQVDAAINHFIEAGQSVKAIEAAMECRNWKKALEIVDAQAGGGGGTGTGQFQPYYRRIARHYEQAREYDQAERCFLRAGEAGEAVEMYCRADRWEAAHKVAVGYMTDAEAALLYTKRARELEANQRWKEAEKMYLTVKEHDLAINMYKKNRMYDHMIRLVSTFRKDLLAETHLHLAQQLEGDHSWRDAEKQYLEAKDWKSAVQMYRANELWEDAIRVAKNHGGANASKQVAYAWAVSLGGEGGAVLLKKFGLLEQAVDYAMESGAFTHAFELTRVGLKSKLPDVHLKYAMFLEDEGRFADAEAEFIKADKPKEAIDMYVHQQDWIGAMRVAENYDPSSVLDVQLAQAKACVERKEWSKAEAMFLKAKRPEAAIRMYQDCRMWEQALRVAADYLPSKVQEIHIEIQAQMGGGTGNSASGGNGGGGDGGGGNGGGRPGGGGGGLGSSTLPASPLTSSATAVAFKGYDESELPLRPAITSVSPRLVNDSRRAVVSLALRASAPYVTLATATTLPAKSSTDMERAPGAMDATTSRYSAANAVRLMRV
MKNVLKYNIFRQSVAIVALLLWLVSSPITVFAADDINSLIRLGKAAVAAERPVDAIRSLNKAYQLTRNHCDPALRCEVCYTMGSAYFVFSEYDKALGMFFEAYELCEKNHLEWKRKGKVINAIAGVFFEQGEYERAQDMMKECYSSKEEQRDSVQYVIDLLDMAFIANKQLQFEETARLLRKARRYLPKNAEPYATRIKVVWAECLFLQHKYAELLPMAKEICSGKWAGREDKTTLYAYIVRIYTDQGKLSQAQKAARRGISIAPVKKKPMLYAALSDLYKKQGQYEKALSLKDSVMVYTDSIYHLANRQMIQKNQMRLEIIQYQAAMENKMSRLNAHRMLLLFVTLTCLLILMVCLIVMRNRSAKNRHEKQIMTLKLEKEQANALLAERQMRETELEAEFQKKFMKQHLEEKKRELAATTMFLQSRNDLIVSILDHLKRIMAQQSTSLLSDLVHHLEQLLKSSKEEDKFMKDIVNVAPDFTKLIKEKHPELLDSDIRFLTYIRMNLTVKDIATMLNITPESCRRRKIRVSKKLGLPSSAELYSYIINLS
MFPGKDSANYPTQWALNPTAYQNIDSSQVDWAALAQQWIAMKEAASITVVPPAPPPKIDDEEGEAPMEVENLEVPAPAPPVITTAPPVAPPPATQPPWNNAPSSWNNSWNQWGWNWPPAAPPPIDTKTAVDPNLAIPPVVDDFSVPPDSATPIPGYTTGPVAPPTFQHGYWTAQQGDSNTDANSKPKIRDMKPSSIIRNQRLRDKPIIPPVIEPVVMPASMSTSTIDAAKRRQLPAWIREGLEKMEREKLKALEREQEKIAREEAEKEKKRLEEEELARMKAEASGEPVIPAKSKFDSDSEEETDKEKKIKEEDEEEKPPDEDDRITNNKSPSPILVKKNKEEIMQEVCVGAFCTLLFVEVRGAADKSAA
MTNPSYTGGIKLKFGLMFMVCTQRSWKRPYGVDLLVAGLNEFGAHLYYNCLSGNYFDYQAFAISSRSQAAKTYLECKFENFMNSSLEDLIEDALIATRESAQGEKLNIFACTIAIVEVGHIDGKDPAPKDAEALAKWKIKDARVMTWIMSSVEPHIVLNLRPYKIAKEMWKYLKKGDVPDVALTAIIKVHESSKRNQFLMKLCPDFKMAHSNLMNRDPVPSLDACLSALLRKEQRLLTQASMEQKANANDLINVAYAAHGRSKGKDVRGIQCYSCKGFGHIAKDCSQKFCNYCKQWGHIISSCPTRPVRREGTAYHASVGASSSAATPMIEPDTAAPSTSSQNPNTLTPEMVQQMIFSVFSAFGFSSSSNSHSLPWYIDSEASNHMTNTTVPLSNVQKYDGTQKIHTANGNSLDISVVGDISPSLTNVFVSFGLSTNLISVGQLVDHDCNVQFSSFGCIVQDQVSRKIIAKGPKIFLQNKGIISQHSCPSTSQQNGIAERKNRHLLDVVRTLLLESSVPPRFLCKALSTAVHLINRLPSPVLGNVSPFHKLHGYTPSSSGFRTFGCVCFVHLPIHKRHKLAAQSVKCAFLDYSNSQKGYICYDSSAHRMRISRNVIFFEK
MRGTLPGLPTPHPLLHQLPAVYLDQPFVAGFLSALDEVLAPVLLTLDNLPAHLDPRTAPEDLLAWLAGWVAAEVDGERPVDRRRAVVAGAVALHRQRGTRAGLAAAIRAETGIEPEISESGATSWSSEPGSALPGSARPWVRVRLRVPDAQALAPTRARLEKLMADEVPAHVGYEVEVLTAEEAGR
MSYEVPTDSPEFRLTGVPYAISSSAIADFSFDGKPLVYYAPPPGLVRPYGSQWQGALSRQQGFTAGYSTSRPVSITRRQSSQQAKPVEASPLGLFWGAVAKKGVKVSFSHGQSQKKEATADDRWQQPERKESGKQSAWDKSIQAQDQEQAFPWNHPPERDSNVSEGMQSVDLYRNSETKSPAY
MTIDLSKVKGLAAAAVANQYDAVALNEYGAAVPPATVLGLIAEIERHRLMNAEGCKPDSNIQLSGLPCAGAACCRSLEKVEGCKPDLITRYHLRYVAERSEKDVLLEEVLDAVASIGMHDLVDRINDALAVDADRMPLSPAVTDVLTERCRQRYVEGHSTERDDHYVNGELATAAAAYAFWALLSDLHPSMGKLDPPCTWPWEPEHWKPSGHRNMLVKAGALIMAEIERLDRQRASEVRHD
MSVILLFFQVIKVFFPMLSHPGWIPEKIRNHPVAAWFISSSTLIFLSVGVTWMVLNATTLGELKGRNEGLTWQNQRLTEDLRSAQSRYDAAQAGRDEFISKKASELSAGYREGMAELNARYDKILKENVDLKNTLNALSSGERQQAARRKESRLQELSVAQDENSKQIAEAHRLLSEISGRAGYERAACEKEDENTFSNVCEQASLAESRARSHREKINSLEKYGESLNSQLIALECRE
MKELLTKKRKYFEEETITLEAGCSAIIQKMFPTKSKDPGSFTLPVTIGSLAIGKALLDLGASINLMPLSMLQRIGDLEVKPTRMIRVLLGVG
MDGGLPQIDLPLAKHSGNSCTAPDSSLLTFRQGGARKPGVGDGAAISGMLFVWHTGIPWEELPRPWTGLRHGVLAAPA
MIKLFIGVFLFSTLSWGQAAAPDYDPSQVKFNFLSSDGEMWLDCVTKKLDQPHSWTTSCGQYVFNLHMFSRDFTNSAETMVEFHYWATETAVLKETHTQSTWLTTDTANSTKKIVSYLGFSNDSMQLRVQIDLKK
MRGSRARWSTCLYPTAPTSSQTGASGVSSASGGAAPSTSSSAGATGGRSCAASVRRAPGPRPRTAATRTGDGGVGMAGGAGVGVADGCAGRSCSNNYGCRCSNGGGGVGGNGGCVGSNGGSGNGGSANGNGGSANGSGCGCAGAFCGAQNASSSCHAAWAATVF
MSVASNQVSNPSEVASPSADAPNPLPNAEAVARDPLAPEGEIEDPLAPVREQIGTTRSAFGPDRSQTGKNDEWSVELAAEYGYVWNASLSNGQGNVNEESGVVALLGSKRLDEGCVGIVGANWQIFTFGFDGSMPLPENLQGLNAIFGGDFEISPDWFMRIQVEPGVYGTWNNINWHNVNMPAVFAWTNILNAEFQWFIALRADIFQFFPVLPVPGIRWQPEKEWLFNLSAPKPTVNYAVDEEVNLFAGGEILGVTARLPSNDSGTYNNRSLAGALINYFEARLGAGVNWTFTEGVSLQGEAGAMVWRTYDLPRLNESIRSTMAPYAQISLRGVF
SSSGRGGDYWSRDARSPSSPPPRRPPSSSPLPSPPRPYSGGGSGGGLPFEALSGSLNAAFESFGGGLNNSWTRGDAPGPGPGANRWREFMTGRFYGEYQEDLVAARFDEADVDGGGEAELGDSNVGNQDGAHR
MEGYLISHMAAPVPARWVSTTLARDAATEYDVRQTGALEDPYRSSRLSLPSHGRIPPFALTSTREFSDDVVLRSVLPPLAIFPHPKPTPSDVVGHVLLSPLSPT
MDLETWRDLAFVIFAVLLLLVLLALLVASGALLFAVLKLRGVVRSKLAETRPRVSAAREMLVKAERGVDRASDGVASPFIKLNGLIGAVRRGATTLVTGRDGPS
MTSEEKQQLADKALELRIQAQGHLMQVAMIYFELTGAPYYRTLADHYLADQNHLIGQRSPAQVQRMELAGGLA
MNLFEYKIQLIDPLFYAREAIGGAYTPPFLHATALNYAVAWAMGLSRPDQAYLISDEGGGKNRPRYEHSWIEPDFYFTPARLDGTVNYYTEVAKGDREALIQVSYGGAKLDLDFLSFDPALKEALKKNPTVKRTNKVTLKSPSEALKAYRLFSLAPESVFSGFLFTAYENTKQFPILIRLGSFRGLAELRFKGPLKVKGMGGVQYCCHAVDPLVSPAMRGIPVPMLPYPVVDQPYVKVTWEIRRFGNPAFVAVPKAGGDMQRAAGRPPDEQKDETKEMKTPRKETVII
MLARQKALGHIAPATEESTKRWLIGNKQSAIDWVMRAICGLTITEKPFLR
MKDIIVVFNGMLRDAIELKISDIHFEINKERSEIVFRSHKVFIKKYTNLDVEAIYKHLKFIAHFDLTSIQAQTGTFTWIVNEKEYYLRFAAMESYEHKTGVIRILNIHEVLSIDDIISCSKVSQNILSLLKNEAGIVLFVGKTGSGKSTSLFHCLNALEHRHVYTIENPIERYHRKWIQIESKQIEGTLTQLLRHDPDIIMIGEIRTKSEIEVLIRAGLSGHFVVSTMHAGSIKQSLRRMQDLGVSLYDIEEIVCGVVFQSLIRKDTGEVIVDYEIAQRRDIQKIIKDLSNKTTL
MNLPERIPTEIVQLFTSAEAWSYLLVPYDRKGNTVVCAGERERDYESAKQEIEVLSGFAVKIEPVEPEELVRQLNRYYRKEATRAATAQSADLSRIGSGQGFLTELISKAFDEYASDIHFEPYEERCRIRLRIDGRLIEKYVLDRNNYASLVNQVKIMAGLDISERRLPQDGRILYHRGERKFDLRVSSLPTIYGEKVVLRLLTRHAELLELANLGFSPRQLADYTAAIARPHGMALITGPTGSGKSTTLYATLRRLNRESGNILTIEDPVEYTLEGVNQVQLKEEIGLTFGAALRTFLRQDPDIIMLGEIRDADTATMAIRSSLTGHLVFSTIHTNSAWGSVSRLRDMGVHPYLLSGTLILCAAQRLVRLLCPDCKKETELTGPEREQVYGVQEELPAARKVGCDTGGYTAEASASEDNADDCAGTFRQTHYRPVGCERCYYTGYRGRTAIYEVVPIDETLSEAIRESRPDIGPLLRERGITTLRDSALELFRAGLTSLEELLPLLRE
MSITFNEESKEKLDYIRKKEEEDLAMVLSQKYGIGFANLTAVNINAEALGLVPEEEARQSEIAAFQKKGNVVNVALRTPNSSLAQKSIRELEQRGYKVIPYMVSHASLKHAWEHYKDLSFAVQTEFGVLTVSEDAVAKLKDTLTTFAKIQDEIVSTLALKQQYRVTRILEIVIGGALGNKASDVHIEPRERTTQLRFRIDGVLVDIATIELETYFAMLTRIKLLSGLKINIKNAPQDGRFSMHVGTTEIEIRTSILPGGYGESVVMRLLDPHTIGVPLEELGILPKLLEALQVELRKPNGMILNTGPTGSGKTTTLYAFLKQVMSPDIKVLTIEDPIEYHLEGIVQTQVNKKGYTFASGLRSALRQDPDVIMVGEIRDTEVAETAVHAALTGHLVFSTLHTNNAAGAFPRLLDMGIQPSMAGSSVNVVMAQRLLRRLDPLHKREIKLEGKDLAFVESILKGIHNQSQIPKNVNTIWVPEEVSNDLVYKGRIGVYEAIFTTREIEQTIRKNLTTREIEDVAKEQGFLSMKEDAIIKVLQGITTLDEIRRVLGEENIEDGSTL
MDQCVGAASGASLLKALVDAEVLSVELAERVERAIAHAGASVVDVIVRLGLCDERSLASALSTLSGFPLAMVEEFPSEPIHPDTLSIPFLREARVLPIAQSDNGVVVAVADPYDDYTKHSLTLLFDKPVELRIAPASQIEDGIMRLYSTALGQSACEVLEIASCDLVDSDLDRLRESAGEAPIIRFVDRLIAKAVDAGASDIHFEPFERQLRVRLRVDGILTNDEPAPVASMAAIVSRIKIMAHLDIAERRLPQDGAMKVNVRGRDVDFRVATTPVVYGEEVVIRILDQSSVRLNLVELGFAPDLLVRLKAILNRPNGILLVTGPTGSGKSTTLYAALDYLNRADRKIITVEDPVEYKIEGLNQIQVKPEIGLDFARSLRSILRHDPDVIMVGEIRDAETARIAIQAALTGHIVLSTLHTNDAASAVTRLLDMGIEDYLITSTLSGVLAQRLVRTLCAQCRKSQILPNALLLRGVAPETTVLTSCGCPACRDTGFKGRTVIAELMELTPTIRSKVLANTDAAQLRHAAAAEDAMTLFESGLRAVLDGVTSYDEILRVAQDMEGAG
MKIGEMLLKAGLINQDQLRRALDEQKKTKERIGTILVRLGFISEDELLSFLGKQFNIPVVDLGKYEINPDLVKLLPEDLIQKNLVFPVNRVGSKLIVATADPTNMAIVDAIAFKTGYTVELVLASEKEISSLVSRYLDETAELEEIITDLDEEFELVQEEEEVDVQEIQKTVEEAPVVKLVNFILTDAVKKRASDIHIEPYEKEFRVRYRIDGVLYEVLKPPLKLKNAISSRVKILANLDIAERRLPQDGRIKLKLGKNREMDYRVSVLPTLYGEKIVLRLLDKSGLQIDMTKLGFEEKQLKDFKDAINKPYGMVLVTGPTGSGKTTTLYSALQELNKTTDNISTAEDPVEYSFSGINQVQIKEEIGLTFAAALRSFLRQDPDIIMVGEIRDYETAEIAIKAALTGHLVLSTLHTNDAPSTVSRLLNMGIEPFLVSSSLNLVLAQRLARRICENCKEEVKINPKALLDAGVRKEEVGTFKTYKGKGCDECSNIGYRGRVALYEVMPVGDEIKELILRGASALELKREAIRLGMKTLRQAGLTKVKEGITTLEEVLRVTARD
MLDLLVRKGVIHAGQRQDVLNRGRDQARHILLDKRAEMRRLLGQHRVAYRVSEIEVIASFRFPRHDGAEGLVDEEIITQLVAEALGLPYRHLDPLRIDYKLVTETFGGPFAERHLVLPLEVRTDTLVLAVADPWDRELLESIARYSNRAVEPVVAQKSQILGIIVEFHGFRRSMRAAEKEYQTDLPDLGNLEQLYEMGNESIDAGAQPVVRAVWYLITYALDQGASDIHLEPKRDEALVRMRIDGILHTVHRLPRLVYPALVSRVKTLARLDIAERRRPQDGRFKTAHGETEVEMRVSTVPVAFGEKVVVRVFDPGVLTQDIERLGLFPRELAMFRGMLANRSGMMLVVGPTGSGKTTTLYSALHHVHSPRINVVTLEDPIENVHPAFNQIAMQPRIGLTFGTSLRTVLRQDPDVIMVGEIRDEETVENAVQAALTGHQVLSTLHTDEAAGAVGRLLDLGAMPFLLASTLTGVVAQRLVRRVCKDCAEDKVLTDEQALALRIPGTRGRRLMVKQALGCPKCRYTGYKGRTGLFEVLPMTPRIQRLVHERASAQDIKREALNDGMLTLREYGIKKLARGETTFEEVMANTDERPVY
MVTQDLNAQNVDAVPMGERLVAAGLLSDRDLERARLAKREMGCMLGEALVRLGLVAESNVVKYLGEELDIPIAEKAGYPEEPVVIEGLPEHFLLNNNVVPLALTESSITLAALRPQDDFVKKALHLATGKEIELQLGVAADIEAALELYVQGDEEDEVDSLGAFDVNDDEFVEHLKDLASEAPVIQRVNQIIQRSLDIEASDVHLEHFDDGLRLRYRIDGVLQEASQIADANLSAAIVSRIKLLANLNIAERRLPQDGRIMMRVKGHELDLRVSTLPTVHGEGIVMRVLDRQSIRLDLADMGFSDDTFEHYTELLKRPHGILLLTGPTGSGKTTTLYASLSNMDSDSLKVITVEDPVEYQLHGINKSRCIVRLV
MNLPISNTQLKNKLIQEDLISSEKFDAIVEEAKRKNENLIDKLVSEKVVELNFLNQFIAETLGIGVANISEMGVDDKVVKMLPEETARQRRAVPFRREPDGTFDIAMTDPSDLETIEFLSERLNGKIKPYLVTSEDLDRAFSVYGMEMTLDFKKIIEENVQASLRSASRNLEEASLQVPVVAVVDNIISYAASLRASDIHMEILEDSTMIRYRIDGILYEILRIPKEVHPALIARLKILSGLKIDEHHQPQDGRFRYPISNQFIDIRVAVMPTFYGEKLEMRLLESAQKPLSLEELGMLEHTAKVVSENLKKAYGIILSCGPTGSGKTTTLYAIMNILNKPTVNIATVEDPIEYNIKYVNQTQMNPAAGITFASGLRALLRQDPNIIMVGEIRDNETAGISIQAALTGHLIVSSLHTNDAPTAIPRLFDLNVPPFLLAATLNLIIAQRLVRKICPQCIYSYETPEEVKEVMRRQLKELDIEDESLIPKIIFKGKGCPSCNSFGYRGRFGIFEAMEIDDKIKKLLIDPQFSLEAIRKELRANGFKTMFEDGLSKVQLGKTTFEEVLRVIRE
MVCITTNFGEYLSDYVLENKILPQKELNFCNQENIEIEDYLVEQEYFDEEKIAELKSDFFSVASSSLDEEFDINLKDLIPESLANKHCLIPIKLDGNKLYIAMNTPYMLKAIEEIEAKTGCSVGVCVARHKLIVGMIYKLYSGDNENLSTIVNSMVNEEDEEVVFGQNYFEETEGNIISLIQFIVNRAITKLASDIHIEPMADRIRIRFRIDGVLQEFEVLPKNIHPFLVNSIKVMASMDTTEYNISQDGHWIYKGSGQKINMRVSTLPTIEGEKVVIRLHAQKSMDTPLENLGFFPEQLKLLQGLLAEKGGVVIVTGPTGSGKSTTLTEIMKVLNQEEVNIISIEDPVENTIDGVVQVEVNPKKGITFKSVLKSVLRQDIDVLSVGEMRDKETADITMSAGLTGHVVFSTLHTENSTSAILRLVDMGVDKVLIKSTIKGVIAQRLIRLVCPKCKSFDGKKYKAVGCKDCDGQGYKGRVGIYEVLVIDMHLKKEIKNITKFSSGELQAKAIENGLLKLSDNAQYYIENGLTTYEEVERVLGGEQCYTNVNSYRLPRTTKSKRTLNLLNDQI
MIRGIAPWRPAMADLLTLQLVKAKNLREDKVTTVMEKARESGMTVDHALVEAGLLGEADMLKFFAQELTLPYEETLEKYKSPARFVEKVSVNFARNYNLIGLEEVGGQMRVATCRPLDLYPMDELAAMLRQPVEPVLAPRVEISALINKAYAQKSDLNEEVEKELEEEGMLEDDVEFDESTNILDVASAAPIIKLVNTILAQALRMRASDIHIQPFEHKLQVRFRVDGVLYDIMTPNKKLQDAITARIKVMSKMDIAERRLPQDGRATIKMGDNEVDLRVSSVPTNFGERVVIRLLDKSGKTYNLEDIGFLPENLQVFKQYLTYSNGILLLTGPTGSGKTTTLYAGVTAINKSDLNIMTIEDPIEYHMAGASQIEVNEKKGLTFARGLRSLVRQDPDVIMVGEIRDLETAQIAIQSALTGHLVFSTLHTNDAPSTVTRLVDLGVEPFLVSSSVLCVVAQRLVRRICPECKHAYAPDLAELHGFDLTPADLQQGHLWKGKGCPACFNTGYTGRTGIHEVLPITETIKQQIVDKVPSGEIKRVAVKEGGLRTLRMDGVQKAIMGQTTLEEVATITQRDTF
EELGLERRNFEVVKKAIKRPYGLILATGPTGCGKTTTLYALLQILNKEGVNIVTLEDPVEYFIEGLNQSQVRPEINYGFARGLRQIVRQDPDIIMVGEIRDEETASLVTHAALTGHIVLSTLHTTDALGGIPRLIDLGIKPYLIPPALSCIIAQRLVRRLCQSCKKETKPKKETRNLILKEIENLPAQIKKEVKIPTPLTIFEPAGCKKCNQKGFSGRIALFEILEMTGQLSEIILKAPTIENLQQEAKRQGMIIMFQDGILKVLNGTTTIEEVLRVAEEK
MIYKNDHQLLLHDLVQKGILSSDQAVVLQSEIQRGVDLSSHLQKVYGINAWEISECIADRHHYLFQRWALKDIDVSVASVVPIERLRYFLCLPIHKTESALTVVFAGSDSLELRNLLREYFPECSQQNVYCVPQSFLDQGVGLIPKDPEAIFKHLDAMDNWTHGKSAVDEISTDAVLHIILNDAYSKRASDIHFEPGVDTLRVRFRIDGVLKTYRQFSDRHWGYLASRIKVLGEMNTAESRRPQSGRFSQILNGHEVDIRAASHPTTQGESMVLRLLDKHKMVVPLESLGFCERSAHLLQKMYSMPHGLVLFTGPTGSGKTTTLYSILNQINRGDTNIMTLEDPVEYELQGIRQTSVQKDVLSFQDGIRAILRQDPDVILIGEIRDEDTAKMAFRASQTGHLVFSTLHTNDVWGVFPRLMDLGVSFESIKHTVVGIVSQRLVRKRCECFPTGCDHCDSTGLYGRAAIGEMLLVTPALRSYMQASHTIEIPSDILMSHYISLKESGDAAIGNAITTAVEVSKYCGDVK
MFKGEEKQEDLLIKVRRREEEARAKLLAEKMNFPYLDLAFIPIETDALKLIPREKAEKANAAAINIEAKTLRLAVSDPENPAARLLIKELQVAGYSIKIFIVSETGLKKALSHYPPPELDKEITGQVQISNNLLSNFQKQIIGVKDLKTTIAGLFEAKTSQIIEALLAGALILKASDIHLEPEEATVRIRLRLDGVLEDVIQMPPQVYALALNRIKLLSGLKLNIREKAQDGRFSVISDDINIEIRTSALPGAYGENIVMRILDPRVIKIDVDSLGIREDDLEIINKELQRPTGMILTTGPTGSGKTTTLYAFIKKILTSDIKIITIEDPIEYRIDGISQTQVEPDKGYTFENGLRSIVRQDPDVILVGEIRDYETAEIAAHAALTGHLVFSTLHTNDAIGAIPRLIDLGIKPPVIAPAINLLMAQRLLRTVCKKCATKRPLTEAETQNFKKILNNLPPRVDFKFDERTTILEARGCENCHNGYLGRAAIVELFKVDDTVERLILESPTEAKLRESALKSGMVTMTQDAVIKITNGTTTIEEVERVMGKMG
MTHDNIGDRWDKANIHDFRGTFGGYVRERVDVQILQPQKSASISTPASISPR
MTRTKIEVQPALVRRISGLDDLARILFPDNRDHRRVFIAIWVELKYADGQFVQSFSHLPTSHGFSERVLEIVRAKLKRMGVLKRVSHFSPCHGHTGGWTFSERLAGCLVTLATAVRTARVPSGRKTDEQKDRDSILYV
MRLKKAAIIMSIFFSQMALGANLLQNPSFEKPLSNAIPNNMGMVNTENSWIKHENSGGAGDIKI
MRDLDGLLALVDEFHITDRGLRSARERVRRGDGPAAVEALVRAAAKYFGDMASEADRHLADLDRKLDDLYQRQYNLQAERSVAERRRDGARRVLDALHETGAGEARR
MNKKLIRKIKRFGEDNGYIVNVRESDSGVTLSFYDNSKDTIILDVLIKEGEDICNFPCSQSELDDFEMSVVLDMVGMEV
MMHCIGYIKFGNTYPIKMKNGGQKEMVSFTVVDGFGTNYSFQMWPDDPQHAEVAAIIKDARRQPVQVDVASHVARLRKFQDGSEKPQTNFTATNVQFLSGSITPRVWCSGTVQYGGAYEVGGKDRSKKIMLSFSVADEIANSYAFQMWPDDPQHSWLAPLIAQARRQPIEVDVASYVARLRKFKDGTEKPQTNFVATNVVFPGLSQSEQSA
MKPGQLVQKPLTIDSAQGNAVALSDILQKEVPLHKVPAGLLSLCAIEPGLSLGVIGGMDGGPSAVETALSRLTQGLGNNREEAIVRASVLGKSLAVPVRDGAIDLAASQEVYLVDNNSKVSGQRTIVATLVPGVDSKATSLPAPSRGCHIITRKVTHLSDSSGGDFGLMNVCAKHSGCSLTINENADADVRTDLEAAFNRLVPEKKGDSTRHANIKSTLVGPSITVPYDSRGSPQLGTWQGVYLNEHASPHDHDDRSRSITVTRLPSSAVAVQKTIRVTAPSRGCHAITDKVRAAIDDQLRKCTVGVVHVFIKHTSASLTFNDGIDAVQTGRLLEKALNHIVPEKWHHEFFQHTLEGPDDMTGHVKSTLFTAGCMLPVADGDINIGGNEVYLCEHRNTGGWGGGHNRSIVITLIGQA
MSKNKVQFQVEFSALEFIDNFAYNSAISLTKSK
MAKSCSFFASTCRNNSVGALRAPFYALSARRKKALKHVIKSGRFEIAKYLVEQGYRLGCTAGWEVSFCWTPEHRQMGPRAHA
TMSSITIIPRSYASTTSSNLPNINIPPMRPAYLNPKPAPRQYLPPTPFYNNNNRYQQQQNYHYNRYNNYRRPNFYN
MTRLISFRNVSIQKRLPLLICLFLLSIVISFGWISYISVKNEALKTGKERLTALSTQLSSMLGQSAQLGITTSRTAAEKEAVKRTIVAGDPEDKIEALEILRKLRTDSTWVLAELLDKARHPILRSSRDSALYLFNLDSLMHVSLRRDFNGVGKIYLLNGSMFYFIMVPVTENKDTIGSLARWQKIAASKRAVQQITQLMGAESRFYIGNNDGTLWTDLSKPVTYPQINAGNVRDLQEYKNADGKQVFAMVKPIANTSWVVAVEFPEASVLATAGHFLRQIIIIGSILIVIGILLAWLMSRNISRPLNRLTAATSQIEAGNYAVKVSVDREDELGKLARAFNAMTEQVSRAQEGLELKVTETADMNEQLRGLSA
MKHLFHQFSFGRLSIRQRMTFLICTLLLVSIIIYGYANYYSIKKASLIVGKERLTAISRQVNNTFSQSAQFLASASNAAAARKEVIQFLKSRGTLYRSETLDILGKLRRDSTWVWIGLLDSNKAPVIRTDHSIIAIKANIGDAISSARGSGDFSKMGKIYVQNGAVYFPIISAVNDDGKTIGYIVSWKSLLAGSKAVDQFSELVGARSTFYMGNTDGSLWTDLIKPLSQVPFTIKKMGEVLEYERPVDGEMMAMANGIPHTNWVLVVAFSEKSVLTGIKSFASWIIVAGVILMAAGFIAASVLSRNITKPLNLLTNAAANISRGNYSSQVMGDLDGSYELRKLASAFNIMVGEIDLMRNTLEKKVKERTAQLENVNKELEAFSYSVSHDLRTPLRAINGYSVMLSEDYEDKLDSDGKRMLKNIINNATMMGQLIDDLLSFSKLGRKELTLSVVDMQSLAEQVTDELLHNEPPGKYKIIIEALPPASADQGMMKQVLLNLISNAIKYSSKKPNPQIEVGFTDEAISTVYFVKDNGAGFNMAYADKLFGVFQRLHSLEDFEGSGVGLALVNRIILKHKGEIWAEGAENQGAVFYFRLPKNLNES
MQKLIVLCFFFVGITLYQVNAACPAVPTWVTYGPNSATNNGACSQYLTCYSDSNGVDGCYCADTLGNCGPRAAKGIEICASTSSSCYKTCGKCTVA
MLWQGNIASRPLLTNASGFTALDANQALTPMSAGPRAALFFNLDQCRAIEANYFIARAFDGNAFLPFGSYTPQALSGFVPPDPSAAALYTSGVIQSAELNWRRTECSCPLTWLVGFRWVEWNQDLSLVTNSTTVAPTGIGTRTGNDLFGSQIGGDLGLWNSGGRLTVNGVGKAGVFLNHAYQQTTGTFNINGLATPQGPASAQNDGVAFFGEAGVNASLAVNRWLSWRLGYSLFWLSGVAVPANQLGLANFSAAPPATTINSRNANVPGSSKLHGLEFEGSVLVTENWDARATVTWASSKYDDFIFNFVKPIAGFTQMKGNSNARFPEWSGSISTGY
MRRTTAWTFFFAATLAFGQSEDSALKMVKALRLGDNLAGLTYQIAKTTTTFKIVETTLNPQKADELLKAEMALVLPKYREQWNANLAQAWAPLMTAAEFDSVASDKQQSPFAGKFVSLQDKAGAAMKVNSEPLLKTVLKEVLSGVFEKATPKK
MSNVENNSWKNFYRNSMLIAILGFICSSCILLVGWNGWLNIFDDKPEAWFQRSGSMMLVTLLIADYYVYKLSSDVNDLDMIPAHAVQTKDAYRPYIKILHPMAIILTLLATIICGYGDLIFVAWS
MRNTVTHSFIIPTVRVVRSCLSGSALKAIEGVTICAENYSEVVRTLKSRFYRLPDVVESHVLSLMNVRACSNEGAGELTRLHDDLNRHFLEMKALGKDVNCGLNGFHVILPTLKRKETSFHHNDRMESLYQRQERRFLVGTSKDKGRRPRNQNEGPDAKATAGVSPQCTTTDSAFPSQPHILAADVPSAKATSQQTVAPIPKLFGSEETVLGSAAEALLHLSWSRTSQRRMPEEKVQSIWNALLTGEALCRQDLHQASFTGHAP
VMACCVIAMAVVSIVGTKTHRLYTVIAVSVVHCCFNFYALPLIIAKANLFSFLQLTFMLRFPGAISTFYTAGPDCVPGGPHFSLTFYQTVAGVIGVVAAICGIVMFNYIFSKRTYWMTFIVTTLLLVMSSFFDLIIVMRWNKPRVTDYVVFILG
MPLAHVAASAHTPSRAAWSRPFYVFPTMVKVGFAELVAYRSEVLIWVLTTTMPLIMYAVWSTIAHEAPIGRFDGAMFGAYFLSTLVVRQLASAWVVWELNYVIRTGQLSSLLLRPVHPLAYFAAMNLGALPFRLLILCPIVIGAFLALPEIHLELSWWRVLLFFETTILAWLLTFLIQSIFGMLALYTQQSLALQDAWFGVWSLLSGYLIPLELMPKVHDVAIWLPFRSMNALPTEIILGLLTGDALMQGLLVQVGWVVGVVIFVAFFWRRAIRRFEAFGN
MNSLPWILYILGLLIINVPRSRSIDGRWTAWVTIDQGVCDDECNGTTTWKRYCTNPAPSEEGKRCSGPNTLYLALDCSWNKCTLRKYMGMDVGPILIIVIMLFIVFAGFAFSINATRTKKSTKAVRKPKANKVAMPQVVTEGSNGVILIVPRKSSSHQTQNDKPDFSTSPEKIIPKMSSSYQIQNDKPDFSTSPEKMVPRRHSMASSNHIQKDISGFLTTAKRIVQKRSSIHEIQDDNPGFSTSSERIVPRSSPKTLRHEIGKDNLAFNSSPELRR
MKQILYCLVYSAILFSCNSSNYNVADEENNLCYTEDLGIGIESKDPFNAAPKPNPFFSATHKWLWGNTNENLKVFSRGEVSDEAVEYSVFEFSFDENGCLVPVLFSTFIVETEITLNPATNQHEIINSILNYAEDSFTIQVEAYKENELLKAKVSSSNNLNIEKNIFAELIPENKEKII
GMFYGTSNFGVVLNMVSQLSAVRESLLVSQELERSIATQEGVRTRWCESTRTKSRSRIRWSEGTRDEFR
MKRYTKTMPIVFGLSIIYYFIYYFNRHLTSIKGIEFLNNLKYAFPFVIQYSITIIIYINIIIGLIAIIIIFCTRKYKFKALISTVLLLVNNLFFWKKIIINSKEILQNFTLLRQSTLENAIEVTGFFILFMLSILVVLFSNVLLYKFLINSDNIVLDDQEKNDDLDISFFRKVVVFLPIPLYFSVYWIAFENGWIDILKFIRNI
MPEWQAVLDALQQLPEIGADGPVGYTGLGLGTAIGVPLVAAEPRITAAVFGMHWPDALAETAKRITVPIEFDMQWDNERIPREAGLALFDAFASKEKTLHANAGRHFDWTGFEADSAVRFLARHLGRADTSAA
MINCTGVAIGGGGGGGLTSLPIDKCLFVMENGNDATALPDRLDLPYLTVGAAIAAMPGDDYTVIVYPGNYTYSGALPLVVRMYLGPGVTLAFNPTDWSQNEQFFIDGFGDIEIDDLFDRVSGTTIQATIKCKLLVVTNFAPNNDLESCVIDCTEDLYFLTQLAIRDGAAYDKIVIKGDTIYMNDGIACEETYKSVYIHPASKLNGYVIIVNDTGTIFNFHIHGDFFCDLGASVQPLTLQGECSFWGSSGLFNGFRCFGDMNFKTDLSPILVDGATIQFDKISVFHEISNPVVDDTIPVITIDASLSPCEVGITQGSYISGLNGTYPNGMIIVDNSVNAVKLTISGKYYGHDGSGFVVAATTATQDVYGGVVETNLTTIGANTNDLIEVKLENSNVI
MAIYQNNALLEYPTYGLDMIGKIKDDELQFYLKDHLGSIRATIYDNKLMSAQDYDAWGYILEGRTYESEEGKFKYTGKERDEESFYDYFGARYYDSRIGRWGSPDVLESKALGWTPYRAFFDNPLMYIDPNGRFEFPQAKEYGKLASYLENDIQKVSKNTKIMNALEKYSGLSRAEISKAFEWGKGPTIKVTELEGAFGQFTMNIKSEELRISSDLVNLLQNSESELSDVYIFMIGVTILHELTHYGVDKTGYGYSNAITGKAAEHGEYFEKAAYGQVIGNIESAIDYLNLYNERKKLARRYYKEYYIDQIDISP
MVGIIWIFVHLLCGIVPEYFLKDHLGNTRVVFTDVNEDGAITVADDVLSRSSYYPYGLSMYGGGTYAGTIEQRYGYNGKELQDDHQLGWIDYGARMMDPTIGRWNGVDALAEHENQINRSPYAAFWDNPILYNDPDGKCPKCPDSEYVELADFVYTSDLAKGMESANGWVVEKVDRHRASGYKAAVFKKEIKGKMEYVYATQGTNPLSPADWLNNAGQATVGKAPQYSKSVNYAKEYSEQYEGISFTGHSLGGGLASANALAVEGKAVTFDPAGLSDKTKQNLDISENSADISAYVVDGEIVSHAQQKLGLQAEGNIVPLPSSYFPNLPLIRGDNEIRIVQRVKNHLMSTVKKKFYDLNRSNR
MKDKEQDRNALKEFIQDIFGIQIDKFWWTMRDLSIRPQIVFRNYIIENNNIYTSPIVYYITITTFVYIVFSITGINDILQNDNFHKIIISFFNGFNDSVKPDEQYLIEFNEIYNKIFNNKFFDDLLALPGWIFCQFLFFKTTINSVKKTLFFILYFFSQFAIISFVFSIIFYFLIGSEFLDSHLFNYITIIVILIEYIFLEVKFYNLSPNKIIGKSLVILILATLLNLISLVIFVLLFSICFLIYYGFIN
MVYXEPTVVSYSSSSNDGDRSDTKSSEDSSTSGELSDNDVPALSFDALKIRPKRAKRMTLYQPQLNIIPENAIMFQKAKKRREISVTSPPPKQSPRTILNTVPSLSAIPEYEHSSNGDEEYWNKKKKARALRRGDSQTHNGVRLEPISQVEHPQLKTKMKAQKKIRKLIYLRDVPEKKYHH
MSGGKETLRQKMIGMMYLVLTALLALQVSTNILDKFESIDKSLSESALARKTQNEKQLAVITSVVNKDRRKQAFAVLDMANKVRSDAKKLCERIEEIKEKAIKASGGREGGKIVNTKDEMESLAIIMGPGESKKGEAYSLQKELNEYAKKMSTYIPKVKFEPMAVDSRDEGGNKADWVFNNFNAAPIVASLCIFSKLQNDVLNMEGTVLIQLASLIGAGDISFDQVKAQVTPEQSILAAGTKYKARLFIAASSSSIKPTMKLNGSPINVDAEGYGQIEFTAQGGTYDKDGKIEKSWTGSISIPKKEGGDTVYAVTQKYTVVKPVIQIQSASVSALYLNCGNKLSVQVPALGDTYSPSFSVTGGDAIPGQKTGEVTIVPTSALVKLDVKSSGNYIGTEEFKVRGIPLPTISVLCGGSRPCNEKEGEKDCPRSLVIKAIPDESFKNFLPEDARYKVSDYNITLARGKRPVAGPINFESDNANLTQLASQARSGDRLIVEIKGVTRRNFRNVNDKVNMGSLIYKNIPIQ
MAGVKETPRQRMIGMMYLVLTALLALQVSNQILQKFVLINDGLERTSKNYILDNQSSVESIQYTVEQQGNNEKDVPKVAAAQEVRAASKEAYDYLEALKKELIEVSNAKTEEGTYVNSALKNTEIAGNLFINNGRGEELKNRLNDYPEKIREILSGVGLDINFDKIALDASEIPLFANDRDARGKSFEALNFVKSPVGAVMTLLSQYQNEVLNIESEALTSIANTIGSFYFKADITEAKISAVSNIVAAGTKFEGTMFIASSSSSAAPSMSLDGRSVEVDEKGFGKIEFTATPASEYDDRGLARRVLKGEIVTNIGGEDQVLPVEYEYFVAQPVVKVSSEVVQQLYADCANELLIEVPALGNTYAPEFTVSNGQSIKGSSPGQVTIIPGASGSVTIGVSSGGNKIDDVSFDIKPVPAPTIVPTLSNGSALDQTQSQAIGSLTGLKVIAEPEPTFGRTMAKDANFEVTGGEVRLLRNDVPRQTIQISNGSSLAMRQLLESARAGDDIVIVVNQVTRTNFRGNKIPSSLNQVIRIGVK
MYWFRXDNANGVFINFQENVFNTKNPYSKIVYSQASDDFIGADGVFSQNFAKNWNFSFGFRSLTSADRFTNSNLKSWNLRSCIRYNIDSCTNIALSENYLDYSVGENGGVDYKSNIDLYDPIASIVLLNSFNESAIRNNLILDFNKVFGNDYNTAISSKLFFSYTDNKFNDGNYIFTKPLDSNQSFHYFDYYLGNSTVYEKKIKNFYESLGFDVYLSKVQQSELLAGEYKFNYSFFELIKYNFPKNIQVSFGTRFTQKFSRTAFSYGAKLEYKPDSDKEIFIDVSNSDRMPSLIEGDVKSENHLLGILGFSGKWKSIEANGQLFARQIKNPIIFFQSIDSTDTTQQYFSTGYKNSSMQNIFGLNFNIKLEPIKHIVFEGFAQLNYTKNDIANDKIYPTAYGGISCYYKVEVGRSDAQVGVEFEFMSAFKGYSYFPGYAGYYSNPYESNFQTNGLNLFARLKLGDAYVRAEFRNALGQNYYYVPFYPELGRHFRVIINWAFMN
MLEGLFKNKKQEEADEGDQVLILDILDEERTMLPPGFEIVSTTQDGKIIAKDREGHLWVIK
MTEKQIEEKGYARPEALVSTEWVAEHLGDENVRIVESDEDVLLYDVGHV
MILNSKKGQLSIEMVILILAILLSGTIFATYMTKNTGSSDEISDVKKQVFSGTSSSLVTISHSNGHFDPIATEDEEPDEGGEEPEEENNFDKIYAKVLNINPTSSDENNKFEATIYGGGTIELKKKGNPKGVTANGVFYNLENSQMEFNVTKVILRIKGDSTIIINDSIVFDKNNKKFTIECVEGGTCPIPVTIGMENGAGSYWLELDVDDVKVTSESSGSRKN
MIARVDIDEDQPDGQRLRGHIAELAGPCVLADREQPPSLRPPDAFRLRICAHDAHRDIGRGIEIDF
AGMAQGDVFGDNYGSRSRNEERGLTGNTGYSQGRSGSPWYADQDMGQRPQGGYGQHEYGTGSSTYGQMGQSYAGQNR
MAAGEKRCVVLPSFLIPIHWTTSPPFRPLPWKPVKEEPRRYMFSLIPRIEVCIWVKHEGTVATTAEVSSLINLLIHSLFPLLTMPIEFNYKACTIPYRFPSNNSRKPTPTKLSWTDLFYNSTPPSSDNCKTPPLIIKIILSFYLKIVFKALIVLVESREELSLIIPALDRDSPYNVYNPCNFLFFNLSIWQFPPAELRRETGDIPWSFLRICDGLDYLVPKYVFRKRAEFDASVPDAPTRPEKFAQRHTEILDDLNKDLESHGGPPDCILLCRLREQVLRELGFQDIFKKVKDEENAKAMSLFENVVDLNEILMMLFKMKSSGGKLMKKGLKTLSFNDKDKIKGKRMESALLTKAKQRIASTFCI
MADRSVHTVEVPLGDGGDDVVRVQIREVDESLVRVGRGGRAVARAERSLGQMLDTVRPVADSFVNRFRGMVNPPDEVTLEFGVSLSAEADVVIASTATAANFAVTLTWHRDENRSENREENPGDRTALNGDTP
MSVPSDMKSDKAASKEAGNCFDPIPEGLSTKGKSASNTRLKDLRITNLHIQTEEGNLDGVKKILEKDKSNLDFQDREGMTALMIAVKNKNKDMVARLLQFGPKLDIQDKAKRTALHWAAQDVRGDMLKQLLSEDDSAKTSGTMSTCKTADSEGASHGTESKVQESSTGSPSIESISHDEAGRGLVANIDLLDSKGRTPLYLAAESGNFSGVDLLLRRGADDRLPDERGMIPLDAAAVAGRKDVIKLLKDKWAPGELRDLAPAYYFLQNFVTSPPPQGPLSLGMIITSPTNMSSPLKKENPPPKNLTIHHRQLSGFRVTLAQAKTRQYGPCATSLGTRLIPMLSQAEHDILKVGAVEDRFFIPNPEYLQQSIKSVSETYPLFGPVYIVTGMKIARGVSFIPALATKTGLGLGGDAKANAGIKSKQEESKNPVFKEEFIFAVCLWKLSRRRPFRLYGASRSRYEPYNPGFHYITPQTASDRNSSILSSRRVPEPSDRNEVVNETRRN
MRDKRGVSVAAASAVALLVAVAVAIVGFSIYARNAAAVAGGFEKEAGSQAVKISERLTLVYWSRDGRIWLANDGTDPVTVIQIYVNAQLVWSGEQTIQPRQTAVIEIGSVGSSLAVKTSSGALHMLAKEWERGG
MYCPVYGCNSDSQYTSEIRFFRFPNDKSVDQQYRRKAWIEFSKRKAFKPSSSTRICSLHFAEDAYEQGQSPQFLKRIQCNETFRIQLKREALSTLNKPLIDPSTSKTRTYTERRQRTKGITDLLNPESSTSKADEEFYCTVELDALPSICTSEQTHEPEIFLHDSLLRTKSTQTTFKPFKPVSRSVRTQTLADATSTCFQTWKPVTRSIKTQTLGDTTSTFFHTDSEARPVNEVVDNMAYQGKSLNIEQIQTNYTATTATEED
MPDVVMRTGEVKMLLSDKQVMSYKGLNTEKLVIKISVTSLDEDYSAMKAVFLLAVISAAVASRSYGGYGRRYGGRGYGFGGGGGGGGVVVAVAATATTALAWALARCQHRDSDENYYISQIISQQLSRVHWTGGFRNGYHFNWPSRNPFSGLNWSQTGGNGYPQPDNREDGRSSVWLCSTSTKTASWQCRLPP
MYGLEYLLPLVFVTPLIATIIVVYGMRHLFYHRFISPIDGAFLNEPGQSLRNRLDSALIRLYLLASAGPLLALIPIVYGMGRMLITTEQNWLEWALYGAGSTVVVFALAGLMVATWQRVRRLKLGLSCELAVIDTLRSLDGRDAGGYAVYTSIDCPLEPMTAIVLTPQGVYTVTAKARLRPIHVGIHEPVVVQREQLQFPHMREHQPVREARSACRWLSHQLEAHMKTPVFVTGVVALPAWQVQGQASGEDVLVLGGHQLMQGLLTHIPPTILTPEQHDTLAHLLTQWAAGNVHFQDK
MHVKRDTIALLALGIGGLLASSLTAAGVVMGVPVLALGLSAVAASTADRVRSHRVRRAGPTAPRH
VAQGSGACQGGFLVQRCHGDYSRLRRQPTGGGVAAPEAVLELSWRNGLLEFAFPYMIQAVKEYTGKVDMLMLERKEQTANQEAAAKEQAAHEAQRNAYQTLMPLALPAPGMGGDQAYHSQAAAFGMPPDYGRPPF
MYLSKAITIHPNYIVAFENRGLCYFRLNLLKQAGDDWVKAAELLDGSNNFLKRNSYVFYNQGLNFGAKKQYERSVYPLFVASKMNSNDAKVWNDLAGAYFMTAQFNLSFDAFSKCLVLQPDNQNALGGMNAAGAIYKLEEKYLKDSTNLQVKNELALAYLSCGVEKKFFRIKL
MLEYFIVKYGYFALFAGSLLEGELVLIVAGFLAHLGLFNWPWVVAVALVGTIVSDQFYFYLGRKRGRQFLEKRPKWQLRLEKTQTLLDKHQNLILVGFRFLYQFRAIIPFAFGLSPMRTEKFLIWNIAGALAWTVLWTGGGYFFGNTLAALFTDFKRFQTEAVYGIIILALLIWLIYFLWKKLKFKKHV
MASVRFLFLLLLLPLGVIAAEVEVAVITLHNRPVSEILPILRPHLEPEGGISGIGNQLVISAPPATLARVRGILAEIDRPPRQLVISVRQEGAEEAAAGGAGVSGRVGSDDVRVTLPPTPSPPGVEVRASSGDDTLRARAWSSRDLRENRAMQQVRVLEGGSAHISMGISYPLPLRQVFLTPTGVVTGDAVVFRNLETGFRVSPTLSGDTVTLDISPRQEELLPGSGGVARVRQLSTRVSGRLGEWIPLGGDNLAEQSRRSGYTSQSTRDLRRDGRILLKVEEAR
MTSGRLSAFTDGIVAILITVMVLNLRIPKGPNWTDLFDMGFIFLTYLASFYLLAIYWNNHHHLFHLAERVSGRILWWNVVLLFFMSFIPFTTNWLSEFSGSKTPEIVYAVNNLFIDIIFNILSYEIFKSRNYKLKDMHWVWKGVFSILILMVGIVLTVLLPVAQISLVATILSMIPWVIPDKQIENYVNKN
MEDKKTGALKIDWFNLKVQFSPNVNLISKKNQSSADAEDIKESVIWFEIISNKIEKSECDTYCLEDDEIINTEPNNKSNPWYFDFCGETPSHDYRKKTLYETTPKVDEKADSKTPSLLEALKPAPELSLSAEPETTIEKKTSSLIKEFTKPATKSSPPPKPKASAKKTSPPPKPKASAKKTSPPPKSKSSPKKPISESTSVSETSTEKISITTEEQIKNLEEQLDSTRDIILSLDKRFNAGLFNLEEYLEKKNVLIKKIETFKSQIEKLKK
MINLNDMVLQSNDNFGNFWICFGDFLDIFYSTKTSYAERILAVEKELEFQ
MNKKDKPADLTPTEREELIILRAETAYLKTENGAIKKLIALRHKKEAALLKAKKRQLSRNLKKKDIT
MTIKIRELKQAAKRSGSVAIAKSFNEASDKKLKTAFLCHSHLDQELAKGLQIFLKEQGLELYIDWEDSTMPSEPNKATADQIKNKINESDLFFFLATNNSTRSRWCPWEIGVADQLKGYENIIIVPTMEDNGSWYGNEYLQLYKRLDEGTHQLTGLDMFGVFEAGTDKGKWIKYI
MRVAGAGMFTYQGDFRGVEPMSTGVRRVNSGPGVDA
MWSSMPAPWQACFEEAWEAYCSGSIPIGAAIADRHGTVISRGRNRMHEAEAPPDQICGTRLAHAEINALLQIRTADSGELKDLIIYTTTEPCVLCFGAIAMSGIRTVRYAECDLNRSGNAFIRDRNLDVRQAEHRLGEIQRVPRTDYVLRTMDAARAERFLDREGGDYPQAVELGRRWHASGRLAEAARRRVPIAAVVDEIAAELDRMQRAFFTGKGERGMDELRIVKATDGDVERLARMNQELIEDEQHENPMNVEQLAERMRGFLNTTYSAWLFTAGGDVKGTRWSTMRASRCICGTSSSAGIAGVKAGDARRSTCCSGSSGRT
MKVLVLSQQETHQVVLLLPRLITCMFWY
MIARKPPTITTGDILLFEVNNQQHNQSQLKDFLNFLKFCGKRGSLKFNHIEEKATLIKGLTLKENILLDTGIEITRDSDLFKILENRGQSYLCQLAKKITNLNLYPNEVDIETKKIAALIKTLASEAEYILLEKPEKYLSKANTELFLAALFQSMTQRKQILLLTSEKRNLWLSHISKVVTRSETGRFTLDAVLGKDDILNHGHLEFSMNSQTLKKAA
ARLHAHPRHGAGRHTAPVNNLSLKSQHYNAKLLKGVPDGQNPLGPVNRMRYFMQCFLRAHPGSNRDDMRGCGYESARGQA
MLRSVRTPEGATIRFEYDALGRRILKETHDTCHRYAWDGNVLLHEWSMTGGRRRVRRIGFVRSDGIFDFF
MINWSTCQAIERSADTLSGAWQFKNTRVPVKALFEDLESGATVDQFLEWFPGMNGDRCSPCLTLSKDYPSPRTNPKTRSYDVRLDSKMLPNYDDFLIKRFEQPDEVRTFEKGKFETVKIGSMTIGRASYEPGWKWSTHVGAATGAALCEVEHVGLVVSGCAACQMKDGRYYEMRAGDLFYIGPGHDSWVVGDEPYVSLHFLGAEHYAQRNR
MVEYEKLVDKISRDLIKNNRALVSTQMRIYELLEFISPFELVFNRLTDEEKKLIEGKYLLNLSNYQLADILHCSEKRVRTMKKRIILKIADWLGKHDAKELAI
MITNRCSRFLAVFGLVLLSGCALNRSEIVLPLPEANSNQYASTEQTIVIGEVIDRRVFEESPINPSTPSLGKGGASKASDQTKARAIGRKKNKYGYAIGDVLLENGQTVDSVVRSNLALALNESGYSVLPQSAVAAANSPVIDVYIDEFWGWLTPGLETTLNTRISTTLELRSSETQESILVRTRQIRPFATNAAWIELFEKALNDYRNEVISIAPDLLEE
AEVARAARPAATRRIAETNSVFNRTRSAMQEKKDNDHAARTEAVLQLRSDTDAALDRIKGSNERLYEEERRKKAAQDAEYTELLRRGENPYEVWRDRDMRARERRSEKEQTSRIREQKMALAQRMAADARYNRKRDDAELLARQYVQEHRAALGRHVTEARTAAYIKSRTKDGRDVVDPGGKMFKIAPSKVTTIRDASFGLGYNPHQDTDDKRAITDLVASKYGGRESAGYGEYARLVPKAEPHPDGIGLGSSRGPGSPAAANAAAAAAGPEAEGGEEFTSFLSPRLEPPGRALAAETGVLPRNSLQSVEDGMLLGGTAALEAAGTAEDSPSGPTAKGLGAARPLTKFEQQAMDKARQRQRDRLEKGVPQVAGGRTFKGSAFLFKPAVLEYLDFEVGQTYEAKVTVTNTSLTFNAFTTLALDLDVRDYFEVTYDKPGRMSAGMSCVITIKFTPQINEDLGTELRCRGKTGAFALPIRCRIRRMAPTVTPSLVDFETVVMGESETRYLTIRNDGALTMQYDLVDAFTGEPFGQPLPLANAADDGAPETAEAAAPSEERLVAQAFEEGRTSLSYNPGDPGPMRTAAGGVVQGYSEVRHAITFAPLQESLGAAGVQHRVLVRFRAKQRSSRSSNLITVKGELPLTVLGRSQEVPIYLREELQDFRCCVYGKLYRKQLVLCNRGKIAYKVLLSPPPMLDGVLAFSPDMGFIQPGSSFSVGMKFTPSPGLTRRVGRFAWDEQGLVAVPVKVTVPDQSIPVFFTLRSQLTVGDLVLSFENGATELDFGRVYVTQGASLRMVVCNPSMLPQKFGFVDLPRELEVQPADGFGVLLPGEEREVTVTFSPYAAVSHELSLQLRTNLLVHKRIRVRGQGVDCPVDVSHTVLRLSPTYPGDACIESIFLKNVTGETLRLRAAVPRPELSYLSISPMNMLLPPGAAGRVEVSYHPPKHEDPALLRSAPDPEAEAEAKAKAEAEAKAKAD
MEIPNEFKLLSSEVDWCEENYTVTPFIAEFWNTVSNAIFLIIPSNFIDQIQKLRGSSESASWLCLVIADNRRFGLDLLSQHAVTCRAIGR
MVVSSAGSPNFLWFAGALLTASVGCPGQGDLGVYTDTDVQQSGSGSSSGSTAGGSSPSTGSPDDAPSTGVSTVGSTGEPVTTESTTASESTGLATTSEDGTTGDTTGDGSATGGDPPLQCLEPDPSLLSYPVVYFDPWPGGDALDQEFDGDCTLIDVTEDLKLHFTLDCASATAMIDLAVAPEDFGVALQLDQSYRLRWVARAQPWASDWWFTLSTVEPAPTLLLAGMETQELLPAGMPDFFAPLTLAHDGSECGQATDCDDPWDPLLVEMSQGGPTVPVTHKTRTDVGDYRVVVNLAGRFRDLHTMARECLEWTDPVPQAFNMMMIHRGD
MNLSSIIHKNSSFNPLVIGTTLLLVVLLVFATLVFPNFTQQMLDWAKAAIFSHFSWFYILSFSIFLFFLIALSVSSLGNIKLGSNEEEPEFAFHSWLAMLFAAGMGWG
MASGDEDIDANPASSERHKTTASSCLAEKNVRIHTAKTRPKDKKERHLKHDDCCRYCERYCDDKITEIKRREIEAWRHDVPETMDRNVPKNARRKARPRLKFMRREPPGLPDSEPGFRRIRTPKELRKTATNVVGGSEKKNRKRHGVAAAVAAERIALKMMDLSLDDPGNVAANETGLEPEERRFVETIESPVVMNRTFSRDSLDTSSTSELDRSSEPQSQHSNRSCSNELLNVITIDDVIKHHRDQLIRSNDIRLLDTNQKRARNIFPPKSGHRASGAVGPSSGEPDREINVVSTSQEFRLHPHSARHSRPISKSSIAQTESTALDIGKLASSVSCRSLMNRPDPEYGQDADGQHRSVNIIMRAVDEIVGDRCVYGEKVTGRAHDSVRDYRKVMTKPESRRFRKMNRRLRELIQEDYMFDSRMAARLRNYNQHRDYFGSEPYRGGARARNVGYQSTMTMSSKTPISGQSYNRYNSHSAASNVRHLERNSNSGQFLEMLTDYRDPEIPVI
MIVSDPGGQRAPGRTRGLLTEDPGPGSGYPKVPVYPEGPALSLVLGDYAGGDKNDDAIRGPDRSSSPQVFDMPCPF
MKLKVEQIFRDKNTDKVYQIGDVIEVDEARGEELLANSLNLVSVVADEKPKKTTAKKTVKKS
MTSQLNGSVLKAFKTLDLFAAGKIELTAQETADALGINMVNAHRFLHTLVHAGALLDQKRDTLFNALMQAAENAQRALYGKD
MKSPNEGLTNHYKKAHRLLEELQARPEVELLCMLALTVGMTSDMVVYNVPRGRGQDEVVGFAIAGKKVKQKRGGTRAALLAIRMLWFLEPDRFTWNKAQGPEKKQRRRRCTARSTCVRRQTNTR
MAMCQRSMTMGGLDNQAGSLVQHPDVLDAVSALVTVLDPDGRIVYLNRACREATGYTLEEAKGEYVWNLFLSPEDPGSVDTFVQEWEAGRYPKEYTSRLTAGDGGCHLIAWVNTILYGETGKIEYVVSTGTDLTAHMQVQEALSTEQRQLFSLLDGLPVSVHLQAPDHSLRFANRHFRDLFGDLERRRCYELLRDGNKPCVDCPTFRVFESGQPQQWEWTRPNGRIYEVYDYPFQDLNGSPLVLKALVDITDLRRTEEERQRLQAQTALTESLASLATMSAGIAHEINQPLNALKVTADALLYWYEEKGRPPDEEKVVRSLRRISEQAARIDEIIKHLRTFVRSEQVPKLKPCDFNNAVNGALSILGGQLSSHGIEVIKVLDKSLPKVLGDPSRLEEVIVNLLANAMHALDAVDRAVKEILCRTRRFDGKVGLEISDNATGIRDEIRDKVFDPFFTTKEPGQGMGVGLSLVQAVVTRFGGVIHVSNNEKGGATFTVELPISQN
MNTNEILYIDDEQENLVLLKYLFMDYFTIYTAEKYEDALVILSEHPDINIILCDQRMPGIKGIDVLEEFASLYPDKLRILITAYSDIDVITDAINRAKIYHYITKPIDDNNFKIIIQNALNLIEERKKNYMLDEDLSITKDRYKSIIENSHEIILEFDSHFKIQYANPMAEKIMQKRLSDIFEKTPNEAGFSEDFCSKIEERLLLVKSKALIHNEELNYLDKDWDVLVIPEFDKNKNINKFLVFIRDITNIKRYEYEKQIKEKMILKAQRLTSLGTLSSAIAHEIKQPLQLVKVLTDTIILRIKKQSNRSEEDEKNLNDLYELLTGVNTINTIINSMKTIINTNKTDIVINKFDVSTLIEKIINMYDQRMSNHSITFIKNCNAHAVFVNCSDTLIQQLIGNILNNAIEALDEVENTNKKIVFTTTILNNKLIIDIEDNGPGINAEIRNTLFNPLVTTKIKGDSMGMGLHIVQTIVSSINGSIEIIDNCIQGSHFRITIPVE
MASQQHIEKMQLRQNFRNLWHSDLFSTIQADTPYCCFSLWCAPCVSYLLRKRALYDDLSRYTCCAGYMPCSGRCGESKCPELCLGVEVVCCFGNSVASTRFLLQDEFNIQTTQCDNCIIAFMFVLQRLACIFSIIALIVGNSEIRQASQLLSCLADFVYCTVCACMQT
MKKTLTLAFFGALLSFGMQAQEYVQTTTNRAYENKSFRTSGKFSIGPSDTQVVGGSPLNIQTNWGNWMALVDGYKKDVYAFHNPNNGGRMELFIHDGVTNANNFGVFTIRRDGNIGIGTGAPAEKLHVNGAIRGNISGGALRIKSAHGYIEVGPRNTSWAHIYTDRPKIIFNKDVYTTSNAFSSYDNDLIFKTKGTERLRIDDTNGNIGIGTNAPKSKLHVNGDMFMNAGEGFRIYGDSNYFGQYLDGIIFEMQDTNATNGNTDGGFVFKGHTPKDGISKDWMVIKTGGLVGIGTNTPDAKLAVNGNIHTKEVKVDLVGWADYVFNADYQLPTLQQVEDHIKTKGHLINIPSEAEVVKNGIHLGEMNAKLLEKIEELTLYTISQQKEIDRLKMVEDQNQKLAQRLAKIEALLENTNNNDAHGQEK
MKKTLLSLVVCLFSFSAFPQSTTVDPNQGVSVPQFTTTFINGLTNQPKGTIVFDKDLNVIKYWNGTAWVNLTAGGGSGIGWAVNGNHINTTNTGNVGIGVNSPTAKLTIAGTAPNGSLAISGTSHVSHFNYPASGLENTFIRGGNAGSHVLINDSQGLGNVGIGTNLPTSKLDVTGQGRFTNLPVSYNNTTQEYTNGALVLSNTAYPFGFMRLDGNVVQAFSINNLTYKSTPDKLVLNPYGGNVGIGTSLPLYKFHLVSSGEQLMKTEATNGLVLFNDRTTDGQYGFLRAWTNNPFNPAGYYGLEIGVPPALNSEPQKRLLFTTNYTIRMAIMENGNIGIGVHDPSAYKLAVNGSIRAKEVVVETNWADYVFDKQFRLKPLSEVERFIEENKHLPDIPSAAEIQKNGAKLSELSTKMMQKIEELTLYSIEQNKRIEKLQAELTQLKAINR
MCRSFTIGHTNCGHNVHHPALCYFAQPRRPVLPCQGWRDTYREYYQAEDGDCPVCARENTRRVVREPWTNRNNGGDVPIRLRRDSLPQRYVPTPPPVYTPRYQHGSGYGSGYGYGDEGRRAADPDPSQREVRRRVADWAEHVPPATHVSAPASSRREVKLYRPREHNHSHRARSLSSHHPASASGAESHVSHSSRHRSNIYSPRSERHPRSRTEDNSHSSYYPHRSEQEPRRRSGYPPPLPPAPDTSLEISQTTTYIDEDGSQVTETSTWISVEENHLEYAEEQSRPVGSSHAASSRAASSHRESNSHSEGGHGTFYRYP
MQTEAITTPSPFRLTSYHHLIFLCHLPPPQFSISLVLHLAPSTCHVFTPANIVRPRDLESHHRRRGDPRITNTRSPISILLLFIFSNPKNTGVELKPRRRELLFLYESDEAATTLNVGKL
MQEELQEEQDLLQEQVPQERVLQVLLVLVLQVPEVLLVTLLVMPLATLQGLCLVVFQMQPLLLLNLALLPR
MTIIKPNSGFYRNTTAPHTHRQGGAVETTRRFRPSSTPTGILSPRRFRLQSLQPQPPPQPRRDQSNRDHSPPRFILEPTSRSRTRPSSMPSSSSRPQSQMLPPMHLPQRQMLLSSSSSSSSSSMPTHELQILHRRLLDLQSLQQYHEMQQDRLRRAFSEPDVRRWADTAHRHSIEDHPDYDTQRQQQVDNTRSMTQIREDPFVLDIEAIDNRIDTVINTIGMEHTDDDGDYMSLTTVEHSRHLEMAQREREEAAKLYDEAARRLRQAQEHEQAILFQREMQALNNQSKDSVTTSDGQVLFIHKETQAVLANTESCLEDPQEHPQHQQQHQQDQQQEEQQQQEHQQQQPNLVSPPVQVRHFLPDPGDRSSPRTATTLDGRGSDSSNNTTSPREIPHGNRTDETHGPFRRYPASPRVKVKTEEADENSRNMTDDLGKDIDKEERPFYEEYDVHVEVPSSMGSEAGDRDGRDEERINQDVSSQSRVRSASQRWRAPTPLPVKPKLPRSESPSKPLLPLTATSATSATDRYSDTRPNPVQSRSQAARSFIPRPRPYSQTRSQFHARLSALYRSRPILPTSSSRPQASRTRPPGSPHLPTDCNMHIDHVENMYYTPQDQLSSQWHIDRVENFYYYPHTQHSSPMSQRPRRSGSVVSSPAMSEMAHAVSNTTEEDSEMSSMDHPRKESPTL
MILMLFAALLPAVLLLLYIWNKDTQKEPSYMLIKAVTWGIGIIIPVIMVESGIKMLLFGAGGAPTSLIGTTAMAFFVAAIPEECFKLLLHQNAQARPRQNPGIGREG
MWKAGFVCFCVYTACLLDVCYAKIGYEGSQVTFKAIYTPDYKTDTKYFGKHGVFFFEKLVETSHPNRCVKQGRFTLFDNTSACVLTATILNLVLEDSGSYSFGVDITLMPDPTGEEIQLTVIRGEAQRPITPAPTPKVIISSTSSPVNITAQNWDRTRDQESYVRFVTVLSLVCVCTLLVVCPFGLFKVLKYATASKLSVSVSYHRKTTAQVVDEYVKMSSVAFTNPPTARSDKGSVIPELHRPANTEPDPSTDACCTDAVQPDLDQIYTEMNPDVVQESIYQSIDQTTD
MANTASPNDTIVISSGQVLSLLGALIALKFLHAVAFIFHLNKSRKRSLKSPIIRAIVRREQFIIDVFLSIMPESVFRSPTRSDTAEGAQVPSRDGHLSFPTLTHSGGDLNSISTKQSQTSSHIHNPLVRVAPVRIPAIRISPCGSDYRSVHLSSTNTGVTSSGSGRDSLQLPRAREEDGDLLLRENLTLVRSRSDLTARSNSRRLGRIGRLWSVDSFVVPSTSDSHAILEMEEGLRRSRSVESFPGRFPISDGVDAGSMRGRGATTVRPFTDL
MYSSSAVGKICCCSISLCVSCISS
MTEQRRVCLGPQPVIIGRASRTSPERPSKADNFYFLNSHVSKNHAVVFKDGENVYIRDTNSTFGTIVNGVLLGHNVRHELKSGDTLGLVVNRKAAVVRGWRDDSAPGANHSLSDYGCENSIHLQFDVTIEGDELTLTSKKNADWMRKASRKEEHDLENSPDESNEIEEVSEDDVETISATKEEEHTVEDTMEPTMEHTVENPLEQEVVSDDDAPEEVKFVKEVVLESSEPTEDQTKKDISEGAVKFSAEENPNEIARYLSSDAQLASSDEENYEDHEEQSDEEIVASDDADEFDAIDSKVYQEFDFSNSNNEDFDDCSLPVLLNDDDADCLGDETVSEDDSDSDKISSISEASDLCSDEQDISSPITIESCSCGVKRTFDEAELENALDTNAVTDANEHSPQPDTKKTKSITSTFLKEIGKGALYVMGTVVALIAYGRSLENQ
MDKTDVEMEDAENKVHDVQNTVVESIDSSLKPEGPAVAGQQSTESLVECQENAKTGTEDQNNHPESGDKSPIPAENGNGEQNSNVVEGEGNVNDDTMNQNDSKAVVEDQRNAASETVTIGQPETQGNTAVDDQNNATGGTEGETVIEEKKEGVPDADVALKKEEPIGNVAHHDTRGVDMQVHEELPANEVGDSGKEVKEAHQAENSIKDVHQNGIDMMVDEQKKVEHKTNTASVISDRDDRSNELSETKDDVKNTAIAKMPEPATPNLSVKCDTANTGQHTGEASNKIFDESKMADDEDEDEDWNDDGSPEDQAAFMRDLEIFYRERAIEFKPPKFYGFLLIA
MKRRFGALSLAVAATLFFGQQAEASSHDVETELLRDDSEAQDKCPSACSTQSEYWTGQWRTAAPEKTSSCHCALPPFEHLVIDAGSSGTRLLLYEVARGPQGCQVTPSKKQEQKKDSTLSALADMDVKKAEQELGERITALAPTRVVLLGTGGFRQKGMQGERKMRELRGRLARHVQQVEIISGKTEGELAWLATRPAEKTPFSTLEIGGVSVQFATGETGGDIQSVSDDAVGIHVLSEELGKDKANCLKEEENFTRCMAAVGQRLESSQLVRKASKLIPEGQHRPVYIIGKELDALFQPGKELSLANLEGIGKKTCKDARTGDDKAKARCFNLAYLSALLKAVKVESIRKGADSWTRAAAVHADYFPNCR
MATNSFNLIDEKWIPTVDKGLVSLKQVFSDREIKQLGGSVIEKLSIFKLLLAIAQSAYTPKDDLDWRNYSKENFVSKVNSYLDKWHDNFFLYSDENPFLQFPILSSFKTELKSFSTVLPQVASGNNVLTLESEIAFDLSDADKARMIVAQMNFAFGGKQTDNSFSLSENYVKSKSGKVGIGLSAESYLHSYFFATSLIESIRLNLLTIKDITSLNSFPSGLGTAPWEKMPTGEDDDIAKEYKQSLLGRLVSLGRFCLLKEDGLYLTEGLFFQDHRDGVCDPSVTLYKSKNIIRALKSDPEKSPWRSLPSILSFISLSKDKVFCAQLQLCAKRICDYLEPIAIWSAGQKISSKCGEFKVSGKDDSVDSYIWLDKGDILSEAWYSLYAKELGDLEKLSSCLDASIEGYLIDMKYTKIKEKNYKKKLEAQVKKFTFSFWENIEPFSQEISDRCCDLEGRKMLRHKFAQIAKKIYDEACPCNTTKQLKAWSRHQIFTGKYEQIGE
MIGFQIITARPVGWDMKKYRLYRRYQNAVLHDYLQGKHPNQAMHSYLLNAAPYPENKIKQVVNKVLRPNTSAPADPESQARKKHNQAKIAKAAAWIILAAIASAILISYFS
MPIRLSMSAGIWIVGYGHTGRGIYEGQYISVGQSNALLRQDTMRRLNQVLRVFPILASSGENQILVILFLIVASADTRIVRLENVLMKNISF
MITNTSMIDSEYSPTLTDTIEFANNHRTVDGEQWLTLSKDEWVYLFNTRTTTNDIRYSKATVHDVNGLVLLPDTWNGTYTFANNNTSDASFAEISNDDWEILESEGAVFLPIAGCRLGMSIYTVGSYGIYWSSTAYSETLAYYLGFDSGDVCPADYDGGRFMGLSVRLVRCL
MTFSVFASAILFLTWGALAAPTQPQLSRRGIAIPPGYSLIFFQDDFSPSFLAGFHPSPFQCVIATGTSWKGEKLRYLVDGRPMVVLTGAQIGDEKAWTAVTRMPNHFDFECGSGREFSE
MVIIRIFLLFFCLLGTSQAMAAVTVSEHNALPPLTVSITTASMPTELCTAHPTEQSDPASSQWHTNKDFNHDVHVNGVSLRVAHGAINEDESWPSYALVYEFAILPYPLLALGYVEPFTAQLNWSLYATFSKSRLAAWKDSNLQYIPQQYAPFFA
MMLSPHASNNIQSTQDQQQQTKHQQSLLQRSQTYLSPSDYLTVKSQLPKDLGNPARFPFGPADPPFGLGSALAMQPDLGADPKSSSHGISGTTSSALNTADMQFSGYLLNGQPELARAYYSGQNGGYPYADRRRFHDSTAWGWPSNGQHWTCRGPRLLEPYDGCPGFSSLAISRVPSGLWAASLAISAHSHQYGSVSDIISGANTIVSQLTLGWKYPDPRLQFVCVAAQQGESQI
MAISKNTKPCSETISFAPPAMPTWVDKVNDDSGSDIRKNMDKTTGIKYLIKGVSDAYKDNTNLATIDFRIKNN
MRSSRLILLLFVATILLNVVAENPKFAASTSKEKVKVFILAGQSNMEGRGFPEPLTWQVGQEKYGAIYSLH
MDTKTLTCPHAPIWRIELDRREVFPDDPGAGTPAMLYGPRDSSATFFCALETEEIGTGDGSYIDVPRSVLDWMSAQEFVVAAFLFVEVAHD
FHLQILILVHFISFYPEIIPIQHSPPVRQTRSQARPQAVLTPTPRAPLDGTPAVPQLRDKLDRGLILEGEAPSRMEGRGPRSSPGEDGEEEEKNSVEEEESDGTEGVPAPVGASQGTEGPTLSQSNHRVSHQSEPSLLDIMQQMTWIIANPLKHQGHQPSRIHL
MHLTFNMEQACARLRNEINQGTVSTERRAEIGGYVVGLSVMLARVASSISLPDDKKKRVLNTFLTLMILRETLDRTVPIRGTRARESSTQAVLG
MRSILFLSVLLLIGHKITAQGVSCNTSGLNVPEYIPFAGKWNVGDSKDYIGVWRTGNTFRYGMLDGTGNATVNSVTKSMGSYKDIPLALDFYGDERDEMVLYNPNNNTFYFYSDIYAGKVEYSKTIGNCGNVPITGNWDGIGKDGFGVYMPDTRQFWFYQDYNSASPFKQIQIDNYGDVPLTGDWDGDGDDEFAMYNSSSRELHFFTNLDSSTPEMSLVVGNSGFTPITGDWDNDGDDEFAFFIKKTDGTYGFWFYNQLTNQATVYKWWQFNQINNISGGKQIFYTDKVPHTDFNGNLLTQYDENLSVFPKGIYNIPDSAIQTAYNAGFNLGFFWPTYYPIDDQDISTLDALNNKFKLIPYLKKLGGKPFTGKFSGSRDLVGICSDEDNLVAYDSDGDNIFDKQLKLGNSGDTRFSGDWNGDGLDDIAIYQSDSIKFFKLNRVGLGGVSAVYNEEVMFMSGDWKGIGRDGYAIYIPSTRQIVFYNDKDSTTPLMTRTVGNSGNIPVAGDWNGDGIDGFAMYMPDLRQFWFFQNYDSSQPFLHVTVGDYYDIPMSGDWNGDGKDGIGIYKTTDQRERHEFWLYDDIYNLNGSSWSVMEDNPMLTLNSPEHVFALHTFDEPTGRKYTLEEVQGFHDAYAQVSPYLLFLVDDHVDNTDERYPKWTETCKIGDAIAHDVYPGHNKESKDITNLSRLATVISDTREITNEDRPNWYVAQAFQELTDKNTFHKPSAQQLEAMIFTSIVHGATGFFDFSYHNGIFNTLTGMSSYGYTDLWNKAKVINSQIDYLAPYILSNTPSAGEHGYQIFAKETPQYDAAPIRTLLKRNPAGNDIIIAVNITSQPLNAVISLPNNLAPGDRLITKDFSVQQNTLAGGINDYFAPFDVHVYELSASTGSVGLRTGYSDDESRLIEKIGVDHMRVYPNPSASGFYFENVDGAIEKITIRNIKGELIKIIDLSMSDSSDLYYWNAVNHDGVRVNHGMYFYQIMKNNGGIQTGKLIVK
MRKDFCMCSKSEFHLCSVPPTQVEIKRGFWEDVDHVTRISSSDTIEFLRAANSGVYTDVDLSPWDMESEAFPNRIVIGLVDADAFNGTYTKNPFNLKNYDITTMGLAVNGERTYLENHY
MSRAKHIGKIVLTMPPRWNPEGTVLITGGTGGLGRELARHLVTARGARRLLLVSRRGIDAEGAVELRDELTAAGAVVDITACDMADREAAETLLAGIDTAHPLTAVVHAAGVLDDGVVTSLSAERISDVLRPKVDAAWHLHELTRD
MDLIDFFIYLADIMLLLGIVLAIVLPLIKSLDDPGSLVKTGIGFVAIAGILVVSYLISDGEVSAKFAADPFNLTPEMSKFIGGCLLATYLLGIVSLVAIVYAEINKAVK
MLLYLSLQFMLSGAEEEYDKGAYLTSLISKIARKGLDAAAHDQQSMKGLVCKLYMFTIFFLQLITSLDIWIVIYLATGKFEIGLLWSQLFSIRSHRVSSGGKYVSVNLGPVRVVSREQDY
MVLVRGAREDEVPRLVKIGLAAWEQAVVGFADVTKMRTTAEYSFGSFLREGWPRILVVEAGGASVGWAARENGDVEISDLWIMPDHQRQGLGSALIDALRSDIEGTGLTEMTARTHAGNEPAIAFFRHHGFHVAWFSTAYLARLDRDVEQIGLSLTLSKGEGAVNL
MGAPTAMAADVSADGATSPDNIAKALDYLDQINKDVYGTKRTPLSEQQIADAKTLSKLRNEYVKKLVSRLIT
MFKRAPKPADEETNADEEQKLSTSDENLSEANTATEKTGGLAGFFKKSPKPAPRSVATQDPLSRQLSASCDSLTDAGKEAALANSQLSASNDNLLEASSTPKEKKVVFSGITGMFRRTPKTEEQQEDEDMEAPAGGGLRHKRTIKKKRRVVSFRVKKTLPNIPKLGLASQTSDEMPCIEETLELQEMSPAQESTVEVQPVEMAAYPTEENPVEPEPEEDELLEWWNTVKGWKEWNETSDFRAEDEEMAMEQAADRVYMAARLFVRLFNQRGASLQHRILELLAMADAADEFHKKTVSAAVGGGVASVAGSVTTITGLILAPFTFGASIIVTAVGIGVATAGSITSATAKITDTVHSNMDRKKMEKMIQGYQEEIKVLRECMEFVQKGLDTLQEWDFEKYAQSAAKKAMNHNIKHVMKEGGRAGKALMINTNQLVSTVQVLGRAGGIAKAAQAISVTTGVMSALFLALDVFFLAKDSHELRKGAKTKFATKIREVCKDLQDGLLELNRVKTQLQKTMDGIEVEEFEEVEEVEVEVEDDLVSDPKKLAELEQELDLLEEKVDKKVVEVEKKGKEMEKENSKIKKVKKEEKSI
MIDFYFAGVIAFYKLAVVEGMSMRALIAYRFIFATACITPLAFIFERIIQELNLWSTNINIVKHGGHHHTSTKHAAVGDQVLGSLLALASCLTFAIWYIIHVSFFLYIIIFIRCIQYVH
MKLGLSRVYDLCVRFC
MVVSMKNVAGMNVELTVEERNLLSVAYKNVIGARRASWRIISSIEQREENKGGEEKLKMIQDYRQTVRKHSIAVLTNLFKPKISDLTEKDSPDSASYLRPFMLKKELKDICGDILDALERHLLPSAVMGESKVFYNKMKGDYHRYLAEFATGNNRKEAAENSLVAYKTATDLAMLELPPTHPIRLGLALNFSVFYYEILNSPDAPAELDTLNEDSYKDSTLIMQLLREEQNKEALQDVEDEAHTLNPLPVPHLLQQLRPPSSSSPDLQSYSSSSPPPTTQTLPHLPPPDLNPLLSSPPPTTQTLPHLPLQPRSSSCSSPPPTTQTLPHLPPPELQSYSSSSPPPTTQTSLTLSRPSYSSPSRPSTLLISSFLHLPDPPSDSSRPSTLLIISSFLTPQTLALTLPDPQPSSSPPSSLLQTLPLTPPDPQPSSSSPLPHSSRPSLSLFQTLNPPHLLLPHSSRPSTLLISSFLTPPDPPSHSSRPSPSSLLLLLHLPDPQPSSSPPSSIFQTPPLTPPDPQPSSSPPPPPPPLPPPPPLQLLDPAGPQS
MLSENFIEHLNESLGRIFFQESQNYIKLLQDLGLSQEKNEDFIYFWSTYSDEIYGKFGYLMDFCMDIEDLETSETFRLRQAYNLPHQYLSFMGEDIEDYLFYDIKSDKVYLVEADGLNDFIEHQQAEKEWESFLAFIQDFLGYIEP
MKKVLLIILLFTTAMAYAQDGAIPSGQDGKVSILKRLTTRIDLFSDVWKGGNDTIKPGKINPGISFYITIDNPLGEKKTPWSYSYGLGLTSENFYNDNFIGYRKNASGVYETYYYKIPVKTTDNLATVSYKKNKQVFTYLDAPIEFRYHKGGFRAAAGMKFGLLLGAHTKYVGDDVNDKLGNLKFKQATTRNMDATRYGATFSIGYGILLFNGYYQLSKVYKDGLGPDIYPISLGISLRPFK
MVEYTAQQLEALDSVVLFEGEGLKAGVLGLVKNERYFTITLKEIRWSIKRGEPPVRAISWKDTVSFSICTWKELFGRPVTLFMKTKVRNNKEYLLAMPDEKTAKRWEGAMTAGRDAYNLFKKVPFPDDIREWPIPLFYGLAGSPSLYSLKTTMKDREIASAHTPDGRSLVYLACQYCVPSTVQCLALNFAPHVDPNEYGPDGKAPIHLCVEDKHNWCLTRLLGWSDVVYDRLDANGNDLVALVMEEGDTDVIQTVKKQLIHAYNERFPLRQVEMNVNGRLVTVEQPDPEPVEDRPEVGLCGKCARQFRGCCVRCSRYIPVRGYGWAWACNSCEGNSDTCGRCDGSIDDMDTAVRAKVCRRCKRKSDQCSRCTHD
MPYATLCDQITIATPAPAVWNALVDRDKSRIWAGADFATDWQPGSSLVLTPLIVGKKSADKGEVLRAIAPDILSYRVLPRVSGLPDLPENYSLITIRLAETAGQTRLEVTHSVPASPVRRGKNFEIGPDSGRNHVAFYWRSTLPLLRDLVENRDTMALRIARHAARQ
MEFRLDSFRRIKMAQAWIFAVALFLMQLLTGTNLDFALLVLAFVIFTAAAVNRAGGPLTVGGFGIAMLGLKIVIISQWAKIFFGQPGDSYLDVPVLTIGVLTAGMISIWLAGLTAGLFIGQRKALKPETSPEILLGLSVTVYVIGLGSYLYVMFHGYDQAAGEISVGGITGLLRQIGFIYPVSTISAVAYTIIASGHKRSISPWATIPLATQFFFGVLGTSKQMMFEPFLLYLLTCLAFNYKFRPRHIISLSLIFLAMVTVLFPFAQIGRAMTRDNDPQQNLALTNIFIRDIFLNKENLEEVEWCIEEVAYKKQRFLYYGRDMGLLDRMSLIEQNDELIRAVGEQGFSGWHTVIHGFKMLPPRLLYPEKPIYNTANYFGHKIETLADEDFTTQIAMGIIAEAYDAFGWLGVIIIPYLIMAAFILLFNTLSGTMERNIWTIFLMGIFHHNLVEATVSSITLYIFQFPLLLLAMYFLLMKTVYVMLPLWERLQSFGPFAVRPSGRRWYLASFA
MVTITAPSRTAFDSKSPLLLPMPYFSQRDSCTGQGDRMCFSSSCAMAAEFLKPGCLAGAGQPDDRYLALVQCFGDTTNAHAQVAALERLGIQATFRTDGRIEQLIAQLRLGFPIPVGWLHQGPVSAPPWRRPLEPGGGLGSGYPAGGDPRPLWRG
MRRLNFLTIISLFLLSSIVHAEPMLNGIASHQDLGKEQFIGALFAESITNNADTLLSANQPMRMELKILSSEGISARRFSRMWIDGMAINNNPEILTKQAPNIVKFDSLFKGRVISGDHIVFQLTRGQGVEVSINSIVLGNIKDDAFFSLLLSSWIGKIPLSSEFKDNMLKVGDVGATLRARFDQIKPASYRSGEIYAWAGTAQPQSSAASSAAKSSIPSIAVNIPPTTTSIDLPPLAPMNDTSSSSSAPSTTTKTTASASSKPKVKDDDNDDSPAFTTESLLARQFYVKEAIKLINKKVRYPTTASQKGQEGSVRITVTLNRQGEIIDIVASSPSEYSALTKEALAAIKRAAPFPALPNTVVGDTFEFAAPIRFTLLQKIKK
ATYASAATNVELESSKLLFGEIPLTSATTIDGRSTRQTSAICILILSTSSVFVRFWLNDPCRENLLNHLDSDDLVNLRLVSHDFSERAALHLFESITSTFRTSTFSKPARIETLSRIGRHVKTFTFRMLHTPETFLPQIVNPQTGEQMKFNYQPQVGSTQKGRARDKKSKYGSWEMQDLLLKQYPPLFHAATNVPAFVSAFFVLTNLTHLKISCPGFNTAHRNSRSTVDYALISIRIAVERGSLHSLSSLSLHPLYPGGLLYIYPVRSFGSTPSSAEQWGQVRRLSICMDFNPSSSLRIRPQSLEHLRILQAYLQAFSRTLTCLSFRWEGSRGPSPLSLDTEPCMFSVQDECVHPSMRGCTSGLPTLIFPRLRYMNLKNVVMDAGQI
MPCRRRTADGSPAAAPRLFHHRPLPPFLLLRVLFVVVLSLPGGVAQGLTPVGDVKDHPVAPPTRGKNVGTQSTETGAPAGTAPPPEDAGRALNLDSMPQEKMQSLFNWAIENADPQKLREMAAAAARASAEKHGAAGGRAATATAAEAASAAAASHWTADASSAAATEAGLASLGVLPDASTASKVQPGQRWTQEEVLKKRADIRELLDMLSMNPTEQSYIELATDMYLNKTLAVSQRLVALRELEDLVGPVDNANDLHVLGALVPLVATAVDVKEDHEVGAAAAGVLATAMSNNPKVQALVHAWRPPTLNDIPAVSAAGAAGAETDAGVAAAGSMGAAARAEWTGPAEETAAGKNLTSQDSSTDNDLKSRKAAAANVKNLGVYGNIDVTTPVMPTAGVEARLGAIAGDPAIPAARRSKALFALSAMVRNTLECRRSFLAAGGRSTVEALLRPETPAGVRKKALVLVTDFWILPDVAGGAVYAEEELALATTVMPHVVEMLGRGLPDTREKAMAALRAALVGDGTGAQGRHHVAAADADADAQGRAAASAAVVSAANKHGAVSALFRLQAFFVAEAKEDPEVEDYMTDMAQEAAALAEMLQARGGSKDEL
MRQKNTHKIKSVKRVLIAYKNRDSSAQRCQDNFYPETERIIIQQKLSYNDKLLLRVVIPRTNLWTIYLVRQDNIGIKMFFEISSEEDSTSAPHNGSEIQTHVHDFYVLMMHTGLTPQYDFARPDIVFRYYEDTDNFAIYFVKRPLCHMFDVREIIDGKPPLTLNPIYYEDSDTLKIYFVDYMSPTSTLQNNYVETELRMSNWNGIIRVELFVFCFVMPK
MDLVEKLMAGNEKACARCLSLVENQREGYLDLLRAIRPHGKGAYRVGFTGPAGRSKSKLLADLLEEYLKEDKKIGLVLMGPSSPRTGGAFLGNRGYFSQFAQDNRVFVRSVASRGHQGGISYALAGMVEILDAYGCDLILVNGLGGPLDTDLASLVDCLVDVVAPCLGQDMDMLNAGSMELAQLFFVNQGDGDQGEKTRLDIEMMLDLQEDREDRPLVLNFKDQGIGALKEALDKYQDHLVDRGKRPRQKLKQEVLEVQGYMDRYLKEDLARVICQEQGAIEKALEEGEDPLSLGEGLLKKYFK
MTQRPLAGNNVIDRRGLGRMLSRIMNASVDEALIGLVDSSDRTARRVGFTGAPGAGKSTLISRYAKGCLEQGNKTGILAIDPTSPVSGGALLGDRIRMDAVADDARLFIRSVPSRNAHDGLCDNAPDLLLAMEQFGFDDIVLETVGVGQVEYSVKTLVDTLVLVLMPESGDAIQAMKAGILESADIIVINKADLPGAERSHAEISVILGHRPRTADTWSTQLIMASDIDGRGIGQLSDTIIQHRAWLSTHRDHDDMRRKRRAYHLHSLIARRAHEVMHQMGDDIINQDLVGAFRQLASLVAPVDRG
MKDVASLIERFRAGDPRALARVISYLENEEPVVEHIMEQIYPLTGQAYIVGITGSPGAGKSSLVDCLTTLLRQKGKTVGIVAVDPTSPFTGGALLGDRIRMQNHATDRGVFIRSMGTRGSLGGLAHTTGEVIKAMDAFGFSWIIVETVGVGQAELDIMHLADTTVVVLTPGAGDAIQTIKAGIMEIADVFAINKCDLPGANKIAAEVEMMLDMQGDRLTWRPPVVKTSTLDGRGVAELLAAIESHRQYLLQDKTLGERRLFRARNETLELAQYLWQRIITQELDYINPILDAVARREKDPYRGAREITRYLLEKYHQKLVSEIEIDTKEGKVGV
MNIFKEIISGNKYALSKGITLLESSLEKDKIKAKKLINKCLSLKEKKTIRIGVTGVPGVGKSTFINTFGQYLIEKGKKVAVLAIDPSSEESKGSILGDKTRMYELSKNKNSFIRPSPNNGKLGGLSPMTRENILLCESAGYDIVLIETVGVGQNETHIKHIIDVSILLMIPGAGDEIQGIKRGIMELADIIVVNKADGNNLVSAKKALQQYKNTSLLINNQNKWKVKTFLCSSTQNTGFNDIYKSLIDYIKYTTQNNLFNLKRINQNIFWLHYEIRSEFGNKKFNELKENKNLYKLEEKIKTSRYKRINMAEIL
MILRNPQALWLLLLAPLIVALWRWRGRRVVPGALALRLGIVTLLVLAVADPLLGQRPPAPGPLVIVADQSDSLTDAGKEALRQRANQLAAQAGARARVLFFGADVIAPSAPDDVAAPDGSATDIAGALRAARALLGAGG
MVMEEDIIIEGEPIYYAHTLSTKFKELRFIPLSDLHDGNPLSSMRHFTRTISELSKPNTYGALNGDMLEAVIKGSKGDIFKQQKTPQEQAERVVKKLTPYKKKLLGMTMGNHESRIYRETGIDLCRWMARELGIPYRPEGIILKISFGSGNESHQDRPYTYWIYMTHGYGGARTKPAKAVKAERAGAWLPTMDVIIMSHDHVVNVAPDVCLEPDPRTRTEKDEDGNETGFIVGRVKAHRKMLVKSSAFLKWGGYSEMLGFPPSDLEAPIILLSGTGKPHVNVLS
MEDRVLQFQTFALRPKDSRTRKDIEKLRQFLQKNQEYRFTERDQSHLFCAIQTYYSAFQYDNDEDNPVLPLLEDALKMPFTVFTTNQKKSFLKWLSKVTDSSKSPKCTSNCIDSEAKFQVIEVDGNLITVMNEGGDTIDMDSSALDPEIFKIISRRFHDGEEVYVGINLENPHSIKRLVD
MVERATEILKAEVHRNLGLLGLRGALSGRRPPDRGLFHRNAEAVEPTADKGDRLSDRRVIHDFELQGIGAPAHIFGTAFSLFKIARADDLPPLGGIENAAP
MFSVDVNYTRVSEFVIVGFPSLQPEHFDLVAWFFFFLYVTTLVGNLLLVVLFALEQNLQKPMYIVMVSLALSDIGQYVNDCVELPYILYSMVMTNQTMLGLTLFCWVVAHIFSGISTFHFTMMPFCGPNQIVHAFCDTMSVMALVCGDTSKQFRDAFAGAMFILYVPLALIVISYICIIISALNMATGQGRMKTFSTCATRAASFPSTTYHFFVYSTPYFPNLIMTPDKRIATALFYSLFPPLINPFIYCLRTKELKLILKRWVQRRKKHYAYEAWPNCGCHQVILTRALKVQFVGFSGI
MSKYKSLIIILMIMKFLYNNSEAMDFSNIFKLGDTNEMYQQRMISQGLPFVGESYDEYSKNIISRGAPQNMTLITKDEYKILMNSIPIINESMDSVNERNLETQKELQSNHPEFPEKYKLQQYTTDVFEELKFRYPRIYETYTNYKDRMDNLQVEPLGIEMFKNLKQYCPNFGELYDEYLETRSIRYGINGISISKFTEFQNSYPMLEENVTVFFKRINIHISK
MPEPTGGAIVNLPTRDEGQERERFPTIVHSLIDAAKKYPERTAVICDGNSLTYEEVNLAAASLAITLRQRGIKSGDRIAVIAPASLQLPQIIFGVMGAEAQVTMMNPNFTQRELEPLFRISEPSAILCDPKLEKTLLLLSMKLGFEVISISDELWCNSNDWVIDRLPNGANMAVLLFTGGTTGISKGVPHTHEQVIASLLAIEDRWPTSLDSEVFLNIPPLFHIVGLYHGCFQPVFGRSTALLISRFEPEEVFNSINKYRVSIFIAGVPAAYIAMLNHPAFDKVNYKSIRFACGGGAPLAKETLNEWERRTGVPALEGYGMTEGAPTCNNPFSGERRVLSVGKPVYGIELEIVDVATGTIKMNDGEHGEIRVRGSHIASGYFNNVEATSSAFRENWLYTGDIAYRDRDGFIYIVDRAKDMAIVSGFNVFPREIDEILMAHPHIKEAASIAVPDAEKGEVIKAFVCLNDGYNLTEDQIKDYCREDLVGYKIPKQIIFREGLPKTPVGKIDKNQLRK
EYQDVFCCALPLNHIYPMVSIVLTGMSMGVKIVTLPGFKMETFLRAVKEYKPTYLHLVPGIMITIAKQKNLDKDLFQNIHATFCTSAPLGSTVAEQFRQAIRRPDMKIVEEYGMTETGPIVLRNPITGCEVNSVGVCVPNTFARVVDVTTGKNLGPEKSGELVVLGPQVMKGYLNNPEATNKMMFDDKWLRTGDLVRYNEAGHFYVMGRLKEILKVNDEQVSPAEIEDLIRRHPNVVDVVVIGIPDEEFGELPRAYIVRSDNSLTERDVNIFLMGKLAEFKYLKGGIDFVDKIPRTTNGKISRKQLRSLFMESKEIK
AYGFSEVGYAVTFTREGLYRDGSVGFTRPGVEIKIVDEDSCAVGIGRDGEILVRTKLVFLGYFGNREATGAMLDDEGWLHTGDIGRFDEDGLMYVVDRKKDIIKYGNYQISPSDVEAVVQSIEGVVAACVVGIPQENGNDLATALVVRSSETVGSEFILQEAAKKLPDFKQLRGGVHFVEKIPMTPSGKILRRLAKEVIMG
MTAPERPWHRVWPAHVPHSLAYPCEPAWRLLERNLPRFADRVALREIDHESLADGRTLTYEALWRAVRGAATGLADAGVENGTRVGFCLPNSAALVIGYYATWVAGGTVVPVNPGARESEIEQHLTDAGVAVVVGPSGHAAETVAARLKLPFIDVDEFQAMEALPAATPVDADPERGLAALLYTGGTTGAPKGAMLSHRNIVVNTIQFAEWYAFAPGEEVSVCALPLFHSGGMSGVMNVPLSAGATLLVFGRFRPAAVAQAVTRHRVTRLFGVPTMFIALLNDPAGRAADYSALRACRTNAAPLPPSVKLAFDELVGREVLIEGYGLTETSPLTHANPIHRARAGSIGLPLPDTDARIVDVKSGCDVTPGQPGELLIRGPQVMLGYWNRPAATAEAMHDGWLKTGDIARMDDEGYFAVVDRLKDMINTAGFKVWPREVEETIYAHEAVALVMVIGVPDDYRGEAVKACVVLKDGHRDRVREGDIVEFCKARLTPYKVPRIVEFRDALPQTATGKMLRRLLREPS
MAETDLDANNNATETSAHTHPWLAHYPPGIDWNKTYTPHPLPKLLSDAATQYPANICTNFLGRTQTYAEIAAAVERTAAGLAANGVARGTKVGLCLPNCPTFIIYYFAALKLGATIVNYNPLYTVEELVHQVTDSETELMVTLDLKTLFDKTEALLASGHLKRAVVCSFSGLLPSSKAVLFRLFKARDIAAPRKSKVADQIVLEHELLEAGSKAGGYPAADIDPEQDVAVLQYTGGTTGTPKGAMLTHANLYINTQQVADWAPALTDAEERVFGVLPFFHVFAMTVVLNFSIARAAQIIIMPRFVLDDALKMIHKTRPTVMPGVPTLFNAIIHHPKLSTYDLSSLKFCISGGAALPLDVKTRFQNLT
MATNPDEFASSASTSARPAVAPMPEVDAQSLAQTIEAHAQTAPNAPCLEYLGVSISYAELDRWANRFANLLKELGAGRGXVVGCHLPNTPQYVIALVAASKLGCAASGVSPLLTAPELKYQVEDAGIRFLVTLDRLYETALGPNDGKLPNLKAAIVCSPIDFLPGWKKTLAHLLKKVPKFKLPATQQLNLVAFWPAINGADDSRVASEVAMDDVVLIQYTGGTTGKPKGAELTLRNTQSNAAQSETMVDYAMGEETFASVFPYFHVAGLGVCLMGLRNRAKLIVVPDPRDLKSFCKAMQAHPPTFFGNVPTLYQMLLGEPEFAKVDYSSLKIAVSGAGPMPAELIPKIEAVIGRGKFCEVYGLTETSPLLTMNPLGKAKPGTVGVALAGTDIRIVDAETGDQEMPVDEPGELIASGPQVFGGYLGLPEETAKALREFDGKRFFYTGDIAKRDADGYFTICDRSKDMLIVGGYKVFSVEVENALKAMDEIELSAVIGXPDPERAGNDQVNLYVQLSADAQSRPQDQVKERILAFCREHLAPYKVPKQIHVIDEIPLTPVGKVDKKALRN
MSEHKDCDRSFSPATAACFRPDLRLRDLARIQPDRIALIFRGESLSYRALWERVETLAAGLVWWGASTGDRIACVCGNHPAFVELYFACSMIGSIFVPLNARLAPPELLFQLEDTRPILLFLGPGLAGLEENLRSCGWKGEAAVFCLDRGAAGRTHSYESLFGHGILPEEEGCLRPSNSEDPQMILFTSGTAGLPKGALLPYRKTLYNSLNAEAFFELTGEDRVLVPVPLFHSLGLNILTVPVLLRGGTVILQERFDEETTLRLAEEWKATFLGAVPTIYQRLLRVGLDRRDLSSLKFCFTAGAPIAVPVIEAYHRRGLLLKQGYGQTETSILCCLDARDALRKAGSVGKPVRYGKVRVVDEQGRDVEPGRVGEIVAQGPIVMLGYWNRPEETARVLRAGWLHTQDLATVDGEGFVTLVGRKGDMYISGGENIHPEEIERLYRAHPCIQDIAVIGVPDPDLGETGLAFVVAEPGASLSEAELRAFAEGRIGRYKIPRTFVFVDTLPRTVTGKVQKYLLRRKANDELGEKNSAVTRDQPGGRNGKIP
MFQITQALRRAAQLRGTEPAVRFERRSWSYLEILDQVARLAGAFRKFGLQDGDRIGVLSHNSDRYFSCYYAASWAGGVLLPLNYRLCEAELSAVLVNAEPRILICDHNTRQLAKKVKNAVSGLILIDAGEGQTDIEHTFEDLLLTAPIADRSGSDDDLAVLVYTGGTTGLPKGVMLSHTNIVANSLNTIPYLQLTERTLQLHVGPLFHMGAGQRIYSVTQAGGSHVFIPKFSAQAILDTVAQYKINSIVFVPTMMRRILQTISPKSDALASLKYVSYGAAPMPKELLLRFMRRFPHCHLSQSYGQTECSPVATALKHDDHFSSGAYGVKIGSVGRSVVLCDVAVHRADGTEANRGELGEIAVRGPNVMKGYWRNSQATEQALRNGWLYTGDLGRMDVDGFVWIVDRLKDMVITGGENVYSLEVEDVLMQHPAVSSCAVIGVPDQDLGERVHAVIVLSQEAVFEISSLIEFCRQHLAGYKIPRSFECREGALPLTAANKIDKKVLREQYSEENHE
MEKKESYSKFRDEWGVKSVPPLPNVPIYSLVTEGAKKWPDKDALICLGRKLTYGELDELSNRLASALQNKFGVKKGDRVAVMLPNCIQHTLAFFAINKLGAIHVPCNVMYKPRELEYQLSDCGSKIFITLDRFFPVIEEIKGKTPVKNIIVTNIEDFATTEDEIPSLFKVEKKEITGTYQLLSLIEEPSGELMEVEIDPSEDLAMLLYTAGTTGVPKGVMESHKNIWSCVHPTKYICDFTERDVNLQIMPMFHCSGYCLIQFPILYGGGTAVLVPLFDAKNCLKWIQNYGVSCIFAPPTFFVGLMNAPEIKSYNLSNLRSTLSCGGPQPPPVRDGWQKITGLNLLDGYGLTESMCQIVLSVPNKHKPGTIGPAFYSEVKIADKDGKIVPRGTVGEFMFRGSSIAKGYWNKPEETKVAFLDDGWLHTGDAGYMDDEDFMYFVDRYKDLIIASGYNLAPAEVEGVLMGHSAVKEAGVVGVPDEYRGETVRAFVSLKEGYKGKVTEEEMIEHCKKNLATFKVPKSVEFIEEIPKNPVGKILRRVLREEGAKKIK
MEMEKEENVVYGPLPFYPIEEGSAGIQLHKYMHQYAKLGAIAFSNALTGVDISYQEYFDITCRLAEAMKNFGMKPEEHIALCSENCEEFFIPVLAGLYIGVAVAPTNEIYTLRELNHSLGIAQPTIVFSSRKGLPKVLEVQKTVTCIKKIVILDSKVNFGGHDCMETFIKKHVELGFQPSSFVPIDVKNRKQHVALLMNSSGSTGLPKGVRITHEGAVTRFSHAKDPIYGNQVSPGTAILTVVPFHHGFGMFTTLGYFACGYRVVMLTKFDEELFLRTLQDYKCTSVILVPTLFAILNKSELIDKFDLSNLTEIASGGAPLAKEVGEAVARRFNLPGVRQGYGLTETTSAFIITPEGDDKPGASGKVVPLFKVKVIDLDTKKTLGVNRRGEICVKGPSLMLGYSNNPEATRETIDEEGWLHTGDIGYYDEDEHFFIVDRLKSLIKYKGYQVPPAELESVLLQHPNIFDAGVAGVPDPDAGELPGAVVVMEKGKTMTEKEIVDYVNSQVVNHKRLRGGVRFVDEVPKGLTGKIDAKVIREILKKPQAKM
MSDPNVIYGGDIEEPVNYATLGEMMVSCFRDGGDKIALINAETDEKWTFNKLLKESIIMAKALYGAGIRQNEIVGILCDNRHEFASISYGTIFLNAVLAPANYAYTEREVKHTFDTTLPKFVFVSSLAESVVPALKNFKYVEKIILIDGENIDDGKLISLKNFIKKYGNNDFDVEKLVQQPIDLYDQVAVIFMSSGTTGFPKGVQTTHGNLISCVAYNLERVSLTKDLFETKIQFSLAPFFHGMGFIGKLFATTSREITLAFLNKFDPDLYLGTIQKYKIEILTVPPPIVVFLAKSPLFDTYDLSSLKLIICAAAPLSQETETQVKERFNNEIFLIQAYGQSEATLAVLYGQAGTAKPGSVGEIIKGMSVKIIDEQTGVSLGKNKVGELCLKGPFLMKGYINNPRATAETIDTEGWLHTGDLAYYDEDNQFFIVDRLKELIKYKGFQVAPAELEGLLLSNPKIKDAGVIGIPDELAGELPFAFVVKELGVDLTEQDVKDFVAQNASNTKWLRGGVKFVDEIPKNPIGKIMRRDLKDLFKSLKAKL
MQFQLNDSYVDRNNSFSIDYNNNILTGFDEQFVHTHDIGEIILNTLNSKPDHVAQIDAATGKQTTFAEMRDVSVRCGLWLRKQGIGSGDLVTLCTPNHLEVYAPFLAIYYNGAIYNAWNHEITLESARHFMQLLQPKVIFACESAIETLLEAAKLEGVNTKIIVFGNFPGIQSLHDITQQQFEQEVREFCPRVVEDSRETGLVILSSGSCGMPKGVMHSYGNILKMVQCSQDSMTQVAFWYTPTHLISGFVFTLHSILSLGTRVLHSSTEIEDTCRMIEKYKINRLYLSPITITLLCKSQAYKKFKLDSVMFASTAGSKINIKTFEELKQIIPNALVLQVYGMSETGCCITKQTNFTKNPNSVGFPASGIQLKIVDLKTGRALEPNMPGELCVKSQIMMLGYYKNQKATEEAFDNKGWLHSGDKAYYEDGEIFIVDRMTEVIMYRHNEVSPSEIEQVLLSHPDIIEASVVAIPHQYDGEWPVAFVKKFPESKVTEDELVQLSSSTLGDVKKLRGGVKFLDNLPKTSPGKYARPILKEMAKTLSYKEI
KEAQLETEIVVFGLSTVHSEFADFFAPKENENFQPVEVENNKETALILFSSGTTGLPKGICLSHYCIMAQPYNIMDVGFNVDCVLSYASFYWISSHLTFSSSIFAGGSRLILPRYNPVQYWKLLDQFKVTLAFLAPSQAISLYNKGRPDGINTTHLSDFIIGGGPLAAEHILRIRDIFPGTNVSLIYGQTEIGGTGFCFGPNRKENIWALCEKPGACGRPVPDLICKIVDPETEETLGPNQRGELRVKTPFALNGYYNLDSSGIWDANGWLKTGDIVYYDEDEYFYVVDRIKEMLKFQSYHVAPTAIEGVLLGHPSIAGAVVIGIPHVEDGDHPAAAVVLKDPQDKITPEEIVKYIEGKVDDRQRLRGGVMIIPKIPTTATGKIRRIDAKKLFLERNS
MGYIITFINILVSRVTRKVKRGDVSVLFVPLYHIFGLHCALSSELALGMTIVIMSKFTIEEYYILIQTHKASLIHIVPPVAVMIVNSPLLDKYDLSSVRHVMCGAAALKTVVEIKLKERLNLDCIYQGYGMTEMGVSHANTDTFYKMGSCGKALRGVEVKIEDPKTKVILGANLRGEICIKGPQVMLGYLGRPDANREIFDKEGWLHTGDVGYVDEDGFLFIVDRLKEIIKYKGNQVPPAYLEDILLKHPGVKDAAVVGVPDAHAGELPKAFVVRNDGMNNVDEQQIFDFVEDRVPPYMKLRGGVEFIREIPKNPTGKILRRKLREFPMRDENDNIDNGSGPIQVHRL
MVIWRSRDPHIPAPRETFNQMLINAMESHIAANPNAIAMIRADVPTAPPMTYKGLLKLSKQIAFFLNSRGFGHGSIGSIMMSNCPEFVSFVLGSIICGGVYSPVNPFFLSGEIQRQLRNNGSVALFVEEDILETALKAVENTSVKIIVCLRNTTNTLPSGVVDFEEIRNLPDNISHIKPEISLDDMCTLPYSSGTTGFPKGVIMTHRNVSTMIAITALYFKKWNDLIGEDSRHILLQQPICHGVGFLVCFNAIINGASMVYLSRVDPIVFLTCIHKFKFRQIYVVPPLLVLMVKNSLVAKFDLSSIKVAFCGGAPLGKQLAQAFMRRFPHCRIYQCYGMTETGTSSHVPDLFDSSYSQKNYESCGNVCSTFEVKIVDPETYRELGPGETGEIVVRGPTVMQGYYNNPESTKHTIRNGWLYTGDIGRADKEGRFYIVDRLKELIKVRSIQVPPAMLEDLLLEHPKIADAGVVGMPDFESGELPFAFVVRRSPDLTAEEVKAHVAEHVAGFECLHGGVEFVDQIPRSPSGKILRRYLKEKLKEICNRKRA
MPSSSRRRQRPRASNRIAPWPNSPAPTVGTPSRATRNGPRPPSTSACGSAPPAASRLLSSSRVRVARSGPADGRLFPGSVPFLGGNMTDVDLRRLGGFRMRGAAILAALAVAAGLVIAGPVVAQADAAEGDTLGSVSGVVFGGSSGGPRLAGVRVTLSGEAFGCDGEEPRTVYDKSVVTDSAGKFTFTDALATRTCAGESPNRVSYRLAGSAAHSGDFVARSLEDSPAFVLTPNATLSTTVVLLPSAVISGHITTTALPSVGVGGLVVQAKDNSHGSIIFTATTDAAGYFRINGLTKAQYAVQPVSDPLLNQPSPQIVEVVAGQKVTNADFQMSEKTFITGVVTSPAGKPLPNIAVSAQTAATVITSSSGRYTIGPFAGTVGVKVTYTDPSLRYSTVFRSTTAAPDTDRTIDVSLVAAATVSGTARLQSSGAPVAAVTVKLTPRYPGLPSPTATTGADGAYRFPGVAPGSYSVSFTPKEKGSFRQWWRASPTSAAASTLTVSAGQQLTAVDANINTALTLATLRGTVTLQSSPPRPDGAAIVSLVRPSDGVVIYSTTTDTAGRWSIGSVIPAPYTVRFTPSNPGFLTRWLGGSTDPAKATVVTVGTAADLSELDAALALKHPFDQAPTPTIVGSPTVGSTVIAAAGTWLPTPDAFTYQWMRKGVAIAGATNKSYAITAADLGFKLTVAVRGAKGDQIAQTKTSGPTVAVTNLGVLNGPTPTISALDTSVGTRLVAKTGTWKPDPVTLSFLWLRNGGPISGATSSTYTLTAKDIGAHISVSVTGTKAGYAASTRTSSETATVVGVLTSVPTPAIGGTPTVGSNLYVATPAWGPAPVGLTFQWKRSGSPIAGATGSFYRLAAADAGARITVTVTGTKAGYYTRSVTSQATTSIRTAG
MTRVRVWPGRDIGSADVLPAELEDAAELDALREALQIVEGPARSCSCTGGPESETFAADGRPIASLTMHMRVKLRTAPWDGDAPLRDPATV
MLPGAFAVCLIVLAQLAGMAALLTAAASTEVELNHGQGLSCHYVDMPDQVPLDGIESRRFDQSWTWFPPGLTCRTEVADRTVTVREPTWKNMAGPLSALLACILVTAVATALAAPIGKSAWWRHHRILVAALMTAVQPLTAATALAAAALRAGV
MVDVAESRLWAPWRARRRVPNTLSLIGSTLGAPRSYRGLLAVQSEDWLAKYQHLDQERRRDVRISPKGTVVLLAGGDTCRCRIVNLSHGGVLVTVPVEAGDMFVPGRSLELELQIDGKSSQWIRLSGRVVRIEATTIAMTFDKVSVEFIQLVDEMLGASYGRRRILSVISVDTDADRRARIAEAFRVVGCTVVTVSTPLEMIVRLGELQFEPDLIVIASSRPSATSDDLRRFVEREHPGARLAAVSDQLINPGGESQWLSSMDADGDLVNRVRRLLLPLV
MLGFLFYLVCYPLLLFGGLRLGGALAKRMHEHGRNWAPLGVEGGLLGFYGLLISFTLVQASNQGHDRTTNIYEISDRMSLLFRKSRIYDPELQGKVKNYMSGLFKLQLEKGYKSVEEMRETIQTVNALDEVLDEYIIQTLAVHPEKRAELTELINVTEQIETSYFKLIHSYHRKSPALVLFILILFSLLMSVLLGYIRRIHKFNIHITTVTFTVISYVLINVIHDMGSPNIGFLRPNFEDIREVQEVFDNYYNR
MQTSGGGGAKVLGRGEGAAERRGAEERLRAGWLGAYGRFAHHRLRPGGGLGRLDLPRALVTVSPICWAGGGFPSEPGPPPPGHAELKRGRATRRQVDGGGGWAGLAARQAAAGEGRSTAAAFGQLVSSAEHRGWPRGALWPCRLASPTLKTEAQRPGLSRTWAGGWLLARGCRACSGLRKPEPVRLPHSSQEAWSPAPGQPGQHSVDTEHRGPSLPQVQQEAVHARRGSSQPQQEWAPRPVPADRGPDTRPCPRTGVHPDPRPEPAYGALAGLGVWGAFPAWRLGVPPWPMLMRGLACGEWPRLLPAEAGGWAVGACAGRVCVPAHVYTGTPGQPQGWRVGVSGGGDRLGAQVSVRGSYHIWKASPSSFPYS
MNMDQAPSPFNLPFATVDARIGRAVSLIEDHIGILAAQRIALPVLGLEVAARELRQIGGAK
MRLLVNNAGVEQFGYLWDTPVANWQRVIDVNVSGVFHGVRAFLPMMMATDAPAWVWNLSSVGGVVAIPLQAPYIASKHAVLALTECLYLDVQSAGHGHHIHVQAVLPGAVKSNIFESAGGVDASGDAVVAEAHRTAMLHVKAAAIDARAAAATIFEQAAEGRFYLLTEPEYVGTAMAQRANVLAAQLPPSHQRR
MGSQKVTFKNGSITMAGNLYFPPDYDSARKYPAIVVSHPWGGVKEQTSGLYAQQLAKRGFITLAYDASHYGESGGLPRDLENPSDRVQD
MSFSNAGIPSNAASQPTSALPTTVNATPDIAAATGVATLSFILGAATSYAQGFLPSSVNSLANSHTGWALITVVLIWAVRARPVLAAMLGAGSFVLLTVGYTFGAAIRGYTYDPSFYSLIGLIAGPFIGLAAAWLRERDLRGALATATLTGIAVSESFVGSPSCTTARCTGP
MFLEDLSDPEVQEAITVLIRKLPKIKDTVLAAEEGLEVATSILRDQESLRYLFERVDGQLSRFFVEKESIDALFTLLEKMPKLVKYVSVLEQAADFLESLGKDKQSQQYVLAGMKAYIAPVSSQVEHGVAVIREAKVRAEGNSEPVSVFRLFKLMKDPTVQKTLRFTQSLLEVLAEKSKK
MTDLALPTKDRPRLPSATAARPHNTAPINPVLLHDDIVRPALCNDAILAGKRLPHSEHDTHGRHRTS
MILTGANSPNRTNYSEVIEQLGQAVAKVHCVSDTDSDQSLVDFRTEVAIVAVIGDHEDEFITDIVEFALP
IEVTYLVSAPYTPTAEWGVRFSDPLFDIQWPLPVSNISDKDAGWPLYDVNAEPFFR
MTVGRLVSELSADELREWQAFSRVVPFMDEMDGRANVRAGQICSTLANVNRGKKQAPYPLKGFILRWEKQKAHGVLMKPRSAKVLRAKLKGLLQGNHR
MRHQHQPTGLAGQVGTLDRTAAWRLLRRLATDAGIAAPDRISPHSARHTYATTALDAGVALRDVQDSMGHRDPRTTRLYDRTRGNLSRNATYAVAAALADD
MKKLNVQISKSGVDAVSDYEVEGWYNDALCSDDGATVKVATSLMFSRLRAAVRFKEIAPFSFEFEGGTYTICQETAKVLPNWPEDFFNHSGLVMFQVMTGRQPPEGAF
TGAGTAAATARLQQNHYLEWFAPTSLSVGRQAPPEIGAVVLCVGHDLA
MQGESVDVSLVKEAVSFKATCYLILNRPNEVLQLLGETIRPNFPEEDLIAQAYQMLGNAEKANEMMQISMYQHLIQLVATIPNYVVVNASNAEKVE
MEDNKAKENKVDENKKAEEKKKLSLRSRIIITIAVVVVLIGVAWLVYYLVDYKRGQSIYDDINKNYTASNGDEWYNKIDVNIAELKQKNPDTRGWLYF
MGKKVWCLQVPNKSSSTLGVLRGGGVRRVWLPPMRGRLKLNVDMACGPNKHGSGVGAVIRSANSDLVAAQVLFCQGCGPVEHFYVMNLTIFPFGVFYAECIVLFALSNIVGLSFVSRECNRVAHSLAQHALSSCCCGEFPI
MMLYEALEESMNLDHTDELLKDLAEACKKKKKRRDSPKMPPGSPPHQPPPPLPPVGPSRTSRSPRASRSSQVLPPPPLPPSTNQEGQSHGSIAPSSSKITASAEYKAWTTTDTRLRLFVSLTPKDLHMDDDMDPDAQVHSCDDEDIGNAHIPKVKLQQDWWKPLEEDR
MKQQQQVMRGPKSSRNITNSRRTRRMPYARTGLPSTPNGSQAQSLVAANRSGQQGVVKCFQCGGPHYRASCPKLLGVKLCTRCRRNGHLERKCNMGGRAVMTPLDAERNQPRGVVEQAIGQD
RKVDQLSQNGASNGQVLKWNSSLNKWEPSNDIGGGVGDNWGGQSAVTNAPLGGNGTVSSPITLNQNGATNGQVLKWNNSLNKWDPSNDIGGGVGDNWGGQSAVTNAQLSGNGTISSPLTISSNGVNNGQILKWNSSMNKWVPSNDLEGSTGGFTHYIGELYGGGIIFYVYKDNLNREHGLVVDTSVICNLCGVIITH
MPATYGSEFRLDVIDAARMGGEAPLAQIAKDFGLSVTTLMRWTTIADHKESGPARRWFRPRYGSGRSAIVYCSRRIRFCVGRLTIWPETPTQNDLPACLESCRRHCSRRGDLQDVEFQQVRLLPLEGQPGVGTRLDRCAHETLRPGHPRRRPCISVLVNRRQTPGEWHH
MQERGRVVTPSRAPSLFRSERSDGEEPLELVGVVAGPEVVAVDFDGVGLRIERRRPRRDREPPRGQVVRDAKRLGIERELRPRGQGDSAGIACSQRFAPTTSRILAEYSSADLAGASLPLSFDSLRHEKSRFSNVPEELGLFRPWIGSPNGRESVETCRKRKRRYS
MEAARLGIQEYHALSGLNNTSPSQLGGRPHSGGLGGLPSALDPWSNGSAGSPLSTLSHTLPGFLSHPQVSSSLKSLLLHSSASHSSIHTSSSQAVYASYLTSSNGGGAGLLPPHLVNSALSSLTSSSSSSSPSLLKPESSIVNGPLQAPPALPTNGGRLSPIGSVSDNGSSNEGDECPVSVLSSPSVVANALNPPASSYSFVQHQDHHSRLKASSGGSLLGLSHAFQLSKDSKTSSSAKGGELLQPHH
MSRFSFNDPTASVPDAEFILFKEDDDVLKRVANLNVLDAAGCEATTNASVAVKSICVDINATPDFCSHISDAFGDGSTPTKFRAPAVVIGGQVNAIYTFSSRVGCNSASVNDQVGFYSSFRLSLEYIIGEIPDGVANP
MSSLYSDSGGVPDSADHGPQEHSHDASSAKLTRGTSCVLCQQRKVRCDKNKPCSNCVKAGVECRVVPPAPPRRRKKRLQEKDLVDRLKKYETLLRDNGVKFDSIDFDSRHEGDVDELENDFEGLKTTPEDTTNSSPAARDAIFPSRKWFSMHKEFRASERLLHDSDEEDAVHYSTIHKAFDTMFGDQDVFPFLVGGFQTDNLTDAHPPTIHIFQLWQIYIDNINPLLKITHIPTIQPQVIEASSSLDRAPKNIHALMFAIYVMAVTSLDEEETQKRFQTPKRELLGRYFAALQQSLVNAGFMRDRDLITLQAFVLYLYAIRWFIDPRQVFCMSGLAVRMAQQMGLHRDPEGHGLPAFEVEQRRRLWWTIVGYDRRLGEMTGSTVTALSSGCDTKMPLNVNDSDLHIERDEPPTSHSGPTEMMFALARMEIAMAVSSDSNRDAFNMNEKPGPPPPGGRNGPTVRIVGQEGPSYTLEGFCAHVEGKYLIHCDARIPLHFFTQTMTRQNLCKMRVISYLVRIMGPTGASILNDVERDNLFLQAIQMVEYDNVVQTSDSLKPFRWYAMHYFPFPAYMFLVHELRAKTSGPMVDRAWDAVALNHDSRGLLNDRHSPMHMAFGMMFLKAWRARVAAPVNAGQQLVTPAFITLLLEHEEKKRMAKAMSSDSPGNVSLGSIEPAQLPQPNASPFATPGSNPDNGMGPSPNGPPGPPGMSGPVSSEGGDSGDMDWSYIMSGMQNGSMFSGPIGGTMGISMPGGMGMSPMGGGMPGMGTRMPPGPSIGGPPMPWTGPYRG
MAVTQNLSDASTPESATTASERPIQKAFSCVLCAQRKVRCDKAPGGCANCTKARVDCVYKAPPPPRRRKKGVREVDLQTRLRLYERVLKEHGVDPEELVRKELEGPVKRDDDIIGMDKYVDDCGAGEDTSRDGGKRRAGVLVTEGGKSRYLENTLWTSLQSEFRDPKRILEDSSDEEEDATRPGRVPSQLTTCGGDALLGASLLGNANAPSNLRSFHPSPGQIFKLWQVYLDNINPLIKVFHAPSVQQLISNASGNLDSIPKNVECLMFGIYCTAVESLGNTECIAILDEPKEVASKRFKTAGHLALIRTSFLKTSDPMVLQAFVLFITSLINFDARVVWILTGVAARIGQRIGLHQDPETLGLPPFECEMRRRLWYQIMMQDGFAEKLAGTGGTIFFGEVNRPSNLNDSDLFPDMKELPQEHQGATEMMFFLIRCHLGEFLRHSANPKSTYDGVWNKLSGTAASLAVKDKAIDELEALYQRKFLNYCDKAVPWHFMCTYLAKGVIAMLRFIAHCPEGTDANLPQSEKDLLFEWSVSVVRWQNHAYTTKEMQGCLWHINSHFQWKGFVYFVSALKERTEGDEVDKAWKEVQTVFECHPNFSTQARRQALPIAVATLTLTSWDAYVEKRGVPSGGEPVFIKVLRARKQKGKETSKTVSGSQHAEQVQADIVQPSQETTSFVQPQDPTSTMPYTDTISSFQWDTNVANTFDGPQLGDLDVPMGEDQFNWSNWDNVMLNFELQRANNFATDGTGFGTPYSMYGQHGGQY
MDTPISASNQTATVVKPQQPRRVLACVLCQQRKIKCDRTFPCTNCVRAHVQCEQATRQRRRRFPEKELLTRLRLYESLLQQHNIKFDPLHTPTADHRSASDDGRDDLPEGAESEGTFGEREKPAVKTKSLYEFGSCINSLISGRLILVNRGDDDGNNGEDDEHDTGFLHDTDDVRPAVIQKAWNHTFQGQNNDHLLFGSPVGTVNLSASHPSHVHIFRLWQVYLDNVNPLLKVTHTPTLQTRIIDAASDITNISPTLEALMFSIYCVSLLSLSDEQCRALFGSAKKELSTGYQFACQQALRSCSILRSSDRESLTALYLYLVSIRPDTDPASLSSLLSVAIRIAQRIGIHNESTYGKCSALETEMRHRLWWSLIIFDNRICEMSDDKTASLAPTWDCKVPLNVNDFELQPEMKTPPAPNNRPTEMLFAVVRSELADFVRHSAFHLNFTNPSLNTIATRLTDETAQLVSLERALEEKYLAFCNPENALHFMTLWTMRGSLAKSRLLQHYSQCSNTSVPPTDAQRNTGIAHALRMLECDTELMTSPLTQGYRWLVHFHFPFPAYIHLLQDLKKRPVEAHADRAWEAMSDNYAVRMMDASQDDRPFFIVFSRIVLQAWEAREKMAVAAQLETPPPVPPRMVVDIRDKVMQMTASFGMDAAAAVESGGVVGVKAGDLDMPMQMDFAAPEMAYGAGGHGATGLEPWGCLDMAGPAAGDVGANQFLLNTMEWNALHARDR
MRARGMALVLAAIVPLAVFAPAGASVRRRPPVVNLVINSFRFCKKAPCSLTDTAYLRSPAGGSLYDNKQAFITIKAGSIVRWNYKDTGTPGCNQFNFGPVNCPGHEVRLENGTPGGGRQIGFAAARSSKPTSISWYIPPSYAGRTIHYFCNINNHWAFGLTGVLVITR
MNDQKTTLKNSITQLTSLVMGMLVALGISLVE
MQQVVAKLKAIITKTNGTNGTNGITEYSQVEDSSSNVIANSVTSAATSCINNSLHGELSGIIENFGNMELESNNNSSVSSNISTIEKVVKILSTVNDADGVMNITVPFPPQITAEEILDRNKKKERKKVPNKFMIYRMAYAKELKTQNISNINSFNISVLAASRWSSEPDEVKQAYKDISNRVRQLEIIRNSIDSNTVNT
MLGINAGNFASSVSITGNNGNTIVTIGTDTITLVGVNSTTVNIGDFYLEMPTTLASNNGNSSLIV
MKANYNDHKNITCNFFKHGRKFIQKNILAMLVLISSILVAGIPLIYCGTIDPLTPEERSWLNQHDGKIIVNNETGWPPIIDIDKDGNSYGIVMDYQRLVEKKLNFKFKMDKLDSWHNFMERFKKGEIHVNNNLQKNPSRTKFALFTKAYINIPNAIIVRKEVKASLSLEKMSGMKIAVTQNFAIHDYIKNNYENLTLIPLDDDLHCLLETSTKGVDAAVVNLAVASFIIEKMGISNLRIAGYTGYTNELCFASRKDWPTLNQVLNKGLGLITQKERDDIYKKWISLGYLPFYKNRNFWIVICSISVIIFTFFFIILVWFRSLKRLVEQRTETLKKQTDELNAEMTGRKQVEKSLKKSEKKYRNIFENAVEGLFQSTPQGSFVNVNPAFARMLHYESPEDLVSSISDIAEQYYVDPEDRHRC
MIRTRWFLIFLLAQLFILSCAPKNEDILTTKEKEWLKKHPNIKIAVSTTFAPFQYLDKNNKSIGISVDILNQIEENINYQFDKIYFDNWSNILEAGKAGKVDAILEIQETMDRRKYFHFTHPFITLPHSILMRDDAEDHISLDEMENLKIGVVQNYAVHEHIKLLYPELKLVELADDLTCLRELYSKNIDAVITQQGYAIYLIHKEIIPNLKIVGDVGYDNILGIGSRKDWPILTRILDKGLAQITPKEQNAIYNQYIPIQVRPFWQKAVFWIVLFSVMLGLVLSTLVVSIWNKTLRKRVSLKTKELTEAKNKAEESNQLKSSFLANMSHEIRTPLNAIQGFSELISSKDLDLTKKEKFANIINTNCQSLTHLIDEILDLSKIESGQIKLIDQKFELITSINEIISIQQQNIPSHKKIIIQFVNQLQSESFHLISDPFRFKQVLNNLIENGIKFTENGYIRIYASFNSNSEVIFCVEDSGIGIEESALNFIFDRFRKIEIDNTVLYRGSGLGLNICKKLLRLMDGQIWVKSTPGKGSAFYFTLPIKQETKWRDAVSAN
MCAFLFFFCILSLANCYMLIFYGELGTSMNCTKYSTVSWDTCITNCDENDNCFLSYTSLSVSCNTCGFGGFPGISYSPSETNYKLALKSRLANCTYNLEMLSQQKIDALKQCPGGYGTLPRNNAQSSVCFQRLYQQAYTTQDVAKTLCTDRSGGYGGQLMGLDSDQMRSSLSATTITIYLYNCSIWIGLELKNGIWTWTDPWFTGSGSIAWASGHPKTGNTCASLQYGTGLLQSEKMLRGNLHIEILPRFLSVYQAGIIDFSNWRGQELKETCEFSWKTSDYWIFRSKIDLAQNIDGN
MSQAKRTTLAYFCVTGLDLIGKLDSCTEDDRTTVKRWVLAQQVAGVRKIRVAVNLLDKNRRHYFLCCEEACNPIVRAQLVLLSESCIGKDSTAAMAYPMVSRVTGGDWRRRGFRGGPFGMVAEPSQGNGKDDADEGNLAATYSALATLVALDVDLTTGVDSNAIVRALGSLQQEDGSFKASASDSTCDVRFTYCACAVSTMLGNWSGVDRRKAAEYVERCYRHACPISHPAGPTYCAVASLNLLGVLEKLPIPRRQGLLEWCVNRQNPTRVMADSSGEFPATNLPSPPPPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPLSASIALRFFGSYGSLLKGVGFQGRPNKPEDSCCSFWVGATLALLDGLDLVDEARARQFHVSCHNPICGGFAKAPGVPPDMLHSFYSISWLSLAGEAGVQEMDTALGVTRRAAGRLRRGAAQSR
MLKIISYILLFLLKLVGCFLAFLKKAILNLTLEQRKQWATVFNALALLNLVQDFFHLGEVNATLRIGVSIFLWLICSYIVRKEGE
MIRPFTLISAVLFVLSGAYLFAVKQHAQVLDNEIASTAQATRLDQQRIRVLQAQWALEADPSRLQQLATQFTTLQPMQPSQLVTLASLGNALPAPGSAAPASNPENAVPPVVPSESSAVQVARADPASAPPAVKLSAPKVVRPPSALVAVKPASPLHLASVESVIHAPAVHHSRSQTRRLAGTHLFAENRRAYEGSSVLAVQSLPVPQHEPMGARVMSVRAVAQITPEAPPLPSGNGGSMLGMAQSGSDN
MSSYIRLEILLFFRAFETGVGMLVVYRLTDMLKRRLFCDRMWNSTVDILYWLLAGLLLFTDLYQCNYGRIRFFYAGRCCFWWNFCKFCVK
MNKGLLVGALSVGAMLLVTLLMYVSFNNTEVRLRNEAEAQQENLEVVFDATWKIIQQKAGVSGQYAKDFKEIYPQLMEGRYGNDRGGALMFWVTEHNPDFDISLYQDLMRSIEAERTKFAREQTKLLDIKREHDNVRETLPGAIFVGGRPEIEVKLVTSAKTDEAFSGGQENDVDLYGSDQ
MMTLIIYMWSFGKCSRWTRKKSSIVSTSSYIEEDTKLEQKYERPAWDKDFPKILPPPVRVPEKENKEVDVELDMMPDGASKCDNKNLLRKKPNDADVHVLSGLYNLGTVRFDLFIFVPWIFHEPGFPPIYALPGLFPTSLSLLISAWLVSLVKTTMSSAKQ
LDITSFDTSNVTRMNAVFTDCYNLRSLDVTGFDTSNVTSMSGVFYDCKSLTTLDVSSFDTSNVSYMNGMFYGCTGLTTLDLSSFDTSTVDDMSHMFKNCTGLTSLDLSSFDTGMVTNMDNMFSGCTELTSLDLSSFDTRKVNFTGVYEMFSDCNKLKTLKMGENFKGLTEIASLINGEGWVNVNAPSTVVSGSGEYAVISNYGNNTYKRLISGKVEDPLTYPTNIKVEYSEKYHQVRFKWDKVEGADRYGIAVYLAGKWRVQTQTLTDTTYTSPKNLTPGKTYKVAIAARVNGKWDTANAIKHAGTVTIK
MAVINHEDPLAEASRTSGLTIIGDIGCFLPASWSTFCPGSPGYYTASVLSLREASDPVHRTAMEHNSGVLRSTPV
MSNLNDLELDSITNGNDIRIPFVIVFTHTDDYLAMFTKKAKVWKDFQKKYQTDRPKEGFHAIDDISIDKDDDEEPYRKKDVAIITKDSLSAISEVDKQAMTFGIRVVDEENKLARVFDEDMLKYFEEGGLSGQVNKDIDFDDVESTLNEVMEQTKQLNHVAKQKAANEESKNDTSQDQKETEETKEEKSESVTIGDSNNDNYYNSESSSDDDSATQDDDKVDNDNKETSWNKPQNPTPSRKTKFTDDNMDNEDSETTQNRTPIQEMPSTSPEENSEILERNKHHEMILSDKQPETPLEFAQQDLLYEISKMIPRIDLPRDNYIPDDVLDSMSDSESYDEVKSIKRLTEDKLNKRAEHKEKYLNSIRNEEITRIYNNLNRRLNVENDELMRKSDFTSDYSPFNHHYVELKNEYQTVINSLSDTKYNEVEKNKQTHEREKQAYVDRAAKEAAETFDNNNLHLIEDNAQAYVDDIKNQADNQYNDNYNVLKKDSDNWYVQNFNTLVPKIVQGSKEDIESIAQNINQTFSENIKELNQQMETDLDYFADKLKQIKEKEIETNKNNEALINTRVHERTLEYPDMKHEIKDKSDEIERLKKELEKKHEESEENRKKYITESKRNEGLEESLANRDIDRNMARDDYLYLSKILTNGNVEKLQKIIDMDKATPVRETFLDKMKNYTNVIASAIIALAIIIGALLFNSGQSNENNGVSQSEVKTQVQQAVKDEKSANEKEQEKKDKEIEQLKKDVKDAKDKQEKKDK
MIIIKSTLRLKFLYVLLLAAGCSSAPYMTIFMSRVLLISTTEIGFLFSVVPFVESLSAAFWTVGICRQK
MRMDVFLCTPPVAFLRLNPRDVMRRLFSLFLFMLWMLPVRAQVPLQTPKLRVPAASPEAVDAAPARAVHVTDRLVASPEGQAALRAFHAAKAAGRLPQRKAGAAPCTIGQTRTFNVLKDVVSSSIGWVPKTFECKASNNLASVWVETSQLGVVSQADLEALETALLSSTPAGSFDPDQGIIANDEAVFGSPPNFDGDGVVDVLLYDVTEGPDADEGVFVLGFFSPTDINPNAGPGQGNQADVLYLDTNPQMTEPGRFGRGPELVRITAAHEYQHLIHANYDLNEITFVNEAQSEWAEYMNGYQGRFITYLNDVAEHNTSFLTYRENGLIDRQRGSLFTNYVAEQVGALTAGAITRDPGTGIDGYNNVLGAEAMRTLLADFHTANLLNDVGVDPRYGYSRDNLKSVRAVPAEVIDGRAVFETPATTFMLTKGGVRYIRWEDVGDFILTLDALPLTTPPIGVETVRTWLRPRVILEHTDGTVEVVPVTLPAEAQVFAGPLAALTLVVPHVELGGSALPASFQYEASWTASGAGLTTVAVVQDDGNVHVNDDNGNGWIFTTGAGAQGAVANRVEVPSGTVALDRVLIAPFFENQFGGSSVPSDAPRDVAVTVWADDNGRPGTELFSLVQNLGNGYFAINLQTPCALTSDTSTAPCPISNFWSVDLSAYQDPLSNLPDVIWVGYKETGTDVNYMVIVPSRHPSGSVSWVRLSAGNWAELWSLGLSNGASLRNASIPIRAEFLVDTATPVEDEIALPERVVLEPNHPNPFNPVTTLAYRLDRPAEVRLAVYDVLGREVTVLAEGLQPAGRHEVVFEARGLPSGLYFYRLQTPTRTLTRTMMLLR
MWVDNAMALGSILQLRTIGAKLMASVTVTALVAAGAVGIAGYLQQDALSDQAIESALTQRYEAVVAAMADQGQRALAAARSIANDPRVVDAFVKDDRPALLAAMKDLGEPLKTSLGLGLISFQRADGTAFARVHAPQAFGDNVLSRRNTIRAAVSSGNPVTGIEPGRDNVSIFSVVPLRSGGQIVGVTDVGAALGVPFLTDLKRRF
MSAPSLAAFIANSVSTSTPTVLITSGGTSVPLESNTVRTLENFSTGTRGSTSAELFLSSGYRVIFMHRIGSKLPFLRQIPSPTNVTPELANLIKDCPNPSVSTPIATFNSNLPNLYLLPFTTVTTYLSLLESTLKSLSPLDSLSIYYGAAAVSDFYLKKPPKHKIQSTSEGRSIANDNNIGEGFELKLDSVPKLLGDVKVWCPGIFVCGFKLETDPKILTSKVEKSIEKYGLDCVVGNVLGTRYEVCYLYDKGGAEEVRGRVEEGIVEGVVGRHMEYVCREGGSLNVCLEGNLIGGGKKERDERIWREMDGWKKGIFWGWERLGPVIGVALVYYIRRRKW
MGRPALSSGSTVETAEADYFQNNPPPKEFSQNKQLVRAFVQRHSEQGRRVALVTSGGTTVPLENQTVRFIDNFSAGTRGATSAEYFLENGYAVIFLHRRFSLLPYSRHYSHTTNCFLDFMEIDQQGKVTVKNNYQIGMQTVLQKYQRAQTEQTLLLLPFTSVTEYLWSLRDTALSLQVLGPNAVFYLAAAVSDFFIPRDRMSEHKIQSGDLNAAGLSQGTKLVVDLDPVPKFLKSLVDGWAPNAMIVSFKLETDPLLLISKAKKALERYSHHLVIGNLLLTRAWEVVLVSPGREEWVRLPNHGPDPTGTFAFESGSVLEIESLIVPKVIRLHDVMIQQTCN
MDQPEIPLVLLEPPCRFRDAALGTLAKARRDYRIVLETPHLPAMRAGVRSGLGASCRTRRFAAAEGLSTLPAGLLPEVPEIETILIQRNGLPDAAHDLAELLVQAASDQ
MDSSEENMLSILPEEVLEHILSFCGTYNAVNVAVCKDFKRIAPKIHPLVHLNYLLSRDELPTGLDSLQEDFCKVADMVQEGFI
QIIHIVRDGRDCVSSLKRMPWWRLSVVAAIVTWVQAIEVGRRAQRRLRPDQYHEIHYERLVAEPQPELEALCGFLSEDFDEAMLQPRRVASAAIPKRKSWHTRTKDNVSQAAVNQWTEQLTPAELALMETVAHRQLQAHGYTLSGAPAADRSQVAAYWRLYARRKAALVEWQVADRVRTLRYRRPVAAQLTTAQMAGAAVTPPT
MLNSHPELAIPHETYFVVPAWRRRDAFGDLTEEAERRAVARWIVETPKTRYPRLALTEDELSDALAAAPPTIGSLMAAAFAASAAKQDKPRWGDKRPSYITNMNAVFGLFPNAQFVNIIRDPRGAISSMKRIGWSWGGLPSATEMWTRSIESGDTWRRRLGPDQFMNVYYEDLVTSPEAVVAQLVAFLGLDPAGVEAMLHHHEAKDIPTGTPFTEVAKPVNTDAMRTWEKELTAEDLAFIDHVAGPIMARHGYEPAAPVGAAPDAEKLRKLRKIRSRRTGEIRKRQAVELKRKFTYRHPAASRLHLDD
MGQTFSSSEKETLLEKDLRRLMNDERFHDIALKCSDGKIVFGYKPILATRSKIFNELIFTESKDNILSFNNVNSNAMKVVLEYLYTSKIREENLNVDNFIEVYYAAAHFKLSFQPEMYIAILSFLKDGNEDTGKKLLSDLVNKFSLTIDDMDDDVLRYLVCWVAKDRLKKNEIDSLSIEGLRYLLMKTIDTKESFATPEIEIWEYALTKAKTYGQQEVQKYLIPLARYINLNRMDPEEIKTHVEPYNIYSNEDIKNTYFSISSGKGLGFIRGVPIFKWKNDKPDLIVSDYGFTVEANNTQSKSILGDLVFKGRGVYEWNISVKKLRKTIYIGVCNINVDLNKNDQDYHGWVLGSDGYVYHEKKYKWYDAKFKEGDKVTVHLDMKYRTCAFSINDNKKPLVSKWNIPSQVYPIRYKGFVIDTIRIYLLFGRGYKFNFVREI
MGMILGWRMELLGEPVEMLPVHGLSVLCKEIILRWRIRLLKEQVGLLLVHVLLVLFSEIVLRWKIGPLQELAGLLVVHLLFVLFNRTFLRWKKRLLKELVGLLLVHILPVLFSGFVLRWQIELLQELVGLLLVHVLLVLFNGIVPRWQKSLMEELVGLLPFILLHGTVPC
QKERRLALPPSGPTRLLSPRPVNRRAVFVELTNKSAWQVVGIVAVWLLMLLSSDHGIAVCSERFIGFLVVLSMLLVGCTALACRRIADATAADAHDSGQEEGANAPPRWVDKDDVWSFVRFPLVGFGAGFLGGFLGLGGGMIMSPVLLEVGMHSEAVQATTAVFVLLSSSLATIQFAVLRRHVWHYAIWYCAVATAATLLGQHLCEVFVRKSGRYSLITFAIA
KSAQIREILISESAWEEMTCLFAPSIEKEDDHIRGGCPCPLTGRDNLLESGLHYRGKSFRYLLNIT
MFDGRNSGHSSFSSRGDGMHTPEHELAGHAAPSTRRGKQNRRSDMEVMKERFAKLLLGEDMSGGGTGETSALALSNAITKLADSMFGEQMKLQPMYPETKENWRKEMGWLLSVIDHIVQFVPSRQMGKNGQFTEIMVTKQRDDLLTNIPALRKLDSVLLETLDNFKDQKDFWYVPRDMEDADHNGDWRRDENWWLPVVKVPTDGLSEESRRWLQNQKDSVAQVLKAATAINAHVLSEMHVPENYIDSLPKNGKTSLGDFLYKSITEESFDPDYFVSFLDLSTEHKVLDLKNRIEASMVIWKRKMCQKEKDGKSQWGSTVSLEKRELFEVRAETILVMLKQQFPGIPQSSLEVSKIKNNKDVGQAILESYSRVLESLASKIMSRIEDVLEADRLVQRQLMGEAETRSESEAESEYEETEKVVAAETPNSRKLSDFIGWRLSSDTKKHSSMSDIEFFHKVEQEKEKPMMKSPRALPKKFSYLAKLENMRSPSDRH
MSRPGTRTSCGTMLRLGCGRGFSPSVAPREAPPRRPGKRIHKSTFHRWATIGCKGVRLEVLQCGGTKYTSSEALQRSFEQLSHNRSPYRNVTADDELETHLGSQSQDETDRLLDKLGL
MYTEQGDSILDPMVGAGTTLIEAKLLVRDAVGLDINPQAVALSKKALEFKHRPESEQEVRVGDARDLSSFEDDSFDLVVTHPPYMNIIKYSEGKIEGDLSNTGSLPKFCETIEEIARELLRVLRPDKYCAILIGDTRRGRHYVPLAFNVMQRFLKAGFVLREDIIKVQHNCSATARWRPKARAGKFYLIMHEHLFVFRKPKEGEDLARLKYSRAVLSF
MLVSMLQRKRVAVVIDSNAVWDDWLLERDVWTRLAILSGHGLIEVCLPEVVVQELARGYKRALNNLVAGLEKLKLTKLASLLELNVPPNIADLNDSTTEKIATYVPRLRARLAELGVTTLPVPAVDQQTMLTRALEARKPFDAEGKNGYRDSLIWYTVLSMCKDRGPSTKIMFVTDNTKDFCDTKGELHESLLEEVVATGSSPIVTARNLRLAVQYLKDVEHLDTELELDEFPVVTPSREQIEAIITAACEQIAGQRVTTAEQVEYSDASDFSGFHTIIEDEADLQDIQPDLDTIEWELAGRDLDGRPMLEVSLDAGVTLDGMAFKADYYGADVDSVSVLDGDWNDHYMWVATYHRGRLSLLVYLTVDGMHFDAVELVNAEDIVREAISED
MNLKKEPTNRSLKLKNGDASAREIAFVIGEDGKLISSQEGLAETECETSESEVHNQETAITAAPTCEICGKSYKYLRSFLKHKEEHFTKDESDILTIDYFTALRDSRETDGQVLIPPSEEMACDICGKKYKYLYSFWKHRKEHDARGQSRISSISAERRKTIEQLRAAMRVEVGRNSGSVQQECNSVSDFKVVKLEAFSPNSSPVQEFDGGESRSGEEDRTPGFTSKTAISFWSLNAAGSTARYQMSARGQIADMRLKAPAVDEFFTCEICGKNYKYKSSFWKHMEEHKNYDRSEGLENSDKELEMSPMVPVGNDVPMGNMFYCTACNESFQTENALLAHTEEHKDEGTSVDSGIDPSVSMEPNQYHLSSDHLGEDCYKCDICGKKYKYMSSFWKHKKEHEVIVFTGISDTMCHLCGAVFSRKGGLRDHIQFVHEGYRPYACAVCNQKFCRPSELNRHLEKRHAAMLPVQISISPQQVNVTSTQYHFRPGAFPSKDSIGQLSIMKKFNTPPAVKAQEVKPQVTVVLPEKKATSTEQAKEQKLKIVKIETQYYTCEHCSQMFTSCPELARHQEKEHPETTPNFRKHRCYLCKKQFRYYCTLWKHKLLHTGRKKLYCILCTEHFLWPRLLDKHFTSVHCQKFKYKCHHCEHGFDQPRHLPRHIVAVHCDLQNLVCQLCKRKFRAASALQEHVRKGHRKDHIQI
MCTIMLSLKQEENFKKCGKKSIKKMALLLVTTSFLLVLVLPVQAKDSTLGKAKLQEHTGTA
MDYDKDEYERRKRAIFEQMSKRGQQRILRLGYENWDPFQEPKDPRQEIKSSSAIRAGMILAQFYEEQKDDERFRQHHKDLMDLCRGILRRDTKARAIVAFCRWYERQEKELPGDG
MLGTFPGYLADLLILKRRAYELKVCALVLRQLPAHKFHLLVGYSETLLSHFYKRPVCLHLQTVPSKVVYKYF
MHKCVLIRGPHNGLRLWPSANRADNSNNQLRTGCRCYSMPNYSQASRTAETFSCLTPMLYELWDHKHIRYQGYYARTESSVDGGGDWKYSISNYYHIRTPMTQKLRDSMLRRGILLFFVSSLRLKGGSAGHHQPYSDSVGDQTPRMEVVARTLVLYSTLNVAR
SGLERVGEILEPARVRVTAILERGQRDGVFHSHLPPAAMGAGLEAMTVALLEEVNTGALEDDGTRTAVAMLIAAGVPEKQARVVVDDVAAAVAAAEAVADG
MTTNRLRAAPGRARRRPVTWVAGALAAALLAGCAFPFGTTPDGDSESGVSTPRESNSLFLREQERDARRRQEQGWELIIPNR
MDALVGVRLLGIENLEGSAGSDILTGDAGTNVLIGREGDDTLAPRGKPAS
MTFRQVLMGLMLTLAVAAPLSGCGKKSPPKPPSGDEAPYPGTYPAPS
MLKRMEGANIKNLISDQMSNRQIIKDFISSFISSLSGKAFNFALGLMLLDQTKSAMSFGINMIIYPLVSLIFLVPIGNLVDRYRHKKILIYNFIFRIIIFLLFYAFYFLTNSSTILYLVIPFVILHSVTVNINDTCYSASIHELVNDKKIQRLSSVTQTAIAIATMLSPAIGVILYNWLGFSGFILIEIIANILSLGVLLTMKFFYEHEVKEAKIKQIEKHQHGVKEIIQFLKENQIVKYIILVSVVLNFFYTSIS
MPPYKLVSRDNHPQNTVIKLGTAQIGKGTTIIAGPCAVENRETMLRLASSLSSLGVHILRGGAYKPRTSPYSFDGLGKEGLKILAEARKYSGLPIITELTDVRHIDLLCAYADIIQVGSRNMQNFSLLKELGRVNHPVMLKRGLSATLEEWLLAAEYIMAEGNHNVILCERGIRSFDSYTRNTFDINAVPAIKNLSHLPLIADPSHGTGRRELVAPIAKAALVAGADGIMVEVHPQPAQALSDGQQSLTLDEMAQMMAQMKSVAPQLAG
MLLTLEPDLTADQLQQIEDRLRQLEVRVEKTAAGARPIWVITSGGGEPPAERLATIPGVAAVLPGEGGFPLASLALRGRPSVIRCGPLEIGGRDFVLAAGPCAVETPEQMEACALATKRAGGLLFRGGSFKPRTSPYTFQGLGREGLRLHREVADRHGLLMMSEVLDREDLPTVAEWADVLQVGSRNMQNFPLLKAIGRLNKPVLLKRGLAATRDEFLLAAEYILSGGNERVILCERGIRSFDPALRNSLDLASVLLLKEMTHLPVLVDPSHATGLRRLVAPMARAAAAAGADGLLVEIHPSPDSALSDGPQALLPEELLHLAEELADLAPVVGRRFPRPA
MKFNFNKVIVAGPCAVESEKQIMDMAFKISLIRDIAKPYGIELKMRGGAWKPRTLLFKESKEGKNPVFEGLKEDGLKFLAKAAERYNLPLVAEIMSEKDFPLFEKYLNPARDYLQIGSRNSQNFSLLSLVGKSKFGVVLKNPQHGVDPIEVLGSIQRLIHNREVIYCMRGQKRPIVIGNHHSYNSFIQKIHEEKNQHQDARNLNNIDAVNILKKKIGFENISFAYDPSHTWGGKSDLMRQKIGIYSLLALTKFEYEWVIVEVNDTSKFSECDEAQALLTTTNGINWKQTFVGEEPSKKNMPLTLVDIVHKMIEFQWKYFSDDIKKDQITLTHDLKKLNSIRWDSKVRLLLSK
MATQVKAHVTVGAPVLRDIHLPSAAWWPPAPGWWLLAALALLLVASGVWLARRHVRRGPLRAAMREVDLLERDYSRNGDTAMLAAGASRLLRRVAMRVAPASAAAPGDAWRAFLGTHAGDASTAQELDILVTAPFRNRPSLDANALLDAVRACCRHALRRDRRPRGSTRLPIPAVGKPGRHRTASGGGHVSPVAGGGAL
MPALPDQVPEPTLQQMREIDLPPPAPFWPPAPGWWLLALLLLLLSLAAAVGHYRRGARRRAALATLAALEEDYRRNHDGRRLARGVSTLLRRIALARHGRRAVAGLEGDAWLSFLDRTCGGAGFRHGPGRILATLPYGGGGELAPAPLLALARRWIRCNT
MNDPLESLKPLHHPEAISWWPPAPGWWILLAIAVLAAALFGWFRYQRRLQRHALKELEQLQAQDLTASQMANQVNQLLKRYALVCYPRAEVAGLSGKNWLRFLKKSSDDHMFLRAGKLLLDTPYQRKAKRGDERALLDFATDWIKRNQSGASR
MDTLQKSALGLESTPSISLEVQLSPSTDSQQTIFSHPQPLPLSHSLINAPLIQQNKQEKQPQTSSVTEEIDFEAYTDIELQRLQTELERKMHFYEAENIMFESYLCRVTPQGKEDDKGDANGSVRTGGAGTNIDNSDSQDRGKDTRREKKKKNEKAKEADRPLLLTSEQKSEIATRELEELRDEIQHQQEEWGKVMDNYKAEIEEVEIRVSEIKKAMYEFRRDIVQQAVNQRTGKVMAERVIRYFEDKIRGKDATIEKVRLKNVTLKVQKNKLHLQLKQKEEMGEVLHAIDFDQLQIENKQYLQKIDERNSELLKLKMTAGKTVQILNYYRKKLQMLSSESTRLRSEIEQRQDLLGKLNSEAQVVDNDRRKSERLNGWILKQLDDYKVPDVMDYVLVKASQHDLNKKLKGWERKVEIAAMQVQRMRKIWQQMSIEAEGNRQARKFESSRKHQSLQPLELPKIGMYN
MTPRLAVLLVSSVLLTACGAGGGGPAPEEPATGSDAASSSGSTGPAGAFPVVIERRGGIAGFADRVSVAADGTASVTTKRGAQPGCRLEATAVTALTAAVTAITASPGTPPPSSVSDAMTVTVRRGAEPPVVVPDEAGEPGAVVTQVLTRATSAGC
MKKLLAVLIAGSFAAGAFAQAASTPAPATPAAPAATAPAATAPAAPAAAAAAPAAKETKAKHHTAKKHTKHSAKKAEKAEKPAAEKPAM
MEAPEPCAASRRLLRIGFLALALAILGICYARTAARFELSSHDLIWASEPPLGLILKQVALLAGDLVSPVWRLHTNHEAPGNLFPLSYALPLHWFGTSYEILEKTILLGAVIFLAVFILTVHSLAGQKPGFDLKTLNVALVVPATPYLDLHLHFLPPANGLAVLLLLGLVLLTGLCTTPIRFKFHRLALLGILITTICKWPPLTTEVVATLAPLGCYLLFLFLAKDRDPASLPSQSPSTSLKTWLLPGLVLILLPLAILQWWPTIIAHHDRSTQPLSEYLVYLGQRLAPTDSLPTFSRSDFLRWIVLPLGWPLFALALLFRRRPQPVVLALLGTFLTCILGLMLSPVRWPDYLFPLLVLGPLCTALLMLREQNHRFQACMSLGLVIVTLLVPWWSEAQPPPFNGLPRMLSENHSAIYEHGLVLIEERFGPEEVRRSKHLLVGERIHEYDHLHEHLPLALLSRGAGIVELALTSELHPPDWPDLLTHDLIWFGLALERTRACTLLTQGGTFADLLFVATHFFGRETRLSPYRPSVLARSSPGKLTQCFAETELHKWPPGILLPDSLLFLAEVQDCLRLEAPGYLEAFTAYAEQQHQVLLASYELLELAPNLCVFLKRPLRDRLLR
MGTKDTLCQHSSTSVCPDVSYSKCPHCLLDLCLEHVLEHQLQVRLDFHQMIDHINHQKLISNDHSVINDMKAKTLEKLENWKTTKIELVMSVYLVEQSRIESTWTASIERKTKIQNKVFQELITNSNEIEKKKNVHPNDILQLKKKLNELAEAVREIEQDTNVKLTAIMSSVQLPEIAKQLKTQETLEILLQECENNLDKKNRIILKRENECQYLEQLIIEKDEIIHKLKQKLR
MENYQKHLEKQYPKKTTRSKKVTILKGFLDWCFEEGYLKKKISRGLSPVKIDKEEIPHRVIDPATVEAAVRYYNGNPKIKSLILLLATTGLRLNEVITPQMKHMSYDPRTNQYYLQTITKNKKSVLH
MDPINIISVTPQNVKEQTLFCVKDITNPGFENKRIWFEKRYAEGLRMKILKGENDKMIGFIEYVPAEYAWRPLDADGFMFIHCMYVYSKKDRNKGYGSTLINEAEKDAKARGMAGVCVMASKGAWIADKGIFEKNGFQQVDKKGRFELLSKKWDAKAPDPKLHDWTVQQKKYKGWHLLYADQCPWHEKSVEALLNTAMDYDIDLKVIKLKTAKEAKNAPSGYGVFSLLHNGKLLEDHYISATRFRNILKKELGMGSQK
MLGYKTTSYEGAGSGGGWLWDGEDEPVDAGAVHAAVASVLTRSDRILVQGYAELATVPDWRPNPLCRLVPATRRTDGGRDRRIVIRDRRGHEYLSALDYATYRPWDVWVSRTAYLCWWDAVGRVADALVGKLQTHTLTGARSPRQPWLANPKAHWPWGDDMPVIQAAEARWMQAFEDAFHAKGYRAKAGADAPTVAALLSGDATSC
MSSVKTDDISISLTSIFMKLVGLWMASNRSEQRVRDITVSYTLIAIVFAIWIQSTDMYYSWGDLSACLFTACNMLSLMMPFIKIIVLLAHKEDFFRLILYLQRKFLHADYNNYERQIVIGFKRKCTFFIYFFTFFTLATVVSYIVNPLIANNSRNVSDRILPFNMWVDLPLTVTPYYEITFILQVLSLYHIGITYFCFDNFLCILNLHVAAQFRVLQYRISNITDLMNRETLEKNQKFSANSSCFANKYYETFKKCIRQHQALIAYCKKLEEVFNLIVLVQILVFSMMICLHGYQILIAKTSNIVRTIFICHISGCLCQLLMFTYSCDCIIRESLNIAIAVYRGPWPFLPSTTSGRMMRKDLTIVIMRSSVPCYLTGRGFFIVSLETYTSKHHKDISFRLAAFFLKVVGLWLSTTRVEKWLRNAVVVYTILTIIFSAWMHSRGLYFSWGDFSVSSYIVCNLLGLFIVFFKIVTVFMYKEKFFRLIVHMQENFWHFNYDQYENSILADTKRMCIYFVCVFSLFSQVTIFSYMTRPFISNIGRNKSERVLIFNMHLDLPLSISPYYEIMYLIQALALYQNGVCYLCIDDIFCIMCLHVASQFRILQYRIANVPSLKEKDKLDQNANEDASNKCYAIFKNCIQQHQTLIEFSTTLEEIFTIIVLGQVLTFSILICFIGYQALLVELPLSWRISLVLYLTSNIWQLWIFTYSCDFMAQESMNIASAAYTAPWIYLPMDRFGKMIRKDLQVVIMRSRRACYLTACGFFPISLETFTKIVFSINSKSGVSDRLKSNMNSKHHKDISFRLAAFFLKVVGLWLSTTRVEKLLRNAVVVYSILTIIFSIWMQSRGLYFSWGDFSVNI
MVCKILFFVLAVWAHQGYCLTEVRLDDRDVHIIRHEHLGSSISIAKPLFDTLRTCHITYPDGRRFEAYPQNNLPNSEIFFKNVSEPFTSCSIGFRNPPVSFSGTYELMDTVVASTTNGVTLTRQRFNIRITEPDF
MRTETGRFSVLQTSFSVVPFKSSLVIGFREHEDPSVPSTHCTLHLQQSILALFGWALTSRRGHRSRLELGVATTGTGWRLSSAPREGGVTGTFRGVA
MDQTSMTLLQGLLISCLDGKLSTALHIGLHRLMDPLTRGDFPSSMRTIVKNRLPEFTKNQSEMSKASFDFTGINYSTTLYSFDDAKPNFHAVRTGIFGYRSFRNKLHDNTRIPVILLASLATGLRENSCSMHSNPVIYITENAKLDGLFKLRLPLVSRNECLPFQRSCRGR
MSGNTEESYNANPSNMRNKSTMAMLLAQDAWEEARAIASSLNMPPPSLPDAVDLMTHNYLKYRKQWNSLKTVEERENITPNLSQAGNLENPVSPSRFQSISKWVKPTRSTSSVLDPNFNKLPTKISRIEEDFCRNADAKLMINLAEEMIDQQLPTTSLQRTNPMEGLHLPHRQQIPGELINPNLSDIRRSYNLQRMQQRLNAALAVGKKVKENKGCKVRSRRRPD
MKIKRSHILSVFSVFMLSAFAVFFTPDRYLAYAEEDVPILTDNVPDVLGPKRVVSVGKFDAIGAFQQQYGDWDIGGGISAMMTTALVESKRFIVVERANINQVLSEQQMKGQGVTNASTGPDLGQVTGANFLIYGSVTEFGAADSGGGMSFGLSGGSSLTNALGLGVSRQSTSGKVAMDIRLVNATTSQVEEVYTVSEQIDNSAWDFSVGYKDINIGTNSFLKTPLGEATRRCITKAVQQIAAKANQVAWTGQIVDFDAGEAFINAGGNSGLKQNDKFTIERITKKLTDPQTGEVLMLRKKQLGVVVLTEVLPKISIGTFSPLDVDTPQRGDLVVSIQE
MDSGTREPHSFLKRLSRQLKAFESNYPSYDDLQRFGIQVSQVATVNDEKILFDPCFFHPHPDRLLQKYPVSLSPEENEKPPRMRLVPTLDEILEDCQEEYSDPEEVQEAVEAQIEALENLQYHEDWYMQGLSIHEYMGWQLQVSRNIQPGIRCLWDLGDQEFF
MDGNRRWARARGMPPIYGHKHGAESVRRAVEGCCRLGVRYLTLYAFSSENWRRPMGEVGELMNLLRFYLQKEINALHANGVRVRIIGDRERLDADIRDLIERAEAKTRANERLTLLVALSYGGRDEIVHAAGSIAREVAAGRLDPSSIDEAKVAEYLYTAGIPDPDLLIRTSGEQRISNFLLWQLAYTEFVFLDIAWPEFSEEHLRDAIELYSVRERRYGARPG
MATQVEPNTAADLSACSRTPEPPPLHVAIIMDGNGRWASQRGMSRSEGHRAGTENIRRIIQAFIKRGVQYLTLYAFSTENWNRPSAEVQALLAIVNEVIRSEVDELHRGGIRLRHIGRLDRLPPEMRRSICESVELTKHNSRMTVCVAFDYGGRAEIVQAVRSMIADGVRAEDVSEGLLRQYMYDGEMPDPDLIIRTSGEQRLSNFLIWQAAYAEYYTTPVLWPDFDESEVDNALDAYAGRKRRFGEVR
MRKREKPFGSGILYGLYQKRLRREIAELPVPGHIAIILDGNRRWARANDLETAGHGYRAGAAKFREFLVWCDDLGVKVTTLYLLSTDNLLGRAPDELADLFEVIADLADDLSHYRDWKVQHVGSLERLPEALKASLNAAEARTANKTGMHINLAIGYGGRREIADAMRSIVRQHASEGKSIDALAEILTPELIAKHLYTGGQPDPDLVIRTSGEQRMSDFMLWQSA
WAKKQKLKRVEGHKVGIDSVRNITEACCEFGIPYLTLYAFSKENWSRPKEEVKTLMYLLGLYLENELPFMMENGVRFNMIGERKDFSRSLQAQFDHVMKETAGNEKVVLTIALSYSGRKEIIRAVQLISEDIKSGRIKRIDERAFRKYLYAPDIPDPDLLIRTSGEMRLSNFLLWEVAYTEIYVTDILWPDFRKEAFLDALREFAKRERRFGNIKDF
AKQPTRQHTHTQKGGKKTLGTQIMAHLITLSSTIGGLFPRHSPCYSRNAISAKTAGETKLPAGLREEMMPRHVAAIGDGNRRWARQKGLAPVEGYQAGFITMKNLAAMCTQWAVPVVSMFLFSSDNWRRPQLEVDFLFDAFEEALVDELDTFMRLGQKLSIIGDTSTLPISLQKLIRDAEEATRNNSKCQLLLAMSYSGQNDITQACQSIAAKVKDGLLKLEDITKPLIEQELQTNVTNIPCPDLLIRTSGESRISNYYLWQSAYTELYFPNVLWPDFGTEELIKALRSFQQRERRFGQN
MLKDIALGVYEAYLERKLSSTEVPRHLAVVQDGNRRYARTKGDEPEEGHSEGAQKTERVLDWAYEAGIEELTLYAFSTENFGRDGDELERLFDIIAEKLNELAESDEVHERNVRVRGIGDIERLPERVREAVSHVEEETRGYDARRINIALAYGGREEIVEAARRLGRDVEDGIVSPESIGSSTVESRLRLRSEVDLFVRTGGERRTSNFLPWQARGAEAQVYFCDSYWPGFERHEFLKAVVSYNDEGGSTTTTRAPLASEEPAD
MYKPFGSYGRAGAQYRQIDVSTRVEGASPHRLVAILYEELLVSIATMGQAIRVGDIVRRGESQSKALAIVGGLESGLDFEQGGEIARLMVTIYAETKRLLIRAARENDMAPSDEARKLVAEIATAWNAIA
MRGSLQAYKKVSVDSQLSAASPHKIVQMLMAGAIERLIQGKAAMQQGNIPVKGERLGKALDIIISLRSCLSMEDGGDIAKNLDQLYDFMVNQITQANHKNDPQMLDDVIEIIREIKSAWDQIPPEYHNLTAAEVGI
MASNIKNALNAYGQSSIELEVEHASPHKLISMLYEGALKAIFLAKAHMQNREIGPKGVAISKAIAIIEEGLRVSLDVGKSGEIAGNLDTLYDYMSRRLFDANLNNDLAALEEVQGLLSQLREAWESIEKPQATLGTPTEEPTQHADRKPLSYGRV
MAHGNVFGAGDNVATVVTSSSVAAAQDVVAAVVTGSGVVATVDGVAAEITGGGVRGTENGISAVATESVILIARDVRTA
MYTPTFNALLREAQFTKEMLGTGATQIRRANYATKGVYFQAFTSLSTGLERIGKLCLMLDHFIETGGTFPTLREMKHQIGHKLELLYERSQEVTERRSIQLQMTRDLSDPVHTAIMRVLHDFAEGDRYSNIDVLVGGGSSADPVGRWFEEVDTPLYRLRVSQRRKDQIVRNASIGARLIGAISMVRHTAETGEEITNFEEGSL
MLQLCDGGVKETKEGFVRGFLSTASQCGSNLDTFLPMLLKEALAQTASDIGAWILILEPRDDNKRLIASKIQGHLSENGIRQWQAWHKEPDKELLQMPSTQDECCTHPSRYSLLAGSRSVLRAPFLDGNALIGFVQVESSKPNHDTEGRSRKLQELASQAVPAICRILLRDAFTQFGGPPHLVGLSDAFLNLEQQIRRLATFPARTVLITGERGAGKELAAWGLHCWSPRRNRPFVRGWSSRPPAVRRSGGWRRFQRVQS
MIAEAVLPVKPEVMSWEEKPSVLVSEGLQRNHTRSVPYESVPYGPVPYESVPYEPVPYESVPYGPVPYESVPYGPVPYESVPYESVPYGSVPYESVPYGPVPYGSVPYGSVPYKSVPYGSVPYKSVPYGSVPYGSVPYGSVPYKSVPYGPVPYGPVPYESVPYGPVPYESVPYESVPYGPVPYGSVPYGSVPYGSVPYKSVPYGSVPYESVPYGPVPYGSVPYESVPYESVPYGSVPYESVPYGSVPYESVPYGSVPYESVPYGPVPYESVPYESVPYGPVPYESVPYGPVPYESVPYESVPYGPVPYESVPYEPVPYGSVPYESVPYESVPYESVPYGPVPYESVPYGPVPYGPVPYESVPYGSVPYESVPYGSVPYESVPYGPVPYESVPYEPVPYGSVPYGSVPYGSVPYGPVPYESVPYDSVPYESVPYESVPYGSVPYEPVPYGSAPRCFHR
MIANASLLRLTARLLLAVVSLSFGSARADSPPDLPLPPCAGPNGEVGACPDTHEDISAVISGAITAGGSAAFTIDPQIPVCDHHTGYPPYPWTPSGCYSAVHRPDDIQCGYIDLQNDNVWRESSCAGILYRDPFSAPYPLFTVSATEGSAGCGGAGNYSTYVYGGPANDESKKWVNRGPTLLGCTITLNGPRPNNLRGPTWLKVRYGIDRADDGDNRHGDAEYAEAYVRIDGDLREDAVDIDVLASNRLRTEGDAKIVTYTVTLTNKGELAAENVRIYASFPPSSALGQDVSGGPIRSAPDQLHLMEFSDSHCRPNPIQNFFGGSFQCDGLSVAASGDALGNDVKFIDVVARITNVADLPPLIFTAVAPGDIDENNNEDSTSVYKGLNSGSLAQTRQAMEVLDPYFNYQTDLRSAGCNNYRDDIYARFEQIRRDHPEVFDNLSYGPITSGDYTTEVGSKAGHVGLVVYPKGTDYHETGIVIHGTPSVSPLGFYADSYDTQVGTFAMGEQPMVTRLRGTSSHGYYYRTPVVQFPGAARPEGPGCGFEGLYADNAGDFARPRAGSCVRPGDVTSEPSDPNAVVIRTESPVDLHITNLDGQRVDTEGGQITVQELGRTINSMALPHGDGTFAWTLVLPKADYTLDLIGTGDGPYRLTVTHFGLDGEPIEAVHESTTSNGQVDHFDLTYTLFGGGFEGD
MQIHHFGLFLLNLMIVGVQLQAAHPQAPSLGPVLYDRQPHFLKGGRHLELNCSGPIGQQSGELFWQLAWANKTSLWSVADDLQTLTFKSPQIDVPGVELILTNRDPTDTRIDSRLSVLVSSSLNNSQLTCLMANQYASNSSDTLNGVKVLRSGTQISFKVFFVPLRPHLTTTISPDKSGSLHRGHCLSHCEAYVGSGGVLTWVVYNTKKNYIWTVDLDGRSVSLQNLQTYSLTAVADYNDTVKGPLLRSKLDIDLTFILDHSYLICYSYNATRLGNFSDPDDELRDAERIDFKDTDFLPALLIEEFLAVIGIIWLLICACLFCVSRKSKDLEIIEIE
ILTFILALVITFGHYPFPYELQLFLFLQFISYLFPFIFFNQLHTHNFLIFSILLHFPLPIVLFQNLTST
MEDPRTSFRHVGDLGNIVANNDSFAYVDIWDKRVRIIGPNNVIGRSVVVHANEDDLGKGTGDKKEESLKTGNAGARLACGVIGRAAHS
MRKQQHSRSCKTCNTFITAEIEVAAVTILSQIWEAMNDKCVIGEEIIGWSLKSAEPLVPVSIVNLVDELQRFNGGRVFIYEGGGGNLGWWLLVREDDVGEIKVE
MKDLKQTLLDLILESVSQANGKRQIVYLEGGYYDTRFGPQDFSINTLSMAIHVAEEIVKRKYKVTRVLLGVLVNNIGIVCGEDACVIPNKESDFNNNEALVVPHILTDMLNKSKVTKNDPVIFTNERTLRNRGLRLVKEIVDESKKYSIVMDKNKEGADLCSVLIEEDKIPLTIKKGKTWAARCPLIMGQHYADLYIKISKKYGENINLLIVDMGETYDRHKMNNGAKVAFMLLHKMYGFDTGNLKICNFSFQDDELVHFEYDVTEKKI
MKLSGSFIASSLLGLASAAAGSSSSGRVYVLDPHQPSPPLEHGAQPSDILSPETARLVFAQRLGLSQYHSLKNVDEASLRKVNVFGGRRPKLFGDEQLEDETTHVMIVVEGAKDTEIHSPQPMSSFILDNAPNAEANSRLLKDLAFQHEHLSQHPEISSFDALAPVADALNALSEDYQVTNKNGLVLVHLKNLLKAKTEDYLAQAERLSVAVSRVMDLCKEQAYPFTLAMMPDGHGQHTHQKRSVNPWGEYELPKRQAERKVSETILSESTPDSFLQETNTTSSNAEAPISHVDAATPLKGILPACFASKSACESSTNNCTGHGHCYKKYTDPDRDNLACYTCKCSASVRKNRDGTEKTTYYGGPACQKKDVVVPFWLFTGFAVGMAFLLSYGIGLLYSMGNEELPSVIGAGVSGPQARH
MEMMVQQFRPIITNKFKGVVYTEMVDHGEEPMLADEDLQFLGTGTLNDCTHT
MAQPVPVPHDAGEWNGKWGGAAAASNGTIFCTPCNERSFLEVHSGGFDGGRHVSPVDLPEDYQQLIHQSDAFAGGCCTSDGRIYLVPTFFNNRVGEPYLWTLSYAPATGEFQLLNTEGTAVVQELDEESVFWSIAVAPNGKLYSPPANGSQVAVLDPDSGTFELIRGVQRRSQKNKWTGIATAGDHYMFCSPGRARAVLVIDSNTHELHYLWDGELFDEAGAVQYVDEDGDPIPDYLAADVQLWSGIAAAHNSKLYCAPCDADCVLVIDPGNWKLYTLGLNPPCVDSTSANKWSGICLAEDGRLYCAPSCAGSILVIDPVCDAIGYISIAGLDPWQGWQSSGDFKWSGIAAACGRIWCVPDRADALLTMLTPKPPLCLPGHVGVPPDVV
MKLLVGDTSCTSVPILFTTIAGEGQEMKMQAVMKFLPWVGVLSITGALYIFGGYSLFWSLGPVYLYAAYVLCVQKRLPVVVGIFSREPEESYAWLSNHLRQEFQVKSVFISNNEEWKFRQSISECNFAILYHTKRRGRLNVTDVTDSLYDEELKTLSTTLGKKNVIVVIDDVDNADTNAKEQLLQSQPTIASTACDLFLFSSTEKESFPVVQQSSITDKLVSMRKLMQGPSYLSLLLTRLNFRLPRSKSARTPIL
MRIKMQAALTSLQEQGRLAIAANAASRPSPSLSDSLSPPRQEAQAPEHESVIWLRAVIECDRALDSALIGMAGRDGGSEQASAHVNRHDFEDAVARCEAELKLLDLHVPNRYGYCLTCDPDSCGCVGSGDYPCYTIKALLSGYRHRGGFKPEWVNA
MVSSSSTVSSPVFSNDSGVFSSPTKGIKQKEFPPLPQSINHTEYGPLSMSLISVGIET
MSFATDAARWRALTVRDATANGQFVYSVKSTSIYCRPTCPARLARRANVGFFKTPAEAEAAGFRPCKRCRPSTEHLTDPQEQAVAKACTLIEERMSGDDPKSFKLQDLAKSVGLTPRYFHKIFKDKTGLTPNEYAKSKMHIQQRASPSTSATTSSVAEETLADTPFELFNLDGFDFNNFDFNIDPGLPFNETIAIDNADQLLPTTAMLDINVNISTAPVACGYRSDFLESNIKFEEQHQLSRPLGISTMYQAEPELWGTMKKKTASLDDLDTVLTMVDNTPLSSALPYLYLDLAPFLI
MSEAFSKAFKHVSEQLGKGVPEAVMMEKMPEFRDGAFVVNRYIPATPPLLGMALKTPIPGIFSIITASG
MDDSKEAHLATKSLKEEGNQLYRLKAYKRAIIRYDKYCWPAAKEAHLIEELGLSLNLNLDAWWLKLCAFEDAKHQSDLALKVDLFNAKARFQRARALTNLGRLEEALTYLQIAIRFEPSNVEVKRKLSEIKQLCLSIQGNAQPQRATDDCSNSSKSLPNLEYQKAFDHLKLKKHSNRAISPTSNEYSTKGAVKSEKYGFLNSSVIKDHKLESLVLTSNGQQPTSNIIPSREMSNSIEDSHTTIFEMNVEELDVLRSKGDGDL
MFKQLQQVGKAFMLPIAILPAAGLLLGIGGALSNKATMQAYPILNNEALQGLFQIMSEAGSVVLRMSKPLIKPH
MAINFISKSNNKNFIIFSDSLSTVTALKQYRPPHNLIIQTQNRIHDNLYDNNGKKITLIWIPSHIEIQGNEEVDQLASQAHNIPISNLPIPHNDLRFWTKSNLIWVCYQRKKHSSREAAPSDATQILPDDEAKKVPKKVIIDVTGRAKKLHARDEKTLKNEKKSLLGSDKNVGSHKNTVVGSRKSLFGEMFKETNEHSKNDKTAHPNQKVIKSFSIDILKGKLAEKKDGKKKPEEKQNKKKDVQKMKPTQEKQNKKKDVQRMKPTQGILKITESKPKEKNKIIPSIQQLPKIPSSVKSAIANKEGKEEEEEENEEEEKGDESSGVKE
MVKTWLSRFQYPKLLGLILAILLAYIIFQNPEVSSFVSGIGNYGYLGVFIGGMLFAFGFTAPFAAGFFISLTPTTIWLAGFIGGVGALVSDLLIFKFIKLSFKDEFDRLRKENVVKNMEKLTEKSFIKKIKIYLIYVFAGILIASPLPDEIGVTLLAGLSSINIRVLAVISFILNTLGIMVLLLI
MEPRLLLEYNRLSRTWGTKVSTPDSRENWATPRVHQTQSNLGYSSEYTRLMLYLGYTSEYARLTWNLGYTSEYTRLTSNLGYSSEFGILTSYLGYTSRYARLTWNLGYSSEYARLMSYLGNTTKYARLASYLRYSNECSRLISNLGYTTEYPKLTAYLGYTTEYPRLTVNLGYSSEYFRLTLNI
MRACNELRSEKTALAANGDNLQRVDEIVYIRTRFTRSPTTRTTLARGEEARVVEFSPGGSG
MAYFLKKTHLKRGLYLQIYESFRDPKKKETAHRSVKALGYVSDLVTSEIPDPVLFYQAEVKRMNEAEKKARAKLLREEISDNSNKNVGHFLLNGFFNRFDLKQEFKYLTFNETFKFDVYELFKALVFARVIEPCSKHKTNIDVIPCLFGNYKFSEHELYSGLAFLGENHENIVEILNYRYEKVYRRNTDKVFFDSTNFYFEIDYEDALRRKGPSKENRRDPLLGMGLLLDGDGIPLAVKFYPGNESERPVVRAVIREMKARHNLKGKVIQVADKALNTTKNILEALENGDGYLYSQSVKMLSGVETKWVLNENDYKIVHDENGHELYRFKTWVDDFPYFFTKENGQKVKVLLRQKRMVTYNPKLAKKQRGEIIKLAEKAESLTLSGAKKSEYGETGKYVTFTSVDHDGVVGEEKAIISQLNEEKIKRDLSLAGFNMLITSETNLSAKVMYTTYHHLWRIEESFRLLKNKLQARPVYVQTENAIFGHFLINYVALLILRVLQIKVFDDKVHPHQIIDFCKNFNVTKYKYDYFNLGSKRVIKPFSDMLDLDLLPRILKAASIKKLFNYKI
MQSIPITNYLDFKNYNIRTPIMETIKNLLSNFLKAQEEKIKLPTFITFLIAFLVFKIWIYWKPISIFLLSTTKIEDRIKTIDEEINLIEKNFSTHPFWLAIFFSIIIAGIYTIIFPYIQLHVDKLLSSIKKEHFDIFAELETAKRKRNIKIEKEEQEYRDLQNRNANDKILDEKLRKKDEQILSKDNQILDLLDKISKSQEDFNNQINELDRIHNNEIENIHHNYKKKIEQLNQEKDSLSEEISYIYTKEQDNHHRMEQRYAEQLFDKEDQINDLSRKIESLSNDHNNLIERINEMHSNEILSIHTDYQRKIENLNDVIAELEDKISVIQNQAQIDYNEMMHKHDEQLYLIQQDANSENDRLFNENEKLRSELKQLKSKNSS
MRYLMTLLFAFSANAGIDFTKDIRPIFEKNCYKCHGEKKQKGGVALHEKHLAFQEADGGEAVIIPHDMESILLEVIQEEDEDFRMPPKKALDKNEISLLKQWIKEGAVWPSTGKAEEEKVHWAYIKPESKSLPKVKDKEWAQNFIDYFVIQKIEEEGLKPEARASAETLIRRLSFDLTGLPPSLDLLDKYSSNLKQENYEQLVDELLAQTAFGENWARHWLDLARYADSNGYQRDGFQTLWPYRDWVIKAFNQDMPYDQFTVEQLAGDLLPEPSQSQRVATGFNRCNTLNLEAGTDVEEDRVKQVMERVNTMGTVWLGSSVSCAQCHNHKYDPFTIKQYYQFYAFFNNTPIEGEKSNAKSASLKYSGNGLMIALEGVNPKIHKQSQVLVKKLEKDYLTELQSLAQGKKKLNLKTARQIHQKKFKANDKINKIWADLAKNKKSLAKHKPIITEVMKEMDQPRETRILRKGNFLDPDKRVEMEVPNFLNSMPADAPKNRLGLAYWLISKDNPLTARAAVNRFWREFMGTGIYESLEDIGKQGEVPLNPDLLDALAVDFMENDWSMKKLMKNIVMSATYQQQSTCSDEKRGEDPFNRLYARGPSFRLNAEAIRDNALKLSGALSAKMFGPTARPYQPDNIWRVAGDVDNNYRQSKGEDTYRRGLYTVWRRSSHYPSFANFDAPNRSACTVKRSRSNTPMQALTLMNDPVYVDLAQKLGKRIILLGTNDQERVTQAFRLCTSRQASKEEQQIMLEILDQEKSDVGYDKWFTLASVLLNLHETINRD
MMIHSLIIFVVLVCNLQCYAKKIQFNQSIKPILSNNCFSCHGPDEKEIKGGLQLHLRETATSVLEKSGERAISPGDREESSLWHRINSTDPDDQMPPPESNHKLTREEIDLIGQWIDEGAEYQRHWSFEPIEPIEGTIDELIESKLKEAGLSPSPKASKDILIRRLYLDLTGLPPSQAAIETFAQDNSSDAYEKLVDQLINSKAFAERLAVNWLDAARYADTNGYSIDDHRDMWIWRDWVVSAFLENKPYDQFALEQIAGDLIPKSSTQQKVATGFLRNSMNTHEGGTIPEEYRVTYTADKVDTVSTVFMGLTMKCAQCHDHKYDPITQKEYYQFFAFFNTSSEPGSGAINANTAPLIEAESNLCSIERVKRDANNRINELRQKRVTPEIHLTNLRTQWEKKTLDSLSKQRPNSKNKKNSITIDNSVKWIWSKKEGDSAYAKFRRAFNLEKAPKSASMWFTCDNECKILINGKHIASLDDWTKPKTIEINNLKKGKNTIEVEANNVAGSKAGLILSLQVLDSSNETISIVTNSEWKSQTQKNNQSEWKEAFEIANYGDSPWGAIYQTGESKSFDENLFSALNTPTKKRNTTHWNKINDSFSAVSGAFKIYINQLNLEEKAIKKTAETGKSTVMVMNYKPRKTHILIRGAYDQHGEEVSTGTPSALPPAKSEAGQTLTRLDLAKWLINPKHPLTSRVIVNRYWQMIFGTGLVKTAEDFGAQGEYPSHPVLLDRLAKDFVASGWNLQKLIKRIVMSETYQQESKLRKSSSSKDPYNRLLSRSPRFRLDAEFVRDSALFASGLLDLEIGGPSVYPYQPKGLWADVSHYGYPSGFTSQKYLPGSGQSNYRRSMYTVWKRTSPPPSMTIFDAPNRETCVVRRLQTNTPMQALVLQNDPQFLEAAASLGNIMSAESSIMEGINIGFMRTLGRTAQENELRILSSSFKEYIKTFENKPENAVSLIRAGQSYNPKIKDPKTVAAWTLVASTLLNMDEFVTRQ
MNCPRNLRHIPALVVLSIGCSLASADQGLHFFEQEVRPLLVEHCYSCHSASEKIKGGLSLDSRSGWQNGGDSGPSIVAGNPEASLLLKAVRYAEKDLQMPPKEKLPSTKIEILRKWIAMGAPDPREQAASSEEVSTAIAANDLWSFQALKKTEPPAIQLAAWSTNAIDRYVLSRLKAAGLPPAKPAKRQLLLRRLHLDLAGLPPMPEELSANARIEAVVDKLLASDSFGDRWARHWLDLTAYADTMGIGRAIPAIEAWRYRDYVINAFNTDKPFDEFIRQQVSGDIKIPAAPGISEGPDPTAEDIIATGFLAIGPWELVGGDKPQLRMDIVDRQLNRMGKAFLGMTFECARCHDHKFDPVSQHDYYALAGIFKSTVTLNGRINGVFSDINKTQLPETADELIARAERIKQHNASVAAAHSARKVALKQMEELKKQIDATQKRLAETVTGEDKTALEKSLVEFEEKHADASRKSARQRELAAALKHIRHSRTKSLAYAVRDTPEPEDAQINIRGSAHQLGPVVRRGFPHNIAPTDKPAFTRGSSGRIQLAHWLADNRNPLTARVWVNRVWHHLFGAGLVRTVDNFGAKGEQPSHPELLDHLAAEFMTNGWSTKKLVRQIVLSKTWQQASINPSALEAGVKDFDPDNRLLWRANRRRLEAEAIRDSMLFVSGQLDTGRGGPSLPYEEPGAFRAGGTGQFPDNARMAESIKNRRTIYLPQKRKGPFSAIDFINAFDLPDNNHETGRRTVTAVPTQALYLANSKFIQSCADALYKRFADHSAGRRINEIYLHTLGRRPHTDEIKQAIDFIEGLRQSLGSEEKAWSRFCQSILMTNEFLFRS
MAKRVRFIETAVENFAGLRSKTVNYGNVTALSGRNGEGKTSIGTAPIWVFWGKDLLGADYTSAKNSPRPSNYKYDRVFASILISVDGTEYKFAREIAGKTNSFYVNDIPRPAKEYEAAVASLFSQEEFMSLYFPAFFFGLHWAKQRALLMQGVTPPLNKTVFQGMDKLQADKLAELTKKNSVNDLETKHKDAKPKLDKAHTEAVGAVKKLNEMLGRLTEAPSDISEMESKAAALKEDVARESKVIVDASSINSHHKALEVRLQQLEQQVKDTVDAWPKLRDEAIKDTCPKCEQSLRDESVEAVKADKDRRIELYRVTFAALKEKRNEARMAFNEAEWIDVSVQQEKVREINEKYEELQRKIREHQERTRLAAEVTAAEATEESTLASLRESTFIIDAIKAFRAKEAELQASEIQSKFTTLSIRLFKYVASRKEYEPDFSVQKDGKDYITLSAGEKIGAGLELTEVLFKQSDLITPVFIDGIGEYTGPIAAYDQVITGRAVHDQDLQIEVDGVKV
MELFTNQVLAGIATGAIYACMALAVVMIYQAIDHLNFAQGEMAMFSTFISWQLMQWGVPYWAAFLITLAFSFVAGIAIERILFKPLAKAPVLTNVAGFIALYAIINSSAGLLWDFTIKQYP
MKRTIAKRFLSGAAAALLLMTGGLMPVHARDRGPEEVKLELRSEGPGTVTWNGQGPGSWMRPKGEEVSLEATPEAGARFAGFERNGQPVTGHEPRLTFTLDQDTLVRALFEPAPADSHEKTDGEQEPDSNPLTDGQTEDGQEDPDPGQTEEQAPEADRDLMRPMDRPFELTKEESTLIEAYRQGNFRAGKEMRLAMIEDYGLQDWVDADGFLLPAYFTAFGPMAAVRGGMQILNRSVNGLQETGRMRTVNTVTKYEDHTWYYPGGYMTGGLWTMNIPGFGLRQGFCANGMYAPPQAGTPLKEAVKKTDSMFRKALYYGYGGPDNRLAARGLNTAQQIIVTNDLVSMSNVNTSIGSSIGGGWIWRDYSGPVWEEMKRWPEPPATFHAYLADTEGSGENWQGLNKPYQPLAFYVDNPLGTFRLTKTGRLPDVTQDNHAYSLAGAEYTLYDAAGHKAGVLTTDKTGTTNEISLPFGTYTYRETKAPQGYQKDPATCTVTIDRNGHSLATTGRVEDTPETTLVDLLLLKKGDSGQPLADAHFEIGFYKTGTPGPPGPGS
MADVIAELQRGVRQRKSSLRDAESRQEEEGGNLIKGRPQQTEPRPIIKLLKRCYSVSTSVCKLVLAAVLLLILVNLMSNLAFKTVTSERLGLMPAGNKSNSSSATSSRNVTRSGNVTGAGNPQHPRDAMNIVNSTRTKNATLLRNSTGNTNATGASNVPLTGNATGASNVPLTGNQTGNNNATGASNVPLTGNATGASNVPLTGNQTGNTHTTGASNVPLTGNQTGNTNATGASDVPLTGNQTGNTHATGASNVPLTGNQTGSTHTASPRQPTEKK
MNQPRITSKYQPRDWQRRAHAQIAGHRFNVLVVHRRAGKTVMAINLLIAASLARSSGRFAYFAPTLKQAKQISWGLLKEYTANIPGVDFKEAELRVIFPTGSEIQLFSGDNHDAARGMGFDGVVLDEIANFPPEAWASSIRPTLSDRGGWATFIGTPNGLDLFHEFYQRGQDATQIEWWSALYPVTATGVLPQSEIESARNSAVSTAAFRREYMCDFTASADDVLIPLELIQRAQGRSISFDGALAGAEKVIGVDVARFGTDRTVFFSRWGKQALPPIVIEQADLMHTVGLLTQLIDRWQPDATFIDEGGVGGGVIDRSRQLGFDVVGVNFGARAIDARYYNRRSEMWFCLRDWLNEGGILPDDTDLLADLSAPQFSFDAGNRLKLEPKDQIKKRLGSSPDLGDALALTFAFPVAPAGRSAYKHTHTQSIHSYDPFEESRYA
MQHQSTGIDFIVFLNLISGCIQVGDLLLASSVQAFVLKYGRDKEEFIENLLITMYAKFSDLASARRIFDLIIKKSIFSWTSMIAGYAHSDHPLKALHLFRRLVRTDFRSDGLIAATVLYLGSISVAQEMEDYISLNGLEFDLQVQTSLIHMYSKCGSIKKAKEVFESGRQRFNCLVFHDKYSYIEANMKVESTASELLRADNLKSNLENNKNQFNDTVETLKRAAAKVGTFGGNERGNRKTEHDSKEKERCLRRRKGTESSNGCDEGEITTQFYKISKRNLDNNTTSLTIDFALWGLFDFYGFVYLMFLLNFIIGATGSSERSNLGQLGHAIFSSVQKVTSARSEVDVEEEQGSPMAPLEAKHSACKLPDLLHESFTTYLTWFRNVEDLDLSEQNFTVLDESLKELCSLRSLSLNGCRELREIKGIPPNIKYFSARNCISLTYARKYMLLNKELHEDGAKDFVLPSSSIPKWVEHSSNNDSISFWFHNKLPEISLCVLVGPAVDLSRTHICPEFIINSSRGQAEHLESVETSNQLVDHIFITDPKLMKSKVNEVILKNEWNHVVCTIKSCGQRGPAIKKLGIYFHKDRSSVANIQFIDPLLHKEELIMGNFQINMQQQKYMASHERRLSLDLPLGMSFSLNDHVSREPNSSVQGPCVDDLCTLRLGLDYIDLPCHASSERDYGSDSTLLSLATQAVLDHPANILAEYSQSPFLAETQNLQAPLFPSSLSGMAIREEFHHKTCCTVLPTTTDDDADDLEMEGFYASLDAETNVI
MIHMDFPKFEKVIRWDGEAFKKMRNLKTLFIRHTYFSQGPKYLPNCLRVLNWEEYPSPCLPLDFHPEGLVIFQLSGKSIQSVRFLEKQKYMSLTVINLDDSNVEEIPDISGVPNLVNLSLNMCLNLIKIDESIVFLDRLSVLSAQGCRRLKRFPSIKLTNLVHLCLSGCSSLEHFPKNVGKIKGYTNNFEKVTSARSEVDVEEEQGSPMAPLEAKHSACKLPDLLHESFTTYLTWFRNVEDLDLSEQNFTVLDESLKELCSLRSLSLNGCRELREIKGIPPNIKYFSARNCISLTYARKYMLLNKELHEDGAKDFVLPSSSIPKWVEHSSNNDSISFWFHNKLPEISLCVLVGPAVDLSRTHICPEFIINSSRGQAEHLESVETSNQLVDHIFITDPKLMKSKVNEVILKNEWNHVVCTIKSCGQRGPAIKKLGIYFHKDRSSVANIQFIDPLLHKEELIMGNFQINMQQQKYMASHERRLSLDLPLGMSFSLNDHVSREPNSSVQGPCVDDLCTLRLGLDYIDLPCHASSERDYGSDSTLLSLASNDTANDGG
MCCTEISEDALSSEFFGFHHQLSTTPLSLRLQLSTLFSFCFSFVKVSNSVSRYDDNGSVSFSNSNDDKPQAVSEAVQENVRPGATKQNFEASQVQQDISPNATKQCVPESVFVKSEPIREDHVSNAVKFLSHPKVKGSPAIYRRSFLERKGLTKEEIYEAFRCVPEVIIPKLKLWIRKVVLEEENDHTKKIDAKPSLAEEAAAAAASAGNTKASQEMLNSRNEERRCFEKFMNLMDVQVQEMKSMSNSISKLEGQANSSGRAFSDHDDHRLSALGTKEINDIPPNPNQQLSNPRIAPRSKDVSGSGVGYGQGRISTLNRPFGTANPGPLLDLKTSTATENRTRRSLLTDNPSLSWISLVVKLKSNLKMDDDRVHDSLRREVETAGGLFVVKLSLELDILRREVEIQLKLAPKLGNYLLTTSGPFVVKLNLHCLESNILRCEVEIGSEIGKLFVDNQRCLLTTSGPFVVKLNLRCLESNILRCEVEIGSEIGKLFVNNQRSLRREVELALSGVQYLSLGPFVVKLNLYCLESNILRCKVEIGSEIEKLFVDNQRSLHREVELAFGPFIMKLNLRCLESNIIRCEVEIGSEIGKLFINNQRSLRREVELAFGPFVVKLNLRCLESNILRREVEISSEFGKLFVDNQPSLRCEVLLNRVSFVLKMKLDSKSCKKFCLAFDAQRFDGVACMFLYWKYSLTPNLRGHTRVV
MQPRERDSRCKRTVETTSSENPVENFTTRKNKKTDNVLKINGKDATRNRIRRSRFFVYFDRCFVALAGRLTTATFKLDEFCAIILTVKSIFIMRMHIEIKKYRVIVFKIQTNEVSLVNDANCAEKRTLFLSPKMTPLNEAVLVVVCMESNFTGLRAGHGCKPTMNELMKYFITSQKKNYSTVIKQQKKQRKIRWKNDETQKLKYVLHAKRRNKEQLTLYQFDFSSFFNVVKQETMYDVKRAYKTYSPTKMNGVVRKICN
MLFRGPEQNGSGLKVVEAGSTYTSDSKDEEGYCKVMLGWLTIYTSDHPESSNLGATEAVLAAYYIAENDSSDDVPVTAIVFGCPQEMLHVVAGWNGKKGADGEEKYSISEQVM
MPGLPLIHRECGARVLSLPEVQRRKRERLHSLLYEVMTPENTTRLMLANLIKTCSFQHIHIEKEIRPEQNRPMQLLYKELDYDESSDENFLVDHELSDAISEYESLCDDDEEFPFPKNLCLCLSNGGVRFLITPHIKFNYFHGYGAFRTVYHYYKMHDEEEFYSFCRLTIRQFNCLYEMVAPNLLKHSNRPPLPPELRLTSVIKFVNKINCMNYRAANDRRLFKNVDRSKKTRRDTLVADSTDRG
MSPLSNNSLFLNYHRNPLPEYLARGLLVSLSSDDPLQFHFTKEPLMEEYSIATQVWKLSPTDMCELARNSVIMSGFEHEIKQFWIGPNYIREGVAGNDIKRTNVPNIRVAFR
MTMQCLKDPPELEAVIMKLLLILLLLSIFAITTCDEEVNKSFCGLCGHFGLQKIDFKAVEERCERVEHEISLSVKESCAALKKLSKETREAMKEKLEKLTWYQACTKICKHRICILPKKILRRRRGRIDKEVMRI
MAVFCILSWRVLWLTMLNRIAPDASPKLALTDTEIALLDRLISGATHRRSPWNPCILSHD
MIYDYILDTDVLIWYLRGNKNSYNLIHSLDKFCISSVTYMELVQGMRNKDELRLCKKH
MQSYFYLDQCFMLRKRSRTRSLRRKKIDGVVIQGSSNKKTETAVLGEQKKAIIQKQAVSAEEISRKGISNVEQGLTKVTGITTVEGRGLFVRGLEERYNYLLINGLGSPSNNPFQKIIALKQFPTDVVGKLNIYKTFNSNLYGDFAGATF
MSAENLDTERVITEVRDQPSLWDLSDILYKDRDAKLQEWTEVCRALFPGYDDLPDKEKQEIGGGKKSKYVYFEHMQFLDKKHIVDTIESINECQNTNETCSIPPPSHSTHNQSQLPSTSRDAGNSSGITLTPPTISAPNQTTFQKNPKKRKNREDSAFDKEMLNFFKENSEMMKNDDMGFFSSLLPITKSFNIRQKLLFRSEILRKAMEISNSTAGFPSMCDHNYFSTRPISTSGPGSSTSTCTSYTITSPGSQKDVTLYTVDETEAANANVASQIQLSEQQYSSQALGNFPQEPIASQMQLSEQQYSSQTLGNFP
MSRWMLLMGMEHIRQRRAIDDDDEGGEADAVRRGSVLSAAGAMSKERKGKIGKVDGLFFSLSSALVQFLLTVAILAEVEGHLLLVADEPEMNNLGYKLTLLMLACVRLDGKGVEVESCGAVLLQMLDGCGDIIVELGQSLQVDGRMLTTDSSESAENNQSGFGHLAIPSQSALFLLYQDIPSPNALLLCYFIVEDRNIAGQPRSVIVHHSHKMFSVGFIIFPYIASSIGEAAPNREKRAEGLPAYPDNMDYQRWPPPPVYYEPPRTGILHKLFETVGTLFMNLFDMGGIVFEQLPILRNLGKGIFDIIDKAKPWDETPARQYVEAKPWDETPARQYVEAKPWDETPARQYVQAKPWDETPARQYVQAKPWDETPARQYVEAKSWVETPARQYVQAARR
MPRTFRRLFIIAAILMSMLWTSRAEAQGDSCGDGFCDWPREDELSCPIDCAGICGDRICNPETEDEWSCPEDCGYCGDGYCAETEDEWSCPEDCGYCGDGLCFGGLEDPEDCYVDCGTCGDGICSFDFEDDLSCYEDCGYCGDGLCNWDYEGQSTCYVDCGSPCGDGICWFNETEDTCPEDCAVCGDGYCAQDEEFFCPEDCIVCGDGICDFDEEVFCPEDCTVCGDGICDFEEDASTCPSDCLEKVPCPGDFNDDRIVNGNDIAVLLSQWGGAGDADLDGDHIIGGSDLTIILASWGECPKSP
FYREKVMNKYLLGENEFDKFYDLYLYSFNRQDSSQRKRVFKERYDHSLSYGIMNGSKLGSGLFSIPFEVNFHGVDYKMNGIGDVMSAPEFGGQGGAGKLMNEALFDMYKNHVTLSYLAPFSFGYYRRFGFEQVFDHTQVEIKSESLPRIKNAEHGHVERISIKGIPDELKKMYLDHNDLGGVNRSKWWWDHMLDKHSDYQAALAYDDDDVLIGYLIYYNQDQTFYIHEWINLNPLSRQLLAKFVIKHQSIFS
MLFLGRTLLALAAIASASPTSSPLHERDTALSEGTGSINGMYYSFWTDKSSGTCSMISGSGGHYHLKWDNIGNVVAGKGWKTGSDRQITYTGTFNNTGNAYLAVYGWTKSPLVEYYIVESYGEYNPGIGGTKRGSVTTDDGTYDIYTSERKNAASIQGTATYPQFWSIRTEKRVGGKVSTAAHFEAWKKYGLTLGTHDYQIVATEGYKSSGESSIDVWL
MSTLIHGMRRRRGLVAVVRGLVAAAAAAVLAFALPGAAQAGTTITSNSTGTNNGYFYSFWEQSSGATMTLGSGSNYSLTWNSAAQNVVAGTGWNPGNNNTVSYSGTWNCNGNCYLSLYGWTTNPLIEWYIVDNYGNYNPSSGATKLGSVSSDGSTYDLYKTTRVNAPSIEGTATFDQYWAVRQAKRTGGTITVSNIFNAWKNLGLNLGTPNYEILATEGYQSSGSSNITVTSGGGSSGGGGTTPPPSGGGGGSGGCTATLSAGQQWSDRYNLNVSVTGSNNWKVTVNVPTPEKISSTWNANASYPSSQVLEATPNGSGNNWGMTIMTNGTWTWPTVSCAVTS
MNTKKKSTKKNKKHKRISRAHLAFRLVVVMLIAGIFFMPGSPVGPSEAVAAGSPEIPDILLPVLIVATATLFYTVRKHILAKVTIQ
MKKAFIKYPLFLCVLLLSLTSQLFAQTHKEAAFHLPKNTLEESQNLSVSTEVPFQFFFSTSSLDGKGNTFFAYDEENIEEEESFVTPKKNAEAGSYFTIPFFGWYTGFTLLDLPQVLLFCKHYSPFFSVDLNIVFCVFRI
MMRGWKLPAISRERARAASKRALRVGAVLVALICFGCLAWQIAGLSAGGAGTTITEADGRSREEVQAELDRLVQENMMTVSLAPTAQLDDEGNLRVNVVNDEENGFPQRFRVIQNDRQLYRSSVIEPGKCIEFCRVEDIEVGDAYVEIQALDRDTNMPHGNPTRVKVSVVKTDG
MHNDKHSFNDDGAPRTASRAAASVRRGGGVAGFLQTRRNRVLAGAAVLVVVAVLVGVGVWWFISSQDDFYDGNSILGQAPYKTPEEVQAELDRIVEEGMLNISIASVIEFADGTAPGTAYIENVPGNHYAMKVRIVLDDTGDVVYESGGLKPGTYIETITLSQDLEPGSYPATATFTAYNMDTLEEVGQAGANINLEVLG
MSSSMSSSMSSSMSSSMFDSLSSLSPLSSMFDTVVGFTSHLLTGVAAPLTPLVGVNATAFAIVLFTLGVRVLLLPLTLMNVRAHRAKLRIAPMRRTLMERHKRNPERLQQELAALHVREGVSPFAGCLPMMAQVPFFWLLYRVSTGPAMSMGHTLFGAPLGEGVAGIVAIHGVFSVPVAVLVALFVLLALVAWSMSRITKRSLTDEVPQPMRRILPMMSFGTVLFAAFIPLGAALYVLASSAWTAAERGLLYRAPQAA
MRIMKRPDFFSLLQWILATVILAGGVHLASVLLLPRVAPADSFARMAALAPVNTLKHLPAARPGETLTVFRDPALAEAVCPYDLDQGPLRLSGTVSRDGFVSASFHNRRGIVFYAVTDQAATRGRLSALVVTQDQLDAIKAQESDDEPVQELRIVSPTRRGFVVVRALSSSVSQYAEAEAELDAFGCETSHDEPTN
MVLRTVLCHELRSSCACQYVLTGSLGTLLNFSDLVGLVDRVLTLPCKQHLPRPELSYVVQLFEQQWRLYWTREPYPDSVLVKVDLDCVLSLAIMTYLATLDAVRMETMLAKMPMQLQKCIVPVEIYTPSLYYVQIQKYLDTIYLVPVLPSFFGPGGYYSKLSPDYVHLGDDAVLDRGFIEQQWGCIAYKPAALTDHKASNFKDNAPFGRFMDVGRTSNDCGPLINDPSSPFADGWLNPYRTDTGQRHRSWPSTNDEHHLVGSGTHCTSSLGGYGGGAPETRNLQTDGSNMMTSADLRIDSGHCSW
MPHNSPKNITSNISCTTANSPSTLNSIPKLRSKTCQLDVFVIPISDIRYCNLTLPMSFTCPARYLG
MGNGPSGMPSTAIGAMSALVSFDRDGLIDFHGSLCRAASHGGAKDAVSRQVVVASAVAARWTPADSSLAEQLAVALASPSGFGPPEQLCAALALMVPGDTERRLSLAFEAAAAFQTPHGSPVPVSRLKEALAWLATALAAFADAVPHEEAMLAAHKCLDDAAARSGGSSLQCDAAATAVCALPSMAELLAAATARTEARVPSGFAPGAAAGPGPQPASGSAVEWTR
MDISKPFSEFQAKQGGFWASMALLFMALFVATVVAVLGLLVLRQINPQAPTYYSILFGVLALIVVLQYIAKRYSWIMPWYYLLPAILFLFSFTFIPVILTIVLAFTDYAGIRNGQLNVSSSTNIVSVDGNKLVLDNPKTLSCNLLMGKRKGCNNVKAVVYASGRFETKGVSLDGKQLTLETAPPTDRKITAVEITMPSMGFSAQFPVSSIAGKVISLEKTPPEADLEHIVLSLDRLPIERKIIKLENDTVTLDEALPDGLEYVAIARYNAF
VQEERQAVEMIVQDLKADPGNPRGTKAVVFNNERYRKSSQSVLNLNRRIREELYGLGAATQFNVGEIVVAYATWSEGRKYAPLVHNALSYVVEEAGEEYERRLRFRWKGHRFNELYHVLDLTLRDHHGALVTVPVVARRDLQRYRNDLSRVRGALYYRLAEAFADIHYGYAVTSHKAQGATYENVYVFEDNILGSTNPGSAVVKNKSLYVAVTRARRKLVVVSEENPRSTAVEPVTEEGQDVLKRLLASGVLKRASEL
MPVTVKDSAIGFVCSELPGGWTWTLTSRLGHLLAIAEERYGPRDKSYTPLGVEFGGDGPGLWYPGNRGNVSIRLGRSAADDPSQAFFQLAHEVIHLLAPSGGMHAPVFEEGLATAFADEQAEAQGLNWFSSSPHYLAAKKLAVRLLAFRVDAVMGIRATEPSFRLWTPDLIERAAPGLYSTDELRILCEPFSSFAARF
MRVRIAAVIFLLQSHSVWASDCAELNRQAEPNKAALQAATQEFKNDLTASLRAALGSNNPPMAAIAQDCLGVLHSIYFSLFISRADCIVASKGMVYFFCHAGMGISHFVAVIAWPSFWLN
MSIITEDQMSPEASPGEVSPNAGVRRVNNLPVVIIGGLMTVFLIVMVLVAADRADQQHRQSDAKDEKTGNTSMFANEIAGIQQEGIIQAEPAQPLIVPEQPHIIVARPENLESPPTPPLSDANPHRNDELERIRMAKMQQLEAAIKAKTEVQVTAPRSAGSTSGGKSDRSLNPPTTRDEAITQLAALRQQIDAQTHDDPTASYKAHMQQLQAAWSETSGGNTAPRLLQTTNESNKSKDLGQFADAGHGDRWKLDSQPEAPRTPYELRAGFVVPGTLISGINSELPGQIMAQVAQNVYD
MSDKTSIEWTNATWNPVTGCTRVSPGCDHCYALTFAERFRGVPNHPYEQGFDLKLWPDRLGLPLSWKKPRRIFVNSMSD
MRIYSTIIFLAALGFLTYNYDSLIIDAEIYPIIKAHFIPVKYNSEQSIDKFVQSQKGFADKQIIGIGEATHGTAEFREVFANLTKSLIKHKGFNVLYWAEKEYYESVALNQYVLGLNDSIPVSILLRNDSEHDRNLVSWIKTYNQTKSEKDKVWILCGDLYSVTGLARNILFLCKTYHITLSNTEYDVLYDLAFMPYGYNWQDKKYEYNYLINTLKAIEIKTKGIKNDGSLQQAILLNTFNVIQNDLKRIYSKDKLVRDTNMFEILAWAKALRENANIIIFAHNSHIEKGEGNIMTYENGRRLGKLINNKYKDQYYTLATEVENGFYNARVSKEPIEICTANNKIGNIIGKSTNDSSGFLAFNSSKEVFDFFSEKLKITYGVNNTENAYCSIHKNTSEAFDGLFWIKTSTPLVRANPFFNGITAYINPEKYHLKDSINISYEVQYKKYYGKDGYDDMPNLLFVFFDKQEQIVSYTGLPLNNGKGSYTVKIPNNVAKIGVQFGADNLHSFTLKNLKCNNVSVNLRTAYYTTFNYAVQRDSTQFQFKIKEAFVHEPGL
MPPIPALAKIGVEMINVGKGDAILVELRDQQNNGITFVVDGGCAEQAANVISFLQTHHASNRKVFVSTHSDNDHIATMADAIRAVGATDLILNDPRDFDPQGTVLTRARTELDADKRDLLTSAFERIDEVRNAATAVGARHQAIFASANPILTWGSWNVYIVGPTSAHFNEVWYTVGGLSGLYVDDDPNAVANLVSAGRSIIDDGVDTSGMNNQSIMLLIEGPGQKVLLTGDAGMRAIREASAIKDLRSLSLLDVPHHGSRRNVDSEIIDFLKPAVSFISSPGNDKHPRRAVVRKLQKAGSRVYSTCRTGTTSIYHHQNLLRAGYSPIAPWELIP
MTELENNESNLPTSAEISTAAQATGETYFNPSYDGALIILSVMIIAINLLVISLFFYREYLQTKTNSLLISLAVSDLLAGLLGIPLIVACNAVLKAGVCTAGALIYRFIAVSTMYHILVVTLERYIYVMYPMKYINIVTAPRVLKAIAGIWLFSMFISLIQLTWEDPTRFFKPRDSASQQYALAYTIVGIVFCFFLPALLMAISYVSMFMVIHRQIKEIRGLYNTRATGANSQRAPIATEARALIIFVAMLSIFIICWLSFYVTGFLILLPGLHIEAIPDEVFMTVDFIRFSVSLVNPILYAFLKRDFSRALKSLCKRDGIQPGELASSSSTSRFRTLTINFSLSRSSEMYRD
MASGEGSHEAERPNKHGVNVCLSLHHTPRQPAPRQPVNAPLFVRFYAALLRRRSWGRAQRAQFLFPSWGGMHPIAQRGGARQVISVPWPASGSIPERPRQPARAQLRGERGGGWRGSLLQKCFAAIPSNPALMSLQPQWNCWIDDDDLRLSSNNTAPKCSRSAPAIPPALPWYMGLMIQFQRQQAWGFSFGQLLQGTWKCHHHRRTNPPRQSRRHPELREVWPADLPRRTPVLAPPVKAKMEKGRRTVCTSPAFLASALLPPRTMQLVGGHPRTSDSRAIGDQMSQPGSVARQKERKKRKESHKGEHATLSASPPCQSKGRGSSAVPVGSGCFCEDFFFFFAKSTTSHVRG
MIPLSPTFALFVNHLLAQESWARGKLQKHSGQVACIDVQLFSLKLKVTADGMLEAAAEDATAAVTIRLKPADLPLIAQNRERAFSYVKVDGDADFANTISHLSQNLRWEAEEDLSKLFGDVAAVRMVTGAKSLLETVRQTHQNIQENLAEYFLEENPMLVRPAAVDAFGSEVNKLRDDVERLMKRIAKLERS
MSTPSILCAASLESALNHLLKLDADTPARLARLNGAVIGIELTGPNLCLFLLPGQHDVQVVDEYAGVPAVLVRGTPASFLLLARGAAPEAAGIEMVGDPLVAQSLQQLVRQLHPDWEEELSRLVGDVAAHQLGRAARGLRDWLRQARDTLLTDTAEYLHEESRALPDAGHTGALLDDVDTLRSDVDRLEARIRRLETRLGLSR
MIDLARRAAALIPEPPADVVNQIEWRELVWETARRLADRGPSVREMPGHLDAAVEFDGSSEHLLQLAAMFQRCGASSTTSSTPRSTRLPHPL
MVKWITLKQSDKDRSLGLETGAEYRQRWVSIRVVYFIGFLMFLAFGIVATGIWPYLKSLDPSVSKVFLGYAFAVPPLGQLIFSPFFGWWTNKLTSIRIPLVLLVIIFTIANVLYAVIEEFEDHRKYILLIARGLVGIATSAVTICRAYISSATRLSERTKTISLMSLAQCLGLMVGPIMQSLFSGIGEDGFQVFGLFRVTMYNVAGWICVFLGLFNLILLLPAFFKDSPIAVKEAMKSQGATNAKETWKSIELQYFSITIMVTAFSVLMLVYVAFQTLLSPIALDQFNWTNEESLFYLGILMTAGALISCILFLMLDPLCKRFSETNVLVYGAMLALFVSQLVMIPVGSEPITAFLENNGNSTGNGTALGCPPTQEWCASIPPIGKVQFTISYTLLCISFSIGTTLSQAVFSKLLGPRPQGTWMALLTCAGSAARILGPGAVTVYVLSGTYWTFGGGSVLTGLVFVWMWVYRNRLQPVVVVKPSTAEEMKVLNPSKLVQ
MDRLLCCVTRRESRKVNKTIGLETFEERRTRWRSIRLMYLTMFVMSTGFTIILTAVWPYLTKLDPNVGKEFLGFVTAAGPLAETIFSPILGYWSNKSGSARQPLLATLALMVLASAGYSLLEAFPASTAKYWMIITRFLIGVSAANVTVIRSYISAATTLDERTGATSILALCQVMGYIFGPVVQSILTSLLGNDGFPIIEGFISMNMYTSVGWVIVVLSSINFVLLLPQFFTEQHIAVREEMKTQGISTPLPDSQPVWKKSKLDYLAAFSLIFGYFVLVFNIALLENLGIPIVMDQFAWTNEEAVYYMGIVMAVGAIISVTVIALLKFVCKYISEANLVIYGGFLFMFIGRLAYIPWGDAPPQLQFSHEHNLTNAVGCPVVEQPWCEFTPAMTLPQVLIGYLFLSIGYPIGVTLLFSIFSKILGSRPQGVWMGLMMGTGSFARAIGSIVLSIMYVELGTTLTFSITSIMILAYGVWLVLVHKRLKPNDRAVLASPRQVRMIVLKENMNGKGGNAPIADEERQALRQ
MERREERRERERRGEERRGEERRGEEERRGEERERRGEERRGRGEERRGEERRGEERRGEERRGEERRGEERRERRGEERRGEERRGEERRGEERRGEERRGEESNAQIAFVFVCFVRRKKMKTKPNQTKTKKNPKQVNNKRNQNKK
MAYLSDYDRNIIFNDFKQIESIDRTIIKGDKEPFVIRLLIVPGSDEKVAHFFLSPKSEALTFKNNFFLNNNAETFNISVLGFLLPDSWSGELNMVVDGFAKKIPLHFEKRSLLF
MTALKTVLAVVRAAISAAVVILLSAMVVTTLAVSTGGSVAVPGMVEATAGSGADLATTQLHPGAPIWLIGITLVLTTAILLLQRRRNSQHAEPSGA
MINIVYDIKVYRQTLKDIIKEDDVVVELGCHVGNSTRIISQLAPHGKIIALDKGTESREKLDELKKEVKTPIEFINADVRLHETLEEV
SLSAGCEIKIFAVSFQSGDINNNSFVADGTIFHFPAAKCCLSKSARVGSLRAASRNFAGLVRGAAAAAQTERKLREKVEGDLRREELPAGRRRGRWMFRISVQI
MIIPGKDYPLLIDSTIKDRPLLAFEKVRYYGEPIAMVIADTEAIAIKASTLICVQYEPLPVVNSPEAIYQKDAPLVHENAEQYLFDVSSGLTGTSGGIRPIPGTNIANIQGIRKGNIDRGFANFDVIVKEVFSFNQSDHTAIETRCADVEIKKTGDVIIHSASQEPFEMKELISKTFNIEEGKVLVNIPLVGGSFGAKTSVQLEPLAYVASKSVGGRRVRL
MATQLQYRFLESRPGSNYRQLWIKGRHMRAEVLYRLSAGPEPRTPEEIAEDYDLPVDAVVEAIDYASRNQELLNAERSREETRMRRLGLDRPPYVPASDMPAE
MGAKKLSPSDKAAIIIATLGEDLAPQVFASLGVEDSAKIGRSLRGLGSIDLQEIEVVLNEFLLLLQSPNKAKSLDVQTFMKNLQAKKGANNLALLENLGTPDYSMRVFARTRPEILYRVISKETPQTLALILSHAPTDFGAALVKLIPEALRIDVLLRMARLLEVDPEMIAELDEQLIADVDKLGSASQKIGGIKKVAEILNAMNQEAGGLLEKISERQPTLAADIQQEMFTFADLLKINDRGIAEIVKGVKRESLILALRGSPGEMVQKFAKGMSERSAKMFREDLEALGAQKKSDVMKARDELLAHARSLIEAGKVEFGGSSGEYV
VLEDTGRTVARSDSLQLAKEAVVSQPGSYVIHDVERDLAIFTEDGGMTWETEKLQRRAHLLMVREADVSGN
MSKKVKTAAQKRIEAAFAKRDASKPGSKEEREACKAILKAILDGK
MTIPAAAVVGSRTLTVTTGSETATLSNAFSVTPGTPVIAAVSPTTGKQADTATASVTGQFTHFVQGTTQVDFGPGFSVTALTVNSATNLSAQLAISSTAAVGSRRVTVTTAAEVVTLDNAFTVAPGTPAITTVNPSTGQQGQNLVVTVTGQFTGFVQGSTQVSFGADVTVVNVTVASPTALTAQLEVGGTAAAGPRALTVTTGAEAVTQNNGFTVTARPAVITAVMPNNGPVTGQFTHFAQGATQVSIDGITVNSVTVTDAALLTASLSIPAAAAVGSRMLTVTTGTELATLSNAFSVTPGTAVITAVSPSMGRQGDTVTVAITGQFTHFAQGTTQVDFGSGVSVAALAVNSATSMMAQLTISSTATVGSHQVAVTTGAEAGTLNNPFSVTPGRPIITTAMPNSGQQGQNVALTVTGQFTHFVQGLTQVRIDGITVNSVIVTNATLLTAYLTIPEAAALGSRTLIVTMGPELATLSNAFSVTPGTPVIMAVSPNTAKQADTVTVAIAGQFTHFAPGMTQVDLGPGVSVTGLTVNSATSLLVQLSISNVAPVGSTYVTVTTAGEVVTLNNAFLVTPQILIP
MNHQPAPAAIPHDPNGPLTAPHAVYLDAVVTELMAAGIPPRRVTLTTHYDSPQEPLRAGLEWDHETDLLSYNHWPRGLTILWDDSYGWD
MYLIVEFVGEGSTGPVAKSWYSDGHSWAYKDLDRLLKSVRLMEAPQPDKGWTKHQARILHESASFDNISKKWKRACYTSDISESENSIKRIHKKKTFSSDDELHETPNKKVKMNRDKNPPTPKIQPAPKVPPAPKPPAPLKYIKTKGPVVRPLLTTSKAVNSFSNSFVNHHQRAMEKPEQARFETFPSCQAPDVPMSFSQADEPQDHWGEHSPDIQDRQLETWEEHPSITQAVPVSLSNENEQEDAWGEHPSNFQENTISEQRSSSTHQPRTNSASGVRRWSCITNQCTPVERTILEALNELDMKINHLTSANNRSSAPVVDSEDDVFPLTTMEELDKLERKLSEKAMMQKMVNRLSISGGHTLKKTIWRICSKVFGPVAKQLNWCGRGEKRGIRKTNIGALLIGAAMKNPVLPSPTEAEAEKHIKDYLRLAPGRMPC
MKELTYLNTEHLGTGESDRRTVFDVYCENEYGEKFLMEMQRGEQQFFKDRVFTKLFDAAEIAKFDKAEYEAYEESLKALRDWKNVLETAEKKAREEGRIEKALEMALRLKSAGVPIDVIARCSGLSEEEINQLEE
MKPILVTCLFLAAFAVTYTCVSGQSEAPGSTTLPPSDDNSTSTDTPSNSTGTPTLQPSPPPSHRPPHHRPPHHRPPHCHHHHHGHHGHHGHHGPHPGHQRERREEPQAEHHHHHHGHHHGFPIPIPIPGGIDIQF
MKKQLLVYGAGAIGRGYVPWVFPPDEFDLTYVEENDSLREKLNKQKQFTSYCTKNGAYQKLVVPISNCIKPGDELSSINNYMGIVTAVGPRQVLSLKDNFQKADCPIVLFENDSSLSVQLNHLTGKSNIYFGVPDVITSNTAPKELLDQDELSIVTEDGVCFIEEGAKSLGGINDYVSKEELHKQWMAKLYIHNTPHCIAAYLGALQGKQYLHEGMSNPAIYALVEGAMNEMRDMVVKKYNLDQEFVDWYAKKELGRFANVILYDPIIRVAREPFRKLALDNRLIGAAQLALSMGIVPEFLIKGILAAFLYNKENDADFNITTLMNALSPDNFLKLIINLSTHDALYKCIMTRWDNTLDELKGLQNDN
MLSRLVTARDEAAARWVAARPAVYSVKALLDHALRVYLSSTAVTPASLLRYPRCPGFRRARLHSPLTAALRRRLAGQRPWAALIDGLYGQGGAVWAADAFAARLARAYERLAQTLATRPGAGVNVVAPPWEYPHHPDVLRRMGCLRACFVSGGEGLGGLYLHGSYALGDAVPGYSDLDALWVLPAEACMDPAALGRARKTSMACAPHLYSFDRQQHHEWFVLTGFEAHLGDGVYYPPALWREARSLAGKMTFSVAGSDHDAAGARWALLSLAVRTVESVAALRIANWYALKNTLSYVALLPALGYQARGEALSKAEAIARAAEEWDAGGVLALASRLRQEWGEREKGLPGAAYCRALAFNPHLSRLCGGLRDTTPKWVRQALPSDFGARVRAYAAALAECRAPES
MDPASENELFGTFVDAAAGGSEIFFVIIIVGLILGVLMVGVLVLFNGPFGRSQSRRQNSERRAEERLRQHKDLVHRIRSFARSRQEAVAQGIETPEAAASALQHYGDGVLDTVTISTRNVKQVDRVRSLVAQEMSRLRQSVPGVTSAHDCRGT
MCLSVCSGLTIVSGFPFLNAHAMKIKTVSSAGWGWAMCVVFWGLISVLAETPARPNPLPAGGGPERLDFDLGQNGDYRFDYATGDAGQHYHRAVATPDNTVRGKYGYRDPKTGGDLQTAYTAGKRGFRVRGPHIARRMDLSQTKIPYNPPVSPDSPQYNPSYSTYFDPNEDPSYSFNFQTRDYSRSESSNSGGNVNGRYTFVDDVGVRHNVEYEAGSRVGFHVKTPYPDSTPLGPSVFFAGPPANKNSKLRRGHTSIQRGNDGSYRFTSAGPDQRRTEVSDATGHVKGSYTYIDDKGVQRTTQYIAGPNIGYKVISSKTDDKYPLYPYPSPYPFHPNDNPFKDEDVFGINTGAKPQGGGSASGGSNGEGNKESRPDYNSEDGGDYDGSSGGKKGNKPVGSNNTGVSGGQTEKPWPDIYDQSTTGPNTSAKPDDFTDIFGQPSGTGGNKHEDADDDNNYDHDVGPPKGGDLGTFLHTRPPPSNIPYGNCCKFVASHSAGKFNVPGERRRSRRPDETTQSESYSHVRDYSSQGQDSEDFVGFPPGLPVRGHVLSLDLKPYGSRIPSPGVVIHHEANKSRREASITHRTEQKST
MFSVRRALVAVAALAPVVTLLGPGTAAPSAAPDPASTSSHDAVRLRQASPIVISAPRTARTGTAIRFAGDVAVVARKPRPVQLAEKRDGRWKVVARTRSARTGTFAFRVAAGRTVGTRVFRAQAPAVRGARSLVTGALKVKVTTSSGGTGTTVPGTGDYDAAEALPAGYDAAGSKNDWSYLFDHSSVRWAPCTVIRWRYNAAGEAYDARADVTRAIAKISGVSGLKFKYVGTSTFSYRGDGKPFPSDADLFVSWASAAEYGDLAGSTVGVGGGSATSQGAAALGVEWRMIDGYLTLDKGASQVAPGFDGSGWGQIMMHETLHAMGLGHAAPDPGSREVMAPVATSANYQFGAGDITGMHQVGERPGDACGW
MNVAEDEFCLIRWSDKNDFDIVPQRSIRAPSNTIETYETYQVEINGNQCEGTVIMKGTKRDCEKLQHNIALKSPNHAPAARSDTTPESNAEQFATASSSASRIPANMNEESIDILLSTSCLFTT
GDRPRCGQCLVMTEPLDALLEQWIAHFRGPLVGLLASWGADWGAAEELAMDTFAEAWLGRARLRGSPRDSRVVGPWLRGIAANLFRARQRAVRRRRQVPVDERPDLAAPVAADDERICELRAAFAELPGEQQTVLRMFYLDTASTREVAALLQLTNKAVERRLQRARQALRERVERRRTMAQEAIR
MTDATSHTTTTTATDVFEILVREHADMLTAYLHSLVSEHNAVDDLFQETMLVAWRRLGDFDRSRPFGPWLRGIAAKVAMQHYRQSAPARAGQVLDPAMLDALNDQHDRLGARTSESFRARLDQLLDCLQRLPERMRETIELVYARGLLVRQVSESVQASEEAVKKRLQRGRTLLAECLHGHFDGRPGGGASSP
MTDLNLKIQSIGLAALFFSGAPAAAQISMEASGWAQQPLGFSRDAQANRVVVTGGGASPSAQISSFRGAGPMMPALSGTSTGGQATAIGNMISVEIEGNNNTVVVDASQINLGDQTAIVAHLPTSGSD
MDYLEKRITEIVVEVEKQTNNLMQSLEANIRKTFDPDETDSAMMTIKQIFDTHLEPLRLMQKSIQEITRRIRKILQS
MLYRRLPSKPRTGIALIAVLWVVAFMTTLLVVTLTLLKVDVDDNVAEVHSFAAWQQAHAGLSFGLHPGVKRDDPILFAPDTGYDEGYTVKIEPEASRLNINAVLTSNDKGTLVSLFKLWGLETKRSIC
MGRNVAAKPPQKVHTRNNQDHALSKKHPSCFDCNAGRCGCSATKMGGVYPCKRCVDKGIKTCMPHQQDPRWRPGMGRTKVRNNPQAGQRYFKVISKHIQQNNGSKASGARVPRKAAAAVTGRRGAKRSSIEHGDGDFASSTAALPSQDSNALSSDTPKLRRSARVAKATTGGARLTGNDEEPESEDSLSDAGPESSEGEEGAADVDEAQGGAESSEVGEGVADEDEAHGGSDDSTESQEVVDSVELPAGNYFDDDGIEYTARGMPVKFVFEFEPGFLDGEEE
VACCRTLQARWGRSYWWGLVVSALGFFYGWVHRVYHGSMTCGSGSVICSFAGRGPGLFPLILFIHYLGLLID
MFYDTLINTKERIIRKFYHFNDIISILYSQNKILIIKLNIENLYKSLYYSIIQTIKLNNIKIDYILMDSVYLVARNYLLVYVINNGLAIVTFLISKKNVFGLYLPISIVIKTDILNGQLIMGFSVLIIHTNKEIKFWSLISCNIIKSFFTKNILAFSTLLKDNFMIIGNDVIKYKKEGCLILLNLISFKTVTIIRLTRSFLTRIINDVKNQTVITSERTNTIKKWRFQILKKYSNRKKKYFLFCNIRLIESCKFLFQILTLELLSNFNIIVISTTDFKIKMFFLNNFKFFYSVSFDFDLVHIIKACSSDMTILCCTLRSGIYKWNILDGRLISKYNKCDSPITDLVVLEDNNCIVFANLSYKIIFISLNLQECFFEIITEFSGHRFLKYSYSLRNLIIISYSNVITTYYYIW
RPPRSTLFPYTTLFRSIDYVIPANDDAANSISVVINAMCAAIAEGSEERRLEKEKEAQEKESQEAAPRKEGKPRIKKAVKAGFDAEEAALAEVVAATEAPAAQAE
MAREEIDTLSNSNVISPDLLKIRLIASRFFIGYLWVLVGVVAGVGSISQNALGASISVAILLAIVTTILFARNPISAVTRYSISASLTGMWALLVFNAAGLPDGFVLDAHMLFFVLNAQLIAYFCWKSIAIINVIATVHHVIFSFLFPLLVWPSADYAVMHFFIHAGYVVLVGGPMLIIAWKLFDLFNASHNALVDVKKAQSEKQKMEEERAAREAKTEREKKEELDALAKSFEKEVGSVVVAVGEASGELQELAKIMSQAAEGVGQNASDASDAALNISGNVDAVAAGSEELSSSVDEISRQVNSSSEEAINAGDLSANATEGVKSLSDRVSDISEVVNLISDIANQTNLLALNATIEAARAGDAGKGFAVVASEVKNLASQTAQATEQIEKQINAVVGATGETVKDIESINSAIERVKGTASAIAAAIEEQGAATREIAKNASQTAQDVSVVSSTVSEVRDGAQGNIGRAKQVLSAADGLMEQSKRLNLQLKAFLGQLSGADAGN
MATVAVTVIGIQQMDRIGTELTAITEEDLPLVKAVNQVTSHELEQQILIERMLRIAEIRTGGTPEDLVTLEGRILALTQQIAEELKRSEAAARTARAHAPTPEHDRKFAEIITRITGIETAYDRFAGHLAKVVHAIDAKDLAMAAQIAHEVEREEQELEHELVALQTDLDRFVQTAAQQALLHEREGIRLMTIISTGAICLGITLSLIYGHTRIARPLQAVTQALQGLLRGEMDSKVATDRRDEIGDLARTFVIFREALQEIERLRQEAREEEERLEIEKRDATLRLADSLEATVKAVSDSIAVAVQDLEITAQSMAVTATQTSQRSAAVAGAAQQSSQGIHTVASASDALLNAIAETDRQAGSARDLISDTRNQAAGSSEDLVLLGEAAARIDTVVSLITDIADQTNLLALNATIEAARAGAAGKGFSVVAAEVKGLADQTARATHEIRAQVEDLQKVSDRTRSTMTTVLAAISDMGQRIETMSGSIAAQSGTTQEIARSVHEVAEGSRDISRNIEDVNLASTTASAAAEELRATIGSLSDQTNRLQHELDGFLLNIRAA
MMNLSSLSKALWISVALLLIQLGNIIYYLLFNPRNLSFTCLFTMSMAGLVVVLLYKAEKHINKIIPLLEKWKQGDLEERIVKIEEKGNLGNLSWLCNDIIDVLDAFLRESCASMEAIENGQYYRQIILTGLIGQFKKGGRLINETIRTAQSKNNFLIQASEEFRKNIKTVVNNVQEASASVQRHCASLTTMSETSLAKNSAVVKEVESVRANVSYVATTTSELHESINKIRSQVEEAKIVADEASHEAESATQTINNLKEASLEINNIIKLISDIAGQTNLLALNATIEAARSGQAAGKGFAVVASEVKNLARETVMATDKIVNQVQNIQSFIQGTVTAIETISGTINRISEISTAVSSAVESQHSSTQQISNNMNEAAISTQSVSGNIEDVKEIAEETYTSVKTAVNSANELAKEIKDLSDEISFFTTNINSSTNRSINHS
MRLTIRHQILALAATGFVMVTTAGLIGYQGISQLEEAQREASTAAAALEAVGAADTARVAFRGDVLNAITTRDSAERQEVLDLLGGHVSDLRHSFAQIVRLQPELAGEVKELEGATDELIAAGQRVVTLASRVVSDPQQIGAAKARPDFESRYQRFDEALPKLEKAIADEAEETSAAAVALADDAKTLTLVTAGLAAVLLGGAAVLLARRVSRRIGSCVLAMKSLADKNLTVRADIGGTDELAELGTSLDQVVVTVRGAIGEIADNAAALISASERLSDTSRNLATGAQTAADQAHNASSNVDQVSESVSSTNQAAQSLQASIRDINGAVTEAVQVAAEAVGLATTTNRTIERLRTSSGEVGAVVNMITSIAQQTNLLALNATIEAARAGEQGKGFAVVAGEVKDLSQETASATEDIESKVAAMQGDTENAIEAIGRIGSVINRIDEIQRSIVAAIEVQSQATQSIGAGIDVVSRSSSDITSSILNVAEATRTTHDGATRTETAATELAELAHNLNALAERFQR
MEYDKADDMEATNMTGTECEVARGEDMDRMEEIIEVTCIMSPSRNKNDANKEDQNKPRKIY
MLNLLLSGTGEGRRRTSKVPSVEALTLKEFVCWPELPGSSVNSLEMSVKTAMTCQIKIIESIRRYR
MMGAENINRRRHIMAVRGINKVILVGRLGKAAFLTRQETAKGE
MKKLFFVIIAFVLFSIPTLASASSATDYYWNNDNVSAKKLEEIKAEVEHIFTKIIVKDESSNQYYVDDKALKNSTYNAEEKEVLVTFAQYLNSAKDGITTQGTLQRCLEDAAGIAKGALNQIAKAIEKGEWATVAGFMVAMGFAASPIAVFLFALFCGANPVSSTDVVDLKTA
MTVWFAVAWRMVGDKIGISATQVQREMELGSIQTAWMMLHRYRSVMVRPSRDKLRGDVEVDETLLGGVEPGVRGRGSQKNS
MNIDEKMSCYPLWDEYHQMDITEEEVIDALRYISSHKAQGPDNIHNQMIKNSGQALIHSLVRSDMFQDYGKEQILFRSQNQIETIAFARITGNLIIIWSWEIIGRLMWCLNESKLLQQC
MSPRRLVITGAPGAGKTVLAVELILGLLEDRARDTIQDGARRRAHDHAEVQTVPVRISAATLDTELPTAGAVEV
MAVGDDDNAATWLRKSFERDRSNHKTSGALVDLYFRQKSFARVAELYPRISIDAETTEPTILEIAESLEKGQDLKKAAQVLEVAVQVKGPSAALYLKLASYYRRLGDSRKADELERKSQALGASPSS
MLGSRQEYSFEPSASPRRARQKIDFMTVDPAVIPGGSLKYKGLLKYSYVSVMNTFFYVAIDMNLIAMK
MRFTYASSCYLVQLWARFGNPVVAVDRWRWSESGGEEGHGKGEQWVPTVEEACAEYHRVTVGVLQLRRQQLLDVVQLQQQCPVGESSAAPSPAARATRGDRKRWTGVTVDEGSSAVAECTSTTAALRQVPPFVASFDRYALLQHTAAAVLTDDPSAAPAPLRRVCSSATLKEGGPSMSLLPSQPSPPPIPPSSDGSSATLAATVSGDSGALALDVPLAPPLAGPTVTAQERLTAQVTPNSWFAHDAEVHRRSCLAQLLRQEQQMNVPYQLAANRYLALAASTALALQRAVFAFYSRDDEADLAEAPARKNEVDMRDSTDRAALREATVPPGSSSLALHPPGRPPRSAFTVQLQPLPSVDPAAWRTAEVTVRKAAAEVLGTVNSAFPAAGVASPSRHPSRPAATAVGSQKRARSATTAHVATSGRRRGRVAVAKSVEEGVAADVLAQRKGNGDSDDEVAGSEVPASSETGSEFSNDEQDHEGSVAGEDADERVKIEFMGEQRQRHPTRSSPRSTSSRLRLSVDTGTAHSQASHLPADATYAALQTYITDVLPFRCARLRGVVQAGWLLPAPPALPHTLTDPVEEEEVGAIDAQTRGNTTAENGPTSAFPLLSSSPQPEQGPVTGNTAAVAHSLNSALLAPILTEEEYQQLRKVNTASVCNRVVSHTDEAVLLSLPSTVSRLHREVEQELERYLYEDTSALMEVNPVAQSLVGAIRVAYTQNKLLHRIAARLEHVLDGLKKVSEECEEEAQAVSRRP
MIGRLARLLHDVSGARLWLLFALMLAGALAETIGLLSLLPLLFLALGGADDGQWAQWLSASGLRPTLEVVLAIFLAAFALRIALGLARDRLAAQLEAQVEASIGERAAWGLAALPFAEVAIRGRGDMQSLLSFDIPRAGAAASLLVGLASQLALLLVATAAAMLLSPLLTLVGFGAGLLILAIAAPRWIGSQRAGERITALHSEQDAMAQGMFGALKAAKAQGSGDALARSYGARLAAVTKAQAGLEMRQATNRAIIFALSAITIAAMILLGARGLDLELATLGTLLLLFARLIAPVQAMQRMADQLFGLLPAFARALPLLEALAQTSPAPAQPFARLEAEGLALAHDGDTLFEHVHFALAPGEWLAICGASGAGKTGLIDMIAGLQEPNAGSIRVDGEAPGPHWAAGLAYVPQADLILHDSIAANLSIGSSPDEAEMRAMLALVELDRPLDMRLSELGGALSGGERQRLLIARALCRQPHLLLLDESLSALDPAAAAAMIARLRAALPEMAAILITHHDALAQSCDYRVTLGTGSPSG
MSRIERGIRPVAQPMRKPPHGGRRQATLRSFTDTLVPADLPAVALYVALSLAAACVGSIVAVCLVPLVQPGHSVQLAGYQLALPGGLPTQMSLFVGVSLLFAALRWYGARLAARLTSRYAVGLRRRVHAALLDAPLPALAGASSAEIANVLTYNIEIATQGFSAVLQLLVAGITALVSVCIAVLIAPALLFATPLLLLLAWLGVRLSSSDAQARISRDYVADMTQLFWLSEDFPRRLRHVRSFQREAVELQHYERISTRLGQGYAQQQELVASSRLVLELTAVAAIAGILVLANLWQGADRAALITVSLLLGRLLPYLVTTRQSVQQLRSAWPALQLWRRHVDLGATRMQASADAAQPLPAALHVERIELAAPLPALDIGPLLLVPGQMALIVGPSGVGKSSLMDVLSGQTPPAAFDAHTGGRRLDFAAYRQLIRHSAYIGQGVRPWQRTVRDCLEWALPGATELRMWQALADVGLHARLQRDGHGLLTAINGPDSRLSGGELQRLLLAQVLLRAPTLALLDEATSALDAPAEHQLLCTLRQRLSQTVLVVVSHRTSLAAVADRTITLGQTPVLQPHGKRAALATRQGA
MYTLKKSLGQHFLKDENILRKIIGALKENDFERLLEVGPGGGALTKYLVDLPGVDLKCVELDDEKVEWLLNTYPALQDKMIRGSILEVPAPFENEFTVVGNFPYNISTQILFRMLDWKDSVPVMIGMFQKEVAERVASKEGNKVYGVISVLIQAFFDVEYLFEVSETSFNPPPKVKSAVIRLTKK
MVVAKKSLGQHFLTDESFLDRIVNALPPLNSLRLIEIGVGLGDLTLKLLDRYPLKTYEIDSNLCEKMRARLKAQKKPFKLELVEKDALFLKEEEPYFLISNLPYYIATRLVLNALKNPKCRGLLVMTQKEVALKFCAKDSQNALSVLAHAIGNATLLFDVPP
MLEPVGERPQLWRAELIVKHIPRKRFGQHFLTDQGIIEGIVQAIAPRAGQAVVEIGPGLAALTQPLVERLGHLTVIELDRDLAQQLRAHPQLTVVESDVLKVDFRQLAERLQHGPVRSAGLPQAETAPKGLEPAGSSSQQGPRDWLRQTAGAAAPSRGSAVHEVTSVGAHKLRVVGNLPYNISTPILFHLLDAVDVIEDQHFMLQKEVIDRMVAAPSTSDYGRLSVMLQWRYAMENVLFVPPQSFDPPPRVDSAVVRMVPHAHPAALDVKLLSQLVQVAFSQRRKLLRHTLGQWLTARGFPGAFDVQRRAEEVPVAEYVALAQQVAAMAV
MKTKNTYGQNFIFDKLLLQSLIDYAKVNKEDNVLEIGVGMGALTKQLAKKCKHITSFEIDNNLIPYLNIISKEFTNLKIINMDFLKFDLKNLDYKNFKVIANIPYYITSEILYKLILNELNIKQISIMVQLEVAKKIAAKPKIGDNYGYLSLLCQHKYNVEIATIVKAECFSPAPNVDSAFINLTLKDDLFDYKFDNYFYKFIKSAFLHRRKTLINGVKSSTNIDVGLLKTTLDNLGIKTNIRAEELDYENMLKLTKHLYNNHLNN
MSLQRLDEYTRRMAGQTLTQIRTLLAEAGLSPQHRLGQNFLIDLNLMRKALTAAKVAAGDVVLEVGAGTGSLTEMLLDAGCRVIAVEIDRGLLAILRSRFADHPRVQLIAGDALAGKHRLNPEMTAALRAAAADEDWKLVANLPYQIATPLLMELLLFDPPAACLTCTIQKEVGERLMAEPRTAAYGPASILAQTLARVKRVAVLPPKAFWPRPKV
MNLTSPSQVKAWCIENGFHPNRTLGQNFLIDRNILEVILDTAGVAAGQRVLEVGPGLGVLTEAMLERGARVTAIEKDARLAARLAGALGDPEGLEVIEADALQLDLDTLLGGGFDAFVSNLPYSVGTRILLDVALHRCAPPTFTVLVQAEVAERFAAPPSTAARGQAGVWLQLDYDVRLVRTVKASCFWPRPEVGSMIVRLDRRGSDLTPQERDAFFALTRYAFTYRRKQFSSVLRKAPAPLGRDDATLAALFAAADIAPTARAEELTNSQWQALARRFAGAESRESRERGRPRPQQRVT
MKKKYYGQHFLNNKKIINEIVNVFDLTNKTVIEIGPGAGALSRALLTKALFVYGFEIDTDLKETLLKLEREHHNFKVIYQDILTVDLNTFMKEKKLKESYLIANIPYYITGPILMKLLETEKITKAIIMMQKEVGLRLLAKAGKSYNSLSVYMQTFYELKKERLVKRTNFNPPPLVDSIIISFVKKDDYLKRITNLDNYLEFVKFSFVQKRKTLVNNLSTSFKLPKDEVIKKLMSIDLNYNPLTRAESLTIENFISYSEGWYRD
MKTKLSQNFLTNQIIAQQIVEAIESQTGDKIIEIGPGRGALTDLLIEKPIDILALEKDFGIAEKLKKVYKNRKNIKVVNRDALEFNSTDLARFTKNDYKLISNLPYAVTSPIINKYFVTGEIEKPTMAVLMVQKEVAERLASKAGEKNRGILSVFLQYYYAIEILFEVSKENFQPIPQVDSAVIKLSKKNNITPLEVGMMRLIKAGFSQKRRKLINSLFPILNFDKTDLFDIMEKSQIKEGYRAEDLTVLDWQNLYTILKNNLDN
MRKKSLGQHFLTNPQIAEKIVSYLNSGITCVEIGAGEGILTKHLIEKFEKVIVIEKDSELIPFLKDKFKEKCEVINGDVLTDGEKIFSKLESFYVASNLPYNISSPVTILLLKFYKKIPQMVLMYQKEVADKISKEVSLLSCAVYPYYNVKEVLKLKPGAFSPPPKVDSAVLFFERKENILDFNFKKYLNFLKVAFQNRRKVLFKKLMGMMERDRLKVIYLKMNLREDIRIDGMSIEEIFKLYLEVSNEKN
MTLPRDLRNKSDLKAAFKESQLWTRKELGQNFLIDHNLLRWLVDAGDVDGNDLVLDVGAGTGLLTRHLAERAWQVWAVEVDRRLFDFCSTYTSGLTNVRLLHQDVLRDKFHIDPALQSALQSELAARPGACLKVISNLPYSISTLVIPTLLEGPLPVRLMVLTVQKEVGERLAASPGTKAYGSLSVVVQARANVRILRILHHTVFWPQPSVDSAIVRIEAVPERIGRAVDPAWFGEVARGLFSARRKTAVNALGRNSKLRLQAERVAEALGRLGVDVRERGERLTVEQILDLSNELLKRDRAPVKVEDTP
MGSGSTKNSFVLWLGLICLAPLTCLLLDLWQYPRLIAGGLAMRTVAASLLAKGELPYYDFWDWSQPIVFELLKYPYMLCTTLQTMQVPVTHTVFIPLVIFCLIIGSVFLTAAVCNQAIGAAESHSAIDSDNLNEPNNYRNFVVSCLLGLVLTTLITRFDFGDLQFIFLLTIVPWFALRWFAHKQIKVQPLLAALVGILAAIGACLDLPYIFVFLILELILTLQSGRWRCLLSSEWLAFMLTISSNLLFLSQLPEPVHTAFWQWTMPLKWLNYSIFDSMIYASQASPNRADVIYGMVATGIISFMLGKKYSIYIALPSLMFSGFALYLLEGQGSSHDLILTIFAITATFVSVILLASEKLLKILALRGEKNTNTKLQLSYQSMVLVLTLVSTAAIWQALEHDRAQLQNCISVNCSKGKEQLESLLEQTSKVGDSVTVISQNVEPAYPLLFLSGRKPGSYLLWHRPLWLFAWLKGHSQLTGPMRDFYDHTYANIRSELEQNQTKVLVLSNPEPFDNIEQEQYLTAIKQNFGELGKDGYFFSYENHQPREYTGYNFAYRFLVRKKEKGI
MSSSIFIETTQAPSTEIGDYRLVWEYAGDRWGHRVQVQSDGEWIDLLKANADGGCVLQDLFLEQRPDGSAEFQGMGQSAVGIHSASILCNPETRTITFDLATRFREQGHVVPLWMEYQRGDGVTGVGVRVEALATPKLGELSIVGEVPSGEITRIEWKPPTGELPQTGRPGGGLTVQWGYRMQFPLDN
MSNKFDSSVHQAIFEFKSLSLDSNIVMPYGLCPRSNYVRLNKHSISFYTMRHQFNAPGRYSVFFNPEIHVSTFPYRVAAARKIQTRQMHPKALKKRAKKLLWRKLIMLES
MTRLTTFAIVSLVALRLLTGWHFYNEGVKKLEPGFSSAGFLRAARGPLAPLFHSMVQGPYGAYDIFSEPHELGVRPADDPKPTDAWFQNIQAGWTKGLERLRIGGEAGEQLAALRDSHLEQVKRYLKEEELTKSIDEIQHEAWRLEQMKDEAGASPAPFQRDLIAEKESEVWGLMQPWVKTFEGLEADYIEEASALANEAGLGATKAKAALAEPTTLGRVDKIVTWTVLICGVCLFLGFATRIAAVVAAGFLFSLVMMQPPWAGVEIKEFFFWAIEIAAFLVIAALGAGRWAGLDGLLFAGRNTGAGAASSAAAKPAA
MPFNEHLFDTLFTDSVKAKLEDPRDKCAGKALLFYGGKWTPICQDSLDANLKNVICKELFCGESINDQHDLKSESTGLSKIKCPASANSVSKCDLKEVSEKECIVGYLKCTEWERLLLYNKKSACSGPVYGLRDGKTQLVGSSGWGREEGQILCEYLQCGNYISHSNITKNTDEWWKKTYDCSGKKNIWECETNDQSNQTQQLNIQCDRKPPKILLSNNCTGDVFINKGPVCASWWDDGMSNKLCDSLNCGKALHSWVTESVIKTNAWHFSCTGKETLMWQCGSRSDSCKKILSVACKGSVEFSSTEKCGGKLGIRYKGQWEYVCGELAEADTKKCMHHLGNNTCSNRPAEIKCEGYTPKVGNSSVGLILGLLGVVLGLLILFLMWRNRKRLLLTLTHYRNKNGKDVNPDVNEMDQMDTEDRGEEDEDDRKRGSSGTEYDDIEEQASGISPSQTHHDEDLDVPLLPKRPENILDQDTYEVETEKQQYYDDVIPVEAAANENAGMTGTQSYENVDVDEGADSDLDAGLVADAVLVTTEVDVHPQTE
MTFQHDNIASNDLELLVSRSVSVILKSEDATDFMRWFDQYSEDVAPIFFKQFQSSPDGLRSFKSFFARFIWNRTALPSNNFRPRTLPKPERNSTCPCGSGKKYKHCCLAMEPSQDEFPNISMLPFVLDTLSAKQLTALPFEYLSAEELEHVARQWMLQSRVQDAVKLLEGLFANFNKLDERAEPAFDCLLDCYDILGNPLKKKKLLKRGSSANNKYIRAAALQRRCCILADRNEYAEAWSLFQELQRLIPNDPSLSHLEITLLVNQGEKQRATERARFWVARLSKVKDFDQGPLIEYLRTVSQGDISSAMTGIAKNLSPEINLLVSLIEQLPEPACHYMLKPKNGSAGPMEPDKKLLSLAAQWETQGEYSETMADDIEWLGHNPLAWQCFDILDDWLATVEGERVSHGFEEVLLLPVLRHAEALLRLTLKCYQADGMKLEWAWHENRPAMRLLERLVGMLRLTKNFPEAVRVAEWMVLTLNPNDNQGLRSNLIHDYLRLGKINEALVLSRIYQDDIADMSYGTALALFMDNQLQAAQRSLAIARKRYPEVSKMLLAVKPKQPRLRNGMVQVGGRDEAWYYFKDNFDIWKQSGALEWLKQSFAKK
ADEPHDDAKFVDFGLHSMGDVTLESFNQAIDKIPYDAESEIKFVKRFKPVTNDEESLFTFKELDTEEDSDLASIPDDKVRSPSAF
MKGAPDCLSARCGIDAGCRRESDGSFICICTHDLSLENPDKPCPRNVVSLNSSQFPGNNKARVTIHRNVLRDEHKLLNSIFKASSTETPDIKQGYTDVKSVILVYITPIVIAISLIGLAMLSIRLIRWIYNLFY
MHLGVLATAWHAINTKSMFTEYYNANLEFIIPYAQYMESLKNNYSIGMRFRMQFEGEEASEQSFLSPGLNIVRGGVKACKLILGAKLRQGYKDINTEVTTHKLKDDFNAFIICLMETLSRFGVDYRFCHI
MGNMGGQDGQDGKTGNMGKTGRQQDRQHGQNGQNGQHRQHGQHGQHGRATWVTWVIRGQHRQYRQHGQHGWARWARQVRQARWARRARQQDDKMGDIGKTGKTDKTGKTGKMGKIGKIARQQDGKMGDMGKIGRWQDRRHGQDGQNGQNGQNG
MGSWYGVMPLWQGDVATSGGLNNEKQQLTISNRSFLEGQRIFNEDILPWICRQFHITDYMLQLVPAEDADELRDEQLMSARLDNVNKALQVGLDVEWKDKTYLISGTPKLQEQSYSPVSLPDVPANSGEVSKALRKKCPSGEHEHPDFPYCHPEDRQHRTERGRNDDAENPSNSQENQNDEGKNSIDAAENLEQLEESVKEELKSYIPDLQMDISLGDMETCKKSMLRFADLSKQYKTNCKEIRVKPLKNSRTYAGTSMNGNSIQLNTTYFSSNPGSTRPGSARVEQDIRRSASAGYNPPIRKGEEIESVITHEFGHTLIDFDFSESIEDIWKSYSEKFPEGTVAPDDSDFVSGYAAKNKEEFISECLVSVLHSDNPSTIAKEIVEKINQFFRRGDQ
MKRLGILTSGAFLGLSIGFICALFFSLTNHATTFMPSSPDFVAHLSSNTMATLAAAGLWAAIGLLFSLTSLIFFNEHWSIARQTIWHLGLSYLGFTPLAILAGWFPLNVTWLLLYTLIFVGIYLVIWTYSMLRARKTVQHLNDVLLPHKYTN
MRRLLFITPGCVSLDRSWRCLRWVLAMTLLVLVLAPLSGCISKRSRYETPQIPVSGEFANPLRGDRAIWDPNPEEAALAFWWRLFDNEDLNQLVDRAIANDPDLRIASQRVMQALARASQAEGGRLPEVQAPARYELEAPDGGIGARARGDDMEVGETYQVGLRGDWRVDLWGELKSLAEAADYELWRAVFDYDERMRQLTSDVVRAYVDYLTLNDRMQVAVETEKVLDNMLNGMLERLESGDATVIDVDQQRTAVKSVQADMPAIALEQVRVKNRLVALLGGLPDSVSLPDYGLKSLDKPGVKPGVPAALLLQRPDVRAVEAGLLSADANIDVARARVMPPLDLTGEFGFGSEFLEEVFMPHTLFMRFVANLSATVFDAGRRKREIDYSRAVYEELLETYVRVIYGASIEVEGALSSIDQTQQRLDLQQEATNAAQRAWSNSQEAYLGGVLDYLTWLDTARTYHRNLDDFYRFNGDHYQGYVDLFSALGGGAPLREPLPGDGHRPRLDLASALASDLPPLTITYRWPPSDGWYRNKPPRKKRGFSMLGLVAKGDKETQAWLVSLSGIHAREGVEATWRDLQTRFPSQVNGHALLALNPIPTLPPEDEAATWYRLAVEPFSQKQQAEAWCLSLRRAQTRCSVDAYDAAAVIVGRFPWPDPSVPYPPAGDRRVPDQNTK
MLNFLSFIEQSIPNTGFTFDSFSRGILGLVTILIVAYFFSNNKKKINWRTVFIALFSQILLAILILKVGFIQKLFEGAGYAFVKLIDFTREGTLFVFGDLLKPNSTSYIWAFEILPTVIFFSYYIYSILF
MHETRREQSKDILLTNVQYYTTVGNEEENILDLIDVPKVNGCVLEVPCWRQRLFSSCYTMEGTENLSEDVFHKRHARLEIDERRRKRWDVQRIREQRQLEKLRQRERRNNAGEDSEELYSLWPTSDDVGAVDISPMLPVAAFGYNIPKFPDSKPIEFSLPWIGSEKTLNNTTSRLRHNSAKRAST
MYRWLCVLMIIKLVWATIPPSTPPMLIWGLDTPPPQTIFKYMKTFDLVRMLKPIHKDYMIVVYLATELTAKDINCPSCYPMMSQILPVRFYSQVEKPIRALDHISRVSISPGLVWHVLPADGTEINLAKEIPCEIGRIHCFNFGDRNLPAHDATIAAVLDELKSCRVIHIYTAFEEESRALKRRRYYSTSSMRHSHNEDTNVVFTGDVGQKADPTKLTILRHELAIVGVLKIILAEEVKVGHEVHYNRTYVELKTGNESLQVGLVGSHGVNEGFVIVLNTHMGYMLIESLPVGGSWQITRIIFNINVTYYPRELIFFSLKNSLCCQSITAYSDEGARLSLYSFHLDVMTEASDSGFNPDYKPKPCWHCNKYISSVLSQSIFALGLLIFVLSVGFIMLWDIGRNRFVQNIHDPDLHIKTDN
MTSFLRSVRSLAPTDSVSVSTALSSVRDGLGELGKLDGPARVP
MRPWLAAPAMVVSCAAPHDDDDGAARWARWPSGGRP
MLSFNRSFFATAVLVSAALTLTACGPKDTGSTGSKRGSSASAGHSTKKVGKENSKKAKKAKKTTGDCPTIGTGHKIIWVNNVEGAMNNIIAKDARMHCDPKSRAGASYQPIGQPNTYSVASGATKVTIISKKDSRQKALTAQSGGIAHVKTCADPDGKSYDGGQTKADTSDCWGQNFYDVAVDKNNKITQMTEIYGS
MPNTGQFSRRCYSCRQRHCKCDLARPSCGQCLRAGKTCSGYRDDVSMRFRIINHSSFQPGTRRQNRKIRYEDPPMVKESSTEEDDTPSVQITYEPSQVWSHHVIPLVLDKFTVDLVDTRIDSSMFATIPRIISRTNEGSSVYSVCNAIACAYLATTTGTTAATVNRARAYGTALKTVNQALNDPVECKSDSTLLAIWMFVVYEFLSNPHLTSIAGSEEGDRHCRGMASLIRLRGSEQFSRQDGRNLIWFVCHCTQAKALVAGRESPEEVLGWIQELSQSMKGSEYILVQACTFAYHCTRLLCQIRNVLTGNLDLALTTYSSIMQQMNETERLWSSS
MERQAWDLSPGNEFILNGTAWTVQTFQPQVGKVVLVRPECEPWHTTVRQLMHHPQIRQSTRTRKDLPAASRGRQPKGMLDLPPEKRTLAILRVAHLHEVETGFRSGNPLHALPMEPKPKYDPGVTTLTERRQAKVRKLRKATVADPDLAAEQWLDRVSYRTLVRWDLARRRFGPGGLR
MAGTSAQIRIFTQSGILVQKVSSKIEGSAESGVMLLRDLDGDGRDELLLSLDTGGAHPNSQWALWRTTGDSTQFRAVRTGVPDDIMHREGALFGSSFWQVGNGLLAEYGTGSTRSWLTRIYTFEGTQLVPIVSVQNDGFRLDGSMSRCRLEKVYDLVRVGLTADEARDRFCAATEERQRR
MKGKPKPVPGGYQITENIVLIGHRKLGCRRRGGGSKIADKIADGKIGLVPNR
MDWLQFASSLAWPLVAVGGIWTFRKPLRTWLDLRPSRVKAGPVEVVWDVTVDKAVEVLDSGKVDGPASLETTTGAAPRVQSSTAVESLTLQLREVAKVTPAGAIMEASRQIEMALIQMLSNAGADKNVIQRTGLAGLAQAARTKGLLPETIGVLESIITLRNIAAHGRGSLEPAQAFEFMALADLVVAEARHS
MRVAIVAGEYPTSRAPERAMFVRDQVDALRAAGHDVTVVHHEPPTLRRAVTDVRRRRAVTDVRRRRAERRAAASTSTPVAGRRASVTVSSASTTAPSPAPAVVAPGTAPSPSGSAGKGASARLRTAGRVLHDRAGQAAAAAAMRRDLRRLDPAPEVVHAHNVFPAGAAAVRFGRERDVPVVVTEHSSAFRRDQLAPSEIARARRIYEACAAVVAVSPSQAAALPAEDVVVVGNVVPAGFGLRRPEAARSGDLVSIGTLRAHKGMDALVRAYAILPAHVRDRHHLVLVGDGPEAPRLAALVAELGLTGRVRLTGHVARDRVATILRGAALVVSASPVETFGVTLLEGLSAGVPFVAVRSGGPESVWFPGAGVLVPTGSPENLALGLEAALTPGTDECTTTPDEDRRRVAQDRFGGPAVAARLSALYRAATAAPGDRTGR
MHETLWRERQSRRRRALIATTAFALALFIFLPGVAADISYVYDDAGRLCAVIDPASDTAVYSYDAVGNLTGITRQPSSTLAVLQFTPSSSPIGSTVMIYGTGFSATPVSNTVKFNGTTATVLTASTTVLTANVPNGATTGPISVTVGSKHRDQFGVIYRRHRRNADTLKLQSRRRELWRNGGTHRHEL
MRSCHPLSDKPDIFPILAVYFSPWAGYVLDECGSSVEVPLPKIVAVTHDLLGDPFWEPFVAGIATGRAETGCEVIHLRPETYSLMGLLDLTQQALAMKPDGLVTTLPQPEKQQPVLAQAIAEGLPVGVLNTFDRRPAEQRLASLFRVGADDYRGGRMAAGRLLGAGHRGPALAIDHYRVRNTCHAARIGGFADAMAASGVTTQVVAVDTLNSHAPSDIAQAIAKHRPDSVLTLGPPGLALLAAACDYGMPPANVTFDVTPESIAACATGQTMATIDCQPFLQGYLGVTLMIQFLRQGVVPVADILTGPRFAAF
MDGIGTGNQRLLDMVNIRRKLYLDKPELFFPWRKPCIVNALLVVDGLDFGMGDFGLSAFVDILKNDGRSYVKFNLTLAHLDPNAGNTAVQAGAPGIARSIKGFVFDDPNHFTSTMYDEVWMFGVRDNFHTTPDGTTIFANRRSNPARYPADRLGDGELDALTAHMNRGGGIFATGDHGTLGKALCGSIDRVRNMRYWDDFGSGETSMGGPRRNDSNQTGHDAGSQFSDQSDDIPQRLDLKLYSTPVGIFREARYPHPVMCSRLGRIDVFPDHPHEGECREPSSLSGTCRDGTPEYPTPHLAAPEVVATGHVPAGNRASSRNGASLKDATQAHLFGVVSTYDGHRVSKGRVVCDSTWHHFVNVNLIGVVEGGIFDDFDYPGHPGTPGTHTSKHDGFLSSAAGRAALDKIKEYYVNVGVWIAPADKISCMNSRLWWELIFADRIVEATLTEFTKPWTEISLHQLYLIGVHARDVIGRQAGTCQSLAWILPEIDLIWIELRPWIDPWGPLDPKRVKDPVLPWFDLQPLLDIAVGGAVAALREAFPAVPTADALDGRSIEIVRKATSVTFGRALKALGNEVKSIDGLMASGRKRIS
MKARCLLLVFLLLPVACATVDTSVIEPDTTGKGAATGTDTEVPGGESLLVYRASLCAGTATERRQQLASPEDETDYQQQFRRLLLASCAPGGIAKNCGRPCRQ
MTLSNSLITKLGDRLRCGDFSEADLAMLDTFRQTFSEVDEQAYQLIQSTLASATGWTSTKRKRKTQQSIVDKLCRQPRLRLPQMQDIAGCRIVIEGGSQVADTLGSLLTGAFEPLQWEIERKERHAHGYRATHIIAKKGQKFYEIQLRTYAQDVWANLVESLSDENNTLKYGGNDQEQALMSQLKILAERFSEIDQNAHQVSFEIYQQQIKDAIHHVFSH
MVFCQCLTLVLWWLQVRIRQQGDQIVPNRWRI
YERNDLDFSRNKFRLRGDTLEIYPAYWSGRAIRVEFFGDEIDRISEINAVSGVAERFVEHVAIYPASHYVASKEKLQRAMLEIQRECDDQVA
MSAPAYSYRVVTPVFVDAVNCCASLYVNVAPGAAVRFPTPSYAYPAEVICPTALYVNVCELVLSPVCFAVSRFPAASYVYAHVVFVAPPA
MAGVAIDYLNSIISKINIPDIEGFLKFTYHLSEIKIEEFNIPKSSNVIQFSPPDKLGINLQGISGKMSAHYQVKIKEGFIHVSKSETVDVTIGSTSVDASLGISSLNGHPNLSNSGCTANFGVFDIKFHGSLLDDIIDLFRKEIEKHFKGKIEEVICQEIEKVESDQGNKILESFPLDVGLTGTLEGFHIDYALTSNPESSATSFTIPIEDLIYYEGH
MRAQNVHAKCACMKFIENLLGKSGAQIAIMCTSKNIFPACLTCLANQPADCIRGMTESERETKGKKYNLWGPYRKIPKGGTAMENKETGIVPSAKLMAKMVNSEVVPTNVSSGDDAQFMEISTNLIWLSAFLIIQYGKCKLYIWRSSRSKVYFIDFGTTKQMTDAMPCVTGVYHAGQSILTTAFRAQIK
MTYALDLGSSWDGFIVIGTLVVQFILRCEADRSKDEFAKQVELLSLVTAGAVLVSAFRRAACEPCHRKAATRRILDSASSSLDATASEHKTSGTGQTAVRARQRFRRARDQGLLVGLFLPFLCILGALRANLLTPAQAVHSLSLAFCQAWCAAWTWHLVPEETPAPAASGDRAFCRKRRPVGTALLSKVPPLELNSDKEGAGLCWAFLLELLWLHLVPLVILRRLSGTSWMPICTATVATLIVRKTLASDAFAGTFTYGEALLVSFLVTVAWFSPFHMFPTALGSWHVVGVHQSHPAVWQEHSCFSVEKRLVPAMHSELAADVKRSGVEAIPLFHLFKARRISAASCTEACGCDAQPPRQHGSPGTLQQSRHISHACPYRRLMQRYLLKTSVELATAGILFVNHWHRWLAGWNQGHRARASSCGAVGIALRSQQVSAVRNLLRRFARPLGLALPLALILPLNYLMARRTLKRLSSMNSGSFSDCTDADAAEPFRLLCSWMTRDVSIMVLVLYWAVTLAVGIFALGPHRWKWRRIVARKYYHLLALLLFMPVILRQDPLLWQFLSFAQTVALAVLIALELARISGTNAAFPLERHSPSKAAYLVAGTSMHQVFDGGHDDGVSAAASGDRTTPSMDASLGASGGTQSRPSCDVTRGRSFYESVHQFMTTLVDERDQGSVIVSHLYLLLGCAAPGWLLLGLLERFSASNGSWTSLLTDWSSGLLSLGVFDTAACILGSRFGRHHWPHSRKTIEGSLGGFIATWLCQLLLQQWHAPNVFHVNWTALARFSGAVFLCTVFEALTAQNDNLVLPMFCFAVLGLFG
MEEFPDSFTPETCGILKGLFDTRTRMRLGASGSGAEEVKKSSFFMNLDWEALSRKEVKPPKNNRVNTHKFLFTTEPASTLDSPEEPLSVEIQKAVQDVYKNLK
PGDDEEEVFDSIVNDEVRYPRFLSTEAIGIMRRLLRRNPERRLGSSERDAEDVKKHPFFRSIEWEALLARKIKPPFLPVIKGREDISNFDEEFTAEAAVLTPPRESRPLTQKEQDAFKDFDYLSSVC
MGKRRISSPDDIRAIYPGLTPKQIDHAVQVIDHELILMVVDMMASSKIYRACEIWHDEHGCWNILQSVLDQYHARSREVAKYFNPQ
MFQCGQPIGPVGGARIGVIRIGIESREVWRHVAIGERVAEIEKASWLLLGVVEHAKADLKIGDAAPGNIATEPLFAGGSDHALFRWNPAIAITKQHNQKRPAAAHLREADLEDVQLAHLRFLVGGCRHAEAQVDPFEMAAAGLEALLQLWKHTRAQMVALGLHVREGAADEYRLGSPSQCRLHCARCAPRGMRITAHGLMLQISPVDCAPFA
MTAATCGWVTMSMRELDRLKVIEAIIEGRLKPAAAAQRQVHRLVLRYREDGPAGLTSRRRGQPSNRQLSPGLENPAISLIRRNYSDFGPTLAQEKLVECHGLKLAKETVRRIMVDAGMWVPRKQRPPKAYQPRNRPPVAAN
KPDKKIQTFFSKRKELSTHRNCLLWGNRVVIPVCGKSRVLQALHLGHPGIERMKALARSYVWWPGMDHDIEVHVQRCQECQQTRHAPPKAPVHPWEIPEKPWSRLHIDFACPFQGQEFLIIVDAMSK
MANRSMGRIGCLLRGIRVYLPAALRKRVLRELHSAHFGVTCTKSLARGYCWRPGINEEIEAMIANCADCQSVRAEPAKMRLLCWKPPSAPFQRVHVDFAGPFMDTYFFIYVDAYSKWPEVQTCKPTTAENTVNMCREIFSKFGIPSILVSDHGVQLTSTVIRILCSYSS
DVRDAAEGTVLALERGRPGERYVLGSDNLTYAQFHAKLRAAFGKTSHPRIVPRWALGSVGALLAAFETLTGVDLPVNSARLRRVNGVYMFHDISKARRELGYAPGPIEPALRVMLEE
MASMGRDQHFYLSDEVIEMIVALQHRWGSTATQANRSEVVREAVKRCHAQEAATTPFTEET
MYISEDFSLKDIKIFKIYHFGNEQQKRQFIFECIIPFARENIYSNYFILREWNGGPNVQIVFTNRNIDIYYLEKYLQKRVYEYNLEKFGEFSQDVIKENIQKYLRNAKIISQMERKTEEKIDLNNHLKVKVLDLDMDYYKRLYNSSAHLLLHIRSKFKLQPILEEILKRFKNKENDKILFVLKNYHILLKLFEHGEKYASIVYYSHIAGMFAIAEQYGVREKFEEYYDSFYKALQVERLESLYADDPLLLECFNVWKDIYDETVVLFEQNRFAEEGYLSLEEQINMLKKNVSEINSEFHDVFVSNESVDDLVSSKEHMVLRSLVNILYSILPTINISFIYKHFCCYAMSRYIFEKYNTDWKTIFRERGII
RDVELLRHTVGVKLNLEMSINTKIVQIACRVKPDQCTLVPENRQEITTEGGLDVIKLRKKVGDAVKRLHGVGCIVSAFIEPIEEQIKMSAELGFDAVELWTGGFAHAKNDKAQDKTVIELAKAVESGLKHGLVVHGGHGLTYRNIEPVARIPGFTEFNIGHTIIARSVFVGLREAVREMKDLLNLYQPE
MCEGSNNLISSITPFRKDLRVKARVVRLWSLPSNCYKNSPPSNRIEMILCDSQARLLYICRYIGELAI
MPQTLGVYPKNSYMSYRSERKLGRQVFDGKYWRSSLNTGSRDSISTTPQDSQSRVSPASWEKLHNIRRQGTTLIFHSNSMRGRTSSDSKSNLDRGVGAIKSNPEHKSPMVPAPTSQTIDTIAPTSVCNQLVTSDPINRVSSICVSVGQDPQRGSPSHNPSHTEKISSFLSLEDDQKVDSSVTSLCPSLYSSEVSVHYPQLLEVEKQLNPNVNGQLDEELPTTHNITRTEAIKRNEALSEDRSSEVNPVQQILTDQHRGLQPNSLVGNGGQIPVSTINCATQTTDTPRLGRRYKTKEEIECERLSKDFVNHCDDTMLIHLLVPAPNHKTMSDYMDGLFNLELEKGGQPVHRNRSSIRAEAVKLASISDTPINSENRKENELPPNSAYYTTSEPKAKLLTRYSQDMDQQDWASENELSSKKEELIASIDRKLKLLRLEQVSLREEMVQNEALGQEVTSRVEQLAKPNEIEKYRLHVEEMEKIINLLLSLSGRLARAQNALMCLLTDVGDEEKRVLQAKCDKLSEQHEEARRLKESIDKRSHQVSLFLHRYLSSEEYADYDHFIKMKSKLLMDAREIDEKIKLGEEQLAALRNNVNFQIWKQVHTKSQGSLGT
MLFFPNAQGRLTSATGRENSVPGFLICYEGKWGLLAVKKGISPENVAEIMPENVAEIMPGDDERSRLFQSLKYLGIHLIADYDVAECLKESDRIVQDFLAHLSNA
MRGVSHELMMDKVSRYNPLDLVNNHSHFELKWKKAPLVVEDERGICFEAIRDQGRGKRYHEASCNIQNHRMLTYSSNFTLPPPSAAAAVDNTNVPDSSNYSTILAAMIPLAAVCFVVGIALLLYRYYRRTSGPVWIPKLASVRGRYRTMSNEDQASPDNFIMGFCNPITENSVDCFDKADGKVTVLGYRPLREDTYKHGVKDYSQLFKHMFPRDRLVNGTDLGTGWFGKVYEADALRIKTGLRRTKVVVKELRKNFDSKAKEMFLKETDVLRCVEHKNVLSVLGQSTEQEPFLVVLEHSPLGDLKKFLLEQRSDRKREGVPLHLRMSIDIASGLHCLHANHYYHSDLAARNCLVFPDLSVKIGDYGISRCIYKNDYYNRPQAPNSIPVRWLAPECVVFHEDGTLVTKPPSSQGNVWSFGVTLWEIVGGGKQPYEDLPDEEVLQSVIQDQLYKLPEPHNKGNVLDLLYELMLQCWVEPDGRPSVQKLESTLMSLMSGCSVPCLTTTVTVKQRTELLASQAAPDTKSTSQPIAVVRPLRLEQDEPQCNHTQVHQSNSNDQEQTPSDVEVTIISSEPPPPVTYIGNPLEELRECSETAESPSSEEAAMDSQTSVIVHPEDHEYVMVPGEVIRRRGSILKNPNAPSKPPKVVHFPVNILALRTVHKYERMDSFEEDEADANPRTDDEDGEESVGNKSEFRAEKDFESLNSELAVVNGTDLANEPPLSPTEKKIRMAAGRKKMLEDGDKEWVTAVESSSSQPA
MFCLRFFADTTPGKEISTLLSIPEGIAHIAEVKSSRAAGPISTLIIVHNAGHVLKLSHCASRQEIFLLFSWKLYCYANRIVGSTVLFSGKSF
QKTNEWGKDFVGKEGYSAVGAVVGATYSHEMELLREIMPSAYFLVPGYGAQGATANDIKYCFNSDGLGAIINASRSILYAYNNPPWKEKYGVNAWKDATQEAVIKMNEEIREILLSL
AAGGAANEDLMNLLLAKKKAAAATPPPQQQQQQRRPQQMGGGEELTEEALMAMLNQRSRAAGGAAARGGAAAPTATGGKQAQGGAASEEDLMSLLYGKKAGGAGAGGAGGAAAAGGKQAQG
MSLEVHGSYISGYSNKSENSFPKVGGVGKGKVLRTPAAGADTIDADMHGSCEYPLKLAVWVGYHLSWAEADERPNDIGHFRANELEHITGTEHPRNRPCAAEGMQPSFQWPVEWKLGGSERRMNSAGYQEDFPEEIIIRIARCVYNVYCKKPEDISRREVMSLLLEMLYGFVKICAKGGVGKMVESWQAKFGRALQTEMLDKIRHIAIGQFHSRADSYIITPETLTMLSQARHVHIVSLNHIHLDKHFICWATGLKMPVEISIRNCYIPESILALPANLSFNFSALIMQGNRGTSYWVEEIVNVWFAQYCSPALVKANLAVDSSIGFQLQQTPFLLPISLQSLTVIAPADDEWPTSLMAEELINIIASCGSLMEFVYKGYFPLVKTRVQLEGPLYSVHGPLGMVYNISPMAGVDSLDLSPSQMEWSLDEFLVDFPNIQKLSFRIRGMGEPEVDIEELLEQYPTATDITIMWDNSGHMGKGVMNSIMNFTISKLRFVELVIGSSEGRHKCRGILAK
MHPILQELGLSEGPPKKKTKKNNNGKKKISALDVLQQLLTTNVLTADQVKNAYSTLSNNSNGKDNNKQEEKKKTETHSTPQQDKNNPNSDNTTTKTPALPYRTRHIALRVYYEGKQYTGLAQNVGDDKDQSVERHIFAALTKTKLIPSRDACGYSRCGRTDKGVSAVGQVLALRLKSAIPLDATWDEAGTQTINDGLPKHAQDKITVWVPPKTKTKKKQNSKPNKETTTVTTTEATSSSQQRQSKQLSEYPYEKMLNSLLPLDIRILAWSPVSDEFSARFSASTRTYRYFFHPLTLNLEAMRQGLARLVGKHDFRNFCKLNVVEVSNFVRTIHSAKLVTTNTSDNNSNNICYFEIQGQAFLWHQIRCIVSVMFLIGRGLEEPTVVTELLDIETHPGKPSYPLAPEYPLVLHECGYPNLQHMGYSVPNLWVVSCQLQEQWETLTLEAARIRNCLQFLASVNTVRKRELAQFAIQKLTERHKKQQKRGNKGGEQAMGFVKTIEQEEKDNDSNSDLMMMMDWKNALEWLAQWKLVPDSHGLREHVHIPLLERSKGTTYEEKIDALQQSTTKRSQQYKANVAKKRKAEDGDSAFYEHMLKQGGSAID
MASIDYSTWNAEQLLARVQTLERNLKVANEKYHSLVASKDTATLEKSLRPLRGAKELDPSKYTTRIIALKFAYLGRKYNGLEYASGNITAQPTIEEVLWKALRKAKLIFPSDEEAIATGEISWAGCDYTKCGRTDKGVSAFGQVIGLRVRSHRPLSSKQKDETTGSKQDSFDSERETSLYGSDDVEPVFDPVMDELPYGQILNNILPSDIRVLAWCSDLPQDFSARFSCRERRYRYFFTNPAFAPTIASGDPAADEMVNAPGETLRRENHLDIQAMQDAAKRYEGLHDFRNLCKIDPSKQLTDFRRRIFHSEIRELDPSKEPAAYATGQAFSELGALANGNGTTHGEMSVPPKVFEFVLHGSAFLYHQVRHMVAILFLVGQGLETPDIVNKLLDTKNCPQKPWYDMVDDAPLVLWDCIFPDLDGKDGHDSLKWIYAGQESGTECRALKNKYALIDSLWKTWHKHKIDEVLAGSLLNLAASQGNLEWVSTPREAERPRLSLGGDSGVYQGAYIQLMQRPRGEHFEVQNARYAAKKGFTPKSEEELQQLRSTRRDKRHDHLEEDYVR
MFRIFRNLNFIKGYSKSIKSNHTNEIIENLKKSLSREELIKLSKDELITKIVRLEAYNRHLANIIKKRVDESKIKDDTEHDIRKKFDFSKCYKRHILLKFCYLGWHYDGYAAQVSTPETIEHYIFRALKRSKLIQSQETSNYGCCGRTDKGVSAFDQVISIDIRSLFEPKDQLTEKGVRDEINYCLLLNKKLPKDIRVISWMPLVTPTYSARSDCTHRTYRYYFPRGELDIDLMKEACSYLIGSHDFRNFCKMDVRHGVVQFFRRLDNVEIKLATRNLKEEFDMYYLEIKGSAFLWHMIRCIVSVLLLIGDKKESPNVIKELLDVNTHLCKPEYTWASEIPLNLFFCNFREDFPNSDSINVDIINKWQYDEENLREVIMDLQEHWCNESVKSTMIYEMLHALQDEYSLKFPTKPKIKKQMVALNCETKRKEYKKLFTRQRCPSLEEKIEHFTKIGKLNKKL
ELAHHRRLRVYRDRPDPILEGRGRARHPRRGQPHRRRPRRPRDRHGLCREGTGGPRPDKPGRAGRARRGRHPGRGARAAGGRRRGRHRPPRRQHGGAALRRGPAGRLQEERLRDAQLPGGRQAQRRGALRLRLERGDGDRGGRTAHPRGDGPPPGGTLRCQQARRRGLLLRLLPDLRHRDRGLAFRQRLRPPLRPQEQRRRALYQAGDERGGPGDLRRRHPDPRLHLHRGPHKGHKALGHHARRRRRDVPDRHQRRDHRARDSGQAPPGPRRSRRQGRRGPQHRRPPGRGPTQLRRHLQGEAPARLAGRDGPRRGPAAHRGVVYAQREL
MSGRSSLRSASLRVWGLHLAVLAALLAAGWLLPEYHRGVFSRVMVLAVFAMGYNLLFGYAGLLS
MSPFMKHQNGKKNYDNNDSDEMLFNVPSSLENTSSLSSQQQSICRLPSIKQEYRENKEHTWITQNLDSQTNHEDTGESTSSGLLFCDTSQNIESQDRNNMTGVEETPRPWISTNSLRRNFQVTPSVREREQRVLPPNHSARPSRKFQKFSAVPGQQYFPNNVRQREETFNFCRANENASNNSNGVSIPVKQPRRLHSFSRLQYGNNRSRETLNRSQSSRLTGQRATFNVHQEMSVDESQPGSSTSVHQQIPEMSQLTSLNPDLCDAESESEEEEFFTPVSSNSQSESEGRGDVSDQMNISVVVQVSPVETARVSVQSGTDGNVQTEDQSSDEDIIVISNDSPSFDGRNFSANLRVGYDWRSVDSDTTSTPSNSDITPPSEDQEQEVFVVSPDPVAQVSQMEEDEHLARMLQAQFDAEQGADVAGGGDGDTSDSLSIVDSDHERGRPMWDHGDMLATTSTSSEGSISSLPHPRRGSTRRRFFTRSTHEAIRGRARRSSRSSIRVSRGHNNRWISPRRVADRFHHHHFPVFHTEMIMGLRSGLNEGNDYEELWNLTEAIGEATTRGLSRAEINRLPTRTFSQTTISGSDSISAGCSSISRLRDSSLNHEKECRVCLSSYETGEVLRILPCFHEYHASCIDKWLKSNRSCPTCRVEVLFEQ
FWERALFMFMAGWWFITTLYGLSTIHKRNVIAHKIWMIRSYSMAMTAVTFRVYHIAFYLLGWGHLENYEVSLWISVIGNMLFAEWVIWRQSKQYLKSFAT
EHAKREYCVQYRETDLDFVNRLAAEEGMFYFHEFEAGKHRIVFADDAAALTAGPELFFNLGNRSLEQGPYVRQFHYREAVRPSDVELKDYSFKTPAYGLSHKKVGAELTHQRDTYQHFDFPGRYKADPSGKAFAQHRLDALRNDAVAGLAKSNSAALLPGQTFSLTEHPNGSLNTDWQIVRIQHTGLQPQALEEEGGSGATVYHNEFGVVKASTTWRARIG
MPKEIEKLVGRRIQMRRKELALSATQLAQLVGISQQQQSRIERATCKITVSLLFDIAVMTDTPIDWFFQDCYPYIQQSMKDRKTRFAVDVHARRRRK
MTEGPRDDRQPLPNGDTSTHHDCNVPDKKTLLDLIRDEIALESEAHRRPGWSAWALMGSLVAALGLLLTQVTQATNWTSVALSSVAVLLLWDMVSWLRRALSSPGGFARAWLLSYVFSESRLLWFSQLMRNTALLCVLVALRHDLGDYVYSSAALYGAQIVILLVAFVPERLGKVARVVGRYVATSEVATLVSLVPRAVTLVGVGLVFIRDASFVVSDVQVGAILAAILQVVMWMSNEVAPSPLVPTLVEIRRKLALGTVSVVEAGRRVEVALDGMHAERVLHGPVRRFLEICDSIVSLGSKLQEIVYRLRSDLPAKLEDWSDENIAKCSEAVDLMVPVIDKLRVLLSKKLEALDKIHGLGNWLFVAADHIDGFQLLGKIEEAQSRAAGEYRRGMEQFRVLVSRLDAAKVAHQVKIKEVELEALKAKSEAAAVKADAAEAAAEAAEAAEAAEAVEAVEAVEAVEAVEAVEAVEAVEAAEEAIRRPTFAPVPVEGNVSRAPAQDSAAGEEPKASGVRSEERPTS
QGALLRYKEFAPIILGYREIIISKSWSDYDCRSGDFVIVTINVTNMGNVVIQNITVNDVFGFPKLGFILYKGALDKVINEIKPNESIIYTYTLINNKQGIYKINAAYIKYYYLIQKINYSNELTIKVRNKFLINGLWILLPAGFGLISIISLYWWKNRYDIESAEFERREELMFGVDYRTSAWDKFVIEEHLDQLEKGLKLTTKREKEEVY
MSRPYKRLLTVLANDTEMIAAGGEDEDESDTFGCERDAPAVSWHAFHYTQIPWRETH
MFLLLTYTRLGTDDIDKLQRARDLMQSHMDRALTIAYLSAAVGMNECKFKQGFRELFGAPPHRFLQELRMRRAWTLLESGSRVAQAAYAVGYEHPANFSVAFTRYFGRTPKSVR
MVWLLQEYKFFLFTCSSSSVMDENQDGRDGSYIKYFLHEHEECSIENAREHVLNMISDSWKCLNKECTSPNQFSYTFKKASINIANMVPLMYNYGDPSSLPLLNDYVKGVL
MIDAALERFFSDGFTVITPDDVEEFRTVWDSSVNLPFNVAKPIHSISNLGLNEIIILGIFMQCNYFVVDKQQETFPTCCQPDINAKRFHTPIDVQFFSTGAIVEAPICALEYRASPNATGMPNLPVVPMIFPATRGTTSFGLKLGANHLGAERVKQEDFNAAYDILFPGCNKVVSDILTSYYQLDEDEEEDESTSLDELLQQVERKRNAFEGLFVCMCHTYDLTVPQGWAKLLKRLLVDSDEPPPFASIQNLTAVTSSLFSSKINISIAFLAGEAPIIAFKQKLLSAESPFPLDYQISAHLNVLKERSLDQAKLKQFSHEQREHYAMSSETGSVKDLVMDFVLTSIETKASFFDSVYEDTPPEDYSGLMMGIFKKALQALLGATKYNSDAKRIVEDYLSDPRRQGKTPSEVWKDLLRKMADIKFAPFTNTKKAPKVLSWFIHIMVQFLDLESGTTFFSILCRDHQNIFLPNGHPKWTDTYIPFWNAFFVAKLSMAESICSHYEKHNGKMKTKRKMCVDLMARRISPLLLLVIGEDEPAWTAPNSWKGKYTLLWRSLLRKNGTGSKLHIMMLIFKVAATGRYPCLTFGSAFDTTQSNVIFAKGLETENLLASNDIDKELGCFVNEILTGASASVETLTQLECYLVSEQLRRQAEQAKKKRKAQRPTAKETKSIKKKKNEYDPAKNPFIDYEAKKNKKEDGSEESEDESEDESEDDSNDDSASNDKNKTKRTTKHSSESQDDKTKDDDESDNEEDTSPHGMTSPENMQTELQRRAQKHETERNDMEDEKRRLEDEKRQLVEENEKLEAENKRLRVEGRRVVGEKKAVTVKIGKKNQIIDDFIKGCKKHNISWQDILASGRADKDEEKENRTPSSSKNSSPRTPRKHTLNTRHKRTPGTLPGTPGTHVTPAPNERGLNRKKKGP
MVRISTFALSLLAASALVEPASAAGPPIAYAKEVGSGFSIFVTNPNGTGTLKLYSGPSKNSLVLDMNPALSGGFNELAVVASRATGFKIIRYSDAGVVQPIQNFDDGCYVDSLDYHPTNGSLLVVRRCNNPQLVELRIWSGGSYGAPIASTDGMNNSYRSARWLGDGSGFLVRYGEVGVGTVIQRRNMSNPSTPSPVWSSTDLGAALNLDTARCAGALDSSCMKFLYGDGADRVHEVRYSDFGMESDTVVIANGWGGRYSPDNSRILHRVRVKNGFTLNVTNPQQETAAKAVYNDADWRP
MKGNFITRFVLLRRLAMAMWHKMDIVRCVDGGLFAFVVDVPQLLSDGNQFRLPITRSDAAFHGLAKGQGDER
MLVVDIIVILLVVPGLLGVVLQSVIFILMLSNQGSTNFAYAAIGTRSNEVFRVDGVPSDIW
MTPVASCCVEIVLMPDIGSWVEVEELRRVWWGVMILDKYVTIGLIERPFSCDDAHPEDMLPTTESSWDQGEPSVSPSLAVSGDASHSISSFARLCQASDLLSRVLRHINEKPSDMRFWYREGIQLHYLLNAFATGLTLNSTQDETISILTGDRSLHPAVGVVYSAQMLLYDMHTCADFDHLSGVGIPEQLEMQTIALGGVRVVCTAVSSFARGIRSAGVGDAGISPLVINCLYEAAKYYFWYYREANKPELLHEATEITLALQAIAGTWAVAGTIALSYT
MVTVMRKQSFFSLLLLTLAVTRIFGSATEAELLAASQEVNESVTRAGSNGSFCNLTVSNLLKANNVSVRGNELICGDLRVRGSERIDGDLFVGGRITSPGGIGADICAEGGVIPCAITVNGLTSNGDLTVNGNETINGDLTVNGDEIINGDLTVTGTVNGTFPVTSSQVVEALCSNGPATIDCAVTFQSDVTVNGDLTVDGDTTLNGLTYTCTLPDGTRVLNPIGNQCESCLEEVRGNAQLQTTQGLATALNLILGLPPLGLEIPNVGLLPMDLTAPVVATGLPGAGTDTGACYRLEAVTVNGAIDPNAVRVVFTNAAGQDQPFTGVPTVVATAQVSPLLRTTGGALVPLDVNFPLSGYVEIRELTAASVVLRNLVPNFISPLGVSVNLPNIVVPALPNPLDPASVLAFATSIIAALTALDNANLTQALSINASLLGLGNIIDFQIIGPVA
MENEKDNTRKKGPFSPDPETLHSSDPQQYMRGPFSSRVNEVDKVMHKPENTEPPYTDERDREGADPWKQ
MNAEDLVSALDLPSHSRVDQRVPKKYLIDHGAVTAQDKKAITDGVEDLIWIAALKPSTVGVSAFEDSTRQYLEIAVLTLSLRRNAKAQRLRELVHRAIPYPLVLMTIDDDGVTLSLSHLRQAENDSSKMVLEGRIVSSSVPPDAVGTAFLASLALAGLPKENLFVLYQGWLDRMTALDVARHTGTFALASSREANEVRRQSLWEIARIDGEIAAIRSNAEKATQVNRRVEFNLELRRLQAERTRHAARLGNEDGE
MNSSSLHRRVCLRLPWALVSGLVRQTPLGEGGSAGSPRLTPRRYQGTAALHVSAACISSSSTVSSSGRKTPVYYNFATPLHVIREAAGGVGLSHPRQRREAQAREEGPLLVAPRTPDPKDPGQRLNIFRREFIDHSSSSKANQHITVSVDASLRRFLDMFCDFESKRCKLCNEAFTQWHVHTSGIPHAGREGMLLELVRPYCGTPDELIEMWWQRLNMCAAFHRLPSLSHDNPHIRKRRLLYLLWLLKDRGVLVETFNVSDGNSNTMRTWEFERLEFVGDNVVKYVLNSVIACTFPPHEGGTKGKLTCFQFVMDGNDGLARGYDHLDLQQLASNVRVVSKFKSDIVETLFAELQMYLWSTQHDVGTSPLVFPFTKDIYTLRALVQHVLYELAIELFLYHIRQINGMLQRVMRENNLQFVNTDCALKPALGASAKYAGARTRGGDRGDDNDYGFSKGVGAAAAPSPIATLAKTRSQHSRRHGSGAALFLESTNYDNFKRVVPIGGLLPRPFAREELSVIPNYMPHLQSDGAMTLKMRRAGGAGWSTLRKTAVAIDVRRAIAEGLGLSAEVKPRVGSRAACLSVPRLKDEELIPELV
MRQVGVGDPSDWHQVGGDHAHLLRAAGDFRMPSACTNLAQPVRTFPGGPGRSICVAPGPPTVGVCCLWEWGWPGTLINNVLTNQVIMAPPQWPTSPTTATRA
MNELVDSTTKKMPERDKNLEDMVLAMKKEIEELKGELTIYKAALSNGMLFSRPKQQAMDVPKPEKFKGARSARDVDNFLSTDEKLGGNAIETWEEFQRELKKIFYPQYAEKEARAKLRYKGKESSNLL
MGGKGVADVVAVGG
MTVDTKPVHGSCVPSELPDSHAPNARRRRLLIGALGTLPSVYTLASGAQAAAVSGMACWMAEPGTPPARFIPASDTWYRSKVFVGNYEGNTAYCVTSPQNACLNLLDPGKGGDGSTWVMFNRTRPTLNGPSLNGPSLNAPGGLGIPPSGTEIRFVVSPANQVSNLSTIPVQGLVYVDQNATIATLDPNGRQYLHAASGSCWTSMLGGRASPLG
MMTMAPRARELFYYLKGGRVDFGEEHSEACGHSRFGRDYIKGQYPEWDEDHPIHFVGHSAGAQVIRVLQQMLADKAFEGFEETNENWVLSVTSLSGAFNGTTRTYLDGMRTEDGIGMKPISLLQLCRIGVIMYDWLDISWLKTYYSFGFDHFNMSWKKTGLRGLVDCLVGNTGPFATGDWILPDLTIQGSTSLNSNLQTFPNTYYFSYATKRTRRIMGMTIPSGVLGIHPMLFLRVFQMSQWRFPQDFSPPYKGYR
MPPASRLTTTTATRQQLVSAFNDADDQQREAYRAVAQLQADLAGQWHGVASNAFTVALDEWMQGLNRVTQALNSLRDNVVRFGQVTDNTETDNLQLAKSPAGQSRLP
MKTVEIFRLDMFGLDAYLVQPEENKKSLNYETGKDGKRCLSVKIEMRFICAGKIENLKAGKLNISYFSNSEAG
MSLPYLFLNLQEHRTTQVIHSHMGLIRFLTLIVEAQATSIPLTKKDPHSTHLDRPEQFQNSNDAIPGSVQHGHGNIVAVTERSPSVSKNTIREFNVEHMNLKVWAWISIIYEHIGAQVASEFDRHAKKIMIEFKADFLPQLYHPSINVIQQSDSILLENQITAFITSLWAVNLRVLECFGSKRSRMIYLQEQKNLIRWFTSFLLTCNQFTSTRPSNRGMFEPWIGSEGLSLHQKIMEAIQLNEGQAIYKVYREHLTPKALPISPKDLLINKAVVTVLGFYYKNYNPVKWDYIFEEDGEFVIKFSNFGAEWKDRPYLKYPIEYYARDLAGSLLPWKLPGQPDIMKNFANYLKSGLVKFEKWVSPLDLFALRADTAIGEIRNFKIRHNFYMEEDQDETLWAWIARLKLDGKAELHDTYKPDLTLMRTLIARKLEFAARKTFNDEAEGRRFLMVSDKQALARLERLFDLLWAINGKFLESIGAEVSGQRFRKEQKRVQFYLQFMFLEENNDESGSRLRQFLDQNRGAAPEALHQNRTSTSKSLYKTPSELIMELIFLKENKVIYQVKRTEMHRGRSATIFEMDLIMSKTIVAILGFYYKNENHEKWKLLFKHDTDMFKWLVKSSTRLYYSSMPYCNPGQTSSEMRALQLIPWAEKLEHSIKTSSQKQLISL
MDHTEVKAALARVMSRTACFPALIQESNDKITKDTVVEKIETKAESLGVSPSTVAKDLLLSHLRSVMQKASEGHNCLSEHEELSDIMEYLVERKILAGTELEEHLLHEPSFFSLPLPAIWRMSHARVISLEQVIKRIYKEHHGRSSFLVNGLLDLLLHPSGQSSSQAAGDVLALLVGLMYSVSGSNSQEWQPLAKFAGEVLKSVTEGVLDVVLDSSGQKGKVSLEKQSEDPHQRQMTPKNDEKDSSGANSTQSAKDINMYQLVVENPKVPQEALEGYFSAQLVQILTHRPNIKLTKALKTQEAWCSAKANPTLVAFLQKLVVGLGHEEAFNILELVLSNEEVNWGCVLTLVATALTCHRATSTKLKELIERNIRRGCEELEREPMVVGFLFARHAGQEGPHVFPSYAYWFSSLFTSESTSPTAQKQGFIFLMRFLTEMVPYEPAHCLRAHLTTHIFTPKGCHEIFQSYAYLAKARLQELKEASLDSTQRFSSKTKAVEDVEAAAKYFSETGKVPSFVLEASIFRETYFRTSFLPALLAPRHLPEVPDARAKLIEVLHARGKITSMMLKNYTEACEKEASDLLQGVFMEVEEDIVIEEPIAELAQILENLVTAHFNCCASDSNVAISEILPLLSKVSCKIEEMMKSSDARFKGASHIILDTRLYDEKLLAFQV
MDNLLYLVFPKNAESGALETIPDTKGMIVIQEDDFLKLPENIINSNKICITSEATLENVLCKIDDDLKVNAIKSMKDKYLFRDLLTDMFPSLKYQTVELKDIIKLKLTSKKILKPVKGCFGTAVKTIDENSDIDRVIEEIKTEIKKNSAILSENVLSQSQFILEDFIEGEEYAVDMFFDSKGNPHIVNIYYHPIPKYSEYLHMLYYTNKNVFEKVYDKAMDFFVEINKKLQLKKITLHTEFKLSTDLIPIEINAMRYGGMGLGNMVYHSLNINPYKHFQEEQSPNWEKIWQKYPKENFAFLIAYNGTHIDVNKQKPNFQKLESQFSKVLNKTVFNYQKQLAFGIYTLKESSENIEKLLQIDFNDFFEDIEKPVANNV
MQFSNSALWVALCERSIVFARASFVILCISLVSTFVKADQVILKNGDRLSGTITTADGKSLNLKTDYAGDVSIKWDAIQSFSSDQPLYVTPNTGAMVVGTVTSSDGNLEVATKDAGTLTVDKGAVENVRSESEQRAYGAWGGFLDSGLSLARGNADTTNFTLGATASRITEKSKASAFINSIYSTGTTNGVSLTTASAIHAGLRYDFNLTAKTFVFVFSDFDHDRFQQLDLRNVIGGGLGYHLVKTDATNFDIFGGGSFNQEYFTTLTRRTGEALVGESFDHKLSAAFSINERLEFYPDLSSLGDYRVVFDTAAITKINKVLSWEIHASDRYITDPVNGLKGNDLLLTTGVRFAFGANKGL
DFSRRSGDKSSPPSVAPLALDPPDLSDKKGSSYADPALQGDMRHLALEGVEEENGEVQQMHEKKTPSEASEDSSIGGLNSDPTDSKTLQEQMDELLQRCFLHALKCRVKKADLPLLTSTFLGSHMFSCCPEGRQLDIKKSSYKKLSKFLQQMQQEQIIQVKELSKGVESIVAVDWKHPRITSFVTLEPVQEGSREQPYHPPDIKPLYCVPASMTLLFQESGHKKGSVLEGGEVRTIIINYAKKNDLVDADNKNLVKLDPILCDCILEKSEQHTDMKLPWDSLLTR
VYQIIAGNLSMGGLIACYMLSGRALAPLAQVVGLLTRYQQAKVTVRSINDMMNLPQERERDERPLRREGLQGGIELREVDFSYGEQSDVALRQVNLSIRPGEKVGIIGRSGSGKSSLAKVIIGLQ
MEKLHELVESMNFEIRANYAAPLPSQGFQAAVNSGLLWPGNTASRINIQGTPNYLIMKGDSVKADLPYFGERQMSAPYGARDIGITFDGPARDLEVSFDKDKERYNISFSASKKTESYKINLILFPNLNASVWVNSSQRFSIGYQGNVKQIESE
MKHWVLLFCFLCANPAFKAFSQTKKELKQQAKELAYEQTKKLVKSGEFQFTGQWLNTRNGRRVDLTTNYNELIVKQDTVTARLPYFGIVRMVGSTNEGIHFESTDTSFETEFNDKKQRIIIKFKAHEKSENYQINLTISGKGSASMYVSSSHRDPITYNGSISEIRE
MKRIFAITFIVLSFIVMYSCAGTKDPSATELREYEDLKSLVSARNFKIVNDFANTSIGGNVNIVGNTNFIEFKGDSVDVFLPFFGIRYSGSSYGNEGGIKYKGIAKDLKITEQTDKARLLLEFRGSQNGEDLDFIITLFPNKNVNTSVNTSERSTISFRGEISEIKSEEDF
MELSESELKLIIQDAVHRAQVVNLSNNREIYKNPQRLMFRQRQELVHKLDALYEATDYQPPFSHLTFYPMKPCDAIGELVKMAYDVKIWADIPIDRKREAQQLYANFADQFYEVFEKTLRNKRM
MSGQIDLNSPDTRQALITERLNTERVLVAKTIALELGVSLDTVRRDLMALEDAGILKRVKGGAVPITKKHAPVSERIKDKTSWIPRVNDWLPSLLQGVNTLYLDGGSATFHLVNSAEFQFAGQVITPSPLIATSLLELDISTTLIGGKLSQSGGIATGAKAVMDISDCHADLAILGTCGLELAFGLSAENQHEAEVKRAMTQNSDKTAVLVHHEKMNIRAPFKVIETQSIDLIITNASATAAYRELEIEILDA
MDWISSWRVGLGSGASDGQQLGVTNRDWIAPLGTCWGVLPNDGDRALGWMEHCSDPSGTDCLYALGSAPDCGTSAAERGCYQEAVLLFTEAVKLNPREHRLFGNRSFCYERLQLHAKALSDAELALSLEPGWPKGLFRKGKALMGLKHYSEAAHAFRELLQLD
MKPSHRNWILSAVIVIGVLLVIIGWTVDYFSTGDPHFWDSFGFAVIASAVAAWLYRKWRLIPESAAENFASVHERG
MPATAVLQLCIMSALNVQQQVKNLISLVHKIADFFNSTSIGLKCLKKHQLEQGLREYCPPLDIVTRFNSRFKLLNWSCENCTAITMALTECALSGRCAKSLPQALLEAMKDIFKKCIPFLKPISDATMLLSTDTTVAISLVKPCIYIFKNQLDSLSCSFMSSCKKELLSQLHACFNLANVTLLTSTYLDPKVKAIMHTENELLIVKNRLIEMSVDFKLCKIIPCLSSLNNNNIEKLPKIYLTLYLIKLKKKNLKNSKILQKKSIEDCIVMEMDIYVCSQTINSDNCPLEYWKKNENIFLVLAQAAQSVLAVQETSCYSERVNSFGGHVISYSRHSLSNNNAETLILAQKNKDIIF
MTLVPDVTSGLWLDGVVSAAPANGKVLAVGSFESRYGEDSEAVLIDNLAAAGTDVSKISLSKGHPFVLPSVGSDSVTSIFWNVKHRIGLDTLIRAANEFNRVLCSDGTIVATAGITGSNKVIHCLSAAGFTVKKQTLLPGFPCLTVITAVKPGDEADVVTDSDFLLSGRNEVPVWQTFLMVFLSIAAMILLVWSVVMFAAVWNFFGLGRLIGRH
MRRRRGASFRGPGHVTTGGGRERPPAEAICCQVPLRGERREPSSHARQEPRPQAAVLPSACSTTASRVVLDLLPQPTPVGMILAYRLIGVMLACRYRLITLIRRMNNINETLINGARMPPRGRPVAPCFRPRRRARFTGVRPRVPRVMIAAVRRYRAPDVGTASGIKSLTSAPRLRLLAYFRPINHGPETALRRDFTPWWEV
DDDDDDDDDDDDDDDDDDDDDDDDEDDEDGDDARAARRKRGAARAIIARLELHASSEPGHEGLYNPGGPGSKGLGPAAVFLPPGFPESPFENAAYHTGPAPRSVIAPPRTRSTSPGW
MKFSAICLAAVLSAQAAWAAPEAYGYDYNNNSPNPNCTPSTVTKVSTKIVEAPAKTVTSVKTVTAAPVTVTSKSTTTCTVTKTAPAVTVTKTAPAVTNYVTKTQTIAGQPVTYTKEAVTSYVTKTQTLTQPAVTNYVTKTQTIAGQPVTYTKEAVTVTKTQTQPAVTNYVTKTQTIAGQPVTYTKEAVTVTKTQTQPAVTVIKTQTQPAVTNYVTKTQTIAGQPVTYTKEAVTVTKTVAGQPVTVTKTATVQGYSTVTVTKTQTIAGQPTTVTKQAVTVTKTVQGYATVTSTKTATVTKNAGYKTVTVTKNAGYKTVTRTVQGATVTKTATVTKNAGYQTVTVTKNAGYQTVTKTVHGAPVTKTTTVTKNVGGYNVTVTKTATVTKNAGYQTVTKTYTKPCQAATITKTFTKVATVTKTVTKAAQPTNNGGGSYGSYGYKN
MKKFKRFCVIVLAITFILCTALTSAVYAAPTAVNTVQDGVSVKAGDEFSFYVDLTSPYTLKEISAVVLYDTLALDLLTYDPSVAMSYINTNYEPPMESTQNGNGIEFKVESEKPMDFTNQNRFLELKFRANRNTTINIQFYFNRMVASDNAVLIADKDFILDSSVTWETTIQFLNVTKPLMSDCEFLLSESSYTYDGNEKKPVVSVIYNHTVLTKDVHYTVAYSNNINPGTATVTVTGIGNYAGTKTLNFTITESQPQKISVADCTITLDKTVYTYDGSAKKPLVTVKHGTTILLNGLDYLVSYSNNINAGTATATITGIGNYTGTASKTFVIQGVKTDDFIWGTDNWNFNNAAPTYFPHTKFKDQINDHYMNALKQNLTNTEYYAIFEGPYCWLNNQWGGSCYGMSSLTLLAKHGYLPYGNYQASATKLNDFAAPVDNKEISSLITYYQMLQIKDVIQQQYRTVPHRSHETNIKDIISTLDQYTKCIICFEKDGWGAHAILAYDYEYGSWTFNGVTYDGCIVVCDPNSSISYNKEANIYFNSKTYNWAIPFYNYASISSAKGARFMYVGANIDEINQGGYLSGSAHSTVNNYVARIDAAAISEDRTVSKVVGTNGHYMAQASAPGEIVEDYSYIVGSESKGTAGYNIYDANAAYKVKQDTPVELSLSMDYDNCYLTGSSMAGTSVVFDKNGYVEVLGEAADFSLSMTFDENYPTSWFTLSIEGTGASNASLKMEKDGYVLTSDNLSDITVSSCNKDVSANASFSTNYPSVFIYEINETTIGVKADTDNNGTYETVIKTESETVLLGDVNLDNKLNIKDATAIQKHLATVITLDSKALSVADFNEDGKLNIKDVTSIQKRLAGIL
MEVSGRWCPRGRLQLLNSLLSHPSRSYYWKAFLIYLGFFLNTFTYFFYSFVFFRFFDNVIDYYLDVFQIKIHFEQKEILFGIVATYVIYLWIKLFAQGFDGDAFSHIMYWRYRLINKGEMIARLFGGLTSGVLFGIVSSNMLDPEIPKHAGYTKEEFVASAFNGCTKSRTIMEILLQPVFSLRIARRAHKLPFLGDASVPFIYMTDEYTLINRYIVIILENFVFEFSFSLAIYMALSHYMVARHGGHFTGIYILFKRFAAFTANSYLRNIHGVLSLDASIAIRRYFQDENFHLLIVRVLANLLAALIASFHFNPLKALPYNKFKEVFVNVKTSKLEAIAPKGMKDGLVYPFNIEDDDLDFTPFPNTFLGRLFRSCSSPEEHAKTD
MTINTSQPPKAANVRLFAPEGWGMVARYAKFYDSTHQLDPEARKALRGISGHFDKAVIFRSLAGDLRAGVALDVEHLHTHGYTELEHSRKLAAVIESEIQELYSAVDCTRQVVHCLFRKTSRGFPNSTRRTFQAIRDEKLTGLAPELERAFANAHWYWQLLHMRDALTHSDTGMCRALDGNTISYSHPGLSQHGEPLVIADIFQRLESLSNSVNQFIGEVFHYLYSQLRQEPVMQICGVFEGRFYFRQVAPLPDLSFHSGVCGTRHYEASPDGRRCPFAEHCGAFARAAAEDGATN
MTEKQERPTANLLHFAPQHWGEVERFTQFWSTTYSFDRMTQKRLRGIVGHYSKAGYLFQLAQRLAPGLDQDEKQLKEHGYSPAHNAKELAAVIESVFCEQYSVLDCCRAVLCGVFPSHGGIRKSTRGTFQKAFNGEIDEKVPVAIREALAKTKDWYFDLLKLRDEITHSNVGSCHRQDKSDCVTYMHDGLGSPGKSLVIENVMGVIKNYFDVINELLGAVFHELNRTLNDEPIEQMCGIFGGRFYQRVVRPSEAIDTNGGVCKSYKWFDLEENPDCPLKDSCRAYSRAKSDGAANEPTDADDQ
MSYPFHRRVVAVAVLAAFALPALAATGGEAELPTVSVTAQQGGNGMLSGYRAPVASTGALGTKTLLDTPFSISVATDDYIANQQASTLADAFKADAAVQAASNDIAGESSQLTIRGLQLDTLNGFKVDGLNTGLWMSNLPLEHFEQIELLKGLSGFMYGFSQPGGVVNYKLKRASREPVTTLTAGYGDESQYKLAADLGRRFGDDRFGLRLNLVHEGGDTYQDAPIERNSASLAFDARLTDSITLNLDTLYQKRKVNNAIFALALDGASTVPAPIPGDRKLAQDFSYYQTETSTHGASLRWDLNDSWNLRAAVRTALMKRTNYDSYLNVVDDAGHYDDFLYSWYSEHRSDSANLLLNGQFATGPIKHDLVVGADVQKVRRSAAESDGASLPGGNLYSGRAGGVSAPHLPIVTGPLSTIWQTRNIGVFVSDTIEWTPQWRTVLGLRHQNFRDRNDRWNELFEKKGALTPTVAAIWKPVDSTSLYASYVESLEKGNIAPTGTANEDQAFGPLESKQYEVGVKTEGAGWSAEAALFRVERGLAYTNSANRFVQEGGLIFNGLDLAGRVELGRDWALTASALVIDSENESDDATVNGKNAANTPKFSASLQAEYRLPALPGLTLTGGTRYVGKRYLESSNANQLGSYQLFDLGARYRTRFDGKELTLRAGVDNVANEKYWQANEWSWLTQGAPRTARVSAELKF
MNNNLDSSESLPDTVSGTRSIAPEGTFDDYKNIVALTSLNWDIDETWSVRFEYDYSKNETRWVKTLAYLENSTGDIDIALYDQYFDVNYDQVQVQANGEFDTGSISHSLLVGASYQKSTTYRNDPDRKVTWGYGTDNLYDPVDLTAYNSTLEDNLSLAWTDKQESFYVSDFISLTDEWEVLLGIRGTQIEHTPSEYFSSYQAYNEDSAVTPTAALMYKPDMNTTYYVSYVESFEGTTSYVGETYANADELLPPLESLQYELGVKTAGDGWSMTSALFRIERGATLVTDDNYLIQDGLSIYQGFEFSAAWEVTDNLSLYGDLMLLDATYDKTNTAVEGNDVAGTPSEQFSIQTNYDFDAIPGLAINLGAKHFGKTTLDSNNNWELPAYNLFYAGASYNTTLYDKSLTIIGSVDNLFDKEF
MYDADVGENVKNCAEGGPSHVLKSVSSHVKEGQRKASTSPVRPDASRSENCVDGKSHANFDIGRSGTHVEKPNASIDSVPDKDQHSVGILFLAHDGVTNPLLWEKWRASDKVFASRIRFFVFRNTKISHPSFFTTKYDLKLRLRTKWCSSSIVHATIKSLQAVLEGDTSVGMIYIVSGYDIPIQPPSALFTTRSVITEGVFKNVVPFRSVIAFTPGEDMDLKEASGWGKRNEKLRQSAVSHVQWCGLTRGHARLIVAYPDLKQLFKLGNKISSACCPDEWVLGTALLLQLRCQATPTKANKCGTNGHDDGKEYWRGAEGVRHSPREADVPTTTASDTSIPNPSGCLESFDVIDWPITDQYRSGRQAQSPLLWEDMEATSHKLLSLLAQHGCQGMPQKSKSKGQLTLQWRPTRKSTPA
MKELLANLGMANREQPLQDVAVMEVGATQETSVQKILHLTDQLKVCVCLLLVLVFFLLKLGQITLKSLIRFFASFQCHNKRVRTKARGSPNSQSAQLCEMNNGMTVSSLSTVNTSQSDIILNNGLEIIPTTCDSEVADALTEFKLFPRNLELSLLFKNDNIQTQTKYLKPANMELPNMNSSAALDEFMCKLSLDREFSRNLITSMYKVYEDSSNQIKFLEEKIEKLSNQLQVVTQWATSTDKNMRVTKKCLEAIYRNNVKDREKFEKLSNHLQVLTQWTASTEKNMRDTKNSLETLYRNNDTKAREKIEELSNKLQHLTERVTSTDKNIRDTKSSLETLYRNNDTKAREKIEELSNKLQHLTEWVTSTDKNIRDTKSSLETLYRNKDTKDREKIEELSDELQGLRQRVASTDKKMRDTKHSLETLYRNNDTKDREKIKKISNHVKELKQWAASTDKNMRDTKSLETLYRNNDTKDREKIEELSNKLQYLTKRVDTTEKNMRDTKNSLEILYRNNDPEDREEIAELSNQIQSLRQWAASTDKNIRDTKHSLETLYRNKDTKVNTGGDKYVELSYEIQGLRQQIASTDRNMRDTKINLEILYRNNDTKDREKIAELSNQLQVLTQWTVSTDKSMRDTKSSLETLYRNNDTKGQGKYAELLNQLQQLTTWVASIDGNMRDTKNCLETTYRNNDTKHREKIAELSNEIQGLRQQIASTDRNMRDTKINLEILYRNNDTKDREKIAELSNQIQGLRQQVASTDRNMRDTKSSLQILYRNNDTTGHSTSAVNVLNQTSYTPEYLSEAVFTEDVGPSLSYGMTQTSHYNTLSYGSMIAAPTQPNNVSSTEKTLYRCHCGWENITTYRGFRIHQGKKKCG
MRRAMEKPAAPKQAPAQPAQTEKPKPCCVCKPEKTTRDDCMLFSKSENPEQECKSTIEQYKACMAGYGFKV
MLNVRKSSRMALLPLVLLLSACSFTFMYPRADFFLGWQIDSYLRLESAQERWLDERLEARLRWHHQQELPRWRDWLVALRNDVAEQRIDEAGYEQYMEQLSQLLRATSAGLIDDGTALIQRLSDEQAKALLERLQEEVDEEIEELADESLEEHLEQRYERSVDNYEDWFGRLSDEQRQAVAAKPGHAPACD
MVSNESLEWAQLIFSKAKLNDPRRTKRLVKIAASLASNAGKSLMSSCPDPTARSL
MDIYEKLERQAARFRKQYPREMHERLEWWRKLLGLDQTHILQLIGMPAEEAEKAGKKPLKDILKEPKYRDNAMLVHDGLLRLLTIQHDPQALAEGLRHAEFTAEAEPLDILAERVLRGGPDFLPNLSTYLATAMNGNSDTEGP
MESSPSGSSKRKKRRSKKPSWTSKEYQNAALNPNALGFKPPDFKPPRVPVDDYLSSQYKTETKKKSDHPWDSTISPYLSKEYQTQYPAAPKAYPDCPLGFTPSDGPWVQCFDSMWNVIWVSLKDVDTTAAGKEDWTGFDCGKGLRPRSRHLPSARVDSMQGGEELGLEVVEAFLRNFGLSWTEDTLFTDFVLQWPGFRRGEIGLFVDDPKRWSASSTITHRTRILEFGKAAPAAGKPAAVPAAGKATGSAPAAAKTGASQGSKSPTDSSKSVTASSGSGSAGSAGAKKPSLKAGDPAAALVVLGPDGLPVDPASLPPVEEAPPPPPPKPYLGTPPPRWLRPPWEKAPRDVGPLPPRFVPYSRRLLEVTNLRLPPQIEFQDAMGVRTMIKLKKTYPRLIRLHIGRVGVTFEKHAGPVQIFLEVLCQDLPEFPELINKPQFSTCNFYPMR
MPHAPVDAGPAGRVFRTQQPYLFRDVGLTATVYLPVTVRGDRFGALVVALPADRSTPETTASLARVAETLGHALRVADRHTDLYRRTRRTHALSVAGEMQWDTERIELDRQLPLGMFEDTVYAPQRLRLLLGGRLVFVAHGTGEPTAGDGHEAPTVPSPAPSPAHAAGKVRTSPGPSYGRCAGHPVARRTPSSYSVSTGAATRPGPRARDGTGAHADRAGVPLTRCRRV
MAALQLNWSVVNAARARVNVVNALEEEPEAEQVVEPGVIEQEARQVVLPEIIHEDFDLWPKLVLTMDSFGGKYLCRRNFQHLASSLLSGLCHHG
MNDAQLTARLLNVIENDILPLTTQGVKTGNKVFGAAILRKSDLSLVIAGTNDETSNPLWHGEVHTLKQFYEMPDTPDTKELLFLSTHEPCTMCMSAITWAGFDNYFYFFSHEDLA
MSEPFRDLLQLAVALAHSSVDAGGGPFGALITTADGSIVAEGQNIVTAACDPTAHAEVTAIRRACAVQESHRLDGLILVSSCEPCPMCLAAAWWARLDSVHFASTRFDAADAGFDDAQLWRAVASGDTGPQLPLRHVPVPTAGDEFRHWLEQAHRLPY
MGPDARLLERSIELARRNVELGGHPFGAVISEGTRIIAEGTNLVLELKDPTAHAEIVAIRRACQELGARELTGLTIFASAEPCPMCLSAIYWSQLSRVVFAATRHAASAAGFDDAFLYGELTRPLGERSLPTAHEPHPSELEPFDLWCSRTPSLQDPGDPREPFELTPSARSSPALGRQNLKSHQEEVDADRKR
MLPRRQVAAGAWDVQRPELPTPPPSRARGTIAKDSTKGNDSALISDSLVSRVCSAIKSQTTNVSDKFSFVFEVVSDINTSHLFTLVKQMFSSIHQ
MSSVQGPRPHSGQFCRITFVLLNFPLGMGFFSSSTSVTSAKVAVNGVHLHYQQTGEGEHAVLLLPGMLGLEVF
MSSAPVDVGGGSDGSGWSTNTARSIAHSTNPPKFNAWKRSTRDALVFKRPRCRYRTSSCPNHSRRRTTTSSRLLFMGNKKFPDEHEYKRYISNHSGSANAYTMANSTNFHFEVSAKPDNGEAPSVTNPSPLLGALDRFAQFFIGPLFLKDTLDRELLAVNSEHQNNLQSDRRRLAQLEKCLSNPKDPFCHFSSGNLETLKIAPEAQGINVRDKFIDFYEKHYSANRMKLCVLGQEPLDILQTWVIEHFSAVKNKNLAANRCEEALFTEEQLGIQIFAKPVMDIRTLTLTFLFIEQEYLYESQPGQYISHLIHHEGPRSIISHLKSKGWANELSATARPISPGSPNIFACDISLTEEFGPTLIKEGLDCLRPDNFCVTNLLQDPVLLGFSFG
MQEKGKWIIRLNADSLAMIAFVIYFSVDFFRAFSIKIFGHIGFGGLGIVFINLVIYSFLILSLLKRSRKLYDCVCFFIIILVLFAFTYMLHPDYRFYLTRPVYGFTTRVLRPDRAIYAFLMIRCMRSSQSIWKGLKYVAWIEFIFYIYEFIDFLRVGYWLDYNSIGEISKYTYNLSYGYSVTFPIVIFITWAIKEKKKIYYIPAAILLLAMLMAGSRGAIVVILMFIALIMYCYTTTLNLVKYLSIVVMGMITVVFVGFDNIILSIASFGSKMGISSRTLTKLAAGTITDGTGRDLIYDAAWGIIKEHKFFGAGLFGDRPVIVQYHNAGYCHNIFLELASNFGLIIAAIVIVLILFFSYKIMVVNKDREWKLLFIIFFSVSCQLFFSMSYWFVPSFWAMLGIFASYTKERKLKGKSTRMS
MERTSSGRIRPDRAASWPCLIWRRRRPWTETMKTMVGCRTTPLMAGWMTPPLRGVCLRKPPRLPSQECRPREASETPPSTVSNRLWLLPLTALLQTSSVLPAALALRITPYSIWTRTLTTWTSIRLPLKLRRHKRCRT
MGAGRTVLVTGVSRDLGRTFARTLATDPGVDRVIGVDVIPPRGDLGDVTFVRADIRNPVIAKVIAKEDVDTVVHMSVIATPGSAGARGTMKESQRHRDDAGCSPPVRRPSRCARSW
MRPTCSFPLSLLIASLSPTSVFSQPTPVDFDPGFWSISINGGSAASGWRWHDLYANYSSTPDITTHCKWLYDPALRNDTTTCSGDPSFRYLWGNGQASITVQQTINVAVAGIQGEVLIEGTALFTYKANLGANGRQFEGAVRVNATVVHKGVETARESAE
LKVDINCCTECPDRAMKKLKGIKGVNAVNYDAKQGVVTVMGDHVNPDVLIQKFAKWGKRAQVCSLEKDSSGAPHVKTKCDHHDFDFDSDSGINDD
MFNVLFFWQIEFDFLACVDLIYVVSHRETIKYMNNMSGNHGLEDETHKNRVRPPGRTLPKTTDNSNMYTREFPSGNFLDFFFVCVMSLLRLPRGKLPLAFLAVPAGKDGRVECPRQTVNDFIGIRGSVCGLFLFVQTHAPLVVPPWQSRARKSKFHFYQAQGRGCFPPCSCGWWLV
MSERQILKSLVGVLEERFPEAEVLKPGCRQKIRAIRRENGIYDLINEKYRLKIEETENVKEDI
MCAEPDDWERIERHPRKLKIAPRMLDFYESYIVWREGNFFLCLRSFPNGVIRGGRCFFRATSLPWKNVYGYHGHITSVCGATLTRINRAMVASDAGMGSIGLTNLFLYRV
MSLPTYHPVNLDLGKEERVPNRVDQQREVPYCGGAPGGNLATQDVSKYVVVSVLPAAYL
MNKKLLYMIIGAIVLVLVIIGIGIFAILPGIESANARQTATPTPIVQPSATPTHKSNGIAKILKQYTPEIKTQVAQGLHLSPEQLTADLQGGKTLSDIATAQSVSTTQLQTVLSNALNSGLQPAVNDGSLTQQQVNKLVKKYQSNPASLDRLLGGKAVSQGTPTPTPGQ
MITIRKSFAAALFATLPLLALTSAQAAAAPSESAAAPTATIVVDADTPGVPPAFQAVAGKRFTDAEDRAAARDLGYAVANVGKSHGCLIFKHEKKNLYISQDVDGHNGGRWKMASSPENLASKKTRMGTYDANLNRIGD
MDMSTIYLNSLAYETALANTLHNSTFTNVSTHPGTLVSITDSSLNNWDYSSTPIISLDNYQSTFDWPTPSVCKEPSENQRSRQESPFGSNVSMPLPNQMDDNGCQVFRRNERERLRVRWINEGYQQMRNMLPAQYVKTRMSKLETLNLAIAHIKHLKKLLDDSHHNNKCDCYKHCMK
MTDEVGRTKGGREIAGETQAQQEPQPEPEEELDLINDDFEAGVKEQQDEEDLSDFFDFEDEEEEQDERQELEAQPQPQPQPPQTKQWKKIRKQHKETCRVKRGAHIGFPMLEKIYAANLRRTLKAEKDEESEEVVLAWLTHGTEVLLGWHICITIWKMQLARDVETMVVTIVCFRRGFMSISKGSEGDETTLDRMEVDKVTFIPYKDHRHICPFEDICWYSGWIMCGSAMICPYLPERVLRQFGHVQSIPRHPDESAKADLNRFTIAEAFVDYLVDNYVTEQMRGPRAQNGFETEPGYIAWFYRVLHPKLWPPIEGNPARPTNLEAMIKEDNAADKQDVFKICRTIIEEVNGKLDGELTLEEAREVLKKVVRDLEPVATYSLPVKRKRDSDEGSKKRKKKKKST
MGCGPQSTLRPQAAGGGSQLVAARGAAFARVPTRRALRTAEWPSDREGGEGGAAPSAADRRRGGPSGGGGAGPRRAGQSWLVGLARCTTRRAALARDDSGATLLPAGAEPTLWLPPLLLLLLLAAAPASAATARCGGGGGGPGAAAAAELGARARDASVVAEATLVSSGPVADDGRYNATLKVTAALKGDAASVRQLHLRVPLCAGGGGGRAPRRRSKFLVFGEPVGAGVGLRLVGDPVALNRRNRAAFVKAVKDAVCAADGSRPQLSLQALPATVTVREGDRLRLVCRAPARRQHQRPRQRQRQRQRPGYGRRPRGTSASASASAPPSPSSSSSSSSGAVSFSWYRDRARLLPAPAHSRGKGAPPHLKIRNKPWRSVVLISKVTLQDAGRYECRAVVPGTCSAASTSTVVTVNRIPPFYGEPCPVEYCMNGGTCILIKAVGELACQCAEGFQGFRCQNKDLTSNGKLKRCGRGGSGGSDAKEDGDGRRRARGGSCVIGGGSRWHRVDDSSAAFQAGKLPHQKSSETEE
MIGTMVGSIAVAVSTTVASTTVATSGTTGAAIRGTTDAAHRVRAVGATMVATGEETAVVVGAGVAMSLGITTNKDTTLVRCVLVAILISERRPTVPTVAITERTSRRLTV
MDIWCRVYGVIEDTTGMRPNPVFKLFWLYMTPLVSLVLSICSLVEYQYLTFNRWYVYPGCVHVLGWLLALSSIVLVPVWALLQICTGTGSLREVRV
MDNLRGSQATDQRQISLSALLSALPDFGLAAVFLITWIRPDAFDEKMVSYLVLVMLMEFIIIHSSGFMGRVMIGEGDRKKRGLTLVGLGLFYTLFVGGFALSFEAWWPIAAFWGMTLNRILFILLGQVPKEEEKLLLQKSWAVGVLFYLGSVFFTVFVPIPELGITWEVVRDQEFTSEGLWVEDPEHAIACGFLYFSAVAVSELYEHRWLKME
MTANQRTALLLVLVGICGVFRRSADGAEPTPHLPVPGVLYFDDIGESAPVLSTRLESGVQVTPDARTVYDRIPAGRPVHVLGWGEQYCWVQGVGTRGQQVVGWVPTSNLTNLPPGDLARMRQKADRLIRRSGMVEEGRITIGMTMDQVTAAFGRPTETEYMESGTDTLIEWFYHEYRTRHVFRPSSYVGGLSGPGGYWSSQSLLEAVLVVTFSNGVVVQIDREVYDESYTNRERIERWNDLYGYRSRWSTGLVGFSGPLVEVPIQKFGPDRGQYRPNVENRDPVTRLNPGNRGPRIIEPQPGRPLLPADAINQGGLPSAPRPNNPRTVRPGGTGGGRIEQPATGPRTVKPGTPGGGRIERP
MNSKTALDWFDSEPSAAELDAIEAEWPAIAADLARLGRLVEFDALTAAADLDVSRVDFSLS
MATTASPQTGLSPTVPTPVAPPSIDDALSYWIGLSRLIHRVCVTSDSTPSADECAPSDRLQRCIGHAEGEPPTFTRYSLHRVLLCCGIKQHRVRQTADRMLAQLLSAAYTTATPLTAPVVLLDTKSPAPTPFRTPVRQLPVPLPLLVADWLRQSSPDDQNASQHHRYCLRVPAVTWSRSIIKWLGSGLRGQMISLRPLSRWNMVCAQQSRRMPVVIFVGGPSGAGKSTLASLVASQLRVPNVLSTDTVRQVLRTRLRGHEAQFPALFVSTYEAHKVTGNNGHVSVDDGAAVPHPQSADENVIVQGYEAQCDLVLRILDGMLARLLARRESIVVEGVHLLPRYLAAKRAELLVSHVACVPVLVRIPKADSHLERLCIRARGMSMQARNNKYIRSFNAIRTIQAHLVDSVEAASLPVLVLSNTNIDKSFTALHHTLLETMEYVAVHGWPADAAAAAEVPLTGVVFTAVKDRLVAVVRQRRCRRSATDDNMSTSSGTGASALGDEEKGGVVTAPFGALALAPHARLPAHSPAGSSSNGAAPRARSAELLGVVQRCLLEQGLRYHSRTSNSQADSATVYGPSGVLGSRDASSRSHAHVAVRPSSPIAAKSRISLTPSSHLLSDGHNDEFDEVEMPSLIGS
MRSSAGHRVGGDDRRGARWALLVGAVMAMGTFGCSSVVSEHDVDANFTVTPHVDGTFFWWNEVTLDGDVNSYGAARLGFVRVSVAPPAEDLTFLDQILGEAVTSTGRTPLVSKTDIPAKEPDLILDVLHEGDVRPFFEDGKKVRVEWTGRTNPDFLAWPPGGIEVDVKVRLVLD
MVSKYLYKYVLSNPISIFIYSSIPMLVNTIDPAGTRPSCQVDYRPSPERVHWQPSVVETRRTSERFPSSAERSRTSGGRDPSQPLLHSTQNQDNAVNVFGTRKGLPWEDPINLSGIVWAVMVKGFVLRLASLSIEAIVVVLVKV
MYILYYILLYDNLKSVRLQPHSKKLIKLMSERISYNMLNNDEYNQLCNLVKNTPDLKPVIEKLSLISPSELSISSHNIKNHIAYLKTSFQLLKKKSPEISDNIYFKRMEKVLSELICHMDRTTLYRYSMKDLDRYPVCIKDILYELPDIIDENTDNDCSFNFSLADLPDISINPEHFKMMMTEIVLNACEASDYSGEITLQSDINDNIIRINIANNCTTEIPKNISLEHISQPFFTTKKGHCGVGLAIVHQICMKYNISASIYNADNQIIFSIIIPLSDN
MELLVHLNKRIKSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYVKMGYPRLPVEKQCELAPTLLTAMEGKPQPQQDSLMHLLIPTLFHMKYPVESSKSASPFNLAEKPKTVQLLLDFMLDVLLMPYGYVLNESQSRQNSSSAQGSSSNSGGGSGIPQPPPGMSFYAAKRVIGDNPWTPEQLEQCKLGIVKFIEAEQVPELEAVLHLVIASSDTRHSVATAADLELKSKQR
MNTPITHRFTPAAPPAMPDPAFAFRPAEVLVAAAKVLLPFVIMAGILLLAR
MALWPLLFALVGLASAELEVVNQWNLFDFDIPYGYPTNENYSTSQSPSTGLEVGWDRLFLALPRFMPGAPLSLAFIPRNQPGGYEELSPKLQPYPSWDWHRDASAGQVGGEYNCTGLVSVFRARLDRCNRLWVLDSGVLDSLVNFRVACPPKILIFDLTTDTLVRSITMPPEVLRPNTLLTNLALDDQNDDGGQGYGSCDNI
MAAKFLVFCGLVSLASATIKLQEIFSWNVVDWNYPDQFSKQQALRTGALIPENALPVGIERWRNKLFVSVPRWRSGIPATLNYIPLDAPYEPSPKLTPYPSFEGNELGNCQTGLTTVYRVKADQCDRLWVLDVGTYGYDNVTNVCPYTLNVFDLNTDQIVRKYVLRPEDIVSTTFIANIALDIGTSCEDTFAYFSDELGLLVGTEHVMEIQPQLFHARPSRR
MQKSMENLPIPAFYCCYLIRSTVRPGCLYCGSTPNLLRRIRQHNGITPGGAVYTGQDTLRPWEVTCIVQGFPSKIAALQFEWAWQNVHVTRHIHDDFRITKPKWRRTGKQRKDGEFMMKMIRPPLPMATRLKNLQILLRSKSFERWPLSLRFFAGDVYQEYTKHASKVIEQTRSGIAVILDENATPAPVKKKGVQTEGMPIIVPTIEQRPNPVELLDFGYSSLKAHLKKSKAVLDPASNPSCSVCKTAIVPERAMTLTCPHDQCSATSHLHCLSAEFLRQERNQEAIVPITGSCPNCNTHTSWDALAREASLRLRGQKEVEKMSKKPRKKKGDAVDAAIAMVEAKEDSDELDEEESKDMQRFVAEVSSMLELEEGTEKPSKKRRRRGSSLEVKDSDWDDVDVLD
MQGSNGIGSSVQHTASVSCNSLQADKLVFIASQAAVDKRGSQEDMHEQDVAALWEELGSKSQKKRMKRRRRSIMRRAQQRRMGAIWC
MVLTFRSWPFSARSDFMLPTELSAYPTVVLLLFNKSRNWTVEQIQAETQIKTELLLPVLLSKKIRISLNVPLKLIEKIDNDDIHRTINEDRQVVIRTAIVRTMKARQTLKHALIVQEVIEQLKSRFKPQVPVIKTTYLIPGKARCIFEYRWILSTNPTSTNYQKIEKNFFVKRGKKSKRQHDIQQQVGSNAFIKPRWRTIWEKCPTNTVCSACLTPCDLDPPLLQNADSCKAICSQNIDCIQSCSFIQYVYQQQKQNFDCTTGDDQIYMTNSCACQFMPSNVTAVERKSRKTVRLKWYHVHNETEPIFYVIEAQWSLSIKQPFSTEVSKWGFVKEEVSHNKAIIRNIQRGRWYSFRIAAVTRHGYSAFSQRTTPFRLTAEPKSQSPTNLTLKDPVLNSDGSYNVTLTWMQQSQNDLPISGYKISWKSVSNVEQSIDDGVLINLELSTIPFYEYTVPYLNPKTVYRFNIRSVTSYGVQTDTGATITYQMSYPTVSPITTTLLSSKSISFLSDSPLRYQRHREYVVISDLKISEAFFINGLLKANVSWIINDKQNDPLQIQQFDLYWLEVSCANDVSCCYRRDAATIQNQFQIYDLRFNCTYALNVYPILNEIGKEGNRLSQRFNVPSCANIMVLDGTRSPCQKMTNLKTKYPGITYVSSQTGPNTIDIHLRWNTKINKIQLTGYRLRIEQLDNHKEILVVDLSPKTSKYYYPSLRPNTLYNITLSSIKNHKYIISQQSIIFDGYQSLNNSINTANYGHYILNTDLTLLSSNSNILHISHFSLIITAIIFLISIVYEVYS
MTQISSRAQLQVAFVTCFDSGRRRGRRHGPDAARTSTTARTAAAAALSALGPRHRPPPDTKHELACSIRTICVRSLIEFAKRTYQLKQARSYQGEHVIGNDGFVIEVRRRASKPTSRAVGFKYLMDIYRTHPV
MATEKQDKEEEVKTDDGSPKIKPRPIVQLGIFLISHSPVFSVVFSAAGVMALLLLPLLAKNTYISENALMPDIEFSSTLLNDALHGLCSLIGMFLMEANWLTT
MMRWTTGAACRCAGLLTLCAALQAQAQNLQPGLWELTSTMQSGSGQMERAMAEAQKQMAALPPEQRKMMQDMMAKQGVTLGAGGPGSMVAKVCMTAEMLARNEIVTQEGDCRSQHAPRVGNTMKFSFSCTRPPSSGEGEVTFDSPQAYRSRMNVKTSTGGRTEPFTMQSQGRWLSADCGSIKPLAAGRK
MKTNQSIFIFSIMFFGMVFLSQSIGNVYSQEWTDYNDSEPGISNIPDWVKQVVRWWSEDTISDHEFASGLGFLIKENVIEVDTRIVFGTTNQEILQTKNI
MTPEYFSGFFYLVLLWMLERQEIISRLCRIIRETSHTTHFQQYRYCPQLGNYHLHNLSSMGFYHKRSTYRQPRGAKGTHPTRGAKGPTIIVPGQRDPPITWQYNTDPLLGPDTM
MTEPAPPDAADAGSAVEPATTDAEAAPPPDVAATADAPAASPDGASVADVAVATATPETATPATPPPAAAVRVDEVIVDTSGAKVDILVNRPFTPEELRDLLGPIEFEGRRMADMIGRLAPPAESVLETRNADGTYRYDVPLSQVVNLNEQDVGAVKDIVKGALAAEGLLRPEDLVAIDLTLAPIALRIDARAPIDAARLAALLDRTRYRDINLFVRCRSAICPASIDEREQVYGYQVNLRGFGPDVVESLEERLGNGAVVEELSMEWVGPKVGEKLRNDGIKSILIALGLILIYVALRFDLRFAPGAVVCLFHDAVITLGFFTFTGMEVNLTTVAAILTIVGYSVNDTIVVYDRIRENLQKTQERELTKVINTSVNETLSRTLLTSFTTILAVLAVAFFARGTIQDFAIAMIVGIVVGTYSSIYVAAPLSIVIDKRFFRRGQA
MSKLIEIIRKLGEQSQQPLGFGALAGRSEEAPTLALIGTATAADVGAELEAIKGDVVDAVILEADGAVSIGDQDGMDGVVWGVGPGALSNEDVVGLVSAGCDFFVIDPDTAPAAIVSQPDVDTLVTLKEPADRETAAALRGLGVNGSLSRPPADLSEIGYRDLVAIRRMGASVGGATLVECPRDVSTADLTALRDAGVDAVVVPLSEPERVSDIAGKIRELPPRRKSSGPGETRFQALAPTGSD
MLAGIKGDFRLAFSLFLKNLNLNKYCPPYIKIDTALRNAGMYSKSLCITRFVAAPLSLPKDSS
MRSPSKWAVIAALATTAFLSGLALPTTATNATNSIGAPVWMSPLPGERPLLTGFHPQAKRGSGAPHATHMGVDFLATFGEEIRAPIDGVISFAGTVNEMPIVVLTHHDQLVLRRTTYLPATTDFAIGYPVMQGVNFARVAPRFHCARPCLHWGERIGARYANPMKHLGQAVLLPRFS
MSKLLNTTLLLVAVIGIVNTSAGLTPLNSTPATSQENVNDEQPTKPHPKQAFDWPSGHPVKILREFSIGQFNWNPGHRGVDLDLEAGAPVYAPADGIIRYAGPLNDRQVISLEHQDGIRTTFEPVIPMVIKGQHVRRHDVIGTVDGTHCAPRSCLHWGAKRGKDRYINPLSLLEGPIVLLD
MLDAGGTFVGVNNALEQAGNYPSPLLTSGYDPFAVISWQMPVAPPVVIGRLFDPPESPWRSGHRGVDICPGVGATIHAPADGTVVYAGKFYNRNVVSIRHANGVRSTFEPVAPAVTNNAVVRAGDVVGHLEAGHDSDCLHWGAKISKHQYLNPLALILGEPILKPLDG
MRPGGAGVRSPGGVRPAPDGVRPAPDGVRPAPDASTPRAAVEVRPAPLGGGKRARRALTRWVACGAVVVGQLLAGALPGTAVAGPDRPAEQRAGVWASTLLGIREQVPVSRPAGREETAAWGWPLAGRPSVVRGFDPPARRWLPGHRGIDLAGIEGEAVLAVADGVVTFSGEVAGVGVVSVTHASGLRSTYQPVSEAPDRGQRVRRGARIGGLEASGSHCAPRVCLHLGAVRDRDTYVDPTPLLLGVELTLLPVGP
MTVVVVAVTLHSPTPVAPAQAQHSPGRGLPSTVRSPSFDWPLTPRPRVVRPFDPPTSPYGPGHRGVDLAAAPGQPVLAAAEGRIVHAGTVAGRGVVSIQHDGGLRTTYEPVRASPEDGVTPGTRVTGGERIGTVAGHHAGCPTVACLHWGVRRGEEYLDPLEFVPPAGPLRLKPWPDEASAGPS
MRTIVVLFLLLVAGVGAYVTRPAEGLHRGVASELMRQGKVERPDQATGRYAFDDFYVVTLSRMSTGDRDVLQCWGMFTRFLCVGPAGGLQSTSVEEPAA
MGDDSVPKTSPRLLSTFLDLVRIYSPSGSEADCASYCAAALRDAGCTVRFDDSAQATGSNTGNLIAVLPGTVPATLVVSAHMDVVEPCLGVEPVVQDGRVFSAGETVLGGDDKSGLAVAIECVRHFSESGEPHPTVKCVFTVKEEVGLVGAKQLGAEDVAGDLCLVLDAAG
MFWRNNRPEISLLQHDVAHITFSVRNGKALLRPCVIHDPDSDASIHTLSWHGSPLIRFYTEDWCPTCAEFVYAGFSNDDEGAAQFLSSLTEWNCK
MNRLFYFFILLLFFGCDKNTTRDQSFIKGRDALKEKKYKKAETQLLIAVKEDESFSEAWNNLGIAYYEQGEIEKALDTYQKALSIDSCLIDAYQNKANALFKIGNVDDAIITLTNGLECENLNYNLLLNRATLYQQKKKYKRALNDLNLCLQINGLDDVLLTNIGYCHYSLDALDSAFHYSIQAIEINADRHEAVNNLGMIALKNKEVNQAYKYFSRAVEQDPQNVLYRLNLSKAAISIKNYDSACKNAKMADYYDEGNTQALNYILRSCVFTMEMKIFFDYCLELYQKGNISIFNVPLKELRNNDKNEKYCELSKLIKEKNIKIDGNLLSKCK
TLLPVSNIIPIGELIAERFMYIPLFSFSLLLGVGTDHLFNRFSSSKLLKVAAALFFALLLAFYSLLTISRNYDWQDAFTLWKATIYTTPLSSVAHNNLGLEYIKRGEYIYAIVEYKKAIEFSPTYSHALANLGDAYLKIGLIEDAIDFYKKAIEAETDYAIAYNNIGYAYYEKGLYDKALAEYKKAIELNPRDPLFYNNLGILYASMKRYNDAVTEYKKALSIEPKDANAYNNLGVVYAKEGRFNEAEAEVRKALDIDPENTLAKKNLEDILNKGRIVVEDQGLSDRKNAQVAAYNELGNKYKAKGMYDDAITSYKKAIEVAPNYAGSHYNLGLAYIKKEMYKEAAEATERALLLDPKLTDAHRNLGLIYYLYLKDNKKAIYHFKKLLQTAPNQPDRENINKIIREIEGK
MAYKRELLKGLNQDCEPDEMSVLDDVIPTVFGCVYVHLQASIGHSLVNTPTHNRHRHPG
MKRVGEGKYSLNGPAWANISESAKDLVQLLLHADSSKRLSAAQILTHPWIVRRNSLPTTRLSFANDPSIVKRRAVLK
MRKFIALLLPIIFALMVSCGSQGNSPVEHTGVKVVTSVYPLYELVHQVGGNRVEVTNLVPAGSEPHDMELTPGDINTLMSAKLIVYIGGGFQPALEEALQNIDSEVVKLDVTKGLQTIPASQSEGTEHDHSEEHASEELAFDPHVWLDPVLMKQITYEIRDTLSQIDQRNKAYYEENASNYAKQLDQLNSEFERGLSNCRTRYFITSHAAFGYLARRYNLIQVPITGLSPESEPSPKRMQEVVELARKHQAKVIYFETLVDPRVAETIANEVGAKTMVLNPIESLTPEQEKAGKDYIELMKENLQVLREGLECK
MKKVGLIFVSISALLLGACGNSTASEEGKLNIVTTFYPVYEFTKQVAGDEANVDLLVKAGTEVHDYEPSAKDIARIQEADAFVYENENMETWVHDVEKSLDTTKVNVISATEGMLLLPGSEEGEDHDQSEEGHSHPYDPHVWLSPARAITLVETIRDSLVAKYPEKKETFETNAAAYIE
MKTKVIIVSVLIVAVVLVAGCIDNTPKATNEKIKVVTTLFPLYEFAKEVGGYNVEVTLLLPPGAEAHTFEPKPSDIKKINDADVFLYIGAGMEPWAHDIVEGSNNKELLLIDASSKVTLIKSGEHEEHEHEAEEEHDHESEEHEEEHQHGEYDPHIWLDFDNDKKIIDAISQILAQKDQKNAEFYMKNAQQYNAKLSSLNQKYSDGLSNCKQKEFISGGHNAFAYLAHKYHLESISAFGVSPDSEPTPQKIKQIVDLTKEHNIKYIYFEKLVNPKMAETIAKEANAKTLVLNPAHNLLKEQFQQGVTFIYLMEENLQNLKIGLECE
MKKQNLFLVLLSIFLVCLGACGQKESQTGKGMKIVTSFYPIYAMVKEVSGDLNDVRMIQSSSGIHSFEPSANDIAAIYDADVFVYHSHTLESWAGSLDPNLKKSKVKVLEASEGMTLDRVPGLEDVEAGDGVDEKTLYDPHTWLDPEKAGEEAQIIADKLSEVDSEHKETYQKNAQAFIKKAQELTKKFQSKFEKATQKTFVTQHTAFSYLAKRFGLNQLGIAGISPEQEPSPRQLTEIQEFVKTYKVKTIFTESNASSKVAETLVKSTGVGLKTLNPLESDPQNDKTYLENLEENMSILAEELK
MFMRRSFTVALTAALGLGVTACGSENGRTDDGRVQTLASFYPMQYALERIGGPDVTVKNLTKAGQEPHDVELTPRQVASVADARLIVYAKGFQASVDDAVASSAKAGRALDVSPQADLDLTAEEEGHHDESEHEHASHAGGSDPHFWLDPVRYKAVVQAIGDRLAKDDPEHADGYHTRTASLVKDLDALDAEYRGGLAHCTNRTIVTGHEAFAYLAARYGLTQRGASGVSPEAEPTPAQLSSISDYVKKNKVTTIYAETLVSPKVAQTIASQTGARVDVLDPIEGLTDASKGSDYLAVMRSNLATLKKGQGCS
MREWFDRRRMARQGPARRPRLPEWEEPARRRRPAGSGGIPPSDPDRPSGAARRPGEVPAARGARRGRGQRLLLAALLLILVVAAGCGRPQGPAGSQGDGGEAGAAGDRLPVAVTFYPLEFMTRFIGGDRVAVTPLIPAGADAHHWEPRPADVRAVAAARVFIYNGAGLEPWVPRLLAAAGRSDLVRVEATAGLPLVPAGTATAVLDGGVAEPGAGGAGEGGRGGDAGGETAGHGDHGTHEEGRAAPGDDHGDAHGRPGAGGEPLPGGAPDPHVWLDPALAAQQAQAIARGLAQADPQHRAEYLRRGEELAGRLNDLAAGYRRLGTCPRRELVITHAFLTYPAHRYGLVQVPLYGLSAESEPGPRQLAAVAAFIRQRRVPYILVEPGRTGGAAATLARETGAQLLEIHPLEALTSADRQAGRDFLDLLQQNLVSLRQALGCAGS
MDLTLVDLQGLLPSPIILLVSVTVSSSTITKPLPEQVLNNTIQACDRALVLDSAKKKILDFVESRRMAYIAPNVSKLPKPLPVPDSEPKKKRSGRRLILLELIYLIDK
MNQLLIELKESLAKQKVFSILILVLGCLFFLLISVLFLQVSNIDTKTKSFYAQLKGKNIYQLSDTLFDEKEEKYFSNPKDLLKLKRFYGELIRNDNFKYLNTTLQPIGVKNFKGDATFLDGYEYGSTEEPYSKDKEMGKYQLVKSVQLNDKVFSVANLKMKKGSFFRKKTTYIQKKE
MQMSDIEASGDKIQIAPMRYLQKSKRNGAVDGRLSGTRELLHTLRMGHNWRGRVLSVFTR
MPSCEQAIWWQDDPNFWHYLLVNNGGAGIQEAGATDAADIASRLASMVGISSWLVDCSSSGNVITVALEPGVNGPVEVSTNSGSAPATLSRSVPGIYTAQVASSAEIRVGDYVGLDIGSANDEVVKVLAVGPGTFTAYFTKPHYGKLYNIQCRVVPRARHFGRVLKSRIVDAPSPDYGEQPSSLAAEQFTTTNTSCELKLRLVGPLGAYGRDANGMPVRVSVGGENQIVRIENKDDAFGATSLVTGVEGAGNARVYRFTFPFASLSGYLNGDRTALAPVPSSDIVKIHLTFAPRFEDVEAGLREGGRLKEGVTASPPGTEEEWHLADADQMLAGRKYYVGTPDVEERISCLANFGLMKPDPDDPTTWYYRLLVRRGEDSSTPQSWTPGTRIQRISTITGTRSDIEWQVRISNLTVTGDRTLKVGGDAPRIEESDGRCCYEGFWENYAYGAGWPTQWWSLGHAKRCAPNDTQDQRTVTIRYSYPREHDLYLGTWLGRDAGRIEVRIDGGAPAVHDLYLNDYNGLAAMKELAAALPGGTHTAEIR
MSTEVFSSTTNSATIQWLTNEPARSRILYSTTYPFVYDFAATVADPLPFDLMQEVILANLNPNTAYFYVRESTDLTNNVQLTTARTFRTGQ
MAVGPSLLAVGAVALLTQGRQRLCFSLAWLLLIWLNAWWILWLLDLRPGNVESLPLLLWPSSPLIMVSGHAVAILLLLYALGVFSPRPGSPAHLALQAARRGDYLAAGEFWLEAGRRRRALRAFVRAKAYQRAAEVARALGQVQRAARLLQRQGEESLGGAAQLYSRLGESEKAQALWVRQAQGYVKSGQFELAIEPFLRANDPRRAASAAELALQAQRLPPTLVELALRASREAKRPQLAARIALVGGRFREAGDLYLAADMPLEAARAFERAGELLRAAEALRLAGRSEGAARLRARHLESSGQFQLAAAEYESSGMVAEAAAALAASGEWREAFKRFRQAGLTQEAADIASEHGDFRCAAELYAELGKWELAGHAWEQAGELGEAARCFERAGDEARALHLLERDGRIAEQAQLLARLGRVEDAFLALFEHGDVRGSWELLSAYAGTFPHLAPQLVKLARWLEANGDVAAAISAVQRATAGHPVTRELLPAWYTLALLFEKNGDLRAAEGALQKIVEFDYGYQDAATRLQNVGARRAAVEAAASQQHAAGEVGEDVFTDPSVRYVLGDLIGRGGMGAVYRAQDTRLGRTIAVKILNPRQHTPETIRRFEREARAAAALSHPGIVHVYDFDRGFDSYFIAMELVEGPTLAQLLRQEPAFVRESFFLLARQILDAVAYAHEHHVIHRDLKPANMVFAERRQVKILDFGIARRLDEMDAAASGATGTPYYMAPEQILGEQVSERTDIYSLGVTFFQLLTSSLPFPAGNVLRAHLEQPPPNPRDLAPELDAELASLLLRCLEKQP
MLTSLRVSDYLLVHVYTDEVLSGVAETIKNFVLIYHVDITKVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTRNNNKINWAMKDKEEFVDIVETVYRGARKAVVW
MRSYQVLLKGDRSVFLSNVYGVEIKGDHIKFLGQQNDIIAYFDRNVIEVFFCDGVEVFPYVSKE
MNYVSKCCKSSRLLKPKFIVICLMSLFTIECQSSNLKNDTNKQQEVYSKLESAVKAELNDPESVNFYNVRKIQDDSVDEDSIPYCGEVKEKVTAGGYGEKKRFVYSVENNEAYIIPNEKPDDEVKEFSESILWKVRCQKNNIEIEAIKLAYWFEANKELEEVEDVLDMLKNSENSPEYLEAKKKYESLKKRADRLKKK
MRTMAQKRAKYALEKVLQSMQRISDKDKFSSFTAGAPAMILQNGFGQTLAFWLTKGTKNGRIDSNDKHIILFDIVKDWLSLQDGDTRNNFAIAGDRVDLMRQISAMNQNQFLSVQIETLALLEWVKRFANADLE
MKVEHAPTGGGARRQPLWAVAGSRSRVVATSRLATSAHHSQPFAITSSSASPPQPRPDEAEPGTDPGRRPRAARRTRDCLLVGDSLSDIQAARAAGVVAIGYANKPGKRERFGAADAVIDSMADLVAAFAVDKL
MSKLEFCPVCKNKCSTSATSCPKCGEVFEADWAKKIAERRKAVERKMWKKVGYVFLAIFLILGSLIGYGNYESNRLASLKTDDPNEYARLIEALESEVAAIPISDQEENIRLYKKLLQLDPDNDKYKAKLVFYEKARQEAEQQEKKAEQQAKEHASAEDHRKGFHCLSAWDGSHRAIKEYIENRLKDPDSFEHIETRITPVNPQGEHSLTMKYRAKNSLGGYVIEYVHAKVKNADCGATVMNSN
MDILPTKYMKIPAPHLKICDFGFSKSSMLYSKPNINVGSTTYTAPEDLPKEQHNGKVCAVR
MRCAAIGCALGALNSLVNVFGARYGGYPLRPDGVPALQLVGALLGTQWAWALLAFWIGWFARRWRSAVGGALLALWVATPTYYLSDWLGGRTDGFAHGFLAVWMILAIPAALAFGSAGHLGRRPDSWSLLPLLAAPTVVALDRRGGGTLDIQPWPIVLQNLIAALVLILVLGLWFTRERRRPDSDAG
MTATTGSTRCDRGLGPVRVARQLALALVVGGAWGVVSSMVNVARVPWLQYLSRITGEPWSWCVVAFGIGFALRNLRWSPVFGALGLLAGMVGYYGTDLLRGVYSVSAGVKWDWWWGDLRGWSQVGLVAGAVFALAGAVARRGGAIGALALLALPAVTVYPTLLGRRPLSGLWERPSLLTCLALSVTATSLVLLARAAITAGRSRARRGERGQAGGPNRASMLAIRRSRKR
MRGYGRTKNTTVEWVPRRSRGAALIDNFDWLPRGFTMLKREFRWPVLALAGATLLLCTGLLSASPQDPPSRDDNAITTSIQAKLFQDPSLKTQDIHVSTKDGVVTLTGTVDTAEQQAAVDRIASLEPGVVKVIDSLAVGEPSTPSSTAAPNQTPASQPAARPARSRTFGEAAQDETIPPSMTLSAGTLVTVRMSQEVSTDHNKPGDMFTATLDQPVVVNGWVVARRGQTVAGTVTVVKKGGRVSGVSQLGLALNELTLVNGNQVPVRTTLVQASGGPSKARDAAAIGTTTSLGAVIGAIAGEGEGAGIGAAAGAAAGVAGVLLTRGRPAVIPPEAQLTFRLETSASFSTVPGQAAFRPVTQADYAQEALEHRPGRYYPRRPPYPPCCAPPVYWGYYGWPAYYAPYWVSPGWYYGPGFVTVFNFREHGRWHGGHER
MKGDKKFVVFIVFISFAITGVLQYVRSPETLKIIPYLNTLESNLGVFGEEGYTNEVYRIDNQLKSDIEHDIIPVGYFIEEQRIIEEFTNEKQIIPILCRVEPTDYLSFYLSLTSNMNVNDKALVLKMKKPKSSDTIYSATLQDIKIVNSNHSITHKIMADDSLYKLAKRYYNDGSKWEKIYQANRNKMSDPHSLQIGQELLIPDVSVSI
MSGAGAQMVLRQRSGAPPPTIPPRALATAAVLNGDEDSAPMDDGWVRTWTWTLCWTTIYFLGKWAAERLIPGDPNAYAELSQQVPPLVYQMSSCAFFTAFVLNLTSLLFEDNAPKRQLALLSCAIKAAACHTDLLLITGGTTVVVDAFGTIVVPQRYAQWLVTTPTMIYILSKISDFTPLQTSAAIATDVLMVLTGLWANFLPTPYSWLMFGLSSLLFVGVLYSMGRMVYSAVLEHPSASSRRSLCQTPSSAGAQRKSNTATPVRGTKGNITGYANHTLGLDSGQRGATPHEGPAWRYVSSGMLRAG
MQPYSCMPSVQLSDLRTSNLVTSGVAGVTRARRIAGNRWGSQIQRMSSRVVTAALSTVLLASCIGEEWPAPPPVDVAVFDADYAEWRDRREGRMVTPPGGPLLWIGLWELEQGPTPFGSDEDLSISLPSADSPPLAGTLHRSGQEVRLEPANGADLRIVDGETITDIIVLENDRSGNTTYVELGSLGMRVHSEPGTDRLWLRAWDTDLPVRDTFSLPESFPVDTDWRIAARFEPYAEPITLTVPDVTAGTIEYRAPGELVFQIDGTEHSLIATASETATSFFIMMWDSTATTETYQAGRYMRVDMPDEDGWTTIDFNRTYNA
MEKQAPFSTAVRLRPMLLESGQTGTVAQKPSPIAAPLGQVGTIVMGFTHKLTVAQAPPPIAARLRQM
MSGKLLLKAMVLGTMTFSIYTIGIAAEVQDVNGPDSAQQTIASDTARKEAVRSSWMDRTDVVVGVGMKDSKETHSQHHYVGESSNRHDDLNTVTSKSLKSTEINKLYIETLQPITHYDENAKSVVFVQGRIGRSGEKISSYKLDSYLEPARPAGTFITHDKQSDKKESLGMNANIGIGYRRLSKGEHAYVGVNAFYDHVFKGGYKRVSGGVEYVAGLNEFHANLYRNLGTDDRKYIGLHGRSTVLGDPAGLYPYGRDSDTSLYNYGVVSYENHWMLLEKVAASGFDVGYSRTFKNARWVRVYADYYNWRGREAVRVGYHKLKKRDAIKGFKVGGRIPYHTASYS
MKNMITIIAAMGIMLSAAPVNAFCAADTAAASVTAEADTIDIMSFAGKWTHQVSKGNTTVDMSAANIGTMEINADASYKYTDAEGRTSTGTVKLGSENIGGTEFTVLSFYEGAELKFTASYSERRPDVLSLGNGGLAQFARDTAISVAYSLGDINNDNAVNAVDASKVLSYYAMTSTNKEGGFTDAQKKAADVNGDGYVNSVDASNILACYAYNSTSHSKVLSFEEYRTASTKA
AYDQQAAAYNTQRRQVDELTARLHDTTGHNSTDWANPGRDGAGAACSADGAFGQCSTTSIDPTNGRSTQDQSLCLIGISTCASNSTTADRAASATCEYSAGCATTSTAAKTNAATTCGTGDCTTTTRADTRGANTFCQARGGCTEFGKTPTTPDEQDLAAGKTEGLSQSHGTCTKDCALTGFATRADAGADCQTRNGACDTDSIGRRATDPKPGASPVSKDNGEAVSTAHCQAGTIGCDTTTTVKAAVEGKPAAEASARCSRGATDCSGNAATTTNGKTEDATTTPEKPAIAADPTTAQAAVPATPAKTNTDNRTRTGSAICQVTGGACTSSTGPGTDDGVLAHGRLDCDHAKGCSGKASTATAASITAGQDGKTATRATDAHHDCTLAGESGDCAIDASSTVANNAPRNDADTRLAAATTAEGLTASSKTIGTVNCGSADCKGTENGATSGTASGDVPQRASKSTTGCEVHGTNGTCGSTANTKVAYRQAQTGPDGKPQPAGVASVSHADAQVRCENSAECGGKAHTETSALDTAVSKDWRGAATDA
MLGSVPAQHSEQLESKKQTNNPSSKLFSSPVSSPTKIPVIRVIVSDRLGFINITVTSLPTFLEELKLGIARRYLFGPATLGICAKHFSNNTTAPILEKLQVMKVTGPYALRFATDIHLVGLGKMEIREIADVRDAEEQWAFGTGKEPLDLNCGVGNFESIVHMSYRHYSLPYTEAIRVELAAVETKRPFGHVVTAKKKKIKTPTGRRWSIWVVKTLKKKLKRAENTLKETRAAFEGLNEGLCTAKMAEFQMKLLDGPKTNKLVEGSVTWLASGMAIEVAQDKLATDTRSLLGIHATILAKTTFAHRQSQLRGRVQRFQQEVFRMFGIYDEKEVTLRLVDEDLAQTNLNLDEKGWGALNDVELTPDAPELNIHHLMEAKQELQKGQANDCQGLMLALWTQGLLLRTTIRNANSTVFYGFLRHYGSLILAYLAPVAAQ
MLNFIKLFTYIICLYILSSFCAINAQELSTIISGAPRAVWYTTALNAEKALTQTDTAENTDHNQKGTSSIIAIFDYDRRDANERTVASYIEDKILSYDNKVIVKQFSGENDNNASIKTLHYLDSSNYKLIITITSDAMSLARYIVKQTPMLFTY
QASSAIPVVPLYGTLLLKVMDEMGPGEGCIEQIDRLFRVKLQAPVGRDAEHRLRVDDWELSKPVQDEMTYRWSLLSTETLGNLADLDKHRAEFLRLFGFGLGGVDYSADLDPRAIG
MYAIYLIGLGADYLMKAIGKLVDKAVESIVFRKNRREGDE
MLPVLPLLVSGVLGVIVGFSLKKYSDENPSDIFERIEKENMNLIDSMDFSKLDSLKQSVYNGIFISFANYFNSLHQNELEKFDIKNVEECSTIGVSTPILVYEDNKNQIKIDKTTDFLDELNSSLISIFETLKQDVDIDFKSFSQDRRTLIQEAYQVAKQIENICLSDMVN
MSCPDCGGDLVSFPVPDDLQQLLPGNEPGAGVCRSCLALHPETEPPAAVPDLSDLDGAIPDADGAAVPLVLLVGLLDSLAMHREEITALLERVEREGVDPLLVLDRLDASYGEAAHVDLGRRRRQLEQLL
MHLLLAISMLSDEKIVTGCEGDIITSISMFIFYLLSDGGVTYGDRLDFDDKKDIVMFSACGFAPSRHFALIYGDFKRRLNFLLKMLNIEKAPVDYVITQLKDEKPEITDLAISSQGLFCL
MRLPKPLEAIIIGMILFVAIIIFWEGVRRLVLGYPPAGSPEDTAAWVMENNKHPDLCFKMGALSIPFPAPLYSKMGPSTESNRKLCVFLIAQKMKDPRICELLLPGEYGLACISDLWPEVLPEDGCGWDVSNPKIFQCRHIGGPLRKSAICNDFSDNVKQFSACISYTASRDKSLEQCKNIPDADIRLFCQIKMKAWMDYPELRDSFYFGKQIPSDNP
MIASILNGPATIATETKAPEIAHLWGCLQRLPIPIQHIGGRALSYNIVKEIYAII
MFSQSRYPMSWKLCTMRVPSRLKIDKSPRSGQLNSTKLPRLLKHKDNFSVLLYPFSFIIWLRKLTTFLLPADSLCTALLIHCNPRKSYKMLLGLIQLLALLSASLNAVQAQQTASLGTGMPAMGSFTPIRLIQAQTPEQQQAIQNLVQELQRSSPQLFSALSQSGGQQLAIALPSATGTPSQQVAQSQQPQQPQQPQQPQQPQQPQQPQQPQQPQQPQQPQQPQQPQQPQQPQQPQQPQQPQQPQPIQMAPQLAPVSTPATPEANPQPAAPAPVPASLPFPHSTELLPTPAVQPSETVQAPQAALETGTSTPLSFALNAMFQTRSPQGVEASSDTLPFGLHFDESESGLSDIHLSDSSASDASITPTHHFSTRHSPTWGSSIHRSSSESDSYDEWAGLDSSATKSAASLVAVALALVYAVAGL
MRRYKMPEDLVQKHFDKEAENFDSIYTGQKNAFSRFLDKKLRWDMERRFSRTIEECGDVTGKTIIDVGCGSGRFMEALQDKDPKLILGVDFAPTMLEIAKEILFKKKPDSPCRFAVGDYNDLSFKQPFNITIGIGLFDYIAKPLPMLKKMRQTTDGKLIATFPRRGTLRAGIRKIRLSLHKCPVFFFSPDEVTDMLKKAGFTDVKQEIFGQLIFITAM
MRRCARLALLLVCLCSLPACGPAQPKTQPAVDYARLLQLYDGAIVNARKELQRSPNEVSDREALSLALLQKALLTSNFQDYADADRAMADAFAISDDAHAPLLSRLHLNLVLHRLPQAEADRARLASRFLVADTAGFAGESAELAFQQGRYAEALAGFHRSLAIQEGLFSLFRLAIWYARTGDCFEAAALIDRAEKQDHSDTPYLHAWLELQHGLLLLDRGRWEQADEYFYHAESLLPGWWLPRSRHAQVLALKGEREEAQALYETLVTETGDPEFMDAVARLRRVGPDPASAAPWIQRAAAVHRQRLALLPEAEYAHALEHELWFGHPEQALDLAQRNAELRPNGEARTLLAEALYKAGKTAEAQHEIEAVLATAWNTAESHAIAAQIAAALGQREEADRQQALAIDLNPRAFRMYPLPQPAQPEALP
PEHMESLGRMMAYERDRNRINLKKDSPDRMIYETSFNLKNITSDVVITLEYEKVQQIHFNAYLWSQTAYAKDRIKIDGKNPTESNANLTTDGHSFVWEWDGVTTGEGPNGTGNGGATSHTWELDQLEINDEALIIPMVFLNDVGKTLTEKVTLASGTEVTLSVTSLGGTNAYDGKRHYKLEIANCYEDVTISGGNMVAHRHQEYAIRELFGVSDPGFYTATDAQGYPQEWKTMKPDTLIAKIGLGGKNKWTDPFRFKREVGYYKPDISFTTKEGTVLQNNYKIGYDEDNDGKPYIEYLIRTDGNTADPNAMGEYRVVSWDDWEASPDGYFYFRGSEEVKEFVGTNYTKPDWNPENAYKGVILVNINAHPIRIGLDYLDGADETGKKAPRAEDITNLPKTQYGGPHGYNLVNNQRLLISNLKPVDLKNEFVFDHWEVMATDREMTDDKMWGYLTGEVRKDANGDPYVSRPGMEYLLDVSMLNDLENCFYMKADPGSGHTNGNPFNDKPHKGAQTHAIITVRAVWKKYESKPTIPYTVRYVTAEIKDGQIDPSTEKVIEERTHTVNKGAMLVTDLYQDGTKTPSASIQAVLRGENDAKEDYTNSGNVRWVVYEPKTTKMIQSVDMNNNVATIYLIKGNTKVNVEKAWTSRDHTEAEVNVQLQRRKTDAENWQKVENTVLNEGKKWEHQFDVDLYYELPSTEKDFVKTWQYRVVEIDGNETPIEENGQMTAGENLYRVGYRFDTDKQAWVVENTRLLDLTVSKVVKGKAGDRTKEFVFDIQASDSGGQALNGDYSYIGSVKAGFDTQSQKPDNGTLTFQNGKARITLKHGQQIQIKNLPVNAQITVTEQSAKGYQTSYTVNGENKNGGSLTLIKHSTVDVVNKKSDIAATGITDDIRGIGAGLGMAAIAVLSFGGLALLRLKKGRKR
MSYFVRFLLLCCTVALAIPTYANALNERDAVVSRQTGKLQIRVHYPITGNARVDADVADWAHQAVDTFQNTYGEEPDLGVPYELETTYSTTRSTPSVLSIVWKTASYTGGAHGNLEITTTTYDMKSGALIDLYDVFENLDTALDVMSRYCTKALTKSLGDMYNDDMLRSGTAPEAENFSSFALTPEGIRIFFQPYQVAPWAAGSQVVDIPLDALADAGPRLSLWGK
MKKFVLFSIAVCFLSGCEFLDIDDCLDKGGSWNEQSKVCELSSTTTTKESGKSEKDAPKVSDTTKKIEETGKLYSINIEYPVFQNNKKLNEVIQALFKDSVDNFKNSLKKNKSKVLESVLEGTVETVMDNDEQSSFVYTYYVFTGGNHGMTNFKTLMYDKKSQKVLSLEDVLGSVTELKLKKLSTVVRQKLMKQIEAPDEKWVQEGTEPKVENFTNFVRTEANELRFYFPQYQVAAYSDGPQVVNIPLSELED
MKAIATLLNQQGVTMRGRPWRTQKLQDLLSDSLYMGEYYYNQFDSKKQRPKPQEEWIKISVDPIVDEPTFTRPARTRGASTGPRLAKVGEFTHSVKRIADLCGMRFGYVIDDRQKRSISLLQMHQSAG
MEEYKKKVISGTIEPRWPKKKVVRWLELGAIPAIVGMWIPDWAGQYIHQMSGESYIFPPSLAFPPIITYIGAVILLKACLEGIKDMGWHYKGVKISGIAAIICAGLSVVSHFFIHQGSTIAFAVTILFIGLAAFFATGFLWLTIAKVAEISDETRTKVTKNIVMVLNLAAITAGAITVISILLNSGFWPELLRLTVVLFTVAFLFCRYGVYCYKTQKSIGADFVVPPEEQFWI
MLQDAAARDWEACAARVSADGVTDEAITTEARRLEKLFEAHAVARERFRLDPAGRATANTHFDEAEPGAEEWRVAQVLIDPQDANDWEARFVLSLPETRASGRVALRLEAVAEIGAK
MNPFVYDRPLPPDQLIDRRRELDQLLELARGGQSVRLAAPRRLGKTTLLGALADVAWNAHGMIPTLVDLSRVTSLDDAVVRLERAYERGLDRGRLRAVWRSVRRRGSGSAQVGVPGLASMSGALTPGATSGTLLHDVLDLPRRVHERTGQRCLVVLDEFQDLLTVGDELDGLLRSHLQHHAGIASYVFAGSQPSLMRSLFGDRRRPLFEQARDVTLGPLDPVELAEWLDARFAACGAEAARELVDAVVTVTAAHPQRAMLLAHFLFEEGGHEGLEPALTAAVREAGDALDQGWRSFTSVQRRVLGAVASGHVRLLGADALRYTGHGKSTQQKARSQLLADGHLHAREDGSVGFTDPFFPLWLA
MRNHLPRDSTSTTASTCLSATPIKAAIFRCSTGAPSPADVMTKGEKETGKRKRRFVQNKDELIQIADSISHEKEQGIKDKLDKTIQQRARADAANSAKKQRRSQRKLKLKETMADLAGRAREKKSRRKKKANSAPADTQELRTTSRKRVLFA
MRFVRFPGHASVDDNDIAAGARSWSGGSSDDDLSGKAGDAEGGDEGPEADGDDATISAKLSPCCMDGLGTHA
MLRYKTQRGCGSLGFLKDGDVYTWGRNTYGQLRNGSFDRRRNIQKTELDFKPQRHGFHIVKIRMEGESPEFDPSEFRRIFRGFRNHVPSFSR
MFYQTYNYTVAVGADGKIGPVTCDSWSDLTFEQFIEKREVRRDELDRRLGRDQYARVEEEEKEEGAVAAEDEAAAVEEKDETSYSYPDVTDASASFDDDSQGSCTIRQALRDLEREKLRCSVLLNDIAEEHCTLKELQQRKLRNRQTRVSASFNSEEDSQDDIPLVHVLSAIRKVQKEMDEAEDVVVLSPSMFDTSLESVAVSQVSFQEKNSRRSGGHYVKAAGGTFEDQNLPGFQIRIYRTDQDFGKEAVMVISLVTYFLKQLPSQCLVLKRSFARLSTLIYSCHGTSYVYAYAIETPTYGQSVTENISALFCGPSLHVGRMGCQLIW
MKQYRVLIAVACLWSTAVIAQKKDFDDKDLMAGRAPKNFYNQLPNVVKWVDDERVILFTKAHPDSAAKNWLMDVKSGKMSEPTADMLKGTAPPTKQVAVRNGDLYYKNGSEEKRITNDKAEEKNPMFSPD
MAAPYFQMPLNFNADALALPSDQLHLGLQSSPPSSNSYIGSPANVGNLSHPSSMAGAQPQPQSQPPRTVSGGGSGGGNRKGTSAERRATHNAIERARRESLNGRFLQLAASLPAIADVRRPSKSLIVNKSLDFVHTSLSHEALYRKRLDSLRSENEALREQLNEFRKQAGLDPLPAPVVDELPLPLSEMGDKKRSGSLSGNAAGAGDLGEYDDDDGLNAGSSEGEASRTSPVVAASSNPGANGHGLPSGLTGFDHLQAAQLGQHQQHSNPMALATGLPGQGRASSTASTHSEEVGAAAQGSWNYASSSNGLGVTPSSSNFGGDFTFAATSNLLNGGGGSSSNAAGGQLSAPGFPHFAGLSQSSGSHDASTSIDPLVQPSSAPLDTYSALRGPQQTYGQYMAAFDHGHSGGQSQHPFLQHQHQQQHNLHHHNLNQQQHFFDPSPRQPTATFLTTAV
MVKIFVGNIPSDCARADVLDIFEKYGKVRSCDIIRNFAFVHMDNEDDARDAIEALNNSRFMGGEITVEESKSRPRNTQKLYVGNLSSTANRRELEKLFEKYGEVVSCDIIKDYAFVHMMKEREAEKAIKELSGVNYAGRKIVVDYSRNNDNNRRFDNRYGNDGRYGRDYGNDRYGGYGGGGYRGRGGGFGGGYRRGGGYYNNYNNYNQGGGYGGGRYNSYNRDRSPIRRRRDSRSRSRSMSKSRSPQHRDDRYHTRSRSMSNSPDRGNSAAKKSASRSPKSASPQHSDARDRNRSVSRSRSKSRTPVQDSPGRRGSGSRSRSRSPSGSPQHRGTRSVTPDGKSRSSESE
MRRRNHAVAVGACPTTALACAHELSLGRGTAVVERRRKIRWWKRLMEEDRWSWCREDKVEREER
MFIVLGALASAETSTEKQNYAQAAMAIEKATKIMTYLSGAIMILETLVLADYHPLRVRLRDASGAQSRQIADAMAACRRIGTQLSTDMQRRELSFLTMYQAPDVHPTEHAFAEALTTLEHRCALFLFNHYKLATRILGSGSLGSLGVEVGTLVNRFVEPMHPALDQARYRYNVFTSFAYGRHAGTMVSELERADPVSSRLPAAVDENRIREVTSVYFAAMETMDIDRWVALFSKSGTVESPIGSRPFRGHAGLRVMFRNFMKAFAPSVVVTVRAVRIDAPRGYAEVDWQIDTSHQKMPITYAGTECFQFTAAGESHTSSYTMTPKKLLCKCCPRNERRACPSQKT
MFSPALYTLFLTLPAFVVATILPRGGIVDSCNTGGLQCCKSIQAVSHMSHRLASFLVVWA
HMIIQTLYQQGIKHKVNFFDEHHLLDVLFTDDGQACGVVTMDIATGELHTLHAKAVMIATGGYGRVWSVTSNAHAGTGDGVAIPWRKGVPAMDMEFYQFHPTGLYKLGVLLSEAARGEGGILRNSEGEAFCARYAPTLKDLAPRDMVSRFIYEEVRQGRGIDGKDYVHMDLTHLPPEVIDEKLPDVTDFARTYLRVEPKTELVPIQPTAH
MAAGFSLASYPLLSLQLFPSKPSLFTAPCPVRSPSRHPEKWRFLVSPSLSSASVAIPSPKPLVGSLKVRSVLEPTSFGCSWLTLSSLVSGEGGPFEGHQVEGSLEPEQLGSPRLLPARYLCQCS
MFRILRKCNHLETHRIMLVHTDFDDTVMHNREEPGSTVEICNAHLLAVLEGSCLKRLFKVGIEQAWLVPISMIRILHGVGVRHKRRVSGMRKKASRVPRLGFFSPESCRL
MKKFSAILVFFLMFSYAFVGIQSSSASVAQNDAELNFPENIVFSLDLKSADNVAQVKLHYGTIRDACGTVSALAYPEFTTGSSINALWEWNMLQSGGEPPGTTIWWQWEYIDQQGKSEFTDKKEILWLDDIHDWKLLEDGLIRFHYYENDIEYATTLKDAAVEALNHLHEDIGMLPDQPVDLYIYSSTQDMLDAVFYVPGWTGGLAYPDYNILIIGIDPDNLEWGQNTEAHELTHVLVGDYTFSCLGSTPTWLSEGLAVYGEGGASSFEAAFFQQNVKADTVMSFSVLSGGFSEDPDIADLSYSQSFFMVDYLIQTYGKEKMLSLLQRIKAGDAIDEALQYAYGFDLAGFQTEWRSYYDLPAWDEVSQQNLQNPTPVPTIIPIQGIDSQVVPSDPVALQSTPVAQPTQSSVSANGIVDWLSRNLILILVIIGVNCLLLIVIMLLVRQKGAK
MRDFPKRAGLPPRRRRSSHPTELFVQPRSGRDPDQTGARRTHVTYLTHPFILNVPLHAFIGLYILTVASPAARKIGAGQTFQITSQTFQYLICVVDVTAFLIELRNFQLSLKEKMKWAWLSTCLLLSALWLVKGVDVEVDTERDWDWGSGLHELLHSFPADSPFVTETPGSPANCSQRFWLPPSSPICWDNIAGPEEFERSRLLVLQNRAALRAVTHASGMEEGGASYNQQAREDMQGIHADYLGIVQTAETMEKVFHSLHEKRREGTELWAFSSLKEKLESTKDSIYSREHLATLLEKRLSSLEGSLHILQMRLAKLLTQ
MNRRTTLHGFQARQGVRLNRPRTVCEDRKDNLVRTVDVHHARSLPPRARAAVVPLVVIIVVAVFGASLVGHGTAALIAVVTTLLAAAVQELLRAAMRHWTRVA
MPNIYAGTPKAFAITNNVAAVSIDISPDLPSNTDNTTTLELPESQLGFVENALPQTPITPLTPAVHPIQYEDVRSTTERLHLLRSEASLTTYGENIGLLLRPDNAIIVIHTTLGYLITYSVATDPDARVYRPHFPDYHNIQRRRQSHAGSFGAQASDQILWGAGEGVCVGDTSVRFRMVIKVDAGIQGALALDDELVVATSKPAAVQCIRWSPDTTGKQTRTEILSRMHWLDKKTSIVKMTYDRPMGLMAWVTADGRAYAVQRYSNRATSNSDEPEAKRLFKGHCFYEPENPSRGALRAIINARFSLIAVGCEDGVVRVPEDGWLTGVNSARWIGGSTELLMTSKTSDTIWVLEMARSAVSSCYVASNIFRTSEAIHIAQQYQHLEYFSHALEVLLHNVLDEEADASPAPEDAILPHVLNPVS
MAKKTNNKNEKIEGTFSLVSAIVVLFTALLDPIISVVISGALLILYGFYKIMKKKTI
MLQTVRPDWIRPHIGGAALGRPPQSGDSNSSSSGQRLAAPASQPPSAHIALLSEEGELELQLYGPGKGEGVRNEARNADSAQTSAAPRESPPRHRSPGWAD
MFQAARATPSEGRFTAVGQQFQRCRQGDSGRVFAIDLATGGRRDLTFEEEAAFWSWATVKVLRHTGIRIEEMLELTHHSFVAYTLPTTGEVVPMLQIAPSKTDAERLLLVSPELAEVLTAIIFRVRAGNAALPLVSAYDVFEQTWSPPMPYLFQRRFGTEDRPLTRSYIRECLVAMSQAGNGGRHVHGEDRCHHRGDAPRALPIFSGAADPHSQEEWEVASAGAAVMVGQTGRGGGAPAAGGLLRTSVLWPLARVPS
MWVAPDARGSGVAAELVDAVKSRERDQGHECVYLDVAPENTRAAHFYMKQGFVFINEWEPLESPPYQGADHTLPSVELSRPRLCWPEACSAREKIASRKSAR
MLTEREKKCFESHEATLLDYGTIKVLDFKRPDSSYCQIRFLFEEDYCRLHISGDLGSLTAANCNNMTYEKFAEDYVGNPGYFREKVECHNRPFFVFDENMAKASLKEYMDESGVLPEVIQDGRMDWETDDDKLNDFFEDVFSDFTDAQGIGSAGYEALERYFSDPWEFASSLGKQETNILELYLYAFQMAKAQIDQEKNPSKKE
MPLASQCGDCDLCLRACPTKAYRGANDYNYTRCMAYWLTDKNLSPEQCAILSRHRLIYGCDFCQLACPHNIPGDVEAAWPDLHDLLTMSAAEFKAFYKNTAALWRGSNVLRRNLVLAAAGSRECRDVLEKLAQGQGMVAETAQAVLNAFAEGDS
MKALFKNRAFMLVMASDILQQFAIWIRNMALLYFIMERTNNDPVSVSLLSVMEYAPIFIFSFIGGALADRWNPKRTMVAGDVLSVLSIIGIVLLLKVDYWQAIFFATLVSAIVGQFSQPSSSRIFKRYVKEEQVANAIAFNQTLQSLFMIFGPVVGSLVYTQLGLFTSLYSLIILFSLSAIALSFLPKWVEQEPAVRESLKNDIKEGWKYVLHTKNLRMITITFTIIGLAVGLTTPLEVFLVIERLGMEKEAVQYLAAADGIGMLIGGIVAAIFTSKVNPKKMFVFGMSILAISFLVEGLSTSFWITSFMRFGTGICLACVNIVVGTLMIQLVPENMIGRVNGTILPLFMGAMLIGTSLAGGLKEMTSLVIVFCIAMSLILLAIGPILRMQINKEAVANKEELTNSLASK
MTVPFTPAPFTPELIRPEAHARVIFLCDHASNHIPPEYQGLGLDPAVLGLHVAWDIGAAEVTRRLAGAFNAPAVLTTVSRLVLDVNRFLDDPASMPGRSDGIEVPGNRGADPAEHQARAARIFHPYHTAVDRRITALVAAGLHPAVVSVHSCTDRMGGQHRPWHVGVLHARNDRLAMPLLRHLRGVPELVVGDNQPYSLKTMRGYTTDIHAERRGLPYVALEIRQDLIVTPAGAAVMAGLIAAALSAAIAGLD
MLLSKDDQAPFSIINEESFSPFLMVCDHAGYVIPSELNNLGLQEKDRYRHIAWDIGIKKVGTEIATLLDATFIAQTYSRLVIDCNRGINNPTLIAENSDDILIPGNQSLTTEEKQARIKEIYEPYHQIIEKIVGERIKINMETIFVSLHSFTPVMHDGFQRPWHAGILHSQNDQFSMIFKKILEENYSFPIGDNQPYALTEKNDYTVPTHAYKKGLPYLELEIRQDLITTEDQQQEWAERLSLLLPMALKEYETVCS
MIDMRIFRASHVKVLLLDVTVFYIPHMNTISGLDTISLIGENDPPVFDVVSARASCPLFIVADHAGRAFPDAMNTLGLAPSHLDMHIAYDIGTRRIATSLAKKMQATAVIANYSRLLIDLNRAPGHPQSIPAVSDCVTIPGNQDVGEIMQHARRKTFFTPYHRAIHRHIETLEGQGTAPVVFSVHSFTPHMNGTHRPWEIGVLWNRDPRLAIPLMDKLRQSGRLNVGDNAPYSGRDVAYTMEKHAGSRGLPHCAVEIRQDLCATPDDCDRWAGLLANVLTQILAEKKLYRSSVLAQGANDSEF
MNSDFPDLAEPTLIGEDDPAPYEVINPDGKATMLLVCDHASRAIPQGMNQLGLDDSALELHIAWDIGAAAVTRSLSRKLDAAAALAGYSRLLIDVNRQPGDPQSIPEISDNTPVPGNANLSENDQLERVENFFWPYHHALANTLAHLWRRGPAPALFSIHSFTPSLAGRDRYWDIGVLWNRDPRLAVPLLEKLRNAEDGRYHVGDNEPYSGRDIAYTIDLHAGAAGLPTCAVEIRQDLIETPEGVEHWAGVLATAFKDILAQDSLHKVEHF
MTDRTHQPVFGLGANDPEPVEVVNADGASPFVITCEHAGRLIPEQLGDLGLDDRHLTRHIAWDIGVDAVGRALIDLLDAPVIFQRYSRLVIDCNRPVWADDSIPVVSDGTQVPANMALTDKDRAARATHIHKPFHDAVAGILDQRAAQGRPTALIALHSFTPSLEAKPSPRPWHLGILFNRDATLSQHVHDVMHQEAGHLTFTYNQPYEVEDHGDYTIPVHGEQRGLPHSLFEIRNDHIAEPAGQLEWAELLTRVLHKVAQRL
MTGAVCATHISNWPIAWSEESCLIGAEWQLILSCEHASNKVPDRYGGLFEAVSDLLSSHRAFDIGAAELARRWSNISEAPLVQGRVSRLLIDLNRSSHHPALFSEFSRPLPKTERQKLLKTWHEPYRQQLCGIVQDHLAAGRRVLHLSLHSFAPVLNGVVRMADIGLLYDPRRSMERSFCLGWQRLLRDNGLRVRRNYPYRGVAIGMVTLLRRRFSPSDYIGIELEINQSFPEGKTSDWRKLQHQLIESFERMQTGCGHGSR
MKVSICIPAYKHIDFLRRCLNSILEQRFTDYDVVITDDSPDDSLKKLVEEYSDERIKYFKNEKPLGSPLNWNEGIKKAQGEYIKILHHDDWFSSPDSLEKYVRLLDENPSADIAFSGSCDLDSNNKPKPHVASNEFISELQNDATIIYTGNRLGAPSVCIFRNKGYLFDSNLIWLVDMDFYMKVIMARDNTFAFTPEILVNIGISEFQITQQCLAETKVKISEKIYLYKKYSLENKPAKYRKSLLKYMGREKIRRTSDLRDILPDSDFTFSRSDTFRAYIYYIRKKIRSLLPR
MEQSTYSLGNLMPDLGAIFDGRLSSPEGLSAGFVLFLVIAVAAFGGWALTRFFAARKAVAFYESLIGNVKPESLLERRRDLTNIALQNAKYGDLWREFDESLVPVPQKQRLCNTLDAAHFFNTHTLARSLTENRLLAAVPGFLTAIGVIGTFAGLTMGLGALDIDTTTTDVDELRNGIFGMIGGAKIAFMTSVWGVFTSVLFNLYEKLLERNIRARISRFQARIDNLFPRITAEQSLSNIEDFSRQSMEKLAELDEKIGHKMQEAMREASTVIRDGMEQSLHNILGPAIQQLVQNAHSGSEKALESLLERFLDGVGEAGKAQQRMMKDAAVDMEHASEQMVSGLSDFTAQLKGEMGTFTAQSANTVQEMQSAMASHLAKQQEREEQAQRAADANFGRFVSAQDALSQGIQQTLESQQAQHESISTELNSLIERFRELADSHTAATQSMQQAASDMKGSSNQLGMLSTNLRQASDSLEEHLESSANQFERITNQNQAVLVGYEALSKKMEQVTGTIGAATENLQAAAELAESGLSKVQESFNSLGHSMKQHVADLNEKMSSLLNDYAETVQNQTTSRMAAWNEQTNSYVSSMTNAVSTINELVDEIDGKFGSKSRVTDAIS
MATERQIELSWLLPDFSHLSFHPQTGTALSSLFVAITLTVTLLFIAYLLYKSIDVVLKINWLQKALEPLERKDVAQKKEVLYQLAKSKSKGKSKGIGFLWMEFDETLVEVRKGDQIEIRNTLDAGHFFNTYTLANSVTENRLIAAVPGFLTALGVIGTFMGLQLGLADLKLGAGVDVTTMQDGVAGVVNGAKIAFLTSVWGVALSVFFNFFEKLCEQFIRSKIRELEDKVDFLFPRVRPEEQLQIISENSSESRNVLQGLAEKIGEKMQEAMVTATQGIQSSLESSLSKIMAPAINKLVDETSQGNQKALEGLLESFMDRFGQAGNLQRSALDDVSNKVNQSVEAMQLTMSNFVEQLQKSQAESGDREKALIADISHQVSKLSSQSEDIHQKLTSYVENQIGKISSQMQIREEASAKRDSELVNVIGQQVNELVNNSRRQGELLTSFVETQLNNLTKSFDERDKRSTELETTRNNKIEKQTEAIVKISNELISTVEKSVSEQLAAVKHLVSQGETLQNSVNASVEAAAQATQAMKESSIELRVSADHMRVLSSHVNDAGNKLSGAIKSAVDSTADLANQNQISAQRIENARESLMKDVSRFSELSDQIKALITSASSTFTELKSTQRDFIGNLKEEVESLSRKMTDMLEEYSQQANGQTAEHLKIWSQSVTDYSTQMNSAVKALSSVVDEMQVKLG
TTVNAKNAFTVVKTMGYTWEQALGAVFISGVIFLILTISGIRVWLVKGIPHSLRSAIAAGIGLFLAIIALSSAGIVVAQRDLGGGMGNHDA
MNSNRQKFIASILMFILIGNTFFGILLYKPKKANAIDPLSVWAIVSTVAKVIGTAYNIYTDVTTDCVGEDCKTEIGEFLSKHKILKDTVSALGNAAWVYARVKILDNIVTDFIVQIQGHGRGGKAGAQGQFVSDYNLFETDAKNAALESFLADLNVTNFCQTVEIPFAEDGLDIRTNLAQFLGKKPQTFGIDTQCPMEKKIGNMRAYQENLKKFFRGESFDWDTWLNVIQPEGNMYGVYLTALDQQTALVEDNVQREKSKLEAAGGWLGLEECSKWVSSTNGMSILIGQGGTIEDIIIKLGTPGSAAYTFFSGALEHCGAKWQDESCLLLEPKSPVECVSKLADVNAECLMQKYDGFTCAQYRTLTPGTEVARLTSKYLGLKADSLVASDLKNYTDAIMIAFINRIFEEGKGLLGYGPVQDKASCINYCEKQLGKLDDNKPCKECKNNCYVQYGNYTQDNKEKQQARRNCDAQCDASYVYSDQQGLGCDGRNLKRTECDAKCTNDWEYEPDKWTAGLAEDESVRKLAEYEEQEAKAIKDEQAALQRYYEESAITDWGEEQILNKLNTVLNYKRGLLLIQQKVANFQYTGLADRGLEILAGAFRCEAKKPVEIGTTEQRKCIWNKTVNYLNGKSNDKASFDDDKETAIKNCQIATGKECNALEMRLPYLLQILYQDMTSTNRYGFTMQKEGINMPFGVSLIDTMIKPCGYQNALLNKFSDFYWTTVHSTLTDVQGLQGEVYALANDKNILTGSIEYVAGKELSTNERIKRSEDKLVEIELKFSDDYIKQLKQELNSTEKEYKATFGDPAEYLNELRVFTDAICEGKSPEELEEQEKIKAIIKAFEQGFDIAPDLTDELIRYWIDISEKEFPEYKVKSAEELYINMGYTLKAAFEIIFQLKQPSGLPTTTLQYWQKKVGITPPPAAPKLPLEEPQLTATQIQSKIDALVALADAGKTSIPADKPDLCADYSECKTECLSSPADQIECALAYWENRL
MNTKNTKAIGLFSLLTVLIAFFISPITTFAATVNYEKVANYISTWHVKSLGGLHWTDEGIHMIKADNQPAFCIEHGTLLTSGFGFTPSELTIAEKERLSLIAYYGYQINPTIDNYGITQNLVWLEFGDELLTTNIPNFENRRNEILNQVKNHNVKPTFNNQTIELKVGESITLEDKNNVLSKYVKQLSNSANLKIEKNGNKLTLTATEDSKESGTFKYSIADANSVGQSFVYAKPNEQKVATFKLSNAGEFNLNVKVKLNGNIKVKKIDKDTGKPLPNAKLKFEYDNKTKEVTTDSNGLAQINDITQGTIVTISEVTAPDGFFNQGELKKVVVEPNKTIEVTLDNKPQKGQLSLIKKGKVPVGLEKKETEYGDLYEFKFDYRPLAGVTYEIQATEDIKVGSTTHAKKGDTVATVVTGDDGELIDMPLLYLSKYQAIEKSTPDGFIIDSTPIPFEFTYQGQTIELVSESLSATNEFQKIKLTLHKSEEVIKEWKDNLPVLDVQEANDKVFGLYTDQSMTFADQEIPQDSLINYGTIKEGLLTLDELQYPEGNYYFKELDSGTAHDLNPNKYSFSFKAEDNDSLKEITIYEFPTEEGSDIPILNKLHFNEFRIKMTRQVYNYFYPEREERSADQFAQFIEKEKYLF
MDLCLALARRVGLDCNSSPEVAALLEKRREIARDALRTITDPSLLKSLLVSPSGSSTRMIFGQIDDALNQATLAGLGPECKEVMRAVATKKRLATEAIVAATKSKVVSEIDATLLLAREVGVDFKCPEAKKAIQVKVAQRLKPMDLQVKLQPSTNPLVVEALAMPKMKKKKKKKEREG
MLKPANRNSPLPNTDWRFATATNPICYRQTIRHDSPADRNLERLVANHRSAATEPQLHHGCWSASLRSPAHEPSIRGAFHRTSRFVADVPPTHDASRPIGPARFLAAPTPAPIAPPHAASDSALASARSCARPKSRPPVETLIPTATATIRIDDR
MDMLISSQMRKLGTLSILAMSALIIFTSCSPGYYKSIDQASTDISNSLGCNNVQSKVFDAFYSLIDQEQIIPDANDLKSALDKKIDEIENKVDSVAQQKQVSALRIDLHQLVDLMLEESLSNPNITWKEQIQKLIEYEMEDRTEAQTVVTSQKISLKVESIKKAATELSLGCEATPSPAPMPNPTPTPVPRPGDQAQIIPMSKGIDRVFSTAYQSCRVLDLPEMDRSTSSVVGIERVGTHSDGIGGKRVISNLASVQNTHYYIRGIASESQCKEVRETPLIYDYGGSPAISGNAINFFANAGSGTEVLGVDCSAYVSSAIAVAGLRYKPSLENKPIYIRQNSAKFIDAELSGFSCFENISVSKTHSIQPGDIVGVKGHVVAVDRIGADPFGLGLLSSVEQCGSLNYKNFDIGVTQSSPSKNGIGINKYVIKDYLDETSKMRTAFVEMGKQSCLAYFLNKSIKPKSTEWGFLRHKGTTECMAPRVTLAGESCTQKCF
MFGRWMRRILQISILFVFYYIGEAIQQFFSLLIPGSIIGMLLFFLLLSTHIIPLHWVNEALTLF
MYNAEPPLPPRPSRLIARIALTVYAIVLAMIALWPVPVDSGAGDFLRGVNRVFPALTYERIEFSANILLFVPLGVLLMLLLRRRFLILPIALVVTVGIESAQALLLDRRT
MHTSYEQRRRPASQVSRGLAVGTLVIYVATLGLIALWPTHVDAPFSPHIAWLLERVPLLTYNRLEFAANILLFVPLGFLLAVLVAPRWRWTIPLAALAVSALIEGWQALGPGRTSTLLDVAANAAGGVLGLVIALIALPRRR
MLRCCAASPKWRFLRCALLVRARLAQAQQLRLGKQVIGQTGPVAPGLCGEARIAVEGEGALSIEWEPPIESGGADLVAYRIWLRPVFQDGLGGFFPADGFIDLGLFEHRSRGPRTQKAPVKFDQLPSCSGCLCSVAAINAAGLTGCASEAPVVWASSMDRQKEIFELGGASRVKSRHQSI
MAQRDSLNKIDDYIKAVNNEQLILSPMFFRDYSCPSHCGGCCPKFSLDYFEGERWEKFKETYPHLVHKFKKRIVNGATVYTNYQTENPDSKCEFLNKEDGRCGIHVSNPFSCEFELNKFLVKKDKTYLMNKLFGRGWAMMRIDGERGALCEMKDFNLKKYLRDVELLQELLDIVSKFNKKAPLLEKVVSFLKKTNRPIPKQKVFTINEEKDNQVNLF
MTEQEASRIRSAYCNGFQYPFGGGFVEKCDMDEVNRTAELLDEAIKKQIPQHPILVNNHQNRPSPCYECPTCHGSFTGTENVAYCYHCGQALNWDKILIQ
MSASAPPGTSFQIVCTDPGPRFLGLRASERNRRIARRRGGVDTVNGAPLPTLVVPAGVVITPALLDLLPPPVGVWHLLWDRSGVPIVWQAAGSAGRSSAQTTELPPGAAFDVSTVQARRQASWSLLKASGKPADGWLSRHLHRRISRIFSYLFLVAGLSPNAATIATFGIGVASAWLMAQTSHSTMIAAAFLFWCASIADGIDGEMARLTFSESRFGEQLDTGVDQLTYAFGLAGVFLGWSRQGIGPPGLALAAVVAISIPTLLWWAMRMVRRARNTTQFFVPTKPIEGAVFAAARRLRTPALRAAAAVFVLFRREAFSLAFFLVSLLTGSRMVYPLLLAAGLGIVTLTFLLHREELTEALAQEFA
MVKYGRYNLNVYFLTNQGGETVRLFFYHTVYNSEPGYGKKVQMIIIPISNLYKKNKKNIKKAVSRC
MAYERSITPIGDKSQVPKVDPGFKMLPPKLGRSAGRTRTTRIPNSGEGCTKKGRKRCARCHEFGHHAKGCKEPEFDPGCMVAPPPPPRAKRRKTNSSSQPPPVNDTPPSVQEPPPPVQEHATSSQPSSMDAPPPPPRAKKMTTKGPIIVGQTSQEPATSASQPIPRRTPPRQAKERAASRVAASRAQASSRKNLLDAIINS
MEANNPPVIGTLGEKTLHAAIKSRLSPDPATHEVRLGPYVADIYTGDRIFEVQTGQFYRLKNKLSTFLAACPVTVVYPIAETKRLYWIDVETGEITGGRLSPKKELPVAILPELYQLGPLAFSKGLSFWVIPAEIEEFRYLNGWSKDGKKGSSRCDRIPLSFGQTVKIETPADCRALLPDLGDPFTQKEFAKAAKLSPRKAWYALQFLQAHDTLLRAGEKGRAFLFTVK
MNKWVFYCLLTLGIVLRFLHFGEAIDDPHGWRQSETANYIYDFXNNGIDILHPSVCWLGNHKTLLLEFPLPEAMVAVLYQWFGESHIWGRLIFYLFFLGSLYYFYXLIALISNQFXAQTASLVYLXMPLSLFYSRALQVDFAALFFCNMMAVHFIQNMRVGNKXHLVLGILAAALAFLIKVPYAFAYSVVLIAIXLQEKRYSFVXSNWYWLAIPPXLFVLWQWYSYXTNAAAPDWEYIIGYRKXTDNSAWYYGDLNQRLDINNWIILRDRIYYEIIGISGLFLFVIGLIRAXIQRQXILIVWLLATVVYVLIFFNLNRIHNYYQIPFXPILAVLIAVGIDAIRSLLSKINSSIIATAFILXFGYEAFLYAEKNYYIXQHNFVEVGQHVQARTEAKELVIFNYFDLDSKCPLXLYXARRNGWQLLSWGLTPXHISRLKNEEGAAYFACIRNQPWXGEVGEYLSQFKMEXIDLQYSDHYLYFYDLKAVVAKNE
MKLRGKLYPGEQTIILTRAHPGALVGAFVYLLVAFTSASFASTARSSGLLFWAGSVVAAVTALVALRKIWRWSVTRYAITTHRIMIIKGLMRRNADISLLLDGMGNRWVSQSSLGAWMGYGSFHVDYAGGFRHQLMHLPNPERFRNIVEHAWQDYYPLRVSYV
MTRRAAETGLERFTNRTIDATHEEFSVGRTLEGTTLGPGGMLVDRLRDNTDLLERHVIEPELDVYRERTLRQFSILLEYVESGEDLTAFEDELLANDNYMDALKPGVSTATRDGVAAATLDRLRRLAEGIAPIVDSSKDEFWPAAEASLDREAALTLIDDAVRFTRPIQEFEGSFVFETGLDPNQILTSRLLPSLPTVTVDYTDEAIRSMTAAEEQIVRELKDEVETRYGAAGD
MEPGEMVLYESHSVLHGRPFPMNGRFFANLFVHFEPLGAFRRENHDEFTNDIVYHEDSLISTEEYLPPYVIPDSPWDEQWREQNPDGWYLLNNDMGLSARKGDLRTMDNLYIQDPESIHEVDDNGWGPLHEATRGGQLDVVKYLHEREVDLNLKTGHGRGNTALRLAKQYHGEDSDVYKFLEGVGAES
MAQDIIVNDSVPSQFEIAIESPVNSDGYNVTVTTALSTVSTSCGNGLSGNNVNILQIDPDTEGYTPVVVSLSFLVALLSFGTVLSNALVIHVIRADRTLHTVSNCFAMSLATADLLVGLLVVPFYALDVHVSEQWHEHIPAHSVWVTLDFLMTSSSILNLVLLNLDRFWSITSPMKYMRQRTKRRALILIALVWGLALALTIVPAAIWPYAFKGVFVPTKTRFVCFGSSAWLMVASAVFIYFIPLTLLCAIYSRIFRAIHRRHKMDLGRSSIASNMSNRLGGSKRGSDMNQQGFISEQELQRLRNIYEKTICRERGERHLTAMVRKKKGYCPGTHLKRYESIDEEKEGVNESCENDPFSSETNEEQDTFSSSRSHHQTKVGVNNMVNKLHVHARSVDDIFKGRITKNPANALEALGVMPTIKVPRILAGIEASMDVIRERDGSWCSSKSNKSIASEDRKGTDNGSSHHSQEMAGTISPRRKNSSPTIGLMSSSPKERSPTGSNHLSIGTVPETINTNFLQVLSPDVPLDIQKRWSSPPICVDEPGSEPDDTDQTPEDAMAAEENDDDDDDVDPLPDMATAVKRKRADSGEGALARLRANSSEMIRRASPRLARKEEGGDTESLLNVQRKSSGGTGSFYRDSFQSNRLMTQRRQNTRASYSLDLITGPRSDSGYASDCGFRRPGSLGVTATKKERRASLSFPIDSATMGALTSRVRTSVSNSFSAISGRGNKALTLLKKQDKAAKQLGFILACLLACWTPYFLQVLIYAFCPKCGDVTAMTIAVFLGYTHSLCNPILFALFNPRFQRACKRTLFPCRNREETPRGCPVVPPSMI
MTRPTRPGVLRAVATAVIIVAAAVLWHRLPTPDDVYGPFDVRAGVGQAVSGRAITARVDGVRIAPRIRKDRETSPVLDAVGIWIVIDGEAMTTRTDQNLSSELIVGPNTYKFTGRLDFMPLAGSLTPGISVHSSWVFDVPADLVAAGAQTITLRLWVGDGRMDSRLVIDMPLDDPRVDRTDFVRLAPTVQVGT
MCRSATGRHHRHLLRQNRYPCLQRGLQLAKCDPHQKHYLSFLSMFYLSSSSRLLSASSVPYPPLHLPLTAPSQPASSPSCLSNSSSAQADSSR
MGPSEGRRRARQRRAPSHRRWWMALVAVALRVGGFVGELVVAVALRCVAPPSDFWWFRLWTLEGGHLPTSGQVPEPRRARIRTGQDPLVGNGTCRVLLARSFGRDSLRVGSILHIR
NAAVSDVRGSVLIPAIDPTVAANFGGLKSEGHAEGEPTDTVRIDDLQLGRCNLIKVDVEGHEAKVLSGARQTIKAHRPVL
MQADQYDINIRNISGDIIDWPIENGIFTAGYNGDINGDNMVTPMDALCAFEKFMSLDGACLNTTCDIPCSEVQCDVNADGACTPADAFCIMNKYMQDASCIDGK
MTDLTLLQRFEREVSDGDPLQGVERGALERALHDAVRRARAAHPAIKVPPERFVSFIAERVRPGDGHALVRQLDSLEVADLYLACGCLVGDDAAGARFVERCGGAVAGVLRGLRQPDARRDEVLSLVFERLFVGSSPKIVHYRGEGQLSAWVKVVSVRLLLNQLRKAGREKLDADALLDRALDDGNEPADLQYMKGMYRREFRAAFARAVESLLPKQRNILRYQLLDAMSAQQIAKIYRVHRGTVHRWQKEIEGALFDRTRDHLQRQLDIGEDELDSIVRLIQSSWQITVSGLLRAAAGG
MDTISQVPGFVVAAAGADGLGVVGLGAAGFVAVGLAAAGGVVDSVVDGVVDGGGVEAEVAEGVAVAVAVGVAVAVADGVATVDVEAVTAALAFPSAWPSVSVGSQPTTPRSITIAAVATRMCFIPPLPVILV
MKKFRTAEVYPEAKPRVRESIVDDHASYLDQRLKEGCRSSTRLWRELRERGFCGQVNSVRYWLQQRRSYRTRAASPPQRPALRASPRQIVWLILKAAHSAKDMLKEAYRRSPEISKIAQLATSFFSIFRERSLEALPTWLEAARGTALESFAAGLERDIDAVREALRLSWSQGHVEGQVHRLKLIKRQMYGRAGFELLRLRVLQKG
MGPEKGHRYGTMLCDLEQGRVIDLLPTRDSETVAAWLRQHPSVQVVSRDRAGPFADAIRKGAPNAVQVADRWHLLNNLVDTLLRSLERHRGTVREVRGRLEAPPETQLVRSSGPEDPQTLASQRTQQKRKGRLELYQQMTELIARGKSQSEAAAAVGVSLRTVQRWTATGVFPERKHRVFPSHVDAFGPYLERRFAEGCTNASQLWREIRQQGFRGNISGVLRWLQRRFVSLRTSGMTPPIKRRPPLCLEHVAWLMLKADPRRHRFLKALYRDSSELESLGRTARGFFEMIRNRDAVAWPQWLEAAAHSPLASFARRLERDRNAVDAALQLPWSNGIVEGQIHRLKLIKRQMYGRASFDLLRLRVLHST
MAARRGREGGEGTPLADACGSNPCLQEKQIHMTRLRDKIGRLRTLLWREFVELRRDRFTLTILILIPLLQITLLAYAITTDFDHLRLCVLDRAHTRESRQLL
MKPTSDRTTMPAGPSVCLMVPLLLCQFLLMSPAGSTAARGDYAREVLKDSPVAWWRFRDSVCTDGAAAKDETGRHAGVYRGGVTLESGPAGIGGRAARFDGRRAYIDVPHKKGLAISELSVEIWVKSKQPWRAKQWPGSATLITKATGGAGSSDWTINAGAFQSGQNEGRILTSTGAAGSESDTNLGSGQGLNDGKWHHVVWTRSADGINLLYVDGRRVDQAEDEGG
MSITVRPNGSPEYPVYAAGGWQALWNTDQLKSIRGKGRVFLITQSGLEDPVLQPLLEAGLSEVFPEGVNDRHIIRIGQGEHNKHLNTIGPIYNHLIDCGIDRRSTLLALGGGVVGDLTGFVAATILRGVRFVQLPTTLLAAVDSSVGG
MNIRRIILMAGIVVQAQCTTMALAGNSNDRQVDSIHHAILSLEGQALLDAYRHVYYVQIYSGDNERQTIAQMQREAELQDNIPMRCLAKAALVNYYVFKDGCADSLRHVFPETHHFLRQQKSWNYYYSTWSLWANFLIFANEGSKAQNEVRNMYEDAKKRNNIYGIGLA
MDIHDELDTYKNKLDNSKFNISVFTDGHYDIRDTYFAEMNSNYTTGRLSDSYMQQFIDVLDGFTDVLHLNGDNINGVIDNQRSGMAQNQYILRKWFTGKNKSYRSAIIGNHDDLSPIGILKGNATSTSISDVIDESWFRANIPQEPSTHYDNDSLSFYKDFDAYKIRLIALDTEEPPTTLDRNGHVLYPRWVWHGLTNKTLFWLSYKALMGVPSDYTTLIVTHCPLWFSDGLDYQWNDTGSRMINFDVLRELLNGFITGQSVSINKSDKSIHDWLDPLPVTRRNELYGVGWGVKLNIDFSKQGNRSFIGVFSGHTHKEAIADLGTFKNIQLQHGFPNSQSGLPGLTTISIDTSSRLVNLVGFGIATDRSFKY
MEREELIRLRCEEVRQREEERRQNKLMEKEEKKRSKEEQRWEKRMRQVERKRRKERIKELERELKRLRIRMDNDIQRRRAAARQLVQVGADAPAGMVAVPQLAHESLIDDAHLEETEKALPLPPSSTPSSNSRFSSANNLLSSRTMADVLQVWDVGYTLAGKMGMSEWPSLSLFLKALDAAEACKDRHPTPREERRMRVLSEVAQRLTGVLLPDIVQTLMYSSPSQGGSGAGSGAGIDPDPTLPLNEMTWPELARWAFMAQAYRVMQLPVDLAYALRGRGVDFQMDAWDKKALTMGRLREASRILAPFREEGENQNKKGWLEAIKQAVEKAMTALDMYQSNLGEGGIWKELETDPIAGSMQRGGEQRPPQAPQQEEEEEEEAEKDMQEHWQSPSSVEGAGGKIRVGDSRSGVGGGAGEGEIKQDGEELQKVSGTPAIPSSLNRAMTSESIASMSAPSVTSTTGDGLPQLASSTVPSLPASPSLGGLGAEREECETVEGKEEEDGMGILTKGGEEEEEEDEEDEEEEEEEEDEEDIDAYRDITPEDFEAKAEIIRRCYAILQALMQAKGGSLFKYQPHVEGYGEVVARPLGLMDVTRNLRNGQYDDSVGRFAADVRRVFINCALFNPENDVILHDADRLVARFDRLLLQWVLDVDAPPLEELGLDTTCKVCRDPYPQSVEVTLVGGIKEWRQVSPQQCNRCDASFHPDCVKMPVLHPNRNVSEQGKEKEYWFCEDCIRERMPKDVDPFLRLRVVKHFPGVGAFAGRVASVRYTREKAVVFPNRTQNSRSCFCNARQPSMPSVSMKRWVTVAGGLGTF
MASASEASSRTSSGWDELQQWVQIEPIPAAVKSARLRIVELQDQARAGRALLKATDACLRIGCQEILVDMEKVHFPSASFIVALFEATAHARRKKAEVRLINLHSTALNNLVTFSPLTYLRVENDETRVLEELGARLPRSTAEPVHKQNGETLGNGHPDREPTLAEEPWESRQVRPESRNGAGGNGELTRITPDMHQRAIQVESTVDEIYDVCDFVTGMAEEAGFDEREVGKIKIAVYEACLNIVEHA
MKKWIGFFVVLAVLILVAFYAMGFMIKSTFTKNINSIPDSPFMSVQLEKYRRGFFSSQAVLKLKIHVPAQQVTDKNGAARLDPPVDMNIDLPILIKHGPIICSENGIRFGLGLITTKPETHYQIFINYLNKMIANYSLPSFAIRVNPGPQAGGEYQLNWKGLDTLFKVSTNLNDVEGNLKLYGLDGSGNNTVLKIDNVGHKFKYKRHQNWLWLGQSKFKIPFVLLNQAGQKLFELKDFSFMLDSDAPDDALYFDWDVSMQNLYANAQSYGPGRLKLNIKNLDSAAMANIMQQSSNMLQENGNSDAATAGILAQLPKLLSRGPVMELSELALNLPEGKVLGNFKLSLPNNVNDPNQIVQKMQGEGQFRAPIATVRQLLIASTKSDLDSKNTPATNNQPAVVPGAVANPVSAVTDTQAEAQMQVDKMLQALTSKGFLKVEGSDYVLIFKLENQQLIINGQPFNPNMLQ
MRLPKQLRLLARNQLFLVFLTFSLVILSSNAVISKSLISAEQARPVTLDSEESYQVSQNFLNINFGGNYYPRLAEADRFYKQGDLTKAREIQETVKPDFEPAPPPASPQVDAENLSPAGKVYWRIANQGMEEGLESKIFVPLEKLTENSPDFIPGHLLLLQAYEQYEKDEEALAAIERLATLYPEQTDVLDKRIELLVKNDKYLEASIAARQFAIAYPDDPQASRYQAIASEYKRKYRNKLQQDQIISGILSTAVTVVFVGDQAGFQTGAFLLAGESEAGKSLAQAYQQNLTLVNNSQLVGYVDSVSQNLARLMGRDEFEYEFFIVEDPSPNAFALPGGKIFINTGMLQLISSEAELAGLLGHEIAHSVLSHGFQDIASNSLTSLIPFGEFVNADLSRDQEKQADILGTRVLASSGYSADGLYNIMAKLKQLEPKSNWSNSLLASHPASETRMNYLEELIQRNGYNRYAYEGVERYQGIFAN
MKLTIVGCSGSMSGRKSSASSYLVQAWGPNTKLQLPGTEKMRLVVDDELAAINCAVAPEVTNAQPDAGNDQRLWSLVLDFGPGSMGQLLNYLDPADLDAICISHCHADHMVDLVGMHVYRRWRPEGALGPVLCIGPSTTCERLRGVDGAASCEDYHTEFSFVTAVPGRSTQIGPFTVTPFTALHPVEAYGYLVQGPSEDDPTKQVTLAFTGDTDLCEGMSQMVSGGVDLLLAEAAFVEGRDVLRGMHLTGYRAGQLASEANAGQLVLTHIQPWTCHDVPLAEARQSFTGPVYDAQPGRSWSL
MHNNFLTLRTFFQWLKPDNDFFTGIKSKQPKNNLPVDEVLFPGDVLTLRDAANNQRDRALVMVLWDSATRKGELLNINIGHIQPDKYGATVIVDGKTGKRRIRLIDSVPDLQLYLNIHPLRDDPSAPLFITDRKYNGNYRRLNEQTVNNMLNSLADKAGIKKNVYPHAFRHGRLTDLAKRGFNEMELRIFAGWTKESNMPATYLHLSGADIEKKLLAKNGIIEDDSKEREEELKPADCPRCKTKNPVGAKYCMTCSLVLDQATAIEMEKGSNDLDRNLGAVMANTELKEKLKQEIIAEMLKKNS
MKKDFNESDQTDKMFHKIYIRKHENISILFADICGFTNLASECSAEDLVRTLNELFARFDEIAHQNHCMRIKILGDCYYCVSGLPERRDDHAVCAVQMGFEMIDAIKLVRELHGVDVNMRIGVHSVIRLKLYSDLNVCLGSSDTTLANHMESGGLPGRIHITEATLLALGNNYKVEPGYGETRSNYLATHNVKTYFVVAEENPKLQAHQTYVAPVSKKIFGLAGFDSKPGATRVVDIEEEVENYLMQLQAIDEKTEMEKRHLQNRKVLENILPAHVANHFLTRLPNRRSELYSEARDFACIIFATITEFDKFYMELDANNEGVECLRLLNEIIVDFDQLLNKPEFSCIEKIKTISTTYMAASGLYGNTNGNTHVVAVIKFAIELLQKLDFINEHSFNNFKLRIGISIGHVVAGVIGMEKPHYDVWGNTVNVASRMDSSGIPGRIQVTEEVKQLLENDGFEFECRGNINVKGKGLMKTYFLVLN
MAYASGKYAQFICDTCGWAFPYKTAKMTWQGNRVCGECYEPKHPQLDPPSIGADAEALWKPRPEVSMPQSQLGLVTTTDPSAAGMSFTDDPIGSKFEGEEGTGAVGDLTVSTG
MRLGSKVSTGRGDPTAARLARPASTDRGDPTAARPARPASNGRGYPKAARPASTGRGDNVAARPARPASTGRGDPTAARPASTGRGDNVAARPARPASTSRGDPTAARPASTGRGDNVAARPARLASTGRGDNVAARPARPASTGRGDPTAARPASNSRGYPKAVRPVRPASTDR
MQVGSPYLPCFPDDMPVVALQAPELIEHTVIGSIRERAIYYRNILISLLDGRKPIVHIFGLSFGGPLAFELAISLQHSPLNCGSLCLSDPPPFCLPSKSTTSTLTMRARFYDFCFAVAISKQTNFQGGV
MLDMVQNDVLKKMLPSVQFLRMDGSVDANKRQDIVNKFNSDPSYDVLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDLQAMDRAHRIGQKKVVNVYRLITRGTLEEKILSLQRFKIDVASTVVNQQNAGFGTMETDQILDLFNLGDTSEVPLAADSSVQAEREEDMVDATGEVREKGKKGWLDDLGELWDGKEYEESFDLEGFLKTMK
MDEKKGTLSYKYVMLSAKSRDSPGYNSLVPASVGSQLEGLLFFLSDVPSNGSTQVMGRQNIVDAFNNGTSKACLLSTRAGGQGLNLTGAGAVTIPGLDFNPQIDRQAEDHCRRIGQTKPVTIYRMVTKGTVDENVNAMAKWKLVLVAAVLENYGGDLIITYDGIEILSKLTETCPRTFSCLFWRCIQSVIKGSSVLSDQESIALKFYFTFRYFYDIHCCISYARPGGELVYNLYF
QMTKMLDLLEDFLEYDGYKYERIDGSITGTQRQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATADTVVIYDSDWNPHNDIQAFSRAHRIGQNKKVMIYRFVTRASVEERITEVAKKKMMLTHLVVRPGLGSKSGSLSKQELDDILKFGTEELFKDDEAEKEAEEMRMIHYDDRAISKLLDRNQNATDDVDIQNMNEYLSSFKVAQYVVREEDEQEEVEREIIKQEENVDPDYWEKLLRHHYEQQQEDLARNLGKGKRIRKQVNYNDASQEDQEWQDDLSDNQSEYSVGSEDEDEDFEEKPEAGRRQSRRQ
YIIYFVVIVTCSEYILDLLESGREKFLVFAHHKVVLDAVTKELERKHVQHIRIDGCTPSADREALCQQFQLYEGHSVAVLSITAANMGLTFSSADLVVFAELFWNPGVLIQAEDRVHRIGQTNSVGIHYLVAKGTADDYLWPLIQEKIKILGEAGLSETNFSEMTEATDYLYKDPKQQTIYDLFQKSFEENESDMELLEAAESFDPGSSLGTSGSGSQDLGDNLDESTLTASPPKKGRFEFFDNWDSFTSPF
MRLTALSFILTCGLMSNFMVLGFEECHWSKLTVAEVSSCPKTRTDFEDRRKNKNCEALAIFQNCTLPPNFLYHCINNEFGNATVEVCGPSYIINGYCTEYNSFGARIQPNYELKCSTVTPPCDNRYNSTNSYQYPGCYTAVKKGQTRSTSIVTKTTSTPNLFSTTAFIHTSMQTRPNTQTSTTYYVVIGLLVAVLFAVGIIAVVCFKRKYGLEERSPAINEEEQIPMVKMTSSLTNDKDPGKPHALKIESNSIWISWEKPRSFSIENGDYFQVYFKINNAQAEWDLGKQKVEENNTIISGLLPNTKYIFQVQGKYGMDTKTGPDSDIIQTHRSCAVTFLNYCKKSTQTNPPIYLLPVEENARNETKKIRQLSLRKPFPDDLLHAVGATGSGKEITRQYDWKEKTIMLVGATGSGKSTLIDGFINYLLGVDFADPFRFRIVTLEKGEKKKDHNQAMSQTEWVTVYKIYPTEGSRVNYTINIIDTPGFGDAKGIDYDDIIRQQIHHLFSDDSGILYIDAMCFVSKAPDARLTAHQRYIFNKVMSMFGKDIEPNICTLISFADGAKPPVIASLQESKLPFGKTFKFNNSALFAENTRDADISLSQMFWETGTKSFEKFFEHINSLETKSLRQTKDVLKQREELKSIVNCIQPHITAGLSQLLELRKEKTILEKYKSEIKENENFQYTAMENVQKKVGVQPGCHVTNCSICNVTCHGNCHVSDDDKKRACCVMDEKTGLCKVCPLMCSWDKHKSSEYHITYVTFPVTVTYAERKKKFEAAQDKKLTLEQYIEELNDNTRALYEQIKTMMTEMKKCLSDLNEKALQNNPMSEVDYIDLLIETEIRENQPGALMRIKMLKNMKKRSFVTQDFAIFDQQMKEFCDAKDI
MRRLFWSLGILVLLGACTAQQSAVVDLGVAKVRDGNDTTAKTLIATVCGMTVGAYNRLESPTDRRGVDLLCGGDGEDPLTRQDLQRFLDAQ
MARGNGEGGRGISRGRGNIAQAPVYPNSQESVTRGNGQGVLPSSHIGLGRGLSSSKLSAIRGRGLGRGISGGRGLPSSRSTVGGKRKGTRRTSFGQYFP
MGVLPALVTTLTEKEVARLFPFCTAQNLRLCFGGTTNLFSGKSLTLRSKDNPRFKLPFISTIFANSINLF
MVAEYGDKIFKYMRSMEEQMKLNASYIDNQTELNLLPETLFLAVNFLDRFLSCKKVSIKKLQLVGATALLVATKYEGGQFLSVQDIVEITADAYTTDEVVKAERLMLSELEYNLGWPGPLSFLCRISKADDYEPEIRTLSKYFLDITVMDKRFVDCVPSFLSAGSYCLARHHSAVYKKYTVKCYNHVSLMVEAAASRGFSSTKRYIAKFI
MNEMFRAKVVRIMTPRRSLRLSANGRAVLPAAPLAPKCSQVFPDHVTPEAAIYEICSNGANPFEVELVFLPEYAEEIHAYLRYKEGATCPLSSYAGTAVQPELTWDMRRVLVNWLVQLHAHFNFAAETFYLAVNVMDRFLAKRTVLVHNFHLVAVCALMVAAKYEQATAPPLSTMAAVCEDQYGVGVIKTAERYLLAKLQYDLGWPGPLTFVRRINEGDGFDPRHRILAKYLLELAQLDERFVGIKPSVLAAAAYLAACKILNLAWTDKHTRLATYCPEQLFPYVSALLEGCYMFSESAVCEKYSRDEYYNIARTLPEYLNSAGFVQRGS
MAKLTVLLLVAAVLLSTQVLVQGDGETPQRARFFTARKFSGVNKKGCDPKWTICNNDAECCFPYSCENSNCQ
MHPLNSVEWSLFLEYIANILYAIGIRKLSNTALSILVSIATIGLAHLAITNGNVSGGWTLNLEQVRIGLTRTMYPFFAGLLLSRVAKPVRIKNAFLWCSLLIAIVLYQYFILNRWNMCRFLAGCSIKFLVEKIT
MQSKREAFPRFFFLSNDELLEILAQARRPQAVQPHLRKCFDNLVKLRLGDDARSADIHAMISGEGEEVEFYKVMKARGPVEKWLLEVEDHMVKSLQVVVKNGWKEYAMPSRKDWVANSKAQVVSCVSQIMWCSETEEVLHSDDPLNKMNDWFDKNVEQLSDLTALVRGKLSSIQRKSVVALAIQDVHNRDIVDELRKAQVTDVNNFKWQQQLKYYWDTDEDDCIIRQVDARIRFGYEYQGATSRLVITPLTDRCWLTITGALHIKLGAAPAGPAGTGKTESTKDLAKAIARQCVVFNCSDQIDYKMMAKLYSGVVTAGAWTCLDEFNRIDIEVLSVIAQQLLTIRVALLDGKSEFLFEGRVLKLKPTLGVFITMNPGYAGRTELPDNLKVLFRPVSMMVPDYTLIA
MVEAWAPIEFDVVAYRTTGTSVVKVSDECNTLLDDHIVLTQQFSFSPHKGPFETRITDWETKLRLVQEVLSEWLACQRNWMYLQPIFDSEDIQRQLPAEAKRFSAVDKLWRKTIKAVTAAPHVLTFCDDDALLTAWSSANEELERVQKNLADYLETKRAAFARFYFLSNDELISILSQTKDPNAVQPHLRKCFEAIHSITMTGEQCEMSDMISAEKEVMPFDTPLQPKGSVELWMGEIETTMRRSVRKETETGIADYKVQARGDFMKSHASMVAISVSQLDWTSCVELAISDGGCDGVRQYLETMVAQLKELSLLVRTKLSKLQSKVMSAIIVMELHARDVVERLIEAGVSRTGDFEWVSQLRYYWEERESRFGPINMLVRMVQSKQCVVFNCSDGLDYKAMGKFFKGLSTAGAWACFDEFNRIDIEVLSVIAQQMLNIQSAIIQEKEIFEFEGSQIRLDPTTATFITMNPGYAGRTELPDNLKALFRPMAMMAPTL
DGLTLQQLLEIGILDHIETLERISAEAQKQHGLKTALAQMKREWRATEFGLTPHKSGTHMIKGIDEIQAQLDDHIVKTQGIRGSPFVKPIEKEVKDWEAKLIYIQDLTEQWLLVQRSWLYLEPIFGSDDIQRQMPNEAKRFQQVNGLWRTTMEAVFENPNVLEVSEIENLLASFTDANKKLDAIQKGLNDYLETKRLAFPRFFFLSNDELLMILSQTKDPTAVQPHMGKCFEGISKVRFSKNSEVIEAMMSVEGELVELAKPVNVAEGDKKGNVEKWLAEVQSSMIDSLTKVTAASLVAYARSDRTKWVLEWPGQVAICVDNIYWTQEVAQAIESGTVDDYHKTCVSQLTGLVQLVRGELSKLARLTLSALVTIDVHNRDVVLSLKEAKITSSKDFDWISQLRYYWRPTGSMTMKDTGRPNQEDKCEVSIINATLLYGFEYLGNSDRLVITPLTDRCYRTLMGAFHLYYGGGPEGPAGTGKTESTKDLAKAVAVQCVVFNCSDGLDYIAMGKFFKGIASSGAWCCFDEFNRINLEVLSVVSQQVQTIQYAVRDKKSEFFFEGTEIRLVPSCAVNITMNPGYAGRSELPDNLKALFRPCAMMVPDYAMIGEIVLYSFGFEDAKNLARKAPHSNNKKVNNNNKNNTNNNNNRSSNNHNINNKAQQLQNLLLESCSNLLCGWSYRHIYLWFAVVAAMMLLLVECKHILAFVMTTPTTAITTNTITTTAAATANLLLCIHTVWWNDRRRSFCQVRKHIFVQALNSYSKQQHQQQQPQQQQAAQQQQQQQQQTPTAQQQPPNHQQQQQAAGSLRLGSEQLSSQDWRRRLLLLLFWLLLLFLLLW
MCKHVYSRRPQPRRHSSSKLFGSSSSCSPANLIKIPRARSKGDSWWRRCRCRQTCLRWENLVMLPMALITLMVGDERVTDHPVQEWSSLRAAIHVVTHWNAANCAGFDTGARLALISSKRSHPALWEPSTTVRQHLNLCAWGGINFERWCVRLVLQDSLASSSLDQSSAIPTRQFLGLPCIDAHEFQAQTSPRLLLVNSKTLDFPIQFCKLVLSSIVSLVRVCLNYWRIRPE
MEWLKVTNTTDDVNVTEMVGWYNLVNAALLDHFTNQIKETDTSGVWRYLIAFKNILRSIENFGISMVYGLNFFGRGVLQPHNYLQYVRHDVLGTDLLNSSLKFALQLGEEMTRRLNSNWTDVHERRQLVLQNVRQSPSILEATTYFDLMANYVTELRTFQKEVRKEIR
MILTRSELDYLATQPIGRLATVSPDGQVQNNPTNFVVDDLATLAPWRLRSIEIRGTAVALTDQEPPLPSLSREIIRIIPVKIVTWGLDGPRATRTV
MIATLSPAQIEYMLSQRLGRLATVRPDGAPQNNPVGFHYNEALGTIDIGGYHLGNSQKFRNLAKEPRVSFVIDDIKSVEPWEVRMLEIRGTAEALRGVDTYLEGGSPELIRITPTRIIAFGIE
MNVSGCEVELSRNRFTRPEALVSQANGALTPRSRLRLGPGSTWPPQREDTPASPRPVSSLVAVVAALGSVATDLGAGLLWSLLAGS
MTAGAVAVRRDGRISGALYLVVVLAGMFCLAYVPSKLGASPAGASAHADLFRAGIAAFLLMQVAFLLLPFALYRVLGDVNRQAAVLMVALAAVSVPIGLVAVTHRMEALSLLEGAPGTASDAMEAAFALCLQRYGHGLRIASLFWGLWLLPFGWLVLRSGRLPRVLAACLLLGGVGYVVQVFGGLWPGIADAGWMAYVRMPAAIGEIGSCLWLLAFGARPGLQRSSTD
MESKNKIARIAGLLYLIVVLAGIFSLMYVPSKVIVWNDPSATYTNILNSESLFRLGIVAGVICYTAFLVLPLVLYKLLSSVNKTYAVAMVVLAIISVPLSLVNLLNKVNILTLIRRADYMKVFDTSKIQAEVLLYLDFYNNGLKITSIFWGLWLLPFGYLVFKSGFLPKIIGILLMVGCFGYLINFLGGFLFQHYKDLGISTFISLPATIGEIGICFWLLIIGIKHKKEPQVSVNSLPTL
MALDPKIRINLERSVVLLVEHNTQALEALTSMFRGFGVRKQFKCSSTWTCSRERPGPWRSTPRSGSIWSDPSSCWWST
LMAKGKEVYNTHCAACHQPNGEGLPPTFPALKGSKVATGPVKDHIDVVVNGRPGTAMQAFGQQLNALELAAVITYERNAFGNDTGDVIQPKDIH
MTSILNTKCATRVVIGLALAGALSAAQAQAIPDGHRLFVQNCSACHQVTGKGIPGAFPALAGDKFVLNARDDVIIRTVLQGRAGMPAFAASLDDNTLAAILTYVRSAWGNKAPAVKPASVARIRKTVSTGGSSPIHN
PAAGATLYATHCTACHGAERAGVPPTFPTLTDVAKRLQPAQIKEKISKGGGLMPPFPQLSPQEIEDIASYLEH
MLDDDLFAVFMIAEKRGVEFTEILDSPYKYVLAWDIAYQRKQVETRNR
MKSLQRRRFIQQGIACATGALFAAAGSTALAAESATYALTIHDDKFEPETLQVPAGKRVKIAITNARKSPSEFESAELSREKIVPAGLTLDLWIGPLKPGKYAFFDDFNPAVKGWIEVTAKGQ
MTTTLSDVTLDIRSSNCGSPAFAKAATLVASTSNPQSLSYSYQYAGGSDTQGNETVPKGQGEQSFLVKLIADSRYHLVDVSFGTGNTQLSKHDQDTYKVTIKDADDAVETDYYQLSVKDTSNGDCTFLCDPRVTNTDPD
LDPEPDMAEPDAAQPDASCGDLDLPVGNWLNPFDSPMTASAGDPHHSAVEPTVNPGQAFSFDGKFAYGVFSEDLEGERVEVWLREAPCGAWERFAQDRTDSDGRTSTPHPGFDAEGTHDFRLRVPGDNSVAPGAVRVVPRGRQAVVFDVDGTLTIGDSEIFQEVLLGSDPEMYEGALDVVWAWADAGYFIVYMTGRPYFLNPATRRWLERHGFPPGPVRTTDSLSEALPTADGVQTYKRNWINHLRESAGLDFVAAYGNADTDVCGYAQANIPPSVTWIIGPHGGEACQGFQATQAVTDYPTHLARDLADLPPAAR
MFVEAFGGSVKGGTPHSGSGHMRRMSEVSIQSTMSGVIDSLPLQTINALSQKWWGPKRLDYALYCPEGLANFPTNALPHLFHASYWESLDVIAFILRQVGRFDGTGPGENDEKEVSSFSPCQPREKWIKKRTSVKLKARNVAANHRANDIIVKEGAPQTLGARFMYGPLDMITLTGEKVDIYVMKDAPVGEWTLIATEVTDKTGRVLHSIPEEKSLGFGIYPMKMIVRGDHSYVDFYMAVVPPKTEVVVFSIDGSFTASMSVTGRDPKVRPCAVDVVRHWQDLGYLIVYITGRPDMQQQKVVTWLSQHNFPNGLVSFADGLSTDPLGHKAVYLKNLIQEHEVVVHVAYGSSKDIGVYTSIGLKPKQIFIVGKVSKKQQALATPLADGYAAHLVALSSHGGSRPAQGNARMVIPRPYFGLPGHNSSVRRRR
MLVSFSKSNWPASRTLESGQGKKFRRILEQSGRIRKAAPLSHNAAPAHTAAAGADCAELVRGTIEPVWPYCTTIGSHRPDGQWR
MTALFAALFVGLATPATAATYAVLKAFSVSPASASAPGTVSVSYSAESADGLGYVMFHFRDSDGRGYAAQAHNPPLDGTVVLNLPDGVRNGSWTLYRVLLRTPNGYDTLYNRDGTIESGGTHTYDLSNGDITVSGSTEDTAAPVVRTVSVHPSAVKPLDKVTNAWTQDEVHTTKQVTAVFINPTFHHEISFYKTTTSHPYDPLVGTSFIAGVPTTAYNGEYVLDRLYVTDTFQNTATYRTDGTVVSNFNGTAPATHAMDFSKVTFTVAGSLRDTEPPTLKDFSVVDSTLTAGDTVSVDYVATEDQPPLDGVEFQFRASDGQLVWLGDTDSPALTARLSGRVFSVGTHELTKAVLADRVGNRVEYLRDGTVVQGANRSTHSFDFKAADLIVKPSAPSILLARPKPRAVELSWEQDSAQLPGISSYRVEANPGGRIVTLPVNRSGESPFQKYTVTGLSFGVQYTFSITAQSGVGAGPTAVTRAIPRMSDRIFGVGDVSSDGRADVIAFGPTVGTLGTVSAYLGSGRSGFSGKRTTMASEHVQRMAPGGDLYRDGDADYAFVNANNDLELHASNGRGAVSYRFRLGTGWGSMKFVDGGYDFSGDGCSDVLGVAKNGDLYLYKGSGTGRLTPGTRIGTGWGSMLAVMSTGDVDGDRFNDVVAVDSTGTMRLYKGNGKGGWRDTSTRIGPGWSGFGAVFPMRDFSGDGRVDIGAVTMTGDLYLYKGNGKGGFSSRAKIGHGWHTFF
MKNTGSTATPHASWREAGVQPLQRFEEGTPATVEDFEAYQSQALRGVFPYRDVLFLVAEHIGIDVDEHLDLHVSDIAAQVVADLGEHRCVAGYRFTRWRTRRDPFGGRRREAMHEALYVPRAAHQIVSMAVATWRH
MDGNIFPTQPFSRGDLTKEFFEYVKDGNTSKIRIFLRRDRFLNYEFDEQKQTALHWAALRGQSETAKILIERKAHINAKDIIGRTPLFHAAKLNNLCLVKILLAAKADPMMRTMAGKTPYSVTKNNQIKQFLMKATLLKICLPMIPNLKTRAWVWESEGLYYFQKEDIEKIDDFV
RKRKEKKKGKKEEERRKKKRERERGERRKRRRREKEKEKEKEKGRGKKKGKKKRKGKRERKKEKRRKEKKGKKKEGEERKEGEKKGGRKEEKKKGKERGGGIREKRGEGRRGKKKRREKERNKEKRKEEKRKKRRRRKKRKEKEEKKKKKKER
MIQVTYVTGHDTTFLSWGIAECSETGRRSVGRIRRLLSGAVLPIDPRGFIEG
VKSPMPKSILKKASPKRQIDKPNTLQKSTPRQIAKLRQVGALARVPVDAFASPEAHVKREEPETLGGRPKRRRMPPLQSWRNERVVYERTTSSRTPRVVAVELDMARASQAPGGMRLPALQLPLSDIEASEFAGITTKRMQSKVYQLPSQASATTGLPCTIELCGPGVIHVLEGSLRFAKETDKKEKMVDAGTTILLKDASSHLLAPADKDGESSGVRFFWVGIR
MAGNRNESSTRLAEDEKNELFKMLAQSKDGFYDRGNNDFKSNLTHLQIGKGNSSGNGDDIAFNVHGSLTLNGSIPVIPGLLKIEVSAKADGDYAQRQYDASLEKHGRGYVDHLEAKNDIKRQNVTQYNVTNRIQERIANALSSNRRFNDSSEAQNSSSSGTTEVHTVRNIVRWPDVLRKAQLHLVCLGIDIPLNATEDFSTNAEVLLKINEKERAVGYPDSSVYASCP
MKEKEKNFVLVVDANEEILKLAKTKIKMFADDIKIIGETDVENARYIFDSCHESLKAVFVGSGIASFTIEDAREPATLGFIREIRESGWNKPLVGFSGNPDFGIQMYGAGCTRIFSHKGYMVEEIVEALRNHDVIDIPNKEESATR
MAQDKILQAVIKLKDEISKPLQVVNKSLKGVKNDSDSVSKSMKQTKDTFSNLKNEANSTKNKLDNYKASINSVKNKVQELKDKQIALSKSLKETKEKIKEVSDLVTDMGKKLTGVGVAITGSLTALTGTYVAHSSEIVKVSKMAGISTKEYQMWDRILKSTGYSMEQANGDFAAMAERMAVTQQELTGLIDSESDLTQIVKELGLSVTDSNGNLKNTGQFMNELMIATSKLENKTHQQAIMTALLSTTGEELLPHLENWEQKMKEMEKGNYVTDSQLKKVIEFKQKWNDLKLQFEGTRNAIGQALMPILQELMEKVSPVVEKLTNWINENPKLVEGILIVGGVIAGLGAIVTTLGIALSGLAGIITLVNIAFAPTNLLIMGIVVAIGGIIGMVVLMKKAWDENWNGVRDKAKEVCDKVLNWWTNLKDAILNNPIVATVRQVFSGGNDSNSKNNKGQKSAWGTKRVVGNDVPYRLHDGEKILTRTQADAYEKGIGLGGGISINIENMSIREEADINKVAKELVARLNRSRLAFAGGVG
MNLTQLTALAAGVAGHPAAGLVAFQAASGADLSQAPERRRRTLRRARRAATTAPVEAAALPVSGLNHATRDNATTPAALTASAGAGSR
MLTFVCGACSDCQIPVYTGKLVIDDVSAQDLLRAAAFYQVVELRVRIEKFLADRIAVCNVVELLCLSNECNADGLRRACLPFLMLNIHAVVKLPSFAAHREWAGQEILLALALELGDDWYAAYQTMVTHPRHQPAMASSLQNLTSHSSSSHHHHHPPLSFSSYPPSSSSLLPRQTVVSPLPSRRLMPPPSPLGKTSQRGRLLSEESLAEGIC
MVYPERSRRISDCHQNFLPKIKDYINRLKRVRLDFLKLTLCFDFFHTFVKMIKKQIYIYFLFLACSLQLGHNLFPHTHAEKHHHHHGEKHHHHHHEEEHNDDDSLSHIFSHFNHHSDTLSNSHVEEVTKIIKKVSNEVLVFEIPSNWNLNFTIHNKKEVVRNKEPLIFISPHLHSLQFRGPPTLFS
MQSRLILLLARLIRRIIIVDASTDVSVSSEPRMIIPKINVDAPVVYGAASDTKSQSEAMRRGVAHFSIPGASAVPGQVGNAVFAAHSSNDAFAAGDYKFVFAQNENLVKGDIIYMNYNSKRYTYKITSTEVVMPNEVSKVQLNTNKPMLTLVSCVPLGTAEKRLPGFC
MNAIYKIARRKEWEAAKGGGFYAGSPDDLRDGFIHFST
MRKIDCHMHVNGGPRRWGWGDNDRVIDAADRLGIEHLCCSIPVTRGLPGPEEVRECNDGVLEATRRYRGRILGYCYVNPGHQRAALEEIDRCMNRGMIGVKLYNQYRAWDPTVYPIYEKAIDLKVPILWHSGHTLDRATALAVGQPNISDGTDMARAARRYPEAMIIQGHIGGGGDWEWGIKAIRHAPNVYLDTSGSVVDDGMIDLAVREIGAERLLFGTDMTMEGGVGKVEDAHLTKAQREKVYWSNMAGILKKGGYFVP
MPAENHKTYLELAIEDGQAEFTHEGKTERIRYIAANHSERWTDPEEKIRAEFWAELIYKYEYDPKRIKFEVRVPRRTPNDSADLVIYKDDEAKDPYFVFEIKRADISDAEFTQAIEQACGNRSGLDAPFCGAIAGLTRRLLRFDDRKKYPPGERDHNHLTDIPIRYGKPPEWRFYKDKPGQDLSAVPREELRSAIRKCHQTLWEGGRRSPIAAFGEFCKIVFVKYRDEKNPDHLEGEPYAFQRRSEESSDHLAKRIFKLYATEQEKEPGVFTDQINRPLAKVTQEDLILGRKSS
MSLIQEGIKKGLIKLDDEQKYITYINQNKKRNYSNPEEQVQAETFLKLILTYGYAQKRIRLFVPVVMGSTTKEADIIVYNDDEQKSPHIIVECKKQEVSELEFTQAVEQGFSYAVAEGAKYVWITSGIKDEYYQVPTEKPKERITITDIPQAGVETLARFKYAKGGGISNGQKLFELTVVTEDELTRRFKQAHQSLWGGGELNPSEAFDELDKLIFCKIWDEKKARKVGEPYDFQIFSVAPKANEKEEERKQRENKQLSERIKALYEEGRRADAEVFKDDIRLSPEKLRTVVGYLESINLGETDLDSKGRAFETFMGSFFRGDFGQYFTPRPIVKCNRSGG
MMQSVLASKLLDGMRVRHIPLSHQARVNEKRFGNDA
MSLLFFTQSCYHYRITTSKFDPSTGYQKKTAHAFFWGLVQKNVIATNCDSLNLKSLDEVRITSNFGYSVITVATLGIWSPVQIEWKCPKPCPHEGEL
MIKTFTAIVDRQKDVETLQEMKDYIDARIRALESQTSEAADRSSGKGREVVASVTYRLEKVRCGKKGCKKCPHGPYWYAYWKENGKTKSKYIGKTLRNQEKFKGYSFQRCP
MATSVAELAFLCLQQNKEMRPSMDFVLEELQRIKSGECMLDNLKEDDDKEELKSVQQQPPQSPPHCEESVLSKNIELPPSPSSVTENWVSNNTTPNINCNEQDGQKIQLGKDGPQFYITDIKLDYTLQLHDLEFEKPRQHGSCISLQNVTLQTSAYLSFNVPSNGTMLKCPSTHTNDIKNIESHQLCNDSTHSLVYNSSGFHPPNCSLIPLVVNKTPKSVKFLNLNTWLFYLRVNVTRKCYQCHRRGGKCQSDDMGNFHCSNATIGIKTKGVSTSITLLATTLSLLSLRSQFKTLLPSSTSTLEAPIDI
MKSRKVKAAARSKAYMKKLKGSIPLDVEENSFDEHEGEDSVEEDDNTQFQEDSSSEEEVLPITDDENDSSEEEEEGENEDEDLPDLNNWGKKKSIYYNADFVDKDRGKTYREEDLELENAEREAAGLQQETAMQLDRFESSAYLEDYDRALELISKKLPLKSEGELQDADNLEIEKLKHESRKYPKLSEYKENFKEKFSEVNEKLAPLLELSERVELDEKLVYFIKLKYHILLHYLLNINFYFYLKCSEDVPENHPIYKKIEQFKNLDEQMNSHKSYLKEIESISLMLKENKDISVFKEKVSKPDSLHKRSKDRNSAGKSSLGKNKSLISKLFSNVKEASEKNLVPGTEEMEGDFDAENPTKETGDEKRMITYQIAKNKGLIAKRKKEQRNPRVHNRMKYRKAKIRRKGQVRGVVKEMKRYAGEPTGISAHVVRSTKIK
MAHTMMQPKNMTCMMMHPKNTAHMMLHPNNMAHMMMHPKNTAHMMMHPKNITHMMLHSKNTFHMMLHPKNMAHMMIHPNNFTHMMLHPKNMAHMMLHPKDTAHMKMHPKNTTHIMMQLKNMVHTMMHPKSMAHTMMHPKNMTHTMMHPQYMAHMMLHPKNTAHMMMHPKNITHMMLHPKKITHMICTQRTQLI
MKRNQVDGFLRNKMAFTMKENKRHTDYMPIFSGNVIALPRLLRLTRSSKEVPINNIDGIARVLNYTHRELAESIKCTISASTGYYSMSVAMLLFVEQKNAICPNFSDEFYPSLQETINLLLNVAENQWRKNKATKNDYLKLQGLSNQLLIIKAKKKKYAEISQKINNSIKSVLELSSNENT
MMYPGMGPGPGPMGMPVPMPMPGPMGGVGVGMGMGMGMGGIGMGPAPMPMPMPMPGPMGMGGGGGGGGGGGKARGGGGAGAGAGMGGDARSRAVAATRKKTAAGQEMRHEMLERMRYIMHEIDETNDHFISGRSAPFQFLDVCCCPGGFSTYSLTAGAAPRKGIGLSLPPELGGHLPAIQTETDTYLLHFVDVTTVAAGVRVGDMAVGVPGCPRHALRLDAPLDAPPVGSCQLVILDGSFLGGKDWIHKETTLPDSENPAFNVYGSLAAAHKALLIAQLIVMANNLAPGGVLVLRLNMYADVFTMGLLGLLRLVFDGDVASYKPRSCHVHRASYYLVCTGFDPMKANGLQWVPRWYTSLTSLRAGGAAPRYVPFPGLFLDNEAGQAAMWGRALCLYHAHLWRFAKLVEVAMEEKAMLDQRMPGRPRTGRYSHVCGRIFAGRSCNNIARCNAAHSFEELHPFVQKAFREPRGFMYHPRSAALLNTPLPPSDPMPETLLELKQQAHFQAIRQAEAAAARQQAALAAAAVAASGNVLGHVGSYADAVEMGMGGEWVYGMTGGGAAAAAAASAAPPLPPPGDDGIPLHLLRRELDDNAVLEGGFDEDEDEGPAAAPPPPLPLHAGAPPPPRAAAVASPAASASAAASSAVDGYGGGSAAATTTAVAAATAAEAEAEVGLELEFEATAETAGGGGGGGGAGGGGGGGTPIKPELLRMSAYQPRLGLVTIEIEDDETLVAMLDYLGR
MNETAERRPKRWLLILAGWIVALGCSAILIAIRAPRVHAIDPTMPFLITPVWVVSFALVSVGTFRLTRFMKNMVARAVVTMVAVSVQCFAYYLALLVPAIYIHLWAGGNL
MENRIQKEKDGAADERENGRTERISHELRRQAGSLGSGVGRNRTSGQQRGGVYPADPGRRNHRDQRAAGAGGAYSKIS
MPNKLALSDAAVKRLPLPASGTTTYWDTIEKGLGVRVSPKGTRTFIALVGSGARQKIGQYPRIGLATARDEARKLLAKKTLGTYEKPNTITWDTAVEKYLKACEDKNRPRTISDYKKHLARFPFKDRRLTTIKKRDVSGVLEGITAKSMKAHVLTSAKAFFSWCANEGYIDASPISALKPPQSQGTAHLKQKAAKPKRALSEAELNEVLSKALAYPYPFGPIVALLILGGQRRQETASYEWEWIAPGTITLPGTVTKNGLEHRYPYGSLTKQVFEAIPDWAKQNQYLFPARTESVRGKPSTVFNGWSKAKKAFDATLKGVKPYKLHDLRRTFTTVLQSLKVPLEVRERLTNHISGTQAGVAGVYNVYGYEAEMKDAIRKYDAFLIKLLRSGNPA
MHLCQYSKYEYREITYFFIGFGTGVTRPILTSKLTNAFRRKDVGSILGVNNSFTSICQIISPILGGLF
MTQAGALGACGCLNKAHPGLWLLAEKVEGVQEQTPFSPFRVQSAWQSEVCEECRCVTFLSSGDPVVNPARPTPQTLPSHSGQHNRWLPAPCASHPKLDPVCQR
MKTVKETDIVFDRRKPLAKRLIKNTFSAINAAALHGNKVRCNICQHTFRRFIKAANMSQLNGKCPICGSKAADRILWLYLQNEVTASKTKKHYLFFEHNTFIADKIFNTDLQVDIISFESTFQADKIFNEKIKERKYDVIIFAHLLEKTEDEQYALAKLRNLLRNGGYLLIMTIVNRHMDRTYEKPATSEDKERLGRYYVRGVKRVYGNNVAKHLAKAGFEVEAIDYADQLGNAARTYYQLGEDSEEIIYKCKKIDKQYGTN
MKHVWMKTLFVLTAWMPCAHAAVSAAVDGELVQVLLDVTRQLHLSPLDAPTRFSLFGMPSAFVVLEIKDQIKPFMQQLHSLPAPFSRFTRVGNQIFFSGEQSGAHLQLVIDLVHTRHSQVLLSAVKLAPGTRLGTDPNAWVPTRPRYLPLLPAGGRLLMDICYVDGQRNCHQIYLYPQREKKQLANVLEAELVAAHWVRQHAGSGFSSWARHGRTLQYFLDRANADTALYLTAPDELW
MNRILQALVLVTSLSAGCQPRNTQSDIAGSSLYKETDQNLLKLPDGSIVYINHSGNAYALNQVKDGQSMPISTFSHPVFFPFLYNGETAWLTDSCGNELYTSSKANFNRISGNTIDRIYTSNTGRYLIYKKTDEGVFLFDQQTQCETLLEQLTNEFTHLAFDPTDSIASYKDGDDLFILHLPSAITGKLAVPLSGEKFNPCPFAGKIYFACRDTAQFTAIYSCDLSSSEISKVHQTSNELRLPTVTDTSLYFIEIINSQYLLRRKSLTSGKVDQITDRGVVYTYIPGEKQVYYSYADINSTKSLYSYRLDTRTSVPIDSITLFPDLEAKPYVITPGDTVHYQISSNKAISRPGTILFIHPGLHGDYSPRFEPLLYHLANAGYLIICPNYPGSKGYGYDYEHQNPEAAVEMLSKMAQQIRSQTSKPLYLLTSSSGNILAERLLNMEKCQFTAAVSLFGIPGAAPTPKVPVLYLLGENDQQINYGRRKEQLSTAAKKHPISIYSYPCEGHWFRDNASLQDASERIVNHFKRQD
MKNPKNNNQSLCFFVFDFRFLFLKVSKESTKKEKIYFKKFSKKINKSISKRLLEQINFFLCYFLFQNIKNDLFLVFFYDGIKWIIPFSKKKKKFLLKNKTNFFFNKTFFNQELRIFKVENEAKLSRKCNKYKSYLSIFFFLFFVFEKIKKEKKNISFRIFNFISEKNFEGPINLSLKLFFLGRKLKISFDTLVNGEKDFPIFHKISGESHGVYCRLKKNFFRTIFTEELLDIFISLFLPFSFGKQFIIRPFSTKNFTLLSKAFRKKKLGFFCHFCSSLYLFLPTNCYFCGINFTS
LSGNTHSVFTGVAILTKDKDSRFYNQTQVTFANLTPAVISAYVKTREPLDKAGAYGIQGIGGSLVEKVTGDPFNVVGFPLSAFCSHLVEKVLPQDSLKHILEH
MNYYIAQERAIRQVVTLFDNIEDLVCKNDRRCDTGDDDENTSDQNWLQIRYITFTNSLHWFFKKALELEYDNYLHMLKSFQQGANGAQGDDTLKLKTLISEWVNCWFKPDPPVDPDNKNSCGFTNNACTSLNPPEMVFNGYIITDLSFLAFLYKKYTANPDDLEEGLFKGKILVQSYKVVFTSPSSAKDIDGDGDGTDIIQNNRRAQKSSCRLKVKKHVAQIIHMEKVTPHSITYIVRFALFSVTSWWSINGDFDYVQFWQMVTLTSYPYPDLTLVILVILAFPFALYLSLQQSQYIWPDPFADEAYPCSVPPPD
MNETANLVILEQNAIREQSLTGKTVWWLGRRVPGRQVDVALSSPLASRTHGEFHLEQGQWFYQDLGSLNGTFLNGDKLPVKTDAYLQNGDILRVDTGDLEAPDENGILILFESRPQESQWQEYDLTGLSTTIGRERACDLVIDRPYVADQQLTISQVGNSFYAAAANSAGGTKINNRYISGQVPLHEKDVITFSNCRLIFTNGRIFYSLPAKQEVFTDNSAAYLANKLRRLQVKITDGLDEKVRFAAEAGALVGLLGPSIAKLELIKALAGQNASAKGEIRCQGVDCLQDPSKATDQLAFISSYSLDESEANMPLGYYLSETAKLVLPEADRRQQAEQTEQILQLLDLESSKEKRLNACSESEKRLALLGKDLLKGKLVLILDEPDAGLSPRDRNFMFTALRKLAHNGNKTVVASISQIQDIDCFDKVVVLKEKAYDEWAAVGTPEIIADRAGIRTSSFDHLLSF
MENWRALDAPCSCSLWPRRLIRDAPGAASQGKAATQGM
MTIFKEAILLVDDDQRVLRQLRFGLKDSDFDLYFTQSPIEAMGIILSYPIDVLVSDLRMPLMNGCELLQYSALASPQTSRVVYTGAMGNFSSELNNREIDVLLQKPIQPHRLQLVIEDLLEEKLSIKNVVRAGEKISPEPDELFENERREALLATLKVLMSRDGTDLNQINFCRKLMRLLCDRLSSPDRVTKHLEYAVLVSLLDEAEISFLRAHLSSNIFFTDNLTNYSLKFGGCREAQIMVLLIRDCCFLHLEEQDTWEIVKARLSHKRQYFGCEFLNSLEEIDEDDFQGCIA
MSGRQPQASFILEKALAKIDGGPCWKSPRNKLTPEQRRLSLEAQRRERRARRTQQRESGESNRGSKPAPGKPKPPGTAAAKEVAPSKETIDVSKKKPAAKDVVQEKDDSVPRRKAAAKESESGARKKKAPAKDVALTHATSPETAVAEVVADMVASVENVDTAVPSRPQAPACVGPRPSSRKSNQVTSRKRRRAVLQGDSDNDDEVRYVESLQHKDTDWKLMDGMDLGHRSLDEAEGGSTLGETRVEAGNHLRGRGKIRK
MEDFSCRASSLEGSAALISVSGELDLHTCEEFRTTLDEARRARPRHLIFDLTEVTYIDSTALGVLLVTQRQVKEPLHLVVGQRHQRRLLRLTGLDEVFAVHETLDEAVHKALERAA
MLAVVGEVDVRTVGSLRTRLTDLLVEGSPRLVVDLSGVTFMDSSGLGALVSAQKRARVFRGTLVLVVVNDAILRVLRLTALDRVFTVHATLEEALASAREDAREPGAPERVAEPEPRG
KSGALVLILVLGCCSGSAALAPAGSGKSWRLRGGSIKAQEPPLKQFKGDANAFFGSVRLVSLFPAGAILGQFYAFPPYPWAALCVSAGARRALRTSHREARLPAHRCCGALLLSTIRC
MMKKMKNNLVLQGRVLMSILVLALMASCETGEDAEPEQEDPIASFQFEVSTDNFLEVTFSNFSKNATSYAWDFGDGNTSEEESPVHTFETVGTYEVTLTASNEAGVSATKTNTVAISDPDAELTKLAGLESKTWILQREGVALGIGPAAGDTQWWSFGGVTPLGDRPCILDDEYTFKRDGSFELNSNGTLFTDGTGNGGWNDSNIDLCGDEASVDWTSAGGIDMSAYQNGGAYTYTFADGTLKLMGDGVYVGLANKTNAGDIGTQSAPQSELTYSIVKLVDNDDVDSLQIALQVNGEAVFWTFNLVHYDDESKKPAIPAEGPKALFDFSYTDGDQTVTFTNSSRNATSYTWDFGDGNTSTDENPVHTYSADGVYSVTLTATDGTTESVITKAIELNVTPVTDFVIDHESAVTWDVFGGSVVEIVANPDASGLNTSANVLKLTHGDQTWAGIVTQLDGPLDFTGKTKMKVKLWAPATGTLKFKLEGVGGEPNQEIDLTVDKANEWVELTWDLSTDINGNPVASNAYSRVVLFPGWDTTTADVYYIDDITME
MHINSAKCLACTTTAVGVCIVGSLQSQPPQTHQRAGLSDMELPRSGGYSGSHMNGSETPSYSQHRTPGGPLSPSEDASAHQTMNRGGNREGRGNTPAGLAQVRQTLALPDLQLSHVTVFTDRAELVRTITPVFKAGEIVEMLFENVSTAIDKDSIRVELRGAATILDVTYTARTVPHLEETWAQSIADLQTELRQCHRQIEAVTGRLARLEKQRTVLDTFADGMTKKTEEEVFAAALPQPPPMPLPQYDKKHDKHSKKAPPVYEDSLATGLAKRSVSELNNQANPYDPSHMDTLQRFLTMYEDQAERLDSSLLSSKEELDRTRDRAEQIEKELDMLEKRQDENLVREISVLIEPREDGPVDMLITYVVSRCLWKPAYDIRLFNSDGSMKIIYYGLVQQSTGEDWEPRRMTLSTAQPSSEGLCPSLGLQRLHYKQTSVGMFTCNVKTYTTNNSLSKAWADFILPLPPAPGPLPYFQGWVEKFVRFSRLGYASTSPILMLLALSLTLNPTNSTPQPTSLPSVSSASSSRPPRPVSSASRNKTPRALAQAQHQHSLLNENGSHAPEVRLPSESSMRRQQALSASKESKKPPNARKRNSSESSRPVSPPMDHLYAPPTATFIPPEEVAIVGNYLVATLPRSRHTSLSGESMRQPRTTSRSRAPYFEEVCYHP
MENVVFLFPLLLLPVNALKNVLRTMEMMDVLMFSFCSKVSKNHVKSLKWKADFCSLHLLDPIELKISFRFPRGYNIIQIELTYFSFLLRCPKPTEVRINTSHGATFSAVQKDSEEKHFYNRKRTGGELIRHFLDISNISEINRSQVGFHHDHYDENIYYYAREIYKGLKVKKLLCENVNIPDYYRIVNLILPKVFLHGISPSLEISRKLLIQNFEYFRMEHETSVTSLDTLLMANCRNVRFSCRQFTDKDFNRFLKLWIKKANTQLETILFSNSKDRVMEDILKGIPSRRMDERREKIAPMWKSVVVRDGYVINRSDGIEATISIETEHNDAIVFAVWNQF
MRRHRIATLALRVRFLTERVQCSMQPCVALFSRGIGRAGLRYPDPDMTAIWSGTWFPKRPGIEPDRRTAFHSATPASGSAPNRVHYKLLRAAPLNAKPDILRDDQPHDRP
MDRIGEDSGEYLGVMKGGRPASFEERSLPVNSLEKPYNSYRLADDWPPGTKGWTIEYAEIDRAFGRPGGGYQMVVRDRMGETENVRDLLDRGVLVPGGAAE
MREHSDPQFSSPVFVGREPEAVELRQGLANVLAGTGRLFLISGEPGIGKTRLAEELGKEARGRGARVLWGRCWDGEGAPAFWPWTQIFRAAVGNTNADRFDLLTDTDATHVVSLVPGLASSRGSSALPPLRVLASAEPAEARFRLFDSAARLLKEIANTAPLVIVLDDLQQADQSSLLMLRFIARELKEASILILGTFREAEVRASPALSRLISEIAGEGRQIVLGGFSQSELAAFVEQRLGSAPGASLLAALMQSTAGNPLFVDSVLRMLIAERKELNGELLSSRDFKVPDAVAETVRRRLSFLSESARAILSIGAVCGQEFEFDCLREVSGITGEALITALDEALRDGLLYSVLAGNLRYRFAHNLIRATIYGDVPAAKRIELHWQIAQTLERIHQADLTAHSAEIAHHYLGSVAVGSPAKAINYSIQAGEAALEVSAYEEARHQWQAAVALMERYETNSSRRIDLLYKLGKLTYEAIDFAEGIACFEHALNLCRQRSDNRRNAILLLALGRAKTRWTPQANIGNGLNHYRAAEALIDRNQLDLLGELYHGRTLADFDAMLIDEGLDVSAQALKIYQKVGDKCLWTVIAAHRA
MALDDKGRMMRGRIEFFERNIHKLSKHLTTMVAQHKAGVDVGMKFSERVKDVAAQEPFADLQDRLVALSEVTERIALERKGALCDRAEQQVLAKLTEVQTRVIAPTKALLKDRDECIQSLVKAETEHDLKVQQGKATKDTPLAILELRHCLRNTEKVVDNNMELFEAQRVEDVKENIAVRNMEQVTGNSMELFEAQRMERMSRREILQEFVRCEMYYHCRALEMLAPALQHLSGVHGDVASDNIRHEMASLNTRLNYS
MHLYCDCRQCTEGVYPVPDFGEYIALLIRQDDARVRGRIKSISRKCCGKCGERVPVNSCPCNGDSQCWVTKGWHETKLIV
MAKQHLIEEGTIRPGSEREAEGVALHVRYKNVGIADGLIAAKIAQRTRQLMGVRTLSEALQLSDECRVITTGSAPFNIVHTNKAWSKLTGFKFTEVANRSNSFLQGPHTEAKLVERMRAASEKGETTRVRVVNYDRYGTPFYNSIEIFPLRDMAGKLTHYCGVLHGEDIPDGVVPPIDRKPEELFGSTSGSSTDPDEEEETAAAASGWPAAAAGRGAGRVKRLRRGGHVTLQEALDNTEDAVVMTQPHPPYAITHVNAPWCEMCGYTLEEVEGLSSSILQGAETDEARPRGGALGKGVGAARALLSELMASVRRGESSSATLVNYKKGGARFLNQAHAPVYSHAHDPSGTWSPPQVHIQPVFNQNDEVDQFMAMLHEVDEKERPPHAAPAAAKKAGRRGERA
VKECERIYEIWDSDDCPDPPPEMSGFPPELADMTCGAKTRAGHPCKQKNLYSSGRCRYHGGLSTGPKTFEGKAKSSRNWRGRK
MAQPAVTAPMLPPIKAEHDPNPPPPQPETTTPINQEPQPTTTTTSPPSRPPQTPSSPPFVPSFLNSITYLRDLSAALETFHSCYYDLQAHLDSINSALDSQLSLQKSNLSSPPREILPIIPLPPPTSTRPPSNPSPVKEKEKGKGKSCQSELESLCTLMSSRGLRKYMVTNIGEPDKLREEVPKALELSPNPAKLVLECSGRFFLQGSKAYTKDSPMIPAREASVLVLECFLLMENEGGDDRVIKIEKAIKEEAAEAAMAWRKRLINEGGLAKASEIDARGLLFFLGCFGIPAGFRNDDFRDLVRAGNVKEIAGVLKRSSVLVTKFSDIIGWMVKNKMAVDAVDVACTFGFEDKFNPQTILTSFLRESKETSKKTKSSTQGSLAALNEAKKKQLSALTSVVKCLESHKIDPSKLLPGWQINEKIKSLEKDIADSDKHIREKAVPKRKADQTDSSNLKSQEVKRSRYTGQGPQQQKVHIHIDSERNILDGVHGHINRSYALPSALHGAGARLLPEGIACSVVGIGGDVLGAGIGGGISGSAHSVVRTGSYAGVHSGALVDAAGHIIHYDGHPYGLRGDTAVSERLAAPAYAAQPPSYGLAGLYKGTSPSLDNFPGLPSNSTVAHRSSASDLYQFADSIVESESYHSGGSRAAGAIPPVVPAHHSSYLY
MERLVELASAWAHSAFTMHTDACNRRGRPSYNAFSNTEPGNVQSPSDPRLPGEQVWGYTFGDTAEKSLIMVGVGNFLLLPGGLEPDEEERDRLKEASLLASDGSLGLGPSRL
GGFDEVEFDHWMRVAQARTDAELRTLTMRVGAGLELALRQPNGTSSNRAESREEEVTITRAALPMSDFDNVSNRLIAAASAAGDNAFAVATEDLEYVSRYELSQLHGLEGGLVFPAVTEKDMDQRRANPLKGVLIATILTLSHWLRRASVAADGGDASLLANCETLAAQILGKVANAEGSSWKAMYLDGFSGDTLSQAAAMTRELLPDGDPVRAILDKAEEEARKKLAGVPTTDEENYPEREESRKARFRADQEAIPLAEKLWAMRNSAAQLSQSGARAQARTMLEEAYKLRVESVKKAREKAATAKGSPPKLTEKLSEVTAALGPPSVAPETLPELLALEECFAGEASWKAELSGVRGQVLKAVRNAAEQAGGAGGW
MNLDHDNGARLRAYATAVDGHTARLPNLDDPVRPPDFTQLTGQFADLTRTTRELTDLVLRLDATSSFDRHPMELWRLTRATAALATLAGNVGRALSELAFLTDTHPHRNQPDTADARTASRGVFEDALAEARIDLREGSTDLHRLANDLDPPLITAALARTTTTSLTPSGFDRSPAATPPPVPRTARSL
MYYPLIHFTPALRYLAGVQRSIQEYSTGSVTTTDTSSCSINTGTLPPSSYNHRQFNDKFLWSSCTDIPRVHLRCRRMKPVDNTNMQRLLPQCKQTGSVTYGYFRFRILPYISKYITITLFQRWHMLNNLGSLNFDLNTSKICFF
MKRSEFLKKTLLSSSIIPSFINGFSVKALGADSPLMQALKLPTTETDHVLVIVQLQGGNDGLNMVIPLEYFSNYANARSNIFIPENNVLKFNNYDKVGLNPAMTGLQTMYNDGKLKVINSVGYPQPSFSHFRATDIWMTGSDSNNVLNTGWAGRYLNDEYPNFPNGYPNSSMGDPLAIQIGSVTSLTLQGPAVSMGMSISNPTSFYSLLNSTQDPAPATPAGKELTFVRQMAQQTQAYA
MNRISRLFVVLLGLTCVSAIAADAERCMRNRAWADEQRHLDEVQISDMVAEGKPVPAPLSLHATLRSLVAASERLRRGPAVHLIGFVDREPNAYATDHGLVILTSALWDSRLGLDDNELAAVLAHELAHIEARDGLGEVCALMSALEQAPDGVEQMRALLARESFNPSSPLARSAALTLQHQELEADERAIALLCLTGRPPQAMATALAKLHAPGSYSALIPLADTHPDLAERLDRARTLAQTSASCQSARR
MSSAVTCENTADGWLEGVAEVVAESRGGITVLCPHCGGRHQHSARVKGSKQVIAGCHTGHGRCCTYVVPGEPPRPRPSWAE
MVAERWSRLAMPNNAPPDAVYRPICPYCAKPLQCKDGERPYSKMIFVQ
MWFRKFSALVSSRQENLEQGIMTSISDALTCSGCRKLVMPAQMYLFCSQGHFICRSCFSKSKDSCPAISDGQRCSYYGPTQSQWALEAEFPLYNALFAGLEFNCKYADLGCERILRSSELNTHDRDCVHRPPVECMLDKSCGFFSTAEAKCHYKEFHKVMIFEVPAAVGILCTRPEPYDDERKVRWISSIFYHAKSDNNFCLWLEKKEGSYLRLWVTTMDDKSITHVDQKLRIRINIRGKLNEQDDEVYYLNVLKPSTSLNKIPRSGMVSLEWSDVEERLIPQAISSRTIRELHYLVCDVTIEVMPAKIVESEKILAEVQPNIPQNVTDEVSPHICVTAEISAEVRTEASEEVVTPILEMITPKLPFQVIPKAHDEVITEISTHPTSKFAEPAPQEVQIRREMGDEKCGEPTEVAAEANVEAHTRVTNETPAWIQFDLQEAIAQTTTDVTPEVTSNVTAKLSAEVVCDTLHKSSEMHPDESSEKTPDEAVLNEASVPTLDKSSEQISDQSWETTLPEESEKTPDEALETTPEEAYEKVSEESSEKATEEASEKVSEEASEKAPEEASEKAPEEASEKAPEEASEKAPEEASEKATEEASQKAPEETSEKATEETPAKATEETLEKAPEEASENVLEETSEKAPEETSAKATEETSEKAPKEASEKATEETSEKAPKEASEKAPKEASEKAPKEASEKAPKEASENALQETSEKAPDEASERTPAEESQKTPDESPETTLQEPSEKAPVEASQKAPDEISEKKPDEESEINPVSVLAMTPVEKSEQTPAKESEKTHAEESEKTPAEESEQTHAEESEKTPEEESEETPAEEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIGKDS
MRTIKILHVASFTGNIGDNASHLGLYNVLKTVLGDRLDIEQVEIRRFYKKYSLADKMNFDLEFTQKANAKDLLILGGGGFLDYWVPNSETGTTFDMSDEILDKLIVPTLFVSVGCMPHQPVPEGNIDKFHHFLQKVGSKKNMRIAVRNDGSILEIKRLFGSEFEGIITEILDNGFFYEPSLKFSCRLLEKPYIAINTTLDQLTMKNRMIGNINYSQLREELLSLLQRIIDETDYSLVFVPHIFKDLQAFRELLEGMNDFYIRSRVAIAPYLQGNYGCNYLMSVYNQADSVLSMRFHANVCPLSMGKRTVGLAALDRVVHMYNSIGKSSDCIPVNEKFADKVYKKMIDISPNNSDTLISERKVQSLNTYMKLLSEIGLLS
MAKKYTFEECLEAVDNNKAIDPCSSQWILLWMFGAYADENPTEQQKKSLEVFYKSIPDLCTNKCYTQFLANFPPKVDNRRMLMGWLQMAENSCRVQNGLKPKPFNYKELMKRWRYPDGYL
MPTPPFSTPAMDMLNWLRTQPNLKIELIPVGDQRAERLWEEIPTHSAAAHLLSPPLPFPLKPHHTLGPASAPRSQFLDVDQDGLKKSRLWLGAVCKHNERCAATGFDCRPDIRILQRQQIIYVNTG
MELKEKRSRTQEAKDIRRAQKEAAGFLDRSTSSTPVKFVSRGRRPDVILEKGEVIDFSSLSSSDRTPLTSPSPSPSLDFSAPGTPASHSATPSLLSEADLIPDVMPPQALFHDDDEMEGDGVIDPGMEYVPPPAGSAASGTVVGGRKKVRAPEQIKQEVESEEEKPDRMDIDSEDTDSNTSLQTRAREKRKPQLEKDRKPKGPKYTPVSIYEEKMLLKRLEACPSAVAMTPEARRLKRKLIVRQAKRDRGLPLFDLDQVVNAALLLVDGIYGAKEGGISRLPAGQATYRTTCQDFRILDRYQVSQHTLSNSRGGSGGRTGSTMGWIQLCCDFYFYFIYL
MFTLPSRRSFHEFQPTTKAAPLLCWDVAHPSLSKKQQIAEDILQLEQLQRQHRWQLPFSFRYLLSNNFTLVITDLEKHILWASQNFEKMTGYHLEEALGQTPSFLQGPDTSVKSRIMVRDKLASWSETETKLLNYRKNGEPYWCQIKIHPLQNHEGVFTHFIAIEKEVDS
MIRPVPHSEPLLLNVREVAAILAVSESTVYALIRQRKLSCYRFGRCIRIHRDAITEYLDSQRVECTPAEFLNAKRHF
MNPKGLTGIALSVVLALLGAWTLFSPFMTAHQDAALAWTAATRSDVWTGAVLLLLSAASVLVSGAAHLGGLERAARTRSDGDADLTV
MYSVPTVDTDREGCNVASEDLSLAFDGVAALGLSAAAITGESTPQPSHLREYLLKLLILVADTVENGPIKQVVTIQEGKYLVNGKEVPSVPQHERCTACARRHQECSGWDGVPCAACLSSGGRKCSKSQITGSTGATTYNGRPVYAEPPCGHCLKKGFDCTGLPLGRCDSCRDLRHRCSRSMIQTRLRQKDSSTFSIVPYLKSQISLLPEDIRYRITYNIRGVDISVDILPPNLRPQTSDSESDTSVVPLGWIEFQSTDVCPVVELGRPGDVWIRSPSENSTQPSSEVVYVCGELDGNGAAQWSLVSSEQLHQSTRKDPLRHPLVRGCVFGRSETLRYCWREISDEPSEPEPIIGNKRALDTIADTADITIAAPPGAKRSRQSQDPHSHHVHFSPFVIVRHCTPLSPLPSRFQEFATQTKPKKRSPRRPRGQIVSFDGDGAATAVPLPSGEAQNINTQAIASTEGKPSLEVEPRGTVSAGAPAGIDSPGIRLSDSDHIITPSESNPSDVPRDMSSENTARVELSAGGDLSSSESSLPARLPVSDTTASLTSSPNDQPNSGTITHTPTYVPSPTDSNSRATTEDGPQTIMASMEGGVTTLWKVLSQVHGGDFDAVMIDTCEGLVLVLQQLQGKYRASIADESSKGS
MSDTMPALFIGHGSPFNLFQENPFTNSLRALGSTLSEPRAIVVVSAHWVTQGTKLTLDDNPRMIYDYHGFPLYFYEYIYPAKGSKQISEEIIDFLPKIIKSSSKWGIDHAATIVLEKLFPKANIPVIELSLDYGKSPEFHYELGKKLKHLRENGIMFIGSGNLIHTFREVDWENQYGIPVSWAEELDSIHKQAIFTSDFDGLIHYERWPLSTRGFQTNEHYLPMLYILGMKDTKDSLKFVYEGFQHGTVSHRSFMYH
MKKKETLMPALFLAHGSPMNAITLNRFSLSWHQLGLQLPKPRAILVVSAHFTTQGQTAITAMDRPNTIHDFVGFPNELVDLVYPAKGASFLASHIVKTLEEFTHIYEDYTDWGLDHGAWTILANMYPDADIPVLELSLDMTKPIAYHHQFGRALSELRRQGILLIGSGNVVHNLRSLKWELDQTPYTWADDFNQFVHENLDSHAFTEDHPLVNFQSHPDSLLANPTIEHYLPMLYILGAWTGREKLQVFNNVILQGSLSMLSFMVGDDELNLSLESENLVGAAYGSFEAPPKLELDFFADYSADELAEKEDSDTDTELSALQSNTELVSSLPDSSNLLEQDDNQSREEDKLTQEESPTVKKRGGFFKSKLRTVAKKLKGKNDI
MPLRDIVTKSTRGTPMNDKITDPLTPVPIPEQVPAKEGFADIPGARLWYWDTGGSGAPVVFLHPATGSALIWLYQQSVFAKAGYRVIAYSRRDHYNSSPTTAEDPGTGSVDLNNLMEFLGVRKFHAVSSAAGGSVAADFAFSYPEKLFSLTVSSNNLAARNGYIADIAEHIRPEQEKDLPRWFWELGPSYRAANPEGVEKWNELNRRSVVKRVAPQKRANEVTPAKLETLKVPTLLVTGTADLVTPPSIMRMIASHVPNNELVIISESGHSPYWEQPEVFNRAVLDFIGRHAK
MTDLQPPSPTPAERSLAAGDNRRFLGHPSGLAMLFNVELWERFSYYGMRAILAYYLYATVAEGGLGLHEATAAVVVATYGASVYLLSVVGGFLADRLLGARSTTLYGGMVIMAGHLCMAVPGIPAFSWSGLALIALGSGLLKPNISTMVGALYAPSDPRRDGGFQLFYLAINLGALLAPFVVAFLKQRWGFHAGFAAAAAGMAVALSVYIGFTRTLHGAGDAVPNPLSPDARRRLPWQVVGLLGGIGLLYLLTGVLRGWAASAQPARVNDVVFALALLASVVYFRAMLRHPRTSASDRRHVRAYLPLFIGACLFWMVFEQAAGYMALFAEANTELSVGAFRIDPEWYQSVNPISIVILSPLFGWIFTRRAGRFPSTPFKFASAVLLIGLSAAIMSWLFATYPADGPVKAAFWMLAGVFVLQTVAELMLSPVGLSATTTLAPAHFASQVMSLWFLTSAVGQGLAGQIIQFTHGQSPAESYRINAVLTLGIALVLFAAVPWTRRQMQDIEDARRLGLRG
MTATTNEEIFGHPKGLFVLFFTEMWERFSYYGMRAILVLYLVAQTTDPENAGLGWTNESALALYGWYTMLVYVASIPGGWIADKFLGQKKSVLYGGILLVFGHSILAIEQMWAFYTGLSLIIAGVGMLKPNISSMVGGLYKMGDIRRDKGFTVFYIGINLGAFLASIIVGYVGEVHGWHYGFGLAGIGMTLGLVQYVLGQKYLANVGNFLGNSQNAEEKEAINRPLNKVEKDRVVVLFLSFILVIVFWGAFEQAGGLMNIYAKENTNRMLMGYEIPASWFQSLNAMFIIFLGTAVAGYWAKRKLKGLLSTALFKMIIGLIIMGTGFFFMSAAATQFESTGSSAMYWLVLAYLFHTVGELCISPVALSYITKLAPLKYGSLMMGVYFAMTGFGNKVAGLLGESASSYGELTIFTGIAIFCVVFGFVVLLFRKKLENLTHGAEDQEQ
MTDSKLSPEAMKAGSQEREFMGHPIGLTVCFLTEMWERFSYYGMRTILVLYLTKYHLFSAGKASMIYGAYAGLVYMMPIIGGYMADRYLGSRKAVTYGALLLVAGHSLMAFHGPQAYMDGDAVVRNDFYINIFFFAMALIIGGVGFLKANISTIVGALYGKDDPRRDGGFTIFYMGINVGSVLSTILVGYVGEVYGWNYGFGLAGIGMLFGLLVFLGGQKLLEGRAEPPKPEVLKESAVGPINKEWAVYLFGFVLVLISWIMLQYDELVLSLLGVTGIIMLGIMLAYGFMKCEAEARNRLIVASFLIAVQSVFWALFEQQAASLTLLADQQFDRVVFGFEIPASTVQSLNPGFIVLLAPVFAWMWVALSRKGIEPSTPGKFGIAMLLIGLGYVIFSWGMGLDDSTSKSMLWMVWIYLSLTVAELCLSPVGLSMVTKLSPKTIVGMVMGTWFLFTALGNNVAGWISSLTGGGGHGADSGTLDIAATMEVYTTIGFISIGLGVFIFILTPLMKKYMHGIH
MKIQHKNDNLDKNVIKKFIKAHNQRNFLEDHSVFSFVEQSSKGYGKNIIIKSSLASTQKEAIQNNITVYEQLIWGKKALIIVYVSKENIKMLYDINLLEVVRR
MVKNDAVVKKVHASLEQEPRINLHRHPIRIGLDNGAVVLEGEVEDVAAKKLALELAGAIEGIRGVVDRLRVHPSERKGDGAIRDSLCIFLLGEPELRNCTLRIRAKGQVETLRDVRGERSGDIEVAIEDGVIVLEGAVRSLSHKRVLGALAWWTPGCRDVVNALDVVPPEEDNDGEVLDALRLVLEMDPLVRADQITARCRNYVITLEGCVRNDEERRRAELDAWTLFAVDKVVNRIDVRA
MARHVTRVADGYVDIYARMPDNAAFDSDEEENGVENQVEHELENQVDHGHHQVQNQVEHQVEHQVDHGHHQVQNQVEHQVEHQVEHEDHQVEHQREHQTIAISEMVIEAKNVEQRRSMKLPVVRAPIKGKGKENIKSSAHEEENCGESSDSDYDGVHEVDSEDSSADDDEAICYRQQALDLKNRVRRKMLGEDEVKTTKVPEEFIVPENMKLDQDDGSECQAYLCKLEKIAQRQAIPKNVLGLC
LVMTIGLDLLRQNLNVQTEARKTREHQGGRCWRSGLPYYGDLRTVILHEPHKSKYSIHPSSDKMYQDMKKLYWWPNIKADTATYVSKCLTYVKVKTEHQKPSGLLVPPKIPEWKWDNITMDFVTKLPKLSQGYDTIWVVVDRLTKSAIFAPIRETDPMEYT
HVIDRSGVHVDPAKVKAIKSWAAPTTPMEVMQFLRLVGYYRRKANVVADALSRKERDKPLRVRALMMTIHNDLRKQIREAPEEAIKGENVKAKNLGRLIKPIFKFRPDGTRYFENRPNMKADIATYVSKCLTCAKVKDDHQKSFGLLQQPEILVWKWERITMDFVSGLPRTPSRPIKILARVGHVAYTLELPEELKGIHSTFHVSNLKRCLAEGDVVVPVDEIQLDRKASESY
MTIGLNLPKKILEAQTEARKLENLGAEDVGGMLIENLRESDNLRKKKLKPHADRTLCLNNKSWLPCYGQLRTLIMHESHKSKYYVHSGSEKMYQDMKKLYYYHTSIKTAPFEALYDCKCHSPVYWAEVEDTQLTGPEIIYETTEKIGQIKQRIQAAPDRQKSYPNVRQPVEIMDREVKPLKQSRIPIIKVRWNSRRGPEFTWKREDQFQKKYLHLFTKTAPSTSAAS
MNNEKRIDAWNEHITRIRWIPRGLTIGTELTIVQSPHPLVNKIQKVYSSQGMQIHNRHIEIIRTYRVVTGGTKGVHFGRSNLLSSYLIGNNKSISSYSNFSIRILAKAALQDRQDWLKGLKENVVLGAMKPVGTGFKGFVHHSNQQKNVPLKKKKRRYSREK
MTRAFLALAALSLLMSGGSAASAADKPVVLKPITTWNVNWGDSSCDLIRKFGPVDKPTMLRIRQMGLSAAIEIEVAGPPVSYIQAKNGVSFDFGNGALVKAGDPMSAVNTVYGSSLIANAQLLPDGGRDKDSLGPNLIFEQQISQVGISSRNSALLLQSGNMAAPLQALHKCVEDMFTGWGVTPATRDILAHEPAVIDAQGLAKTFDQSYPKALESPGRSDRFTFRVVVDAQGIAHRCHIIQSTQETVNELLCKSVLKMRFTPAQDKNGTPVSWYFYGAINHRPNY
MATRMGTNDGPLIFVLAAIFCLIMLQMLLGSSRDDFGLQPRKANQDCTTELARDLYGLGVRLGIYLQWFSGWVSNTFIVDEINGGLDANAIFLTAILTAMIRSTRTDDITFMDGLIMLLLCAGTTWGVLSLWGYRTCVYRKEGLNGIRKFGGFGTHLRLLLGAGVSWYAIWYWSVGTEGLPKGLDQFGTVDDSCRKDMVTMFELPLKGIASNAALGVSSVSGAYSIAIVLATPIAAVTRVGKIVHFLRGGQYASTTRLRYATGATKEQMIVMSKMLCVFNLWWIIFAMVVIEFTLDENRATGVLITNARTADTRILEPSQLLPMLIGTFSFIRILFIAFEHWRSPDGDITPSLGRNASNRNAKAKRDSMQGLNILKLFSSSNAKSQECAHADESHDSQQTWDGEHNDAYYNLHSRLNTSRRILITVLPWFSLLWFWPWSEDIVGPVPQGEDTIALRPKPRNESISLQTPHRTRFADSEDEIDEDDTEAGYHQDSHVPLSDSCASNVTGIV
MQGENQGSWNQHPFFSALFRRHRYVVGILLLVGTFVFYWTGRSISTRGTDASWNPQVDTVKSISNFNKADNHTTTTTNYVDTTTTITKSTTAVASTKEDYCIWSPSSPRNCQKVLHDRIAQQTVSSSARYSWLFFGDSTVAQLWYTSSLQNLLVDLAVTQLQEQEGAQCVSLSHTNCHSVRISQQCHLNQAYNLSLPRHWESPRLGEGPAKNATDPTELCLGCHSCHSSFIQCSPNRNSYNNTPASNRNICRPILYGGYIAMPFARDVILQTPHYRTSQENLLLEYLTTNNQQQSICVVRTGLHDMALPDMTQSLFVSNVQWYLRLLLRRVCAHVIWLQNTAPSANATKYPQTMERVRAYDEGVYDALQQPLSDQVTTVDVWEASLQAQHADHIHLTSDWNRALGMFFVKLATKLTVP
MLRAYVAAGFDPAAFWSLTPRLYFAQMQGARDRLQREQRDRSWLAWHVAALMRADQIPDFTQFVEGAAAKPQPPEVQKAMVLALARAWGADEVT
MDYSIKILQDNLDKLNSRYERFVSNGSVEITSIIALDNRKKARHLEQAITELNQALQLQQTGVMPRFISLVTSFDFNSINEKIDWLGIQREDIISLQHTKNDGFCLYYFKNEA
MRLQVYYDSLNYEIITEKPAYEVEQFLADIGGTLGLWIGASVLGLGELLEIVILLLVRCHRAKKRDYHVTSPPSPVTTEN
MKVDIGLVDMSYTEVKQMEGYPWLSLIGDIGGTLGLCLGGSVLTLVEIVDVIVTWVFFRDKDKYEHKTEMEKAPDKGTDEPIHCQS
AEMALTSEGFVDIDVSTLESVLARETLNCKEINLFEAALAWAQAECLRREVDATPPNKRAMLGSAIYLIRFPTMSLEEFANSAAQLGILTPQETIDIFLHFTASSKPQLSYPIKARAGLKA
MSVKSISVLAPITEVLGSTGYSTQPTSFSKAGNRSVIALLPDFLSVLEIPASG
MNYLIAFLVVVIGQVVMHLIFPDSPVSRMDVVFGAFFGLLMLWGVTWARAKP
MGFERDVAQIVNSLNEKQEGHRQTVLLSATLSQGVERLAGMSLVNPQLVEVSKPVTDTKVSKPDSMTVTQTTDDKFVIPEHLQQHFIVVPSKLRLVTLAAFILQKCKYAAENNKMVVFMSTQDSTEFHHSLLVNTIASFKEEEEEGDERDIEIFKLHGDMVQKERTQVFQDFSSTRSGVLLCTDVAARGLDLPKVKWIVQYNTPGTPTEYIHRVGRTARAGTRGSSLLFLSPSEVDYVQVLNDHKISMEEVSMKEVLQTLMVLSQELAEGRKSKGRHPHSVEESATVLQNRFETYLYQNKERIQLAKKAYQSFLRSYATYPSNLKHIFHVKNLHLGHVAKSFGLREAPKHISQFKDNKYAVKLQRKTERREQLHKEASLPHKRVNMSEYASGLDVVKTVKKRKSRNKKAGKNKKRK
MHVHGTHVGTHGRPQLIFSGPYGLTTGGGTADQLYIEVDGAASNAFALTVNAGSADAVIAKAAAGGSACFIHGGTLTNSVCWLTGSGGPAALGSRGTNVLRGVTAYATGSGVQDGVHVEGDSGEPGHDLLVNVIAHGSAIGYDLSGQTNGTGSAVIETSHTNYSSTSFFGAGASAMDDDPHQTLLPKLVDPGIGDFHQQATSPTIDHGVNSLGNGPTDFQGDLRTFNSVPDIGADERVGPPTVTTGGATGVTASKVTLHGTVNPNGGFTTYFFDYGKTLAYGQYKMQTLTAGMTARQVLAALVGLSPRTKYFFRLVAVNSVGTATGTAGSFTTAAAFGVAIVSKQATVKKGKVGIALRCPVQASGSCKGTLSLKTAKKVKLGPAKKGFLALGNATFSIPAGLTVKVPVKISQAGLKRLNKRKSLAASATVVAHDGLGQLKTTSGKLKLKRAKKKH
MTIPPGEVETEGTLVKTDRITGAPLAGASFVISRCGSDEAVRAVTTGANGVAAFALPSGCYKATETAAPAGYLRDTAAVAFEVKLTPRFEVRVTNSKIDAGPVVRNPDVRVPIASVPSGRTF
MTGMCLLMQAESAMDQMDWIEKITGVIASLLSSQSPEQVASESSSFSNSSDADHLAIDELSLASGNFGRFGRSSQHHKFNSKQEKPIDVLRKVHGNDVCAECGAPEPDWASLNLGVLLCIECSGVHRNLGVHISKVSNCTEYTSSLSDRYIPSEYDFPMSSLADRYTNRPLPGGNAKIGRRRPIEGERRRGRNLFCRVLLFPGSPTQSNSKSFLTTMIVDHLLLLESRGADPHATDEDGKSPVQYAIESGDIDYEDIIFLSS
MCLTIVRATRLSIVSRDNDIYIDDTSTHRIVKYTSSNPALSVTVADLNRVTGRIAGNGRDQLSEPW
MLKYCMRMQGIGFLVAVALCGLGLQYYMTNHGPVQLDKETEITTDSGAIFKVDKGWFITRHAGFILIQDPDQQLTYTVIENQEKTAEQAIEKAWQNIKPNFDYILKNSVARGSKDGWEQFVTFEYSSTQQADAFMYAIARLYHNRWYISIIESSNKAFVRKRGAGLMLIDSSFKPAGFIEESFAGKQAHELDELRLQEFIDFVEQARVACHIPGAAIAIVQDGKIILEQGFGVKNLDNQQLVTPETLFMIGSITKSLTTCMMAKLIDEGFFSWDTPVTQLLSTFAVGDEQLTQKLKMIDMVSNANGMPQKGIENIFNYDRVTPESRIAAMKDEKPTTKLGETFQYSNGMVAAGGYIAAHAVYPDMNFSQAYQAAMQKYMFDIVVMKSSTFDFDKVDSMDHADPYALDVYMHVVPTVEDTLFYEPKRPSGAAWSNAHDMAQYLLVQLNEGVNGQGQRVISQKNMTKRFEPQIKITNDTSYGLGLIIENNHGVKVVQHSGGVQGFRSYMFFLPEHNVGCVILANLAGDCANSFLKAVPRKLMEILFDGSLVAENQMNVELQQTKKSFEVDLKDIDFMPTSSLTSQLIGTYSNSLRGDLVIRATETGIELDARLWKSNCATKKKSDDSLNLLLVDGPFAGLKFGLQENDGQMELVIDEGQQKQLFKRVK
MKKRILICALAYLASAHFSGIKACERENSGTEDKIMTGKLDQDTQLTTASGCTFTVEKGWEVSQYDDITTLKEPAGELTVTLVENNEKTAEEAIAAAWKKIQPDFARAIQFNVPGVASDGWDEIVQCAYDTTTQESLLVFAFARRFGSIWYIGLVEGTKAAADRRGAGLGLIASSFKTPGLQEESFAGKKAHELNQAQLKEFMTFVEDARKQCEVPGAAIGIIQNGKIILAQGLGVRELGKDEAVTPETLFMIGSTTKSLTTLMMARLIDEGKFAWDTPVRSLMPEFLLGDEAITKQLCMKHMVSASTGMPRQDAELMFNFDCATPELRIAEMREMKPTTGFGETFQYSNSMVSASGYIAAHAADKSKKLGDAYNSAMQSQVFDPVGMKSTTFDFKEVVKHDHAAPHNCNLKFTYMPMTVSDECWVESIRPAGGAWSNVIDMVKYMLVELNKGVTAEGKRIISEENLLKRRETQIKIADKLSYGLGLMMEDDHGVLSIGHGGNTTGFTTSMFFLPEHNIGLVVLTNVRMANSFTGIVQRKFMELLFDGKNLAQELLKNNVEQTKSIRAKYLEDIVFEPEAAWLKQFVGTYTHPTLGNMVVRECADGFECDARVWKTKLGQKKEHDGTCKLIFTEVPLAGLTLLPQEEDGRMRLILEIGQHKYTFERVN
MFFLFLWLQTPYLVNLMLAGYDDESGAELYYIDHLASLVKVPFAADGYGGYFTLSIMDRYHRKDMKPEEGYELMKKCVREIHKRLIVNLPNFKVQMVDKNGIKDLPVISAKKLALEETN
MLSRVIRKDRDEPFVYNSGGSSLLRAQSGAALLVITLGAPVLAKKSLTLGRLYHQKSVYRFSRRAMVVLQRPWALGRLIMLRHNVPVRRDLDQIAADNGFDFHIIDNEIYGMRVGLTVLLCARLKSRSKNRLRNCIRCALRWWIAPVKDEEILTQLAIPPLYWDVIAESWRARDPSLYGRMDLPGVAMRR
MSVRVVAVLAALLSAPAFAGIEVPVDVSAGPMGTWFFGPPQQVRGAVPHFGLLFDVKAIVDHQLIEKNIDRVPAQYRGMAQGIKELRISPSIFIPRYLYISPKLDAFGGVGMYGITWRPFGLTLFSTGGGSAHGWGQRPPPRVALEAGVLLTYIFVHSDRVEAPQTHFLRPGVDLEFSVEIFTGERFLVSLGGGGQAYVPQKMGEFGFGPFDTMMCLAAFAYLKLHYRFPYDVNL
MTGSAGDLTSARLDWTPVRHRGPARRGGPTDVRHVSHVTFDRFIGFLDLPADLEPDVPRPVPSASFLHGIRRRPWANGRPRSETLARASASGSALTAPYDAEARRIRGKKAKVNFRDEAAAAGAQKPAATATTPTACASAARLGPPPPKFRADEVFGNMNGGSNDLFAIMFAFSDSSSQVVRVEPGEGAAGFLPAVEVLDDEPLLPGSKRSAANMLLLSGQSSDSYGSCDLGWDWDDDTMTSDYASVFAPAAPSNVVPAWYTQGGPASKRTRSSYGYGVAMLQQGDGAQAMPGGFDPETNYQYQLLPYVVESSPSDGASTHDMDCLQMMRAGLLLVVMMQESHGGHCKSFGMYWTISVCIPDLLNYQCYRLIVIIVTLLFMGIATTKILVVISSQLLVAGFIFGNACKTVFEALIFVFIMHPFEVANRCVIDETQNTHYQLSPVPFTFRYLESKPTHWHPTHTVNLKDILDVNKINMSLSVQHTMNFQNIREKNIRRSELVMELKKIFEEMSIRYHLLPQKVELTYVDSNPLPMGPSHTR
MYNYDSAGRLSAITLNPANTSGTGTNTAVSTPLLKWITYTATGQTRWWAWGDHTAAKPNEYARGFDLDGRMNWYWLGDPAKTGLRRVLTFDAANRITATTDTGTSLPTRKQAFDYDNLDRLVSATGTSTYRYSYDLNDNRLSMTAGTTTHTLTISPSSNRLVSTTGPAPAKSNTYDAAGNLLNDGTIQYGYSPRGRLIKVTNGSTVMQSRYNGLGQRVEKSNGDVFVYDEEGHLIGEYSKSTGWMQREIVYLGNEPVALLTQTVTGTAPSQVFTPNVFYIFNDHLGTPRMITQSTDGAIRWRWDDGDPFGLQPPNENPSGKGALTFNLRMPGQYYDRESNLFYNYFREYDPQLGRYVQSDPIGIDGGVNTYAYAGGNPVIFIDPEGLAATGAQLGGIIGGAIGGRFGNPGIGRTIGSAAGSAIEDFCTSGPKCDELNKKVQDAKYRAGKLGACKAGMSRGQLQERYRAWIDLASARAQRDQKCWSGGDLGHQQAQADAWKNVGNCGNFLK
MSIHDQRNGVTRYSYDPIGRILSAVQPNLTERFAFDPAHNLLDSTVASVGRVEGNRIRVYEDKRYDYDEHGNVVEKLIGKHTRMRFEWNAAHQMVKSVVARNSSDTAQTVRYAYDPFGRRIAKKDAFGVTRFVWDGNRLLCEQRGSHARTYVYGEHEFVPLARIDSLLTEHIVIPAEVRHLHLDHHGTPRELTNSAGSIIWAASYRTWGNVVAVSDEVSSLAAAEQPYDHELAQPVRSQGQYCDAETGLHYNRFRYYDPDTGRFVSIDPIGLRGGANTYRFAQNPIGWVDPLGLAPCNGDGAASGAAKSGRLSFPATPEELTEILGVQPKKIGVTPDGTKRVVWEPNANTRIRFESHPEGLSPGSAGYNPRHHGEHFHVEIKPDGVSWNNASKNGLITKPEPPGYRLGSGKGFLAGEEFPDYK
MAGHLAEMHEGEQVTRFRRDSFGRLLKRTTERPGMPSVKTDFTYDALGRLARAANLDSETRFHFNAADNLVAEIQHHQLPNGSEYRAVTRHTYDALGNRETTTLPDGQTLSWLRYGSGHVHAMALDQQELIGFERDDLHREVRRHHRGRETQLRYDPVGRLIGQEVHQAGGRKIQRQWHYAENGLLTAIDDNLRGTTRYGYDPLGRLKSAISPVREEHFAFDPAGNLVDPQDAGDDGTTAATRWRQERPRDAFDHEPHERIRVDYPNAPKLSPAMGNLLKRYAGTHYHYDDFGNLQRRIAPNGETWEYRYNAEHRLIEASRFAQAPAAGDDSTPLTRARYAYDALGRRTYKHVEQQGQASELTVFTWDGDLLQSEERFQGNMQVSRAFALPELEPEDPERRFSLPRAQRQQMLTEIPGIIPQRRVVYLFEPDSFIPAAKLEARYEAVAQATGTQAYGFTLYQLAEPALYYFQTDHLGTPLEVTDSDGQLAWVGHYRAWGKLEKANDGNNRQASTENPFRFQGQYHDPETGLHYNRHRYYDPEIGRFTTQDPIGLLGGENLYQYAPNPTGWVDPLGLSGSPATATHITYQGIDATTGKPYIGYASMQGQHTAEEVLNYRYGGNFERFGGQAPDVLYDGYGQAGKNTARGLEQRSFEKLGGLEGTANKQNPVGPNNPRRDDYLASADEHMNGGRNGGRCCRRR
MRGQIIERSIKQDGEERFWYDAADNRTTPDLPPVWDNLLKRLEGLRWEYDGFGRMTERHDSHRGSVQRFSYDDEHRISQVIIEGDAEFTRAEYRYDALGRRTEKQVWRRHTRNAERTQYAWSGLQMVGECSDSNPDAAVQYIYTENSYEPLDRVDSRGEHAEVYWYHTELNGLPEKVTDSSGDIVWQGVSTTWGRSERENSNADWDVPQNLRFQGQYLDRETGLHYNTFRYYDPCGGRYTQMDPIGLLGGLNTYTYVVDPLGWVDPWGLMCVPAKPEKVVNALKNFAGKDFHIGNQTFKLDKSGMKHILERHHPEYWDGSSKAQQSFLSSKMSINDVTDAITAVMKQNREVLVNRGSQGMYQIQGIHDGVEYTLGLNKGRIGQFYPN
MLRTQGQLHTRTRYDRNGRVVQKALHYHNAAREELPLLSKDYQYDACDNLIAEVLTQTQRRSNHNSAQEPVIGRFEAHNSNGKSHTLSQHYRYDPTERIRQHYQHTPQAPGQHSETFNYDAAANLMQTGVLRGHVKHNRVQVFEDKRYRYDRFGRLSEKRIGSHTVQRFEYDAEQRLIRVQQTKHGEHLRIHYQYDPLGRRIGKQLYRNDDQCPYRRTTFQWQGLRLLQEVQDGRPSLYLYANIDSYEPLARLDGKPGHEEFLYFHTNLAGLPEQLTDEHGLSVWHSEFQAWGNSREEWHNTQHEQEQNLRFQGQYLDRETGLHYNTFRFYDPDIGRFTQPDPIGLLGGINLYQYAPNAVGWVDPLGLTPLDAPGYFVYGLYDGDPKTTKPYYIGITNDIDRRTVEHTSSHRLQPGSILSQLDSDITYGDARGYEQYYIEKFKTKKGIIGQEISQENRGNKYNSFNRSRTDDRAKVFNRAFNKKANPTNTRRGRCG
MTDAESAVRWSGDYGSFGAVNGQTQDSEELRHGKSQSLRYAGQYADEETGLHYNLFRYYDPTVGRFTTQGLIGLAGGLNLYQYAPNSLGWVDPLGLTPGEIIRYMGKDEAISSVAERNGQGGLVPNNRGDKAVWVNHDSKPGFNPGNEKYRTVMTVDNNGVDMLNKHQDISTVNYKETGLKDGVLSKQNEPKARGIRRNVIDRFNNSIKSFRVEKKGKDRKWKSCGKKIT
LLCGNQHYSYTKAQLAQITLPNSSEIRYQNYQWNVPTSIQTPGAAKSLELDALQRPTSIQVKNGSNQVLASKAYQYDKAGNITQIDSDLGTTQYGYDNLDRLTQAIPDQSLQGLGLPSEHYGYDAVGNRTSSAHQPGAWGYNADNQMVRYPSKTPFSPSPAVDTQVSYTPQGHTQQETSGQDNKTYRYNAAERPITYESSDGTQAQYRYDPFGRRISKSVTKDAATQVTYFVYSEQALMGELDKDGKLQRAYGFNPVAGQQGLWSTDPVWQAEVVNSDLASSQTGYHYLHTDHLGTPDIATDKAGTVTWKKINDAFGTTQTVESIAQVNLRFPGQYFDDESGVNNNYFREYNAIIGRYIESDPLGLISGVNLYSYSVNNSLVNYDAYGLESNQQCVAACTTVGGVVGGLAGRAVGGAVGGLVGGVGGAVIAGPGGGVAGGASGAVVGGNAGGNAGAAGGAAAGYALGKALCPDKCDPPEGTICSEFHADGTPHSSSDMEGNNVGPTIPHWHTWQMNKGPKGCIWNKRKHWKSTFNHEPAGVLPCSAYPSWNNQGGR
LQGFTVSQAYTYYKNGLKQSYTGPDGVTQGYLYDQTNQLAGVQIPGAGMFTVNEFTWNRPSRASVPGGTVKTHTYDPLMRLEAMEVKDPAGNTRLERSYGFDRVGNVKEKNTEHGDYAYTYDDLYRLTEALNPRPVKEEAFEYDAVGNRKRSNATDGEWRYDTNNALTGFDDVSYEYDANGNMVRKLAAGLVTSYVYNLEDRLSEVWAGEPGTGRQIASYGYDPFGRRLWKEVAGKKTWFVYSDEGLVAELDGSGREIRSFGWKPQGIWGTDPLYLKEAGHYYWYHNDHLGTPQMLTASSGAVIWEAKYESFGRAMVDGGARVKNPLRFPGQYEDEETGLHYNWMRYYDPASGRYLRVDPIGLEGGINVYVYALNSPLNLIDPDGEIAFVPVLALVMRGASIALTAYDLYQTATIVMDPCSSAGDIGMAVAGLGIPGGGGAKKLGRASKNSGSTLDLLKNAERTNSGALKVDGGTLQQIGKAEAKGGKAFSGLQEQVVQSKPATWQGKATGGQQTVIKYVDPSTGQTKFTVHSVTDGSGRVVHRDFDSVLIESGQQVVK
MEDLITGDLPDVPAITPGSAAAYCKDGLGAAAEDAAQLKACVDESVKLGWFGSVVGMFDLTVENTPFYDGPIDDMLTFVYMDNNLPFESPQVPKSEPLRAHLSEGCTGESGRSLYAKLLGSLEILSASARTSAFASAFPMSSVYASIAGTIATHGDHMRNSAALVARGGGGGGGSGGGGVSSLMYSGIVFSRTAGQDAPYKRPFLSVLGINTAPEVVEYLVKGGALEKSFLHEMLHSVGIGNKWPTPAGCGNLELCKATVEKEVDADAEVRQPIPYTPAGAAALCKADEIYRKECAASEWCTARNGDMPLLVGEWAPDDGCKCAASEWCMARNGDVPLLVESAAPATKDDLNFGSNCAHWPEAQLPAEIISTNAAGKKPFGGARGFLTAVTAGALDDLGYEVNYDSKEIDDFDLVNSRMRELDGLH
MNDEPLDEWAERRDAHRPAPGARRTVPLGEGSARGGHVDPGTPRGRLEWDGLRALLIAPRLCDSARPCCLSPRLLRRRKVGEGWETSGRRS
MPASVAGTAAGALLLGSAPALRGALGTAGSAALGANGGVARGIVDVATGRVTASRIVGGALLTGASTADGTPPARGRTVDTVLLAEGRTVDTVLPAEGRTLCTVLLVEGRTVDTVLLTGCRTVDVALLTVVRTADVVPLTDCVARPAVPAIGVVTVPASGSGTDGTVGIAIPGTGVDCGATPIAGILGVWTGPATGALTGPVTGGMSCAAGGALTGTGIGLDGDSFPRTAPSRTATGVDTAGAGMSGAGMSGAGMSGAGMSGAAMSGAGMPGAGMSGVAGVVAATAAPGAGNGWPGSACAGWSSMPRRRYATTAAPANSTKRSACAIRAAATSRPGLGINSS
MEVHELEADLHLVRFAATVARARANTNNSNIVRELLQDGTGCLKSLEKLLRAVDDGTYKAVVLTTKNETAVPQQLVNAARPDRELEPNANNLKRPLPARSPQSPVALQAEDNTSFDANSKLRGDASKDASAEDVATSLARVQWLDVIELWCAAGLCVVGCGRYRSNALLLELQAEQNPPSADELSNYVNALLSCHRELRRRNFIVDQAILHEQRRQERKLEAQLQQDALALSDGGNHGSNENTIGQQRKKKQKGLVDVASTLFGESEQGAEADGFLEEKRMRKGKEKAPFKQEDKATTQGASVFPEPASSSGSSSSSSSATTQAGGSRGTTIGVAGVPAAAMKKESVQLLLQQDNYENSEDEDINSEQESDDGAEGLLEEVRNPANHRKSRSPTKRKMAAANKDKSKIAHTRKDPYASPTKNNMDPIFSGRLSGKKANSHLLKKSDHERRIYCENCLVVKGRTERKRCKGILQIDPATTMDFLFAKKIRNDSVKKQQSKQIQFEEKYGRLCRYCSIILDYTQYSMSQRFNSQVLMDVELKISPMQYPVMASETVGCAFTSKGGLRESICVLDGGPKDMQAEQPALLLSGESHMLAPYISASRTEKSKVYFLADGPDRAAAAGSWSSKRVLITRDFRFEDDLQVVSEEDLQSLKTAGENCWKDGKLLVDYSPSWVKPNSAHFTLPPALTAAQIGGTKTSGPICKPTGVWRCAEDFPRPRGHFSADAAGEDEWAETLVDQEAYGLAVLRTWIDTKCHLFDFVQTIVDLGGVDGFRDSIKASTCFGEMKQLVQDKYKHKKAQLAAPRWAASEHFFSGQFF
MAASSSTTLVALCSSLTTQCKISSNQNPILSKSLCLSKSNSGSFSKSTHNLSSSSLIFSRKTHIFCQSPQNFLNLKLLLLKQSLKHLFQRLTLSLLPRRWLRLLRKSRPSVRRFLLLLW
GNTGFIMGETRDFLRVLAGTTGFSNDQEGIIVYMMNHPEEVTLDYTGELVLNLHALKDSVLDVETYDDHNRNATRLRNRVTGRIQCFVHGNGNGKKRLRELAQLIG
VTINGNLAVTGDLVANRSQFTDLRSQTATISALHLTSDIRDLQSQTGFGNLLTVYNPTGNEVASIDASGAATFTKLIIASPEATSSSNIYNLTSNISTNASVGTATLPAGQTEIQIQNLAVTDKSLIYLTPTSATQNQVLYLKTKTANAGFTIAIDQPILTDITFQYWIIDTH
ASYVEYINENKDVIFDTPIYTDGEMDEITVEVARQYTTGYHENVMSFANNIHTHEGGTHEQGFRTSLTRVINDYARKNK
MTSRIFIMFFNMNLMATSRMIKVAKIRQTIYESELVQLSNVLTLAKRKIKYSLKAYLKNLD
MEKKIYTGAACKFYKQKWFCEKTSEIIAHLALQCPKPPPPEVHALYFEILNNESFNDNDNQSKITNHVERLTVTDDKQRRYSRALTKFFVTCGVPLWIIENPFFIDYSKQLYSGFQIPKRITLFTTIINVETATVTVIAEMKKKLSNETNLTLGLDEWTSPAG
MPRLVLFMLFILVGCQEQSAPTASSPATAESATPLSISDQVKADTETLNRALIEGDYDSFLKLTHEKLIEAAGGKEQLIKGMEQGQKQMAAQGFQLDKISVLEPGQPMKGNSELYIVSPFTLTMKAPGGTLTKEGYLVGVSADEGKTWTYLNGLPEKESMKRMLPDLPDALSLPAPSEAVFTPSSE
MVVLRILLSLSLALVATGATAADNNEKASPATVSNDAKLSAGVYSGPDLTAEIRSRAQTNDDVCYTMRTYEVKRTERLTDRQRSLRAYATCEMAGNYRLRSADASVFEPERK
MTTRRNLLLAATAVAATAVPLRPRPARAADDVADFLFVQTASAMTFDKPTSKLTLEGIGATTCSSRTAPSALLGTWIRRLSYRSGARARTAPFRSAERRLVAFLEADKLQQVVVVLEGPELNGNDLTHTVKVVGEMPEKGSEVSVFIDIIGMPLTPLSYAGAARRGFRQAVLY
MREAFPSLPFDNITEEKLLLLLLLAARPSPTAQHEPPYHDDHDRRPDELTIIGEKIIINPAAPVPFSGELEELQNILHFPEEVALRITDMEYQLFYQVHLQRQTLPPSQLGLIIHFPHGLSNEPSVPTAYIIKFCLPAGACLVFAGVSLKPTHHPHHHRHHLG
MPGFSANPNLATMFSDINMPSAKTVVTTAASLAASAMVIRSIARELVPYEIQHYFFSNIQSLFKSFSSELVLIIEEFDGLVCNQIYEAAEIYLGSKSLCLYPDVQSSHAWNAINLDHPATFETLAMDVELKSTIMEDLERFVRRRDLYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTDIRDNSDLRGCWLAEERVMKMLQSDQVRQAQTINIVRKEKPNKVTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHIHMSFCTPCGFKTLAANYLGLNNHYLFSEIEDLLGKTMVTPAEVGEQLLKSEEPENALRRLVEFLLEKQRETEEREARKLKQEEDKEELGTKNAEHEGEQSNAEVV
MMWDWETWSSALYSLIMMSCFSSCQKLIPEVFDYVARPFRRLINYFNQTMEIRFHEYPDGVRFRRNKAYADIEAYLSSKCTAQATLLKAELIKKSRSIVYTVDAGEQITDSLNGVKVRWESWSIAPKTKCISKYPAPANKYYSITFPMKHKNYVLDQYLKHVVQQGKAIRSKNRQRRLFTNIPSENWWDYTSNLWSHVVFDHPATFETVAIDPKTKEEIMAELQRFTAGKDYYAKIGKAWKRGYLLYGPPGTGKSSLIAAIANFMGYDVYDIELTAVNDNTELRALLTDISSKSVVVIEDIDCSLDLTGQRSKQAKKDEDDDLVEEKKDKEKDDEDNKSKVTLSGLLNFIDGLWSSSGGERIIIFTTNHKEKLDPALIRRGRMDRHIELSYCKFEAFKILTKNYLDIDSHDLFDRIGQLLDEVNMTPADVVEHLMPKTPDGDAQTSLESLIQALEIAKEAQKLAAVKEEEKKQGTKEAERKKELKKRSPAPKKTSIKSG
MLKLMVMSDVDYNSLPVPFGHIACELADENTAPDGFNKEHRKRQESGDVSQRGHQGQATVPTKRKLGAAGSSKENVPAKKPRVSTTDARLKRMASRKTAKVACPKKDDDERFKEALMRAKLQLVSLQ
MNLAKALFGIALCFPAVYGQVYSPGFCQNIPNLMYFQLEPYLGTWYEYARFHLNELDGVTCAKSTYSLNTDNTIRVITTGIKRRAGEPVRLKGVGEVFDPINEPERGQLSYYSTAPVLPKGNYWVLDTDYSSFAVVYSCNQLEKYKVETAYILLREPVSPSRTLLQYIYSLLQSFGINTRNFIRTNFRSCGRPRRYRYLT
MNETENLYAPPRAVLRHRLPGDPKRGSLAAGIGLFFLCLLVCGLITYVGGRALAAVIPDVIHPGRVPTLVLTLPWAAAFVLGISLVIKDRTRTALGMLIGFGILAVILLALLGIAIFASAPG
MKQYENLFICKSLNKRAYEYRGVPFHTSWKLKDSTTNKLYDSYEDYLKDTTPPKEEKVKPTVKKKATIKKIIK
MNNTAIVVAGGSGKRFGGSLPKQFVPLMGKEIFIRSVEI
MGQANLGPDFCEKHGVALGDVMDALKTLVSSGKITQEDSDLFLAVINGKTRKDLAATIDLSAGAVSNRVNRVGRHVGYVIGRRTREQKYASVTDIADFSKVANIDLTLMQEAYEHPERFQIEQDAAWSVFMLYLSGYSLNNISQQMPEVPDVRRAFNSKAEAVVFAVGEASHIIELWNTGSDVRTMDAAPNDFAVADEDFQKCLKDLRVANATQCNLLVAYYSGTKAREVARRLNLCYGVLISELNAASSRMRTLLNDQKLKKATTPSRAGHSLSAMNLG
MVEASADQEDGSFDLSSADDADAAALAALAASVAARQPPGTAVAWPVPAAAAAAAPLVQQQQQQEQQQQLPPPPPPADSAGAGANAAAPTATSTLDLPAPAAAAAAVPGTGPSGGAGSRGPDDGPNTAPAALGAGGGGASQAQIASLAQAVEEAQQLEADLSKVITTAAQELGLGQEATQQLTDVLHKLERLEQDLTAAMTNAAPEAAEAAQPAQASPGAVAAAAEAAAEATTAAAAPAMPTQRQEAAVVPAAVAAAAAPQAAAAAAGATATAAPAEAAQPAAAAAAAAPAAPSMDSAKLWALFVLGISYVHQATTGFALPAMLPMISPDLRLSDFQGAMLTSGYSYLYALALVPVGLLADRVSRPKLLAVGIVIWSALSMAASKAGSFSDLLLTRVGFAAAQAAQNPVSFSLIPDLFPDNKSTALAAYNCAIYLGRCARGAAFPQALTGSGPCPCRAGPRSRCLPCARSAQFCPLPHPCPTTGP
VSTSLIFFQRQVWVQTGCLLPIVRNHNLYVEAGLAGATPGTADPKEVVFWQNHVSLKADCSMTLWRFMKQRVLDDFPFKVVFKNVKRLPGSKSGRKVYALRPHETMLLSFRDLHQRFAAAVLFPHDVGTISFDDLYALSIPLFLPETKLIAHMAFAHLSSTENYPWYLLREEHANLQAARADRDMPLPWDPGWGGREAVNSSSRGTYVGQALGFQQVEMAVATANYALFPHVRRFGSLA
MIAILIKTYNREKTLFNTLESISKFCKNIEYKLYIFDDSNKISNEKIIYYDKLKCSNHFIYCTESKTSVTAARNFLVQNLEDEKYVLRIDDDFEFNKDTKLEVLISILDFDSRIGVISGIEKQIGTGKGVLNGEISPRQGFFKIEDEVLIKNNVPVKNWKFNKTNKNHRFSYCDFSRNFLMIRRSVFNDISWDESLPFHGEHEDFMLQIKQSPWKLAFSPDSMHFHREDLSIEERNKYKEEKMILNKKSDWAKYFYIKWKVKKRKNSYPLLYNLERKLKSIIGIH
PGRTGLTFDKWPFRLDIDTQFQDWILVGMSVTVVMLWMLPVLAGQCRDVNFCAKFQRWTHMHSQTWVCLSTVLNLVLIFNTVNVLPDWTMDSYIKYCFRFFWWWV
MIRFQVTGARFYYNDSFAANLIKALGFERPSLHDTTGFAEPLTRERIPEADADIQFYFTYASADPAKGKQNARSWLNNPLWKSLRASQKDQIFQVNNDIWNKSYGIISAHEVLDDIEEQLLKNTSNGAKG
SSVDQGSLPVEAFPWIFFLKRRRGSAMLLGWCGWHVDELGPLMPRNGRWLGFCPQPEALELGRHRMRRRLTLVMGPLIIADLLISPDMVTSMIVAVCLALQVCIALTDSPPCSRILLYVFLVATGGRFFALATGGSEPLVVILGVPVVMGFQAATLGQVSFASIALLQLPQVAVLIVLWICLGSFQTAISSSSSMVSIAMGVMVPVSLHSIWWLRSPAARPLSSVLPMTFGQAAAAHEAEAAAEPSVAGVMLRTAVRAAWVAERTATRQDL
MSETTLEIYLKAKNIHFKTRISQIYNCAVSSKIQLDASDPEKLGIKDIGCKKKHESLKGEFEDLLEQAQKIIKITDDQKTIHADHSDKDKDKQRVIGTIEENIHQESKDIDSQVYFSLLERLCRGIFKQNSTVHLDLDLSDKKYVTFLKSMRPLPFPYLKRITVTNVSPKNLPAFRNLIQSLSWGTVQALNLKLFNDELINQRKFLHSVLNVCSKVNSEVSFEYTVISPTSLMKLLSVCRNTGELYFSNCNIYIPKVPDFRYSLDGSTISKLAFVACRINGWKMTRTSLDDFSRLLEGLPKSLDFRETLKEFDGRSSFVSWKMVERILREHGFDKTNVVKD
MRDVVSIIINIVVMAFIIYGFVITGGKLSTFGMIINAVAIIAVIITTHINIKNLKKRN
MDVSTRASLVARVAPYLPAGAPVPQSWDELPLTTRLLIEQGDAEAAQVFQGVMSAELEATVLAGKWGAEAPAPRDLAAEKEAAIAAWFDAHPIASPEEQERQLRERLAEQDVMRRNSMVATYGRWGA
MSLSLIACSYALGMQSGAINDSQIKASSFKSVWSRPSEARLHNQMSTHQKSLRGWCADDSDLNPYLQVDLVNNSIINAIATQGLPANGNLALRYKLNYSCDGKAWFEYQQGKVFEGYKHNLRVKQNNLQVPLKARIVRIRPLVSGQYERPCLRLEIYGCKNGQYSLRPQGFI
MESVDARERIRLLVGQATTLLGLAEEGLARVRLTPDDRFTVLSTFVLLTSVRDWVRTERGLKTYWPRIPYGEAVREVANGAKHLVLNARSHPDLHVEGLSPEDDIDTIDWDELGSQVPMITLCVRRWQDEPLRWRSAMWVLEEAVDGWRRELGLPDLNYAAHH
MLNAIYNEELDVTDVDVPDVQTYHLNKPAALRVILQDHMIDKLDVNSLQKKQANEKTPAKNVKKARKGEANYLPPPPQGETEESLEQERLELLSEVKKRNNSQIINDKMEKTFSIRRQEVVTLAPPVSDLKERWPALFLPAQIKEEYKRITTANLESTFMANLDQCIPSLMPLDSEGQIGDLESRVMKILVTKSGTASDPASATVMIEGTEVLQGLDVPRACALLMGLIYALNLSYPRELKYTCVMKLPPLPPGASAGSQVGPTPPPGKGVFS
MKESLSKERNKTEELGVDRDRTYRNLVLPQQHFLIILSGIFTAENHIRHRTKAIALPTI
MRTREDCKIGKVDGRNPVCPGFRLRGSFWAVTPKKNQKNASRTIGCDIVKYAKTWLFRINTYLRAKGDRNECIFYF
MESTKPVRNRLFFFNLTKLVSDIQISMVILPIKKALKLISAFGELR
NLRPSGYEPDELPDCSTPQQKRNYEELERQLQALVFHRLKTLGVFNVAANDNRIATKTKQQS
MAAPPGPALPGPPGCVDRPNSCLPSKANNLSGLSSCPAPASLCGPSPFSSRSSAGPPSASRWPAQMQPWLENSPCRPRSCFPGPSPGPALAWPHGGFPGPGSCLPSGSLDRPSSSLTVACFGPTHASRNPPSGVSSCLTPAYAGPGPPSRRAVEARLLPLVASPGPAPACWGPLPAQPRPRSGPSRPTSCLAVAASGHALARRRPLQAQLLPPSGLRRPSSCLHGGLSRPGVSSFASSPGPERAPVGLSRPSSSSRRPLQAQVVLQWASPGPALPPGCIYGPGICLTATALDPAPGASVGPNLPQAMLSRPSSGLGGGLSGLSSSHSDGVSGPPDFLQCAPLGPTWASRRPLGAQLVVKPASPCPGPACRRPLRAHHVLEWAHPAPAPASRRPLEAQPLPPSWRPLRAQLFLVLLAASTGPTPASQAKQTTSLGSAPARLPPAFVGPALSPAEALQARLLPPGGLRRRRPGCRSAPAGPTPASHGPLRARLSPGLTAASRGQVPACLPAASTGPAPP
MKLWGISACALSMVLIGCVGEPSWEEETDLEQTAQALHEQKRDLMSGPGAKLGPHLRALQRAAEAHPSADEAAFDRKFPTLRVHDGYVAVSAYGDDGAALAAQLAREGMLDARVHDESVSGRVPIARLGAIAAMSDLRYMTPTMATTQAGLVTTQGDRSLRSDVARARFGVDGRGVRVGVLSDSFDCRGEPLLPGQMFTDAAQDIRNDDLPRDTVVLQDVDRTTFPDCIDEGRAMMQIIHDVAPGASLSFHTALFSQEDFAAGIIELADNGAKIIVDDIIYFAEPMFEDGVVADAVDEVFKRGVAYFSAAGNLARQSYQSRFRDSGRAGDSGGRRHDFDPGPRVDDLQRVSAGARSITQLAFNWDQPSLSANGRRGSRSDVDLIFYHENGEPVERCTTAPTQLVCQIPGIANNLGGDAVEVPVLVNGSDEDMVFKIGIELIAGPKPDLMKYVWFNIEGTFSVDEFDTRSSTMYGHMNAAGAEAVGAAAWFQTEEWGPLTPACVPACLESFSSVGGTPILFDDRGRRLPIPDVRLKPGVTGPDGGNTTFFFAPITVEIPGSTEPDQFPNFFGTSASAPHVAAVAALMLDARARDVAAGTSILGAHRLPPSLVYLVLRLTAEDMRLRDLGGEIGPVPVEGARGFDFETGFGFVDAVRALRAVLSL
MGILSSAPTEGTSQWYELRVPESPTLDDTDVEAGRVVYQERCWFCHGENGDGDGPVAPYLFPRPRDFTMGSYKLRTTQSGELPLDEDLFRTITLGIDGTAMPGWESHLTQQDRWRVIAYIKSFAADLFEDEFFDPYKAIVEIGDPPSGAEEDLVLAGQTVYDENQCWECHGALGRGDGERASELTDDWEFPVVPADLHIGWKLKGGQSASELYLRFSTGLDGTPMPSYEATLSEEERWQLAYYIASLTVDVADNRSDTGVIIPARQIDGPLPIEPNGAEWERAREVSVPLTGQATFAPRWQIPAVTDLVVRVLFNENEIALRLAWNDRFADTTSQDSLLALAEGWSADDTYPVLFPEGQRVRGYFNDAAEVMIPVRYDRTPVLPHFVYGNTGQPVDLWRWQADRQSTGKSSAAVMELRADGATQPPKPHDMDSQNATGGGVWADGRWTVVIRRSLQTEAGSEEVQLLAGDLVPLAFHVWEGNNGETGLRMALSSWVFVDLHEPAPLTS
MNKTVVYAALFTLIQASVQIVMSSLAIAQYFCLIDFLREFPLLIFIKILYFHNPDSCGTLVNIGHALDQLSNQAVVLVTRQPLSVTRTLYVNSCSLGLGVGWCLGSIVMMRSGQNKDKVMVWPWVLMTVAVCTLDLIATVIFINDTFYTKTLADIMTFIGATASGVRNIVVDTRLTAWTMVLLYSRFIIIFVINVMLVILVVLNRLANKTMKESEKLSNSMPEAVVPSTTTGTPQSENMVDIDLYTPSLPRHQQIPRAFYSKKKYLVKGVPDLCAYNTSGVYSQPTNETTVNRDEVDHGHTNHAIVDQLQSTNQPSTSYSNEYSSRQPATAELTAQLPWTYIPLTMQPVQPVPDEVPPPLKGSLIKKRKEAILSSLSSHSVTTPTFKWDKGRAVADSAFMFILPKIIFKLIVNSKRLKVKSKMNNESHE
MLRKFVVIGAFSSFSYSAFGLNDLTAETEEVRESSVELSTDYIDRQAYLQNPYIGMPNGGGTQNISESQIALMSIGEKIFTTGSWNVLGAASYVNQNGANNYGYGANIFAQTGQLGGFSVGGLMTVMNPAFSGQLNPS
MACCSTSFCGFPICSTGGTCGSSPCQPTCCQTSCCQPTSIQTSCCQPISIQTSCCQPTSIQTSCCQPTCLQTSGCETGCGIGGSIGYGQVGRQR
MTSPTHTSQYIVISIDDQLYGMAMTSAHEIMTVPALTRPAGAPYEVMGLIELQNKIVPVISLRHLFDLGGAELDDRARIIIVSIDGNYAALLVDEVIQISEYRELLPTRSGWNNSGYIMGYAKQGEQGETSLVGILHMDRILSFIYN
HVSHVSHVSHVSHVSHVSSAPRMPQPESPASAALASSTSPWADAGFRRLLAIFMLNGVAAAVPATLLPFFVADRLQAPALQPLLLLCFFGAAALGLPLWVKAVSRWGLAPSWRAGMLASVLAFGFTPWLGTGDAMAFAVICLASGLALGADLALPGALLTGVIHESGQGGRGEGRYLGWWTCATKLNLALAAGLALPLLSAAGYRSGGTDPAGLQALAWAYGGLPCLLKLAAALLLWRAERLHSSWSHT
MKMSKDEINVELFDEVTRLKRLLQEKKEETEVLKEKLDFLEKQDLLFAMSLSELLKDNKMINPNELKKAYEDASNEEVLASYGLTTLRTIKNSKYSNKTL
MNLYYDKTFIIDSAFTLQAAYTGENGEEIRFNPEYDDVFVAFTNIENDIGFYVTGVGAIRGNEILVRCGSEIWQSAPEGFFKNDISDIYGYGGVWHAYSVWIRNKNDDKYCVLRGKAKLVKGADMRANLVPDYWAVRPNGGGNGGNNGGNNNSGAAGGGSGGDVNIWDHLTELEKIIEDYLNKEYTFDNVNLRDKLKGEIKDVKELKTEVKAVNDKIQAIVTTKDVAVTTDNEALAQAIQQINAKFGEINASINELKQSYASKDQALAQKFE
MKKVGFIGMGIMGLPMAMNLVKNGVQVTGFDVVESRRKAFEENKGIST
FQKSCCKWLRFGDRNTRYFNGTIFIGRRRNLFNRLHNDQGEWVCKEKELETMVTCYFKKKS
MSANGSFILKDSWMNNSFLPKVENEDYYTKSIKPNFWGQEE
MRNMLCAIIVLTVTAASAAILMASCSRSGESNGGRHPLPKEPVMKLTAQNMSSPGTIPPIDAAAPQAFDTATFGLG
MSDSPIVVMESVHWVELLALVGYALMCLLSLLLIVYLRVNRREAFKGDPHASRKVILPAFEPLLWILAASTGIYVFFFSVALKIKLYTIGFPNLDREFFYCGRMFVFTLVLMFLCQKNVLGARGKYHDCRRTIWLGQANDDNNGDSKLELQRMQLNIAYMLDCSRAVAYVHSFSPPFLHRDIKPANFLLDTDNNLKLTDFSDSRRLPSELPVSTGNPSGNSKLSTLIKPKMTVTGTVDYMAPEMINSRTGLAAYAEAADVYSLAITFWDMLYPDREKYPDTYNNHLLIFEGVLGGSRPPIHDDVTLDEVVPPRLLDLITSAWRSDPNTRPTAQQLVSELEKIQEELLAALAQDLLSDFEPGNADYPECADKVFTGECAIERMEELKVVESKSEGIRIGRALMDAGFLHHFEHSCGFRDSSTSLYFLDDDNISFCQPLAILEESANASQNSEDGHVTSQSYQQVPASTRTKLRKRSRLLSHLASTFLANGQTASDAGSSQNGQCACRMLGQLRDVPVAPSSGRHRRQRRRLTLSQNSNSICSTGSASVLAPTPRRWQLRKKSEPENSLRNKLLDDVQQQNYVVDFPRDGSVL
MAAVGTWAFSQSAVERMQCMILAGQNSTDVIEAAIGEVENDVDTGRNIVGRGGFPNSMGIVECDAAIMEGVPGRFGAVAALRGVGQPCQVARRIMDKSPHSFLVGEGAAAFAQEQGFTIEPNDRMLSDHTVAAYQEFTEKGIHVSGHDTIGLIALDLRGNITVGAPFKSPGRVGDTPIPGCGLYADHMIGAAAASGDGDKIMCYCPSFHIVGLMRQGFSPKEACCSVLADIRRKVGEDNCFEISLIALNMKGEVGATSSVEFPYTFWKQGMDSVEMHVLPC
MHGLVIHGGAYKTRRDFTAHDEFLREVLAEGHKRLAAGESALDVAVQAVAMMEDCGLFHAGRGTGRNSAGYHELDASLMDGATGQAGAVASLRTIRNPIRAAQMVMEKSPHVFMVAEGAENFLRHHGIETVDPDTYFRHDMERDGPAIKDTAHGTVGAVALDRDGRLAAATSTAGIPNKLEGRVGDTPIIGAATFADDRIALSATGHGEYFIRAVAAYDVAAQCRYAGRTLDAAMDDVLQTKIGEKGGWGGMIAITRGGDVKLGFNATGMHGGFVLEDGHIHVGVS
MILLANSEAWPGFSKSIELLKSGSHGIDAMVAGIGEVEREVKVRSVGFGGWPNMLGRMEFDAGVMDGTTREVGSVGAVPDTLPVSALAHEVMKRLPHVMLTGEGARRFATEIGFAVDETLYEDSKRVWWERLQKELSPEELAKFPDIPLAPLSRTITDPERVRDTTVFLSKDSAAGLNVVTSTSGWAWKYPGRLGDSPIPGAGFYADSRFGAAACTHTGEMTMRCGTARTIVLAMRLGHTLDQAI
MKAVLVVHGGAWAIPDELADASVKGVKAAASEGYRVLGTGGSALDAVEKAVRAMEDNPVFDAGHGAVLNADGEVELDAIIMDGQTLGSGAVACVQNIANPVSLARAVMEKTDHVMLTSRGANLFAERIGFKTAPTETLVTAYERREWEKAKEYGAGVKELFHSQLCHDTVGAVAVDSCGNVACATSTGGIRNKMALGDTRTTVAAQCLAPVTESPSLKSLWLASSFSTLSKVKKWRPRWSSLCATWTSVSMAGAGPSRSLPRGSGRPPSPPRGWPGQPRSKALCGTG
MAVASMLIHGGFVVRPDHRSADEAEYRANAIDGVLTKATAILRGGGLAKDACVLALKLLEDDPVFNAGRGASLTQDGFVELDAMIVDGGTRRFSSVAAVRNFANPILIADALLNGPTSSLVGDGAERFARSIGLEPCSAESMVTARAKAISNESALLGPSEEGTIGAVALDQHGNLVAASSSGGLPNKPPGRISDSCMPGLGAFARNETAALTCTGQSEIITRLTVSRDVVALMEFRQMPFINASEAVGARINRLGGGAGYIGISRLGEMVVFGSSPNMSWAGWNDAGFHLASSYIPHVSTGFGVMNIFSYSSKGE
MKKYSLIIHGGAGNITNLSDEKRQSYLDGLKECLGEGQKMLSLGRKSLDVVERCVNIMEDNKIFNAGRGSVINAEGEIEMDASIMDGSNLMSGSVIGLKHYKNPVSVARAVMERTEHVMLAGEGAEEFANKSGFKYFKNNFFKTEARIRQAEEAKKRKKTVLDIDDLNKNKKMGTVGAVAYDLKGDIAAATSTGGIANKLKGRVGDSPIIGAGIYASNKLAGVSATGFGEQFIRCVVSFYAAMQISDICQAPGACRIAMDYLRESVKGLGGIIMIDRLGNIGCGATCKNLIHGYIGSSAELFTSLTPSS
MALSKLHLAAVASLALFALEAQAQACSPYNGTVCASVLAPNATYATLAPVPAVEAALSQNFTSPLATTLQTLNPTCAKTILASTCHQAFLTCGTTQVYLPCKSSCDAVVGACQSTFSSAGMLQMLPNCSSSDYGTTNCISLQGNLLAVDVPATSPPSTPSAGTTLPICPPPLIADPNPSSNNSNCMSGCCLPCPKERFFYQPGLIDKLELGFKVIFLISFVCFLLVAVSTFSTRRGRAFPRIFTGFFSACGAAMMLVTFGTYPSYSTMQCASDGISDATQANNIVCLATGIVFVYMLWTLIFWVMAIIFAMHMMIVWGVEGRVLVPYSKYFHIVAWIVPVAMTVAVVLKNELRAYPGNICLPGNSLAIPLFYGPQVIIAGLCVLLHLGTAIKILWVGIKASRSSSESWLRKQIRLQWRSGMLVVVFSISYIGFILTNVVYLMPMLSLTAQEPWIQKWVLCLIQNSPNGVQTCLPLVVDHVPPIIPLATWLVVTLAIGVWVALVMLPGQPLFKDQSKNSVSDISQK
MQRTHKTHILKKFAAASAMVVVAGAAFAQATTPAPAPTTPRQRKAPKAARAATWRVATAAPATTMAACG
SMDPLYRKWHHHLVTFSLMYVFSESYILPMSHDETVHGKKSLLDKMPGDYWQKFAGLRCFYGYMMAHPG
MARYKFGLIVLLASSIFVLEGCASTSKADRLRENYLSSGVRVGVDTDCEGQSPEDCQCVAEAFGQLVANPDDQSLIEAEKFVRVAGLGDGRTGASRAAFAMMVGTMLVTRAEELCGVTEEETEVAD
MRSLLIVFLFSTFLATLETRILHRPRDFRCGRLIVFGDSLSDDGVEAEGESHGFLRNCNGKVWPEYVNAMLECDRYVNYAYSGAKSGLGNFYFDSFSGIQWQINQFLSNNKFLSDDPLVILQTGGTIDFFGGDTNATEVVENIQQTIQNITQTMSSGTLVILSLLDVSNSPGVQAAEDSEILQERLGHLISETNRQLHHIVLDSDLGTRRLNPFLRVRLIDINTVALAAMQSLNTTEPFTHHSPDLMYDFRAVMGKWEEQET
MESGLASAIVPPVEYAVAVDRFLASAGLSPASQRVYRIALTTWAWMLVARESPVGGSRRGAAAPVLPLSLIDSAVAADRLAAGFAAREAAVGARTANRELAILRSALSWWRAHGWVTTDPTTDIRRRTAQPAISAEPLSEEQARAVLRLRAPLREQALWHAVYDTGGGIDRILALNVSDLDPSGRRTRPATPGPALHWRPATGHLLTLLAAGRASGPLFLT
MRLPCADRFAQLSRTGQQLRLPGKLLVRLGTERGGLGLCGGNLRRRLCPPAVALRQASGKGFALAFQIFKTCTQALKQDVKVVLPSLQTKNLVLRRPLLALRRFQLVPRLFQLPVQLLRLGLRLTQAAVQRLCFSVKLLQLRRPA
MSNLKPISHQDIIDAIQRIDNNSQLKKQRGSSTYDLVYNGRSYPPILVLSEANKVCGGGELLLSDFGNSTEKAFKILRELGFIVIMKRKLAFKEYLLTTTPEGSGTSSSYLQAMDNLDELFNESGRINGSIYNIEDINFFEQVVEDVKLYQKDNESILYKKPKSYAERGFYSASVRKYIEFLLESGLNSSLQKDTSKKVTKLIRHKDQGYFTIKAFSESLNNANLSFSEILITRFVTSLITKPFVLLNGLSGSGKTKLAQSFVQWICQDNSQYKIIPVGADWTNREPLLGYPNGLDPENYITPDNGALQLMLDAQSNPELPYFMILDEMNLSHVERYFADFLSVMESNDSIKLYTGNKRKDSRGYFIPERISWPENLFIIGTVNIDETTYMFSPKVLDRANVIEFRIEEKELRSYFENIKSIDLTQLEYKGISTSKGFLSMCKLVTKSTDKVVQEELVKFFIELSKVGSEFGYRTANEALTLIQQLGKLDSTMELNQRIDIAIMQKLLPKLHGSRSKIVKVLDALILLCVKDANSFDINKVLTDDKLRVDLKYPISYAKLLRMYNNVIANGFTSYAEA
MRWRFLLMLDIDEVYRKAGALGYPCAVPMIKVLKAEKKPTEVYAELRKHSENSFLFESAVFGEKIARYSILGSSPEKIISLKHGKMSINGEEIEVEGNPLLLLKKEIAFKMDKAGLPKFSGGLVGFFSYDYVRY
MGRTSGSCATLMIWSSCAPSAAAAPDRASAAAPAPGDDGFAAFAAAAATGANVVPLYQRIFSDQLSPVTAYRCLVKENDIDAPSFLLESVVNGDQQGRYSFVGAMPSLEIVATRNKVTVLNHVAGTRRETQEEDPMQVAVQLSRNWRPANVEGLPEVFTGGWVGYAGYDTVRYVYAGKIPFDGAPEDDRGLPDLHLALYNDVVVIDQATKAR
MEKKKKVGMWPLQLWAPYFLSNFLRQYKINQTLTGWTRCQGHMIKYFCLQNTAKLMSMKNRMLVSDG
MRAVFEAVDGGHINQAAQLVNDLLRTTGARPQLDRVDGEPWQVHFHGSDDTLSVGWSAGCATALALAAHVLTHLDGVVAVCEEIGSVLHALIATSDPELVPNLRQACEGAAKAGCPDALTWAKELPR
MRTAAILSSAISLASMAAARIIGISAPSTVGADSTVQLTILTEGYIQNVQDISVSFGIWPQDTSYPQTLGNLISTKYIGPDDSNVSDRNLTHYTHIPSDTPKGAATLTAAAFSFYGARLNGVVTYYTVNITVGDTTDLSSATTSTTA
MHKLFDPVPFPADAVAPLQKLSEEATLVYVLRSSSLLHLLLFNWTFSRLALPLARAATGLGSRIFAPFARWYLGGRQVRARQGGEVANVVEAVRRGEAAMVFLRAPRTLPSAVTTLPDPFPALVELQRTQPRPIALVPLTFLWRKRPKKLGGSWRDALFGDPEEPGAIRTFLGYLLNRRSSYVKVGEAVSLADVNAMNAGAEPARVARRVRGWLHQHLAREIRVVTGPPLKSADRVVEETLRDLQLRRALAEIARERGRADGSVEKEARKDLREIAARYNPLVVDLLKRLLDFVFHRIYDGVDVDEAGMKRMVEASKRGPLILCPCHKSHIDYMILSMICDDYGLQPPHVAAGDNLNFWPVGRLLRAGGAFFIRRTFRGDRIYSATLGAYVKRLLQDGFSQEFFIEGGRSRTGKLLPPKFGMLTLEVDAWLTGVKPDAYLAPVSLSYERIVEARSYQHELLGGEKQKENATALLSATKILRRRYGRITIRAGEPISLAQLFRERGVDPETATADEKKKLVQHLGLRIAAGINAAAPLAPTGLAAAVLLSHDRRALSETEILDRAEFLHTAALDSGAHGGAEPIRPLVANAVESLCADGTLKRHEAGGERFYAVPEERRIALDYHKNGILHFLVAPAILSAALRSFRGQAAPHAELLRRARDVSRLVKYEFIFPPGKSLESTVDETFALLLRWGLVERAGDAVQPVARGVRMLSLLAELLRPFGEGAWVASDGLRLLLAGPMAAREWMRQTLDRGRAAYLAGRILRLEALTRPTLENAVQMLRDRGVVVGAKLQLTPEWASREKLAALADEADLFLR
MNKTEIGGTDSFSGRTYDERYLTRFINEHWLPVNPTTAFLTPTLRNIGQPLTTDRELVGRPPKVASKPATGIVMHFCGITTRDDRLVFSSPRTLFRAQPS
MYGKVTPVAQSVTQCTGALPSAQELRAFGNRSLPPVAASNHRLLPISRFVTGGGQNSLCPPHFSTYEDSAHARKGIFVYTSVHK
MKNNYKYYFKAHYDTMMKKLDKKYDSKCSKCKDYGFLVMHIKTLQDKNFDQYQVDEFDYLCKSCLSQHNNLENPQDNWELLAKERGFTHCEHIKENGNHCNMQIMNLFYSYHQKVGVKIVGSVCQTKIIDDGLEYKNDEGEIVLALLDSWFDTIESKIDNIISLEKGVRNWKSSTNPKGNLQRRYKKTYNKGKKDYHHLTMTVLEAPYGYGLSVYFGEDMLVFSKNNKPENDVMFGNERDVVRLALLIQRYREFKFSKNPLVNGYAKLIQFEFRRMKIKSRLVKSNQY
MFSKPIIIALALAVFPVSAHATTGPGCLRVVNVDAGDALNVRARPSAKSRIVISIPANNYGVLALKGECTPKTIPWGQRWCPVSYSYEDGTLHGFVKARFVRDQECP
MSDIVDTQRLCFTFIWKIENFSFVPMLNGTIESPVFTADNLYETCWCLELQPTGCYQDKKDIDFRLRKTKMGPKYINLDYELSILSSGDTKVKSVTESAFRFQSSNYLGRPFRISPDEIFNRGEILLGDTLTVQCKMWHHEKQCLEAGFSLARTRISAQRKSFTWKIDNFSTLEINGRKSLSVDLRPTLNLILTITLYTTDTPTYEEIIRIKVEGNKEEELDFIILLGISVQNVNDEAVYHSKDEFKLSKGREEWRFPLILNKKQFASNKDLLLPDDALSLKCLLIVSKGIIVLNEIEHTTYGADAALDLEAALIKTIESPCVGKEVHSRSNSLTSDLRHLFEEKHLADVSLRVHNEAFPVHKAVLAARSSVFKTMFDTDMKEKFTNTIEIDDIEPNTMRQFLLYIYCDTLENIQWENACSLYLAAEKYAVLGLKEWCSSFLKMNFSLTNVCEILVLADMLRDEELKNSAQNFIQNNDTVIMNSNEWKHFAQKHPQLTIETLTSLYRNEKLGKGKFPTLLALSPIIQKIIDVFFLFLSERICDK
MTNGNSSLRANNSDLIIEAIFKKLNTVVEIVETFEAVGGDKSTEMIEELLKKIKQLASEILELIEIRSRYVEDLKEPVNPFL
MSAKQHPVELSADDRSAVERVVRSYRRSTRERLHARILLRSVDGATDAAIAAALRSCVATVRRVHQHCAEEGWQAAVFRRPQAHRKARTLDGAGEAQLIALACSEPPQGQERWSLVLLKLPRVNQGESRIDSTVLLPELEVA
MSNMAVNPLAEKRRECREVISSILNTPDSDDQRHIKQLLSGLNQLLRVRVVVPPTSEIMVKLEATKPKLYHATRLAIPRNNPLYMLFQLKGDVTLADERLSTFLRECS
MSETKKIDRRSKVEKFKENPFRADAVACVELKHKTLTFGTGESLVNPETGEYHGEAAYKQTRVVDSAKFVMMYQAYQTMYWQLTSAAQKVMRAVLYQISEEAINKDQIYLNWDVADEIFKAEGMKVGRSTYFKGISELSEKRVVAPATRDNIYFFNPALIFNGNRAIFAQEIVKADPTIEVEAQKIRAQKALDASRDLDANTLKTIGDSIKR
MRILLKFLSLIACALCTVTTFADTPRPVITIAAQEMPDLLKAGADLPYNKLMELLLDGAPADLTITIYPGQRGVMQWVRQESQCLFGNITLPGGKRIPHSVLSEEEFSNLILAGPFNRIAVHMFALHEIPPTDARTIGAQLVAVDTIAHTDTSLYAPALRRLKYAKVPSTLEAFRLLEQGRVQMVLAYGIDAALALDKLKIAADVRYDPSAPILEFEENLACWKSPETEALVTHVQKRISNTRKSGALARHFPQIPGDRP
MLALVRLAEADLVSHVEILLSHIARNVNFLDTTGKDEHLVAFAYSTALKYNCLDLVESVRSKALSLSWLHLNAIWSELSRDRLSALNTDEYKWILRTILAKPFQSAAVVESLHRFLVEKELENDLKLLETALIRAGPKLALEMICKLFPSRRPPSTKPLNATAHVKRVIEGLNKSEMAQMDSNVIHDTLITLMSGMRGLDIGISKILTEAILAKPFPASDSKHMILQIHPMIVECRWDDLMATIESRLATVNPSVVLESLSLLVSRESLMSRINTWKGHLLRVLNGIKKETLATVDSEYLFTTAQGFLKVDSQVVKELINVIVRKPHLPPKESTFMALHRFIIERGWDDAMKNLEARIASYDIRVVMKSLNAVMEVPSLLDTLESWKVHILRLASGFRREEIVKLGEAELISAVKLFMKCQFVELARSFVRALVVLPTTSVGYEIHVMVLEKTWLDEMRCVELRMREEKSVALSVRLMELFKHPMFHTSLQFRAHCERVMDMFVQKDWSGFNATPESGKEFAKFYVTLLRFAFMDSLERVGAKAGELSLTNLVLVVYDSLKFLSKLIKLQEAHAEGGELSAKDEMAVKNSVQLQGVVHQLTLSLLSRKPSNAKDYLQVIPCLELVCSKISFMDLEQPLTLRKAFIKTTFELDATPTHNTQPGDFLQKLISHIHTHSPILKRELTTAPLRTLVQKRFTQVETFLQTTPSASPTSWAQPHANLSLCKIDWKDQILTFLKGNLSEYRVRAQFGSLQAANEYSDQFNKFVARREFGVYASARASMMGGAGTAGVVIKKDKSYQDAMHKKYIQLGSERGILVELLGIKNKV
MADALRALLYRNADRWYTAALMVSGDEQSAAEAVTHTWGHLLKRLTSWRFGGGVQRRAQRILLKTLADQGDYQQAFAAVTQVMQMEPTELISMPEVLAEQLLAGVEAGAERIGAAYQVRRRVLRVGLAGLATVTATALALTVWLVMVTRQASVTQVVWGCVQQRVIAQDLPGAVGDIVSQMMFAEDEGGESLRMLQRAVLLLEEIAMAGQSVSPQTMRRLAERCRAERLSEAVYLVAERHPRQVRDSLMPVGLLLEEVEQW
MAVFVFALGMLDLCSEQVLSLLWAGLIFALGSDDAAFCRDKTPRRGSEQPGSGA
MKPWIIAVAAAAIGAGATGLANAADDSAREQRIERQKKTLELKAQRSVQPLQPLKLGSFRNEGNLGRQLFRDPNLSLNRNQSCASCHSLAPARDPETGERLQARGFVDNQNVRTGDPVSDGSVKSRFGALNAPSVGYAAFSPAFHWDAVEGLYVGGQFWNGRANDLAEQARQPFLNPAEMAMPSKWAVVSRVREKPVYVRAFRKFYDFDLMQIPPNDSAPASAQAPLGVNEAFQLISEAIAKFEQRRAFNQFTSKFDFVLAGRTSFTRREAFGQELFNGKAQCSACHVTDAGIAPDGSVSPPLFTDFTYDNIGAPRNLKIPNNPAPDPGLGGRPDVAERDPSGGDIGKHKVMSLRNIAITPPYGHNGVFESLLQITHFYNTRDTLGRVSDNLSPGFGVTGWPAPEIAQNVNVDELGDLGLTENEERALVEFMKTLTDGYPEWGNDPKVPPGTPSPFAHTPLPPFAR
MNTGTFPIRLIATLTTALLLSALANAQPAPNPFPTLEALGEALFHDTNLSLQRTQSCATCHAADAAFIDSRTQGVGGAVSVGDDDQALGIRNAPTLMYALYAPPFHAMAPGVYRGGQFHDGRARSLPNQVNLNGGPFLNPDEMRMPSRAAVIARVRENADYVDALQRFFGPQIFAREQQAFSRLGHTIAAFERTQAFHPFSAHYDAVMGQEATFTPLEQAGFDLFHSRETGCLRCHDSTAVTGPSRQTFTNYRYYNLGVPMHTAVRDARQDDSPDPGLAANQFLSSPAAAQLGRFKVPTLRNVAVTAPYFHNGLAHDLATVLKLHSHRGARDSVLHTLNPETGLAWGTTDYPENVDFAALAMPKPLSDPEIDALIAFLHTLTDAAFVRP
MWSTRVIPLAYSTRQHLRKGAPCLLVGASLFFSCHVASAADEREALGAALFVDTNLSLNRNQSCSTCHDPTVAFSDGRDGDLGGAVSLGDDGLSLGDRNAPSLTYASLIPRFQRSEDGNYVGGFFRDGRAATLIDQIAEPFTNPLEMALPDIGTAVARVQETPSHVLLLEEIYGDQVFDTVEGAFQAMSESIAAFEQTARFRPFDSKYDRYLKGEYALTKEEELGRVFFFSQLTNCHRCHLLETKEFTAGETFTNYRYHNIGIPTNTVVRARNGLPSRHTDTGLLQNPSVDDPAVAGQFRVPSLRNVAVTGPYMHNGVFDDLLTVVLYYNRYTMGNPNSQINPETAMPWRPPEVPDTIDYDLLRTGQPISARQARALVAFLETLTDQRYEAFSER
MMKKLNILLVVVALLALGSTMIAQDLWPAPAGADELGKKIFFENIASPDRIACATCHAPRTGFVGPVAGINKKGAVYPGSIPQRFGNRKPPSANYVTVGPLFDYDPGEGLFFGGTFWDGRATGWKLGNPAADQAQGPFLNPVEHNNAGPAAVLAQIAKSKKMSRLWESVWGEPISYSTPEEVNLNYDRVGVSIAAYEASEEVNPYTSKFDYVMAGQATFTAQEQEGWDLFQDEEKANCIACHMPPDFTDFTYDNLGIPPNPDNPFYKMDQVFLDDGSPINPLGPNWIDYGLAEFLTKLATSDDWRDLPYTTDQLKSMTSAEIMLLVDENKGKHKVSTLRNVDMRPGNGFTKAYGHNGYFKSLEEITHFYNTRDVEPWPAPEVPETINDSELGDLGLTLQEERAIVAFMKTLTDGYVPTAAPAALPAGKGLALKVTGPNPFNPSTHFDYTLMEAADVRLEVYNVLGQKVATLASGHHAPGVYRADFSARDLPSGIYLMLLQNGREKVTAKVTLIK
MSMQDGCEGTSSRTSDTTSLPAEDQLRGNPNVLSIEELGEAIFFDEDLSVNFNQACADCHGPEAGYTGPELLPNLSGGIYEGSVHGRHGGRRAPSSAYNSFAPVLSWDGQQFVGGTFWDGRATGWRLGDPAAEQAQAPFLNPVEQALADGSIVVDRVCDASYKFAFKWLWGAVACKKANSALGFDSVAFSIAAFETSPLSSPFSSKYDAYLRGDTTLSSIEAEGLALFEGKALCFACHTLGGASPLFTDFTYDNLGVPRNRNNPFYRMDGVEVDGQPINPLGFGWVDFGLSQTIAGLANDDSWRVLPDAPDSMTQLSDAALLLLAEESRGKQKVPTLRNVDLRPYPSFDKSYMHNGYFRTLEGVVHFYNTRDVLPTCAGDFTEAEALALDCWPPPEVSENVNETEMGDLGLSPSEEAAVVAFLGTLSDGFVVE
MGKIKRAFLFIAFGVSLAVSHYGLSYIYMFWLISAWLILVLAENLKMQKWKNSFYSKFSRYKGEKLARNPTSSSAGRRTIGSTFVILFVVFALVWYMYISGSTVFDSYVRIGEHLARNIFTEFLNPAASGFTVLLTPWKPGLLHTVNAIINYLNQIFIVIGVLVLLLRHRELKFEKEYAAFSVLSLVIVFAGILVPFLITFAAMQRLYYITLIFLAPFGVIGGITVFRMIGRVVKAPWKNERVR
MAQDDMVQVAVSEIRKANETKAKLNVANAAVLLLTIDLKTYKDSTAHWKSIAIRETRRKKGWRKVAIVSILVNVAENSFLFLRRKQ
LAAVERLEDAERALAEAEEARFKAQAQIRNQADSPILQDITFLPSGDPGAVQSATDQSTAIQIDGVNRVAEITKERIEETSDLKQQKDKEDAEAAIDNEQSFWSRAQSLAVSALAAISDAVFNVQEQRLEDELATNLEGLEAEYAQRQELAEGNTVLQTQLDEELATKKKALEAQAATDRKKIAIKEGIAQLALGVIEAIPDPFRIASAFALGAIQLAVIRSQQFARGGKVLSVDPTPLSSGLITAAPNIATQPNGDSVLATVKPGEVILNELQQKLLGGAATFKSIGVPGFADGGRIPQVINPNAFFGNNSLGDLVARFDEDQVNQLASLIGKQAKAGSKEGIAEGLDDANRTA
VNELIFPVDFLVLEMEEVPIPGKDLPLILGRPFMRTARTKIDVYEGTLTMAFDEETVEFKVFDALKYPNDDHACFSMDVLEQMAPQVELKPLPENLKYAYLGDEKTLPVIIASNLSASEEDKLIRVLREHKTALGWTIADIRGISPTK
MTIQLANRSVVQPLGILEDVLVQVNELIFPTDFYMLDMEDETSGKGSTLILGRPFLMTARTNIDVHAGTLSMEFGDTLVQFNTFKAMKHPTEDHSLFHIDLIDELVEEYFQLDSHNEDIDNVVERTDSIGCLGSISKEEANYAESREVHNLSGTVDNNNNIADLDFEAELLKPLPKYLKYAYLDTQQQLPVIIANNPYQEQEDKLLQVLRQHKKVIGWKLCDLPGINPSICMHRILMEEAVKPIRQQQRRMNLTILDVVKKEVTKLLAVGIN
MEDEPFGEGYALILGRPFFITAKSKIDIHARTLSMEFGDTYVNFNIFEELKHLAEDHSIFVIDPIDGHMEGYFGLGTSGANIDEVLKTSQYAKIPVVDTSKSRVKRIADRAKSDSKGKKPANTDSKMQEPTETKLIILNGAETLPPTNRKSDLIQVGGICLPRDRQQFPVIIANNLNIEQEEKFLEVLKKHKKAISWTLVDLSGINPSICMHKILLEEDAHPISQKEVTKPLAARMIYPISDSQWVSLVQVVPKKFGMMVIKNWQDKMEAKSSNSQRPLSVVVIYKFTKHLWINTRLPSHVRLVRWLTQGCRFDSTKLRAPSKDA
MKIDDAIAAIKEFFLEVLGFLLPGFTLLLLSYLFMRDEVKEGIDSFLTKEHSSTIVLIVSYNLGYVLFGISDAWHKYKKVGNPTWLFKFLRYCKLIEVKPFIEEVQDSIKKSPEYKVAIEIIHEKIGIEKDILESIGVNSVRNLAMSYVPEVDRKIYNFMFRSELSDKVNIAVKIILIFGFFSWLIEVTIGSYSLLKTDTTSLFIYFSFWPIAFFLRKTQRRFFDIAWRIVFPIFVVKYKMQVEKKKEGNEQA
MYGIASTQGGGILAATALGLKASNDMGVSWHSVRGELETDTIQAICRHPRRADSLFAAKYGVIYASIDAGRSWKRISPEAWPVISVKQLTVLMGTPGRLLVLTHQQGVWELPLT
MREASTRLVRTWAAILVAYALLLGALAPAFAGPGAVAPPAGVICGTLPAGPADTPAPGGADHGKVCCILCTVAGPPLLPAPIVVPARRAPAVLPLVILPSRAPIAAGPPAASPGRPRAPPVAA
MARALSDPLLRLVALDEAAALMAEFGAGAALFANGQVLEAEASGRREVATFWWAVLGAVDYAPSADDLERAIPATPDRVAHRPWQDDATVTRRAGMRDAVSMNTKFAAAERLRSRIRH
MKFFLKIAGATIALVVATILIGPSFVNWNRYKSEITEPVQKLTGRKLEIAGDVSFSILPSPVFSAQGLQFENIEGGQAPALACVKSLEINVALLPLLGGRVDVTSITLNEPTIALEVLGDGRQNWTFERPNQKDPKDVPSARQDDGAPIDISFSKVAIRGGTVSLYQAATDFSYQLGDIDATVSATTLDGPFAAKGGVVFNQAPISFEVLVGNLKSGRAVPVSFSLGLGEAGAKLAFAGWANEASVDARFNGRLTVKGENLASAIEDIAGAAGQNEVSPAKGLDPLRSPFSGEAQVVTSPNELRVRNISLMLGESVATGAFQATFEDGKQFEGSLDVASIDLDSWLSGWLGGGP
MNQPNEKLTTAQAAKLLGVRTDTIHVMKAEGHLTVVGRGHHGTNLFALADVQQLKVKRLKAQRDVPVQQAKLPNEDDLVCDSCRALHVRLLAEQEKRLEAEAQLQAEKGRASRALASLTAAIG
MELDRISNLPRDLAEKILSLLPIRDAVRTSVLSTKWRYKSAMLPHLVFDAPQQTFADVVDHVLLSHIGPLYKFKIVKKYIYFRDMDRWILHMSRNVLHQRVHTRTTGKSL
MEVDRISMLPDEVLYHILSFLPTQIAASTSVLSKRWKPLWLSVPALFFDDRSYLLNDKPYLYFTKFIYATILTRDVPIRTFRLQCEDSHFNFSVSELNIWVNAAIKRGLENLYIRLPRNQLSWSSCIFSCKTLVVLELVGLEDLDVISFAHLPSLKLCI
MCFGLTRQAGWRDSIVAFRSAWSNTGLRWTPKVHILCDHVVEYLENYEADDDVGLGLSSEQSGESLHARLQRVWNQLFKINADNELFSQRLIDCMVTYNWNLQWDEAQRSMGGMKSCEAEGSDEISDYSSTSEEQGLDGFDKPE
MDERAVRLGVQELIGLYAEIIDEDRLEEWPDLFADPCRYQIISRSNHEAGLRQGVMYAATRGMLLDRVFTLRQANLYEPHRYRHVVGPYRFKSIDGNTAIVHTHFITARIMHSGETSLFATGRYLDEIDISGSDYKFCERIVVIDSDQIDTLLAIPL
MAYQPPTSPPTQHFDAFSPVSPHASMSSDGRRSTHTTPKRRRLAPTEQFNFDNATPFAVPDDGFDAWSPIEEYREYRHRQPHQPPPLPELYWDMLESPWADFPEHLPPFVPAQPPLDQQPRGTTDRIAFPPRPSIAQLSQSIQPYYHRAYYHRSAPSRPNAEPHFSMAGTSNLTALQLPVIPSSQPLRKGAIILTLSRATQESIEQLAEHKRECPACQLDFEPDNFLAVIGCCDTAMHAVCFSAWINSNNGPGGSRTKTCMKCRKNIEALDKMNTMLPPVDGKSWDEGQDFNAPQHLGADTPYKIDISPLPHPVARRHRRREIHAHRARPLMVPESDIPEESREEFRGLQRQQMRERDQLSARVKSTQSSWSMAFDIEARAAATATNAKEALDAGGRITQREVEGLVRRHREAKDVQEESHEIWREAEEELKQLDSRHRQAQIELLQRARAQRQQVQASMESGERSTLTSAAATEGQDVQ
MDNRHTRPTVDIICLQAVRQTVEDTHSLESIQESEPLMIQHASVDCADHMQSTGFTLVLSESMSTADSEEPGSLTHDRREPSEEPASLTHDRREPSEEPAQSDPWYFCCHIIKAHLLMIKTMTQL
MTSSDQYQSFMIGVIGDDNGRVILQLGMLRCSVSAIEFTLFLKELALYKYDFGLSFAGEDREYPEKLARLLKDEHVRVFYDREEEADLWGQDLYQGFQKVYGQECRFFIPFVSANYIVKRWPRHELKQAQARDFKSNVEYILPLRLDDTELPGINDTTAYIDLRDRSIEDVAQLCLGKLVRDSAIRQLFIFLRENNPASIKLLDSRPATLLIRVATSKASSFERIFAQIAPQVCRCTDHHNTFINGGYGPAGCIPSVDAEPHTTFFLILSENFYAEIMI
MKNRSYEYDVALSFAGENRAYVERVANSLKTKGVKVFYDLFEEANLWGKNLYEYLSEIYQNKARYTVLFVSSFYNKKLWTNHERVSMQARAFQESREYILPARFDDTEIPGILKTIGYINLENRTPEELAVLIENKLKKDQTFFKNRWSKLSTMISPKPFIFTIKVVDEKSQLVKHAKVVLVANNSTYLEGYTDENGLAHFVIRTRKLYTVLIAHSEYPAVVFKSMNPKEDIEVTIEKTNNSGSVIINKSGQIPGISGKIEPVLKSDKNLSVYADNIAIEGGKDQPYDFELNKSIVLEDNKGNIVHLTFRFYQARIALIDFYRGRSM
MWKKDDRLLDAQTRTPCVVVSVNGPAPYLYGIQRDGCQAIEYRYAKDLRRISRFREEGAK
MMKAVLRLDLHDDKAKKKAMQRVTGLPGVDSISMDMKDKKLTVTGDIDAVVIVAKLRKLCHTDIISVGPAKEPEKKKEEPKKPEGDKKDPVAEWVKAYQTYNPYLTTHYHVRSVEEDPNACKAVLKLDLHDDKDKKKAMQRVTGLPGVDSISMDMKDKKLTVIGDIDAVVIVAKLRKLCHTDIISKAVLKLDLHDEKTKKKAMKTVSSIPAVQFAIQNKSRSSSKYLRFSGLVRDPKCAGVDSISMDMKDQKLTVVGDIDPVHIVAKLRKLCCTEIVTKAVLKVELHDAKAKKKAMKIVSGLPGVESVSIEMKDKKMTVTGDVDPVNIVAKLRKLCHTEIITVGPAKEPEKKKEEPKKDPADPVANYLEMQERKYLIGIGITGALSFTGPTILRLLTELLLSGFLAALSSLPHYKSTTKNPSHHQVFFFSWLFPCFFTSNFLCLLFYLNVFSHHIPLSLGDTIKLELHDAKAKKKAMKTVSRLPVSIEMKDKKLTVIGDIDPVHIVAKLRELCHTEIITVGPAKEPEKKKDEPKKEEPKNKDPADPVAKNLSCHPQMPLYYYVSRVEDNQKACKAVLKLDLHDEKARKKAMKTVSSLPGVDSISMDMKDQKLTVIGDIDAADIVAKLRKLCHTDIISVGPAKEPEKKKEEPKKPDLDVAECVKACKAYNPHITTYYYVRSVEDNPNACVYSLLSLRSLTINTTTKNPSHHQKAVLKLDLHDDKAKKKAMVTVSGFPGVESVSIEMKDKKMTVTGDVDPVHIVAKLRKLCHTEIITVEPAKEPEKKKDEPKKEDDLLANYLSYHPPMPQYYYVSRVEDNQNACVIS
MLKNSTFFLLLTHGIAAMAQTVGGNVFCAGTAYDPAPASVSIRGTVAASDVGLPGAIWVGIEDPGVP
QPWLWWVPKPKQILILEIIFNSGMLNPAKDDMAPIYHLLEHFDTVSQ
KFAQTIPRNFGVRYDPYTQSISIIDSKHQIEDLVTNVNQEMEILMDALRKLKNTQ
MKKLHLPFHFVLILALSGCAGTTFHRVTDDSKDEGLRYVETSTYLLVTTDNNGGVKSEILTLPDRSRLHSARPWALMSSNETTLTLSEGALAGTSSTLDTSKVPAAIADAVKTFGPLLVGANTKDGTPEASKSVPGPRLFKIMNTPKGIALVDAKVTYPLVQFEP
MAADKPTFYLQSEHKLEISEDGKAWMRLAGGISELEPDGNEEVSQDAYLDGSESDVTGGQLVLADRTSFSR
MTLSRKEMVKKAALDVLKAFIIGVAVAAATAVILFAGGFLCGSFQIVNGLEVVKDGLLLIGAIGLFLVAGMLLAKGKKENADEKKEAKNGWRQHFSVIGLKTVVLMISAAFLLIASVADLILLNL
MNNIDERDSIRAVKWQDNSLVLLDQRQLPHNECLLRISRCDDVARAISDMVVRGAPAIGIAAAYGYVLALNEIYPHHPQDWRAAIQPK
MSIQLDKTYSIFISAVSNELRSYRQEVARVLRRKCIVVHDQEYFTQGSGTLLERLNEYIQQCDAVILLIGDCCGGFPTEEHIKSLSTISIFEQYKADNYVNDVSYTQWEYLLAKYHGKKTYTYISKEGFKPDEPNQESEDLQQYQQVYRKWVQKHQGENYEPLILSTKLVENVLLLPFPDLSHPRPTNLPYTSLGSVFKGRDALLKDLRERFIATQSASKIIVKVLYGLGGIGKTRLAIEYALHYENTYNALLFVSGDSPEALLNNLANLCGPLVLNLPEQGEAEQQKRLAAVLRWLQQHASWFLIIDNVDTSEAAKAVEQLLSKLHGGHILITGRFSQWSRQVERIELDVLSLEDATDFLLERTKDGRRETFEDQDLAKAIAIGLGQLALALEQAGAYIETTRCTLQQYHQKWETSRVMLLEWFDEQQMQYAKSVAITWITSFEMLTEASRTLLNRLGWLAPEPVPESLLEVSVPTATPIDMLSGLVELARYSLVTRSFTMPTFTVHRLVQEVTRQRLSLINRKNVLREALLWLEKAFAYEPDDTRNWPILEPLAPHVMTGAQYGVQLEIASPTTGLLNELSRLLMAKAQMPEAEKLLRQALIIDEQVHGHDHAFVTVRLNNLANVLQNTGRLDEAEPLMRRALAISESEDGTDHPNVATRLNNLATLLMDTNHLEEAEQLLRRALEIDEQSYHPDHPNIARDLNNLAQLLHSTNRSPEAEKALQRTITIYQDLYGVNHPTVARGLNNLAGLFRDTNRSTEALALARQVLHIMEHNYSPDHPYVTRSQHSLAAMLMATNQLDEVESLLRQALTASRTNSSSNPIELSTSLNNLGVFLNDQGRSAEAEPMLREALSLDEDIYGSDHPSVARDLHNLAQVIKAIGHLKDAKSMMHRALSISELNHGKHHPDVAIHLVNLARLSISENHLQEAELLLRRTLSIFFAFERQNGSEHPRSHSAINEYRTVLKAIGKSDELINSAIDAIRNK
MAQNLAVCEFCDLSIERIAWKCINCELILCDNCKTKRHAKIKGSEEHSVIMLKQMGTLESKYSVRKLELPNIICSSHTEEKCTAYCKSCSKKLCTFCAVEENHIGHQIMKLETFYNEKVSELTSLNNKMNEDLPFFIKNLKIMEIRCQKGTDNYNKAKQQIVQREKDIKEKATLKAEVLSRELDNLLKPQNDTLNKTKESLQKNVDYLEETTAIIDTMLNSNDAKQVLDTLFSIENELPSKDIEGLNVSLKSQVDLFVPILPLRFGSLVMPKLAIKQSVQTPLKTVKCFEKL
MHIPRAERGRDPERAVFAASVVSCLAAWPHESICEDAV
MASNGPKGEGLFNPGLLRIGQQRPPHQAMHSLSIIKTYPVDAELVVPLPDQISKGSGLPYTDMASICPALKGEIDGSKFREFLQHFYEMLRYHLGTFKVASREQNRLLEAASPQPKIGGPVVNLSSLQFLLAIRTAPSTTTQRSTMNQQKDLVEVGHGRCGSVWAKRLSNDDHDNGDFDNDDYTVIKRGDGTWHRSVTKEHEIHKHIARLAGAPASESKMNLITGYQVNIPAPIDYITPDSTKWDLLLPFLPSNYKPCIALENERIPPMGRRVRHLLAHTCYGTGGRGGNLKDEKLTAALLDAETNEQNCLVRPYLGRRRIQPKEAASARPGKPRLVFVTLRNIPLHLDQIEELGLPVEQYAMAMADTMAFLHWEAEIDAADVEFVLAQPRRHQGQSASSLSIGSQAFTSEGPFGSHAMWLVDFDCCEKMAMPTDDTDEMNLLTIAARAFWRNDPYYPRPPANHTPDSNLADGQLWETFKKRYLTTSEEILESKAKDERVKMLPRKVMSMIENTRERWSKSVL
MSEPVRLTVHASGAAEILIDRPERHNAMTLDMYESLLALIAQCEANDDVHCILLRGAGGKSFISGTDIGYFKDFRDGRDGLAYEAFVERVIDTIERITMPTIAVIDGWAVGGGLALATACDFRVCTDASRFGAPIAKTLSNTLSSRNIARLQAAFGTPRVKKMLMLADYLSADEALACGYVHEICGRDALQEAAHSLAQRLIALSPVTQHAVKESLRRIVIDQRLDDEDLIESVYGSSRFREAVAAFTSKS
MSYIARVDCGSHETWCISRPERGNALGTTLARELLRAAHALRDASHKPRSLVIRATPVIKGDEATWIAGGDLKELALLRDGDEAKAYVTTMSDALQTIAALPIPVVVAIDGAAIGGGAELALAGDLRLATERSVLEFRQLKAGLATGYGSACRMVQLVGLGRTQGMLFRTESVSATEAVACGLLHEVCKDSAALDLAVTKLCADLAALDPAALAAQKRMLWHATHQDATAARTAELELFATIWRNPGHSAFLDAFASRSSERER
MGGIFGKSKRPCVFPKRVVFYKAKGKKTLGTTRVFCDASTWTGENHFLSARIGRTPKGPELPALKSVLDAPEAAAWAEQEAGEQAAPLPAQXGEAPLPVTAGATQDAEVSQGPEAKPSVEVMQHQEHTEQAKREXQSAXGSSEESKAEAVLRSSSSCAPSEQLGCGDGEVAPLTQTXVKAEVHVSADVQGGPGKVQCAAAEPEPGEEREAGGIQISSSNELPSVFKGLVLSSALWFVVAGKINGWLTRWQEEQKALACSRRESQMKQGERXMNSS
MSLRKDATISSKMSEYDNVPVLPGNYHDYKDQHDLPVAPPHHLSSFPVDDPPPVPPHQGVSAGATLPPQPQQHAQLHPQQQYPQQYAQPQQQQYPQQQQQQPQQYPQQQQPAAPPSGRPLQFPTEQAPQQDYDSLDVRLVIQHQKQLLQQQEEQLQQQQQLQTGQKRTVVTTASKSRESERSHRQSYGGGEMSVTASLVFYFLVFLAEILMFGLLSLVLFWVVYYRGGFKWREDPAKEFNFHPVLMVAGFIFFMGHAMLVYRLFRCCNKLTAKLLHTFLYLLAVPCIVVGVITVFDSHNLRVPPIPNLYSLHSWLGLVTVGLFALQVTHTLVVGFFSFWLLLCCEQGTASFRSGLVPVHATFGIITFMLAIATCVTGYTEKAFFVLSNGRYAQLPPEAWVVNAQAACLAGLAILMGFLLYSSRYARTSHPVAIVDPPSNSHYVMTQKSYYYRDQM
MQTQLMHSLRQVCQKLVKCNREIADTYTGGVIDCIGYCGSSARDAQFTDAL
MDETAVYLGLGAGGALVATVFLMTLKDLWVHDVLPLLRHWRYRGVNISGGWTGLGNASAPTAGEWTEIGLSLEQQASDLRGLLWIRHCSGDHSTKLQVPLEGRISDGYVTLGPTPGRDAPVLLATALLEIQDGGSSLNGRLVYRDAGTHAIHGIHMSVHRTASMALPWMRPLAAIPATPESTPA
MKSVDSLEQHWKSGHHQINLESWIAKQKQERIILAELAATASHGVHTGENHDSHSTSDDSTDDHSVIDTPKPLPNSQNNQLSQSSLSKDNLVAFNKYAQRLGLDPAHRTMALQTAEAAGSVDLFIASTVLQVKMHQNTEKQIKTLESLVRPSTIKGHEQTWIVPDACLVCHFVSSTSLI
MEKAALVEKTRDALRPFETANVVDFVKHLTVKSAITNPWFVTVFLILAFYAIIKRSKFVLSVLFTACALLLLIHYTLPTDSEGLTLSSTLPFAFGGLAIGAVLIYLYFIKTE
AALRLAHDTQEDGSVRVWKTERRVCSTNSIAAGLSLAPEAGSGDPTPSGPSGPGTAGAEKSEDDGDSAEFKCVVTYTLTPSGSLRIRCRVTPDPSWPPLPRVGLQLLLSSDLGNLEWLGRGGHET
MTLPQAVYAKLLTRAEPGRGYPLWFPEPNHWLPLEYREVGLRIGDVGVVTEHGSFDVFFNICLPADHPLHSTFGVPDGFTQVCLSDQDMESFVPGDRHGRVVAAQSISQRNLSIGAGVPASSFVSAQRGIGFEFTSASNEGAILVLPEGADRHDLRNHLVFEGEALRNGKSWYEFALRKLGRTMISLDSLYLITGCHQTSSWSLAAFHHPPGGSQFNAQFTAGPIINSNINAAYSWKMASAVPYRIGPQHYNATQRNQAVFIRGYKIAVRDNRFLGRLLGDVTMSYESPNTVSRRKKIYHPSDLLIECIMRSEPACEIFVIHDHVWSSVYDEVMLLLLRSGTDLLTIPKLPQGWDTTEYDPEIVRRIHEKYSVVSSNGTTYIISDKNFNYNWTEQDELMLIENALQERVETCGWVSNGLTCNIPVRGRDFSVHLRDSHGVIGTPASQHKCCWNGCHVAKWG
MGGKKDRATRRDLPLPGRRARARARRETAQDAVAPEEDVEATIADRAPETAGTAETPWGTPVPRAERTVVESASAAAAREDDERTVVDARFGEVRPDDAGQTIVDMAARKRDVPVAGRSGEGGPADARTVVDAQGTVPKARVSEETVVDRRAPAEAEAPGEGVGQALPGLPPRPQPDVMFGPVVGADDATGPQPPLPVLMGLLGRPPAQGDPHGETLRTHADRRVHPPISPVLAEALYTPPRPKRKIGLVIPGVIATGLLVIGAVAALIWPETAQGPRRTPASGLAPTVAAPADQTAQGVSGVTPDGVRVVYKTVEVSTGYFEGTLTLTNDSKDTIPSWTVAFTYPGAFIRNVWGGELTDPGNEVTIISDDATSPIAPGESVEIRFGGGGTPSRPQGCTFGGTPCGF
MDSNTIYYATSCVALVTAFLLYLRLRSQNTPLNIPGPPSPSYIFGHMPQILLSPRYGDHEFEWLRSYGSVYRLKKCFGQDRLMVADPLALQHILHSPDFCLAPLPKNVLGSEHRELRSALNVGFTAAAVRNYQPVFQKAAQMISDEFESSSAVAIDVCPLLCTATLGAASETLLGHSIQALGEDFVANNLQMLALSGSQSKGDLLIEAIGAYLPKWIWRATMHLPITAVSTLRKGKHLADRIGGRIARERIDAMKQGLDVETDLFSVLLHATTSGKMKTELKENDVVAQTLIVLLAGQEPTARTLHHGLRMHPDFQDKLRAEIHSNVGGGTGNVAYDNMPLLDAFIKETLRLYPAMPLFDRVAEQDTIIPLAESITTSTGESINQIPVQKGQLLTLAIASYQRLAPHWGKDPLKFDPSRWLEGTPYKGDAVGPYANLLNFLGGPRTCLG
MRLEQKHIDFMNDCSGGTTVWSYEDAEIARKIQRFDPSFLRFIEDMDELAEYDPEVRERKGCRISDVC
MNELAWLLCDTDMKRGYALAENAYSLANSLSADDPPYQIGMAYCLRTQGYLNMRFGNYAQGLAQLLRALPLCEALQLHDGLPDVYDGITATYFVIGSYPEALANAYKQLETAQRINDQKRIINAYNNVAAVQFETGDYAQAIETMLLNLRLARTIKYTRVECITHINLSEMYLSVGDHEIAIEHGLHGLQMSQEFDYTLFEIYALQNLGQCYLKVADAPKALPYLEKAMELSRSLELKVMEAMVLLALGEAHRSLQQFEQAQTHVHRAITLAQSIENNKEVYQGHLLLSELYEQTGDFAQALAHFKQHQAAKEVVFNEKADERLKVLQVAHDTATAKQEAEIAHLRTVELQREITKHSQAEQQLQRQLAYMRALSEFKQALLASAERETDGQRILTEAVRHLLMPTQARMIAIHQNLDDPELGP
MAFRSRGDAARPGAERLGAALAWTSWAFRSVIRRPAGTPTDATLTRWNWSLRSWQVALLVNLIWTALRAPLNPQLLQPVALMLAAELLHRSVQGRAR
MPSAITSIVERRVVEAKAQAVGLKIDATELQKAADSFRLKNNLVTTQETLSWLQRHALSIDDLETLVYDTVLSVKLAEHLFASQVETYFMERWLDYMQVVMYETVLDNSDLAMELFYALQEQEIKFTDVVRHYNQDPELRRRGGYRGLVKRKDLTPELSAAVFAATPPQLLKPITKGKKTYLIWIEEVIQPILDDALRSQIVLELFTTWLKQQVAQVDRTKILDPTLIPSITL
MSEILEKLENIKLKAEELYSTNLIKDITRKLFLQKYNSLYQEIEYYSTYPQLYEDWKIESIISQIEEFNHILSAIEEGIDERKHKKFFNELILKIGDFNELDLWIIRMPYKIPSCRVFSDNSELCFILQIPEKPDYLDTNLLGVLAHEPAHIHHFVRSKIESIKEEKRKVGESLADILAYSIVEYMFTHSSVFIVREIIGVSKACESKETHPSWLARITVLRSVTDEIWSNSVIIQRNSVALDRLLAVLPLLNTSEEFLVQEILKEARMLMSEWIKYKTDENLLSKLEHLSDDEVEKIGEIPKKIRELIVGCTS
MSGYAIADRSTSPPSLDIPASTTPPSTSSIATSPRGAGPRSPSSTTPPSSPMPASPSR
MSIETEIKAIQERLKILSKEINGKKSFLSRSKRLSSIRKEELENEIHSLKSEKANLRGELKPLKRELNKIWREPEHPAEKYLKNKYVSRNSSKPKIEKAIQLLRECLKNNDCKNSTQVINRISYLEDELVNRLSGIRKIKTKVVSGGAPGLVQTKSNKHRQ
MPSTSFANRSRWLSGALTWLKGREGPLTRPPVSEISIIARGNVGGYSAWQGVSSSLQTRADLEAHIQTLLAGRCAEIAVFGQASSGAGGDQDSDLGQATRLLTFLEAGLGLGAALTFRSGYETTLELLSGDAQLRTKVEKRLQHLHKVTLKLVNTHRGQILKVAEELIQQRCINGDRFRQLLTEDVI
MGGAAHFDIPSVGRRSQQFYLDCVAVMLAGLASEQLCLGDLSDGAGGGENCDLAVATRIATYAEAKLGMGASFLHSKAESDEELERIRLHDAGLRARVEKTLTDQFERAKDILKIKRVLLEMLATELDANGFLSPQRVIELEKSIAVSIPSEPQMI
MEVRRRYIFSLLKFIIILHLPPSTLSHRSLSLNLNPLCDRKTLPNPQSLRSDQLTVLINGYSELRISLLSTIAGVYAASPVIAAVVVLWGNPSTPAETLDSLSSNFSLLYSAGAPVTVVRQKSNSLNSRFLPRRWIRTRGVLICDDDVEVDPKSIEFAFKVWQGPLLVGAERVRDWGDARNEVVIKKREKEVGVGLSGVKRGLGKHRKRRGECIREFHKVLGRMSLRYSYGTVVSPINEQGLCEKGGKLVPCDQQIFE
MTNFAYPNEGLINGSLQPETASEAAEQEILPRDKEGSAEEPVVVPDEYFFGSELVHYTTVHCVWEHRLQVKHRMILMQVDCTCKKLAMWVAEGKIQLMAAKGFNYELL
MDANRNSFDGPWFKTGDMVRQDPEGNFHFVGRSSDTIRRRGENISALEVEDGLERHPDVVECAAMAVPSELTEDEVLAFVIPRFQVPRYESFVDKLPKALTGKVDKPNLRASPLDTKVRDRES
MELAALSFQAFIPISVISVIVIIIGLIIWLSIRGKKR
MNQSIISIHDIMPHTLDKIKIIIKKLEKVKCSPPTLLVVPGKNWNKNDINFLKTIQKYGYEIAGHGWYHETKNISTIYHKLHSNFISRNVAEHLSLSNEEIIILMNDCLEWFEKNQFQKPITYVPPAWALGKITKKDISNSSYKIIETLSGIYFEGKFKRMPLVGYETDNLFRVITVSFINFLSEKISVYTNTPLRISIHPNDFSYQLKSKLEKILNSDRNFYGYRDYYLKFKE
MLPKDKGGVVDDRIWVYGTRGLRVVDASVMPTIPRANTQPAVYAVAEWAADLIKEDHRHP
MWHWAATCGMGRVLDSALRVRGVDGLRVADASAMPGLTSGNTNMPVIMLAERAADLIKADWP
MRSLLRLLTVVSAAEGRPFRVFHSVAENRRVPSICNSSNPPCSSGLTITKSLHVLVICNNLRFWVFVHRPMVVKSEDADYAGDLRRAFDELEDSLTRRLGAEGGTGTAGSERRVSELAAEKQLLEEALMQREAECAYWKATCSEIVDGLNSSIEAVKSILNGREG
MDARVARHDGRRSPSRGRAGSIPITFADFGVTAPSLGFVSVEDHGEVEFLLNLAQA
MTKKYNQKIKIYNVVCDTKALARTVFTLQYAPTAARKQFRKLLNAISFSYIENVKSHYPKTTKTNKADLLHSVTHLILP
MLARPGSMAAHPLPCTAVSTSGGANGGPAELTQVDDGTDYGRMGVSDGDDDLGGRIQPGTAVPPFSTRCSAGASPGVDLCVPSTSTYMNSAPGFLSAHLRWRTRRVQRDGPWPPPAKAASIQVAPVDDESCSSLRTRTILLHLRLSRTSDFMLMVMALLGKHKAQL
MDYDSLTLLCAHLQAGSAADATSGRVHLANVRVLTSRRRIGWRAELPSGPMAVVAGVSLTSGAIQTFDTWLLLQYIC
MLHTSRLETDTVTFGVGLDGALALPGQVIEIADPLRSQRSAGGRVKAVSETGHVILDREVQALCGDYLTLMLPDAQIQRVEIESAHGAEVTPCRTLLQTPVCGAAWTIQPAAEVRQLYRVVSVSESHDGTSFTITATRHAPEKFALVDNAAATDKTDQAYVPIAAPSALRLEA
MSSAENAALLVRAKRLLIKRILKCSIILLPFLLLPDGAIADGGSWGVTYTRTDPCPANYVGSGIVMVCRSSNNGSYCQQNPGAWTVKANYCTYSPPTLVETKTNTQYLICPGDTPSGSIYQTQTYDVYSDGSKKNYSAWTEVNQCYNKPPTTSNMTLTILEDTSGTLKLPVSDDGPSPYTFKIIENPGSGVASVAGDTLTYKPDPDWNGSTAVTFVVIDGAGAQSEIATAAITVTPVNDPPVAANLNITLDEDTTGIATLSATDIDSPLPTVFELLTPSPYGTATISSSVLSFIPNKDWNGVTTVTYRTQDTAGAWSQPATVTINVNPVNDVPTLTNKPLTIQTRESTPMTVRAAVSH
MGESSFWERTCKYSSLEEEVKNFNKSIFDACNDVNKRDFSGGIGEFKHVCHMLIDLKGRLCYVIDYWKICQRKSLNGSGLVEDFQNHGPECLRLIDDGSRMFLLTARKIVEKCIDHMHVNQAGEIINLLYKPVMDFVERSALIIVNQPPQILKVDKKIIKNIPMVVKLLGQDCLKVTSPLQVIPIYECDVEQASRVWDRDSERYINKFGRIITKEHLRHDSESTDRSIVWNIQKLSMDRVNRQEKSDKKDFIREKKYFFVIQVECQTDIPHFKSCLAKDITLPITLIKHVTQTVDALGTIFWFNLFGNSSRGRVQDSQIPTKVKWVYLKKFLKSIWSKKTFTAKYQRPLNDNDLKYLASRVLRCEYRDNFDDEEVTWEQFHRKRLREESGKDFTFWKYFYACLTTASNYKIEWAKGVIFGFATPNHVKAILFDGQRKHGAFLVRFSEKAINKDQSQEVCGQLTLEVLYVTSRDKRIILKTRQNLKEKELKKTGLVRILLSMQYDCPIKRQRFPLLRKLVIYDGSEKEFVNNSEYILSMVPEMSKGSSTSYEELQMTTIIVVDVSKVERENEHDEIAGSENRSDPNSSPCSPDGNIFSRPYNPAAPAFGNNADSDSDRMSERGDSEAWINQLFQELMKDGPTLSDIQEQPVRTQNEVTEIANPEPIPTSILANGGFFLANNNQMFRLVPVEPMTMT
MFAERFKDSYLSNNQSIYDGDTYAAIINILKESLEQIDHHTFYKHADYWELYEAMKTFLDN
MSRSLFCILTVSLFVIPLFSESRTPREIFIENKIESIRKEEIYKERNWLTLLHYEKVSENKYRSYADGDSFFFSPSGKTNPTLELEASLRILSKDEALTDLSVECVFPARFHWMRERFSIDPNLFPVPSCPKFEKFHNQMKAQSLSVVFAAFHPEHPASLFGHTMLKFNSGTQEAEELEDVIVTYAAIIPGIIDPFSYVFKGLSGNFPGSFEIQKYKYKIYEYNEL
MRLKILILATTKFNAFILICFENREWGVEIEKRVRAKASLWARGKGEEGKELNKVLPLSL
MSGLLSYAEIQKKQREVNVLKGQLFGVAVVSGALCIAPLLVKLDKELNFLLLGMSSILGYAGIEYAHKVDEKERPLYTAKVLANEVIAKKYGAEAMGLDLLTQHEISQLQHTLFPPQQQQQLVMSPQQAQSQQLLAQQPESGASSQEQVGQGQAIAQPQQPAGLAAAIVTALADYKIPVSCEGRVEGSCFDRYLLKKQANGVKFSQITKLTEELQLDLGLDSEPLISIQNGFIAVDVPRKERKTFHYKDFIKPGIRPEGGLWLPIGVSLNGQLIEVDMSGPDACHILGGGVTGGGKSVWLDVAVKSLCDRYDPSEVMLMLSDFGKASFGCFEGDSHLICPVLYSIDDIIEMQEVLVSEMERRKTLLNRYGVPHLAALNKKIDPLPRICDFTDEYTAAIEAASEIEAASDTQRKKLESNKIQLSLEARKTGIHLITFMQRPEEAYLDGQIRSNHPASVCLKVSRAEDSKMVLGASGLGGEKLLGQGDLLFSYKGRVERLQSLFWEPGVEASEVVSDRAYTFIPQPHQPSEQAGPYAYRYPPNWKQISHEAKILTGTLCCFPGCNHEATETHHARYQDERGRSICDEPLAGIDIFPLCDRHHSHRSDPECAHYKDNWIYGTCQEPLEIDSQNTPAYYQKLRQGWQEKTQKCEQFIRMKVAA
MNNMKLLFENWRKYLNEEKVSNTSVVLHIRPSQIHGLGVFSGEVIPKGTDLGIAQIKKDSDYEITQLGKYHNHSNEPSCINVLNGNNRHLVAARNLEPNEEITIDYTLQPDLQQPMDGWV
MKKQSPCPCNSGDLYASCCEPVLNGKLPRTAEQLMRSRYTAFTQNNEAYLLLSWHRSTRPKALNLADEKKIKWVDLKVLGHDPGETSAIVEFIARYKINGKAGKIHERSRFIKEGDRWFYVDGDQY
MQVLAGTITAGAGAAAAGSRQHLAVQAGGGFGKPAEKKISKQKACPCGSGLEYKDCCQPYHAGGLPGTPEQLMRSRYSGYVKGLWQYIDDVLATIDKLGFERLKVLSVEPGKDEDEGFVTFQAWFKNRGQLGQRAQGWHTQTFVERSRFLREDGKWLYVDGEQDWKR
MAYGTGGHVEILDRVSSVNLCPCGTGRTYTECCGPLHAGARPAPTAVALMRSRFSAFAVGDVSYLLRSWHPDTRPAELELDETIAWRRLQIVDSDAGGEDDAVGIVEFRAQYVQDGSRHILHERSRFERVKGEWRYLDGELAGDSAG
MKLRVVLDSVRIMNDRDPAMEPLRLREADRGTRPADTRLHLDVRVHTRNHDGVEQHIRLPRQRYYKPRSLYSIRIEEPVFEGDIEDHLAVRIAALPHDADGPAEAVDTYTREFEGDPQSWLGRYGPQDASGPEHLGFWQVYYHIEAPDES
MLITFLPMPIREITSKYQDIRLQMRKPDEYLIEPVQVIIFWCGVNI
MTKSLKKYLLLIATVILSVTLAACSMGASTEQIVNKSVESSKNIKSTDFVATNSSEILVGEQTQTVENTVSGSLIIDPLTIKATTDVKAQGQSQTLELYIKDGTAYAKSTGQNEWVKSSSNSITAQFENLKKIANSEQILEFYKKIAKDFKKTEENGNYVLTYTGNGDQFKELMVAIANASSGNEVTASAFTGVDFKNVSIKLVVTKDYTPVTNEVNMELATKNTPTPTTMKIKQNIQYSNVNNVKEINLPDEVKKAKEVAADTQKSE
MKAHRFPKALVLLGLAGALAGWTFKLNHLMGAPTLFNCGIGLLTIGLIWWAVLLFRGSE
MRKKNIFKKVKKDGHDFYFAPAELAQELNVTSFSLNGMFFHEPYCVSVLYSVEKISDTKLFTHFLSELRNLDVCIHLQTHKQNSDKLILAVYIFDAEVSAAYKHLRITDEKLKMMCDACKYVLTRYDFEKRMRYIYSEFMDGIQMVSNHLHPAPEKFLQEEDTSWIGDLCFDNRSDIDFYQDTQEQYDPYRSWFHSEKKDFYKICYIKKITSFKNPERYSTLFQNDCIRTMSAVIEPVSDYAQSIRYQATYLDHEVSYARLRRKKDARLKRITCFSEKEDSRSFILYGMIFVICEKSEDSLTQTFSVLKKEFAKCGLEIDELYGSELFTRCLSSIPAGLNLQNYPIRQAYSDQIRLASFSFYSYDGKEDDVKKMAFNEELTTEDIPENVSFADMFV
MRTGSVALALVALALGFSAPAAHASGWEQVYISVPVPARITTTAGDKVLVALFRSTIRVASIRD
MQAQCRRHLHLLTWKILSRIQMRQQDAEAQTNGVWIRLRPKSG
MGREPHAFSAGRSRQLRQSARFDLPAQPASSQSTARSVFRFRRRANSYYLGYGYGYGYGYGYGYGYGYGYGITGIGRRLQAIRTRSIIRNSRPELTWSNRLAVQRYTDRRIVERPCAQDGYARDNNIAKAWYVTARIQSLSKGL
MSSSDTSMINAINGLEQSTVLFSQVYCIFLLIFGTVGHLLSIYVFTRPALRYNPCIRYFLASAISGSINVCIVVPIRMLQLGYGIDIFIYSLPVCQVLSYFLGWIRLVPVWFISMASIDRFLASSSSATLRGWSNIRITYRMIPMIVLFISLIYIHYPFNQAILLSPQPSCTFATSSYRAFFSIWNLIFWSWIPTILMLVSGLLTIRNIHKTSDTSMTDAINGLQQSTILFSQVYCIFLLVFGTVGHLLSIYVFTRPALRSNPCIRYFLASAISGSINVCIVVPIRMLQLGYSIDIFIYSLPVCQVLSYFLGWIRLVPVWFISMASIDRFLASSSSATLRGWSNIRITYRMIPMIVLFISLIYIHYPFNQAILLSPQPSCTFATSSYRAFFSIWNLIFWSWIPTILMLVSGLLTIRNIHKSKNRTVPQNIQNNIHENNKRMDRQLIRMLIIQCFVFSITTTILSIIQLYVSITNNLIVKDNYVKARDQFAVNIGNFIALFGPCMSFYFFTLSSQLFRRHLVDGFRRQQPIQNTTNTNAQQRHI
MRRPDEGQPGMPPYQAGTGGRLDRLLDAVRDGFAIHGVPADADRARAAELRSRLLSAAREFAATEPGRSLTFVVTNDGRRGGPTGGSAAGSARPRTGTGVAEPDVVSIEDRAAYFPAIDPAHTLDQLILPAAAMERLLLAVAVVELRQQVFDEWELRQIQPHPGSAISLHGDPGTGKTLAAHAVAAHLKKKIICASYSQLESKYHGEGPKNLRALFHAARATDAVLFVDEAESLLSQRFESLSQGSEHAVNAMRSELLLALDQHDGLVVFAGNLARSYDRAFESRVRHVHFPAPDGETRRRLWDRHLVAGLPLAADVDRDALAAVDTTTGRDIRNAVVEAATAARLAGESSVRHERLATALHQAVASRVSAGLGQATPVPAGSDQETRLLGAAATALRGRAPSGDPPAAAATLTTTDTTDLTDTTDLEEKQR
MPSSTSGLSVRYLMIRDIQVGTNNLHGSTASSPTLANKKLPSLDKNLIWLAIELKVV
MWQWDREVSAALLEALAWQPNSSRLKRPGADCRSRNTRTMKAHRSCSIACWHCKTCHEEIVEYIHTDTCAATSLNSKKGKVYNENQSDNQKDERYGYVMMKGPEYTEKPQIISSGRNVDLTLYLHHAKWCFEHFLRCPNWRTCSLD
MVLTRSEVASTSMQVTWLGHACLLVETPHHNLITDPWLVDPCLYEIVVHAQEPGKTLDSLPKIDTICITHTHYDHFDPRTLQHLNRQATVVIPPSPARRLAEKLRNLGFTNIISLPDWQSTEVGGLNITNVPSCGIPEECGFLIGDGTSTIFHAADSIYWPHSKRLKQTPIDLAFLPYTGWDITGVIGINELKQWQPNWDETAQWATSIGAQTIVPASCDQFWSPQSLQWLNDRIHPGTPAAFAEAIATHAQQHPEFKSEVVVMTPGSTWSRSLGFKLAPPPSAPPTLPEPLVVPTDRAGVTQADVLASAREFVSSRRRQLFALTNQGLTYIYGVLIFLSTSYTFYLKDLDVYLRMRLWTPQGIDLIPATQVKPQTLVMEMSAQDARDLFARRIDMEMLVMAGRLKVRCGRENPNLLSFYALEYLFVKDDYTDLLAHSQNMAFR
MNDHYTTLYDTFGWWIPGRFSLAQACCYRWVENAADAQRIALYAVDPEGRLDTWSYDRLARTVSRLANGLTRMGIVRGDRVALVLGQRPESLAALLAVNSVGAIAVPLSCQLGPDALEQRLRHAEARLAIIDSEGGENLLRIQHRCPSLSLILAIDMINEHVMSWRTLLARQDDHFTPLPMLPSDPALLLYPQGPAAGRRPRGLLYAQVSLIGSLPGFVAAHDWFPQPGDVLWTQSDWSLGTTLLNTLLPVLYFGRSLAATAGRLTAWRLQALLDQLPLTCLSFPAAAFEHLRETWAGMEIRPAHLRNLVVTGRTPPGELSAHCQELFGIPANFVYQHPSEAVSLIGESASQWPSRPGSLGRAYPGHRIRLAHPDQAMAGHSSNTGTLEISRTDRHGHPDPALALGYWRDPTLTLHQPIAPWLGSEEWLYMDDAGYLWPAADTTPSVPT
MDEMKVLLASPSNAGLADPGHATARSLMQVSSVLNMLNPTLDNLISVKMMFQLLTEITDNFQASHDQLVREHE
MPVICKMLPLWIYAVLAYSTSRELDTKAFTSMIWDHIMKILGKIDQHAALELSPGNSEVFVNRFFEVLGTNTISSGVRTLVEDTIPPSLAYQVAALLKSQDEEVQERVIRVCCEVLHQIGSILLKIAEEEAPRTGLNRTSFVIITQAIVSGMVKGSFNRDFLLQVVPACMSAIAKLPYRMFIYSRIKDLFFKFGTEQTFSRRILDELTSAECSAYYAQLSKDSDERIKKILRSQSEC
MESTNTVISASQLEDTSRPAPWSKHPFLNRYPPRTVMVRAGTPSEWVASQNLYKNISEPLTLEEAASSPEVDDELVIPSGTEARIHVDRTGYPFFELYPPMKDTQSEPISSPDRSGYPYFELYPKIEKQQAREDASANSSDTKTSQPCDRTGYPWFELYPSVEQDPDEAICAILQKEATSIIDRSGYPHFELYPPVKKENVHDTAASPAISASGPIVEQPGSCPWLELCSEVGMTKEELIAADNYRADHSGTKSYDTPATTPATSPVPPSSPHFGMYPATPSPGGTAYSFYDLYPVDESEKTPPTPAKRRSRLRGTPLPSGTPNFDEFAVFQNIYICSPPSRHGWHALRRPRADTRATREPACVTDMNGCAEELVAAGVKSSRKTSTATKRAGVARRFRTIWGKVTSVLGRAGR
MRWPRAVAGNAARVWLLRQRLYYIYVVSQAGAAGAASGTMVDYANWMGEADGSAYVSQLSLPGTHNSAACHMALPSVRCQDCSVSEQLENGVRFLDVRIGKPLLGGKDREGQLKELHVVHGKFPVRIPFPVKFDSTLEEVFRFLDEHPTECVVLSLKQEGADSWDHDHDEFANFVWDHYIAPHADRWYLRNAVPRLDDVRGKAVLFRRFGLVDDARRDGFGIEANWWSYNTTSEDRGAFHVQDFCEVNQTDDITRKADYVKQLLDTAHSFNATNPDTGKMFVNFCSASNFRNPDCWPERIAEKMAECRIYDSVQKGAGVVIIDYAGMNDWRHTRAIVDSNF
MLCLLLLTGCAATRNVQQREASTARVWTPAMRSAEAKNTYRVRLRAAGRDLTGICVTKRVGDEWRGSLVNEFGSKAFDFVTTTRRGTLLNVFPMMDRWYIRRTVAADLHFLFEVDNAEATFARRVERSERGDTIVAVSGRWRMTATPHDSTVTLVNTRRNIVYVLRRMAETDDGSDE
MLEEYSLFVLCSASKRVHGAEMSEGGYIQGLVTIARAGRWGLRLRCSGNSSSASYGCITANLAAAACRRLASCGVALTPAILTGLIAHHETGSKRCSARSRVLQDPAMDWSTGGAARSAEPLESSAVESRAGNMGRWTAGQ
MGDKGGKKDKDKSKKQTTKKNDEKIKHAQEKQPKSKP
MKKIIVIIALALFCNLGGTFVYAQTSTQATLGKLEDSLFGFQYDDESDLARLDRIETSVYGAPSKASQSQRLAKLRKDLSADLIGQEIEPVEDTFADASDSWVDEPPKAASNVSYPAVDELEKVVFNKTFPKEDISVRLSNLEKKAFNKTFADDLNTRTERLKAELKPQSFMDNQIAQSSNAFYDQDDVVPLGGDFHMNKYMQHDPYDYSEFNARQNAMFNDDYGNSSSLFDREPKKASLSTVEKKLMKQNFNNDSMENRLARVEQYMFGTVFDGDDQQTRLNRISSAYNAQKSSGKYDSNGFARNMTTGVQIGMLILMVLACIL
MLPRVKSKQPGPISNRLWSATGVNVYKKVFEMSDENLKAHIAHVAYKKYGQTAKAQQIEAVANLVSGRNTFVLAGTGFGKSRIAEIYFSMLPMTRNSVILTLNPLDSLGDNQVFEKRAAGFSAINLNKLNFNKKVADDISKGVYQFVYLSPEIFLNNKLW
MGMGERRFFLAECGSRWDPEESRDGGAKERVDSLLSLQISSRIVATTNIQSIDNVCSTADGYVYKIGKLNTEYSKDLFFQSASVEDCSLDMHRGSE
STISIFFHEIPHELSDFAILIKSGFTPLKAIMLQFVTAAGAFAGAIVTWYLGKALGDTHSHIIPSLTAGGFIYISCVNIIPDILSKIDSAKNFLAESTSILVGVLVFTFID
MVIPYVEVLGANGINPWSSVLNLDFLFEVVSSSFQVHFVLLGAQSCARLLSRADAHARAPRLLQRAHPLLQRARPRSRSPALPLARLCPSANARALQHPAARSSIPTVNARALEHPSLYLAESPDSPALLRLFPRISRLGIIFST
MTYMKNEDHPHGQPPHHRNLISFRNLYYPDERSSICICICNTYLEPISQQGIDTEDPRRAATGILNKSE
MEHSTGPMEHKTALGPWNCLPVSIGNKPPSLHWQQASQSPLATSLPVSIGNKPPSLHWKQASQSPLETSLSASIGNKSLSLHWKQASQPPLETSLPVSIGNKPPSLHWKQASQSPLETSLPASIGNQPPSLHWKQASQPPLETSLPASIGNKPPSLHWKPASQSPLETSLPVSIGNQASQSPLATSLPVSIGNQPPSLHWQPASQSPLATSLPVSIGNQPPSLHWQPASQSPLETSLPVSIGNQPSSLHWKPALQSPLETSPPVSIGNQPPSLHWKPASQSPLETSPPVSIGNQPSSLHWKPASQSPLETSLPVSIGNKPPSLHWKQASQSPLETSPPVSIGNQPPSLHWKPASQSPLETSPPVSIGNQPSSLHWKPALQSPLETSPPVSIGNQPSSLHWKPALPVSIGNKPPSLHWKQALQSPLETSPPVSIGNQPSSLHWKPALQSPLETSPPVSIGNQPSSLHWKPALQSPLETSPPVSIGNQPSSLHWKPALQSPLETSPPVSIGNQPSSLHWKPALQSPLETSPPVSIGNQPPSLHWKPASQSPLETSLPVSTGNQPPSLHWKPALQSPLETSLRSRLADAAK
MLKKGVTVDIDIPRGERSAEGTIGQKGSLLSIPLANLEAEHLFIRVKREPDSTDYEVTIRRGGPVLIKYALNQDFEKMTGSETFTDEEVKEAGGIRFRLSDRIKDEEMATYVEIGLNWDTFLPEGATKERKKFFVTIEEYKGKPEAVSENLYRLIDPDAL
MRTAVNDLGDEDGETLRFSLQLSSQQSHERNVAKAMTEQNAAAVLTSSPLAPIVPYEVRLKHAIILLSSQRPIRLDTQSNARRRLLTQLAFLVAVTENKCVESHVREVPTNSIRPPKYGRRLLNFGGVAWSRQF
DVDTNEFFDAHDTEFQQNQSPSTQTNTEAKLLHERLNADLSTNETVTNKSPG
MDDERAWQSIYQNYRRGVIDYRQTYLMLYAAYDRWCKLATGASTMSDALEKASTLQLQVWRYFFKDDTSSMVLHMRKLFILNSVTPLSVGSVPVVLNDAYDWRGLMRLWYAARCLIVHGQGECGMIEAMLPSVHATLSLFMARILKAQAEDGNNRNSEQIERPN
MGSLPRFQSVDGGLMGCLPWMSPLEGAYTRTAKIALVNALDPRSLCELLFGSRLLQASFSPVHGRSFLSGLWAAPKAQGATGQSALPGFLTSLCGGWATVIAGDTRLRLCRACADVGFQSALHQIDALSTCPLHGEPLRDTCPHCDAPTPRYALTQEAFQSPMQCTECGRGYGRAWNGTANFEQWCGPGDTRALQQLGRRLQAWQSLDVDWSTVSNWVDIPSSDPSPRRRAHVFHALSTLASTGSDPDAGVTVMGTACLPSRKQPPRREPRTAIYESIRRHVIEHLDLSRCVDRFDFHDAFYLHRVNEAIVPKYSSCLAHLHALVLWISRCEGSFPFSDEIGKPTFDSEGDAERTRLGTSLLLWPTEVQVSDEAWGHFVWRSFLEDLWTARQWQAAVRPLGDPFEKDSDAPDVKANRATYLELLAIWAPRMSPRLEVVSSGLSHFTWREGVNQRQLCLVSTRRFEGAWYDEPRVS
MVRIREWIYSCFPRFAAFVGVTRARTEFYDAMASMAVKASERLAKAEHELQIKQMECEQWRVRCETLNARVAAWEQVSSDAFDALRAARAGDITYTDELDRAEADNLALLKDLKQRLDDCDLEQAWRNALADFDFTLREHLGDLGSGPSSGGTENVQVLDADATALTAKAMTRWPSDDSGISTSTSSSSTRSSLADSTLVAIDPQPDSQMPRGRRHAMPLVPSRTFKPTVID
MIATTGGCLRAALYAAAAFLTLWRPALACTDSKVLWDFENGSESGWTSTGAAFIGQPMSGAAYRVQDVLNSRQSWPFPTPPRGRAWNTAIHHGFRDNGWISSGFIRPSSSGSVAESSTGSSGRTGQLTSDPFTISCRYLTFLISGKKDPAKLRVQLLVRDDAGSLSYRDGRYSRVALATGRNHPMMRRDWFDANSYRGQTARLEIVDQDTDPQLGHINVDDFRVQDVYPPQQTNKLGTQSVPAIVAAPQPLASPNPLENGWVDRDAPIWGLVDLHTHPMAHLGFGKHVVHGAPDIGSLVPAGTRDCNETDFRSRTIYDALGHDNSTHGGAGVDNPCGNYIRNAAIRILDAANEASSNHGADKLGVGKQPGVAFSAWPAHDDITHQQMWFEWIKRARDGGLRVLVALAINNKTIADISIGNEPKTDYDSARLQIDELKLFVARHSDFMEVAYTPTDLRRIVRSGKLAVVVGVEIDSLLPPLPVGSSAVKMEVIEARIQALVDRGVRYLFPIHLLNNAVGGTATYVDVFNASNRLERGEFWQLECAPEGSKISYRFGIPGPALELMEFALAVADDGGAGVPQIPSCVGGSGHRNTKGLSDLGTTVLTRLMRRGLLLDIDHMSERSANATIALAQQFGYPLNSGHNEPRAHTWNQRAAGRAVTPNENNRTDAQLSALRNLGGMFGVGWGGTDACTYKDRLTYALNQMQRHQVGLGSDVNGLIVHPAPRFGARASPTGGCSSNKLTYEAGAFHGPEQAVSPDSSTVDDGALKVWNYNNEGMAHYGLLPDFLEDLNNVGTDLAPLYGGAESFARMWEQSLRASACVSDAGSPSPSDCTL
MINWICGAICGSCATLLLYSLFVGKRIQEEQEKACKCIYKYEEYRRKIRTLEFQKNELEKRIEAIKNFDYSDFDEVK
MYCQKCRTPLKLDSSLEDLNPAAYDLLAAASSQQSTSKPSMSSRPLNPQDQARKSLYDQVSKNAGPAMFRKSAGGLRPGEGQQQQRDNPGMSFIYLTESQVVPPSRSHNHVPPQPAVENVTPDSNDTKSREMERITKLHEILSARSDIDHPVCVECTEMLVDGLQKKLEAANREREAYARYVKQLYADAPTEDELKASEESLKKTRKSEAEALDLLKKLEEEKAQLDDQILRLEDESKQLDAEEEQFWRERNAFATKLTDFQNDRDSINSKFDHDARLLEKLQRSNVYNDTFCISFDGYFATINSLRLGRLSNRPVDWPEINAAWGHALLLLVTVADKLDFKFEGYEPVPMGSTSKIIRSDPVSPASSRLGIRNAPPPAPKKHVLELYSSGDMPLGLTFMHRKFDNAMVAFLELVRQLGVLVEKRSAMSRAATLALPYKIEGDKIEDVSIKLGLAQDDSWTRACKLTLTCCKFLLACSSNLTIERLAIDNNGTAP
ARNLVDLKQLLNDWTNQGVSVRFHKENLSFNADGSDHMSELMLNMLGAVAQFELAMIQERRQEGIEKAKAAGRYKGRPTNKTNHQRISELRSQGVSLRKIAAQVGVSLSTVQRALSTSAM
MRSFGFWVRSSLLLMYFVPQCAGAQGAGSWGGAKDLAQLAIALGVLVFVVWAIVVWLVIGRFVQEGRKRLVFTLLIACSPIVYLGVTSKLYNDGLEELAQTERDVRDQAARYLVKNCPVQRKMTTPIALPSGVGIYVSSSGDASPEIANIPAPPASTRKSQRQIERYGVTYPHLSDGPQFRSPLYWTRSAYPDGVLAGGAGFVEFWDPYKKLRMRKASFRWWKENTPPLEFANLMEPYHGGIYLRPESTVTFPAGRLQSTHRLDIRDVSTLEDRQHWVARGRISLVKEADKELVAEYVGLSAAQSIISAGYGYWWEQVRMCDGPESNYLERGRWNATQFFFKEIARVSQ
MAKKNNELDYTYFKKSIDVDEAMKEPKEGQEFIDILQKEDYGHMVLFSSAEKQQALNFFKYTNYYRFSVFPRLVVEDNKRTFSNVLYLYNVDKYIRKQLSHFSGILEEWIKTSLANVISNNYNSDEYQQAEFYLDLNIYGKEEQGKEILASFAETVTRSKEVYIKYHHREKMVVFLCGF
MMLKPRASGRRAQLRTAASSQDNDAGALEACRAGVAVRAPGGVHAMLTRCCHAQA
MQDSLKKKKDIGELYPHHLSKQILSVTVKKGCMDEDYCTKLQQKRDTSLESPDISTKSPDTSTKSPGTPFKSPECIFCEEDLCILQFPILCVECNEVEDKYCPIKTEPFVSCPTGEVCSRQMVKAENYTQKRGCMDPARCQNDHLCEYCNNDICWTDPIKSPFQKHLEVVEENKTMRAKHAKVTPHNNSDIQKLSSRLIIIVVVNLYVVLI
MYIRTKEEALGAMAEVLALPERTQQIIQMSVKIMLCLEPEPRQFLADGMDTLIHDGLDYMRRKRQEALAELQQDSPTAILLVDTTRDDLLERVGTALDALRMAGVAMDVFRDLRGTCPSWKIGRAILTDEAAVRDAMVRGVRGQGGPEGERAKTQLLELIRNSAPDWEESAAAIRALCADATLTPFRGEQEKGLADDDEVLFAVLAMADDRAKLTLDLLASGRGEALEYVGEVRKRIDLLQDLQEREA
MPQLESQLSALTAKLSHIDGEWQQKTAEMQRKVAGSDDTIARLQAENQQLRQQLSNAQKSLGALNSQLDDKKRAIILQWFLYGGGVAGAGLLLGLLLPRLLPSRKKTRWMN
MEKNIKPKAPLLALIYNLVFVEQVSNEFNRSPRKVAEVFGLSDEEFDFLITAGATGDFKSKLIEKLADEVSNNLSRPQLLIPNLKEYKPAPTLSANFNVIHDGSIADYILEKNEQNYQNFFDTFGLTDPKVQQAFRTGESEVEAMSEAIQKELATIVENGSQNT
MAKHQLQRLQSPSRTASLLLHVTGIVSFIASFNFLNGLTHDISMGFGGNYQHLTNIGLILSFVTFVIGLLADITLSPSLFAVKNALSTTAAPLEVLISILYWGIRSIDKRLLIPEGFELHWLPDVGFHLVPAVVLSLDLILFSPPWTIRAYSAMAISMIFAFLYWGWVELCFSRNGWYPYPIFDVLNTVQRVGLFTFSAALMTASTSLLKWVYGRFNGYVKMEKEAYKPLKKTI
MSARATPGFHNGRYVKVGLQHPPVAETASGDVSLESLTKAELLDEAKRRNVEVSERATKAEIISALEAARG
MDAVDQLLTVKAVHIADKSRRAWESIIGASLSSYNRLRNDSNLGAVEETKKLLFFAKQYKSSSHVLLAVAGYLESKYGSSLEDTGCRTYHPELEEMTAEAVATFAADNLCHSDKEIRISSLKILC
MTTCNNFIPAAGVPPAISLGLLNVSAIQNAIGIDGVTVNAWTVAQVASGIPKLVLTIPQAGTGTTWYASVYVQAIGALPAAYPGLTFSSDNQSDGGPIGFSVVLNELIGAATSSAASGNFGVVTLAVGAFIFYQMWVSLTDTASSTELTVELYLTSYGALAATPQLLGTIASSAIFTDIIVSTTYP
MKIVRIGDITVGAGQPLVLIAGPCVIESEAVVLETMDRVQEITSRLEMPFIFKSSYTKANRSSIDYYTGPGLAEGLRILQKVRGYGIPVLSDVHAVTEVDDAAEVLDVLQLPAHLSMQTDLTVALARVGKPLNIKKGQFLAPGDMQAVVRKIESAGNENILL
MSGRVVSIGGTRVGGDAPLCLIAGPTQLETLDHALEIGEVLKAACAAAGVGFIFKSSFDKANRSSAGAPRGPGMEAGLEMLAGLKARLGVPVCTDIHLPEQAAPVADVADMLQIPAFLSRQTDILLAAGETGRAVNIKKGQFLAPWEMKRAARKVEATGNARVLLTERGSSFGYDTLVADMRALVLMGETGFPVVMDATHAARMPRVPGHVEAGDARMVPALARAAVAVGVAGVFLETDPDPDSAPSDGPGIVPLDDMAGLIAGLAALHDAARAQPWPTG
MGTRILYVHGIEAIGGAERDLIALLKTLDRHTWEPHVVCPGTGPFREQLHATAVPTHALSFPPWRKPLAVFQRRSAVGRLEALVDRLDPALIHVNDIWWVPHTVRAVASRVSHPVPIVAHVRQEIEPVKVRRYELDRVETVIAISRQIEQSLIAGGVSAKKVRTLYSGIDLSERQFTHDDQAIRQMIGLPNGAVLLGTVANLFLRKGYEVMLRALPAIVRAIPTVHYLIVGSDDHDYANRLKRLAQELKIADRVHIVGFQDPVQPFLAALDLYVHPALMEGFGIAVVEAMAVGKAVVATTTGGLP
MTIGALSHPQPTTHIEGSSTEQKLNVTNSNPLWQTLKKIGGTVQKVLFATTLGLVISKNAPLEANPKTVESEYYINALIDEARGLYQNALKMAKSSPQHNEIDFINRLENEVLTLGSSRIICNKDFKPRTKLIQLLQILECDISSQLATIEKINEWAQANLLRQGERWQAQSNKFEKYKEEILPFLHEFVLIDEVNPSFKHYEEAIIHGASLSTMRLRLQFLIEQWEKGVRFDSLSFLTGARPLDPAIENEISFRNAYNSAFKIRSDWQLTNLPTTEAEAAQMIWDQAEMAEEMRMIKVTFVNAPMKMDEKTGKQIRPNTDDTVYLWKKNLDKLPSKVLAISNQPYVNRQDLVVRSIIPNLPFETVGPKANDQEIIALFLDELARIIYQTKKAYETFLKKS
MFKYYNSHLVKQYRSYTVEQICDLFKEKKLHPQTVRDWVKSDDLETITRKPILIYGATLKDFLEKRNASHKKQLEFNQFKCLKCQEIIIPQNNTISMYKNKNGSIKAAATCPACNNKIARFYKQNEQVKLEGTFVINEAQLVTICNLSPTAGKTHLNSTANNGSSEPSRIMQDTS
SSTDTTVAASTTESSTVELSSSTDTTVAASTSESSSVELSTTTDTTVAASTTESSTVELSSSTDTIVAASTTQISTEQLSSSTDTTVASSTTESSTVELSSSTDTTMASSATESSTVELSSSTDTTVAASTTESSTVEFSSSTDTT
MNQPIPDDPAAGPQGPYTHRASVVIPCRNAASYIRRTLDSAVTSVLVHAAHGPDPASAFRIVTVDDGSSDGTSDRIADFAATSPVGITILRNPENRGVSASRNAGAAQGRPQYLLFLDDDDEFLPDHVGSCVHGLDSSPEAGFVRTGVELADPVHPSWYAAIRNTLVINLCVRIECHRFIGGFSPDPNLRTLQVEDALYSSLLFDTFQNRMTDHVTVRYHRRPGNAFDRQYARFSQPPEANITALTPEEERVYPLVFGRHERAAFLNRHRTDVLKRCFQPA
MSRRAGGVKDGEAAEGNPSRQSDSAHHTEQVTVHYPWHPLHGQTILVQRSARHGREVWLCEHDLRTAAIPVWMTDRMACAALSVGPVVVSVEALSELALLVNATRSAHDRVIDLPQEEHDATSTAETTPMPFEREVSTVVLPAQIAQELVRTLADLLLASAVAADHDEERDDAREDHR
MQGDWVGELVGLDVWETCRELIPSRSVFAFLAEHRERLFPREMFADMYPSTNGRPSMPPQVLAAVVVLQTLHGLSDFETVQELRCDLRWKAACGLGLHDTAFDPSLLT
MIEIIQDYTIQKGKKSFWMCFNTPNNDFHVNKTKHSDLFNKDKTDYKARDEFLAFMKENFPKTKLTMVFDTAPVRRLLYPCLGSLAVDCEENDEVYKAINKK
MKPVRRLRAMASLCRQSAAYHPDRSWKLLAEAEYWDHLADGELLEHYKECTGSSIQDRCVKKHASDIQQSFLADDLAKALRLVGCKVIGPSPNLGMQCQSRRIPLTLP
MLENSVTVSQLESIVQGKRHCGVIIDIPCAKINSGEDYFYRTPDPITFSGVSDLNQLNPIFNEFPIPTRNYSSLYLQLQMTDFLQDLKVYWLNKSRYIYDQHLACAMIPPEKPDIITLLDDSGDVAYNQYSVQLINCKDLDDAATDPMNNIQQLDNAFFEYISIHYLCINMENEMAIIDMIRTQKVIHFPTSVLKSQSSNYPIVRFEPSSWQIQSIIEGNYNEVYGKIQFCDDL
MKRKLLFPVILFLQIVLFASPAISSPLNAKLTDDTDDAIEYNDKMIAIQIEVDNCIVYLLDAIETGKKFIIKGAIKECNISIKQAKKDIKKMGDFQGDDEYQLKMLDLIAMYEDLIKTEISDIIDLALNEEELSDEDFESYYNLYDKALVKYDEGFAEFFEFQYKFADKYGFTIEGDEY
MMNDAKLDEYYKDFVFDSTSDNYYDMWTKLIRYNIALMYKQLTATQSDRYDFIGQPGTVNAWYMVGFVKRLYLLVLLPPNSL
MKKNVVPAKAGISILRVNLRHGNTSPAWKLATNTPEQKIIDALTGQPPGKIVYHDGNMWRKAADGYGYEYAPMHAPAAPEPPANKKIYTRWKPAGYAVEALAAANVTDPGACWLLVKMASVAQDNQQFGGLCYTGSIETICALVGQSDRTVRNELTTLINAGLLTVVKQGKAKVCGRTYQLTFWTGGNDGSDRKPQ
MDTIKVDIDAKSGKIPIYNNGNGITVEIHKEEIAYVPELIFGHLLTSYDYYDNERKLLEVETVTVLNRRISLLMYLGETDDFVDLHFSKLVSSIALVESSAKALAVDGFSDYGLRGKLLIVREGVQDQINISRLNKGNIHFNKKITFWPFYETNQDHDGGHTKGLIINFLNSSFRHCLKFQVEFITHIVQEITLRSRFYMREPTSWFEDNDEAGKLGITKVLVQVLLKN
MYNRNNLNKKSEIVRKPIYSMRKLSIGFVSCMLGVTILFGGVSVLNTGLNVESVVMAESNQDFRFVTPTKIDNQELPVVYFVKNEDKLTYEKASKSTRVETQILSENRAPSKKIFRIHQGKFYEQGRNNESAYEMKALLYSNTNYKLEFSYKDNKNGTIGIKGTKKVEGSSYGNNTSEGYEKGKTNDYNVKTYKILTGNSKNDFQKVASENAIFYGYFNKGRKDKGKDLDIYIAYARLVPETPVLNVQNNIIKLPISKYSGMSQKEVLNSIRNQEITPKLSTKNIGEGFVIDTANNNNPVPSKINEEQLEDKTINSVNDTFEIPLTAEKWGINIQNKLNILFYDDLKDDKDLGAKTPEEKVEVKELGKLTEAEQEKVREAIIKANPELQLSTENITVDEQGNTVITKDGKTTKISGKDLVFENVVTYEIGNINTTVNSNPNPNTGDSSIFSSIATLVTAAAAFVGIKKKKD
MYCSKCKYTTFDHFDNCPKCGRNWQEEKSSLGLGWLNFAYTPLGSISEEKSKSVLASEEGFAFENNQTEPSSEEFTFKSPSASLLETEEPSGDIEAEISFDSENLESTATENLEEITPEIEAAAFTPEPEIEPVLEANLDEPVLEQVQESDNLLGEETSSSEDISLAPETKEESLDEELDSLLDLDDTELDLSFEPASLEEDLEEPLTEDLEDLEDVDLDLELEEKK
MYCNKCDYTSFDHLSSCPKCGYDWDDDREKLNLKWVGYNETKWITWTEESSAEYQRQNDEFSFVPEPDLPVQSNFLEPIKDEVEQPAEIAGSETESQEEPVDAGINFDFSLDQANSASLEKKELEIVDLDDEDNVFTSVEHTQTSSPEPLEEIEPPEEIEALLSSPDIEETVEQNEFEASEVSPEDLEIDFTDFVQAGSEEKKSLRDLSLIEDEVIEIPSEDTLLFQEEDKELSAEEIKTSSDNAEPGPDSPDQNDNSIPELELLDLEEHKKQD
MSHEKLLANLGPGVAESVKVDSIKALIQLGPRGDPTVVEALRRACSDPSPVVVFYARRALDQLTRPAPTRDVSDSATPEPSGVNLERFHSYLTYPEDREVRIKAITLAIERQARETLPLLLGQLEAERDEFVLSKLVKGVGLLGDIHVVPRLAPFLKHQDSRVRANAVEGLSAIADEQVFKLLVGALEDPDNRVRANVVKALRGYRDFDPVKILGEMAASVNPGDRASALFVLSRMGTPRAVEVILPLLMDGDATIRDSAWEIVCEKGYLLGDRVLAHLASLFDEYPKIRDKVLSCLDSLEARVGDELAARIQSVRERVQQVQAAEAKAGTTQAPSASPAEPPPAGPIKSGPLPRPQARAPEASGGGAAPAGRASLAGHSTAPLATDPSVDVDDEASPIGPGAKVIDTEFDALSEQDLKSRLDELEKGDAQAAQVALNRAAQSKSKAARLEARRRLPKVRRMADAQQRAVLGRKRLKRTVAAVTAATALLGAMLYFTVPVLVDLGRRPKPSPTAPTETP
MEDAVLEMSRLGLRVDAATGDTFVRAYAAAGTIPQMEAAYRRHKKTGLLITRGAIRAVASAYISQQKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLSADHMRRDGVAPDLVTHGCFVDAYLERRLARNLTFAFDRLDGNAEPVVATDAIVFEAFGKGGFHAFSEVLLEATAGKRRWTYYKLLGVYLRKQHRRNQVFWNY
MALKTIFRQCKPKVVENITGDIRDTLCPFYIIFTILLLTAFVASTLVATALTHT
MVSTAIFTLPPSSPVSPSTNLIRAASIHNPIFCKFNFIHFCRNPLSLRPKSVVELFFKFPNGYVGRHSICTSSSSKPFFRPVMDWQDCTVKMEVDVPVSVAYKCYSDREAMPKWMPFISSVKCFKLYEMVKPIPNQKIHWRSLEGLPNRIIDSPSWRRDEADREKLLSENLTVSYEVPQILVPVASALQPLLQSLLLRGLERCIVTQNLHNCGGLGD
MVSCGWVLDRSVPEELHFISVVDPPHIITVIFISSSSSSSSSSSAPQMYVAAAAAAAAATAVVAPLHHSNRNLFCSETSIPPPPLPLTSHLLASIRPFRCPAPAPANSYYYYYYYARPVRRSPSRSLKFRPSFSPVMQWQDCTVKMQVDVPTSVAYKCYSDREAIPQWMPFISSVEIVEGQPDLSRWLLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSMDGLPNRGAVRFFPKGASSCVVELTVSYEVPQLLTPVASALQPFLESLLARGLERFARFAKSYSSESR
MKQQLLTLISFLVLSFSGAQNLTITGNVADSDFPIPGVNIIIKNTQTGTVTDFDGNFSLPNVPPGATLVFSYVGYITQEIVVDDDTPLSVLLEPDVAQLDEVIVIGYGTQTKKEVTGAVGVVSSETIEELKPTRIEQALQGQVAGVQISSNSGSPGSGATISIRGVSTNGDSRPLILVDGNVIEDLSTVNPNDIESVNVLKDATAGIYGVRAANGVILITTKSGRKEMPLTIEFNAYTGIQETTREIPALNATEYALLVNEAFAANGEDLVFPDVSGFGQGTNWQKEVFQTAPVYNHSITLKGGKEKSTYAFGQSWLIQDGIVGGNKSNFTRFTKRGNYTVDFLENFKLNVGMIFTNTNRKTLNEGGLGSVLFNALNMAPTLPVRLGNGTYSIAEGLGNEVINPLAQIENTYNRTKVNKLSGNASLSYNFLDHFTAQANIQANYAQVDGKVFNPVAFYGSGKVFNRDRSEVVITRNYFRDYTFDAFLTYENLLNDIHKIKV
MVVSFIGMATQEVNIKANLNIVLKSDTEQLEEVMVVAYGTAKKSSFTGSAAVVKNDKIEKMQTSDVTKALAGAVSGVQITTASGAPGSGTSIRVRGLGSINASSEPLIVVDGSPFDGDLSMINSQDVESMTVLKDAAANALYGARGANGVVLITTKRGKIGKATITVDAKWGSNSRGVPEYDVMRDPATYYTTYWRELKGMYEANGEANPGQLASNALITDSGSGLSYNVTTVGNNEVVLPDGTFNPNARIKYADNWEKEMFHSGLRQEYNVNMNGANEKTSYYLSFGYLDDEGYIVKSGFTRYSARLRLEHQFNKNIKMGGNFAYVNTSTVATSATEEQDQTAGTNMFYVSRTMAPIYPIYKRDENGNFMYDSHGRIVYDYGDTPGMQRPVSGNSNALGSQSLDDRKNGKDYFSGNMFAEISFLKDFKFTFRAGIENDNTRQMVFQNGEYGQFTAQNGIATHYSTRNMTINLQELLTWERTFGEHSVNVLLGHETYQNKYDYLYGSKITLLCGGQLH
MFALCLFLGVISTLGQTITVKGIVTDENGDVLPGVSIIPKSAPKTGTVSNVDGKFSIKVKVGEKLTFSFMGYTSRELTAKPEMNVKLEPADLMLNDVVVVGYMERKVANTSASVVKVGAKDLAMKPVANPLDAVQGKVSGLQVFSSSGEPSSKLSMALHGQGSLGAGTGLLVILDGMQVSMGVLQAMNPNDIESVQFLKDAAATSIYGARAANGVMYVTTKRGKSEMRASINIRAQYAVSSLANTDYFDQLMSSPELLRYYQETGIYSATEIAQLKEKYFKETDFQWYKYVYQPAPMYTADISVSGGTNTVNYYFSGGALSQDGLRMGSGYKKAFGRMNLGAKLNDWVRANINTQVSYDDTRLSPFGNNNAVGGGLAAMNAPFASPYDPNTGQELERVPLLDIATPRHVISTNPARSNAFILSTNGSLTLTPLKDFTIRSMVGLELDYGTNRSRTLPSYYRAYGNGSSSRGFSKASNITVTNTATYKMAFGDHHLTTLLGHEYIDYKDDGFSASGSGLLDDRLYLLNNVTRNYAVSEEETGYAFLSFFTQISYDYAENIL
QDEQVERVKNLTFHFVDEELCVVLEKCLEGTNLTYQVEDETIILRVRELKPETVQPRVQERKLTGRVTDESGTSLPGVTVVIEGTTVGTVTDVNGNYVLNCPEQEGLTLVFSFVGMETQQVTVGERNEINVTMKAEIAEIDEVIVNGYFTRKTEGFAGAVTTIKKEDLQKVHTANIFTTLSALDAGFKITENNVMGSNPNTLPEFTIRGKGSFQEGSSAPLFILDGFETTIQKVYDMDVNRIESITILKDASATILYGSRAANGVVVIETVKPKPGQLRVTYDFKPAVDIADLTDYDLMNAAEKLEYERLAGLYDPIEGDLSTTYEREARYYEKYKNVQEGVNTDWLAQPVRNAFSHTHSLLVEGGANNVLYSIDGFFDRHRGVMQGAGRDRYGLGFT
MWSCTVGALAALALLGAPSLLEAQQGGTVQGTVTNADTGQPIAAVQVSIVGAQRGSITNRDGEFTIQNVQAGAREVRVQSLGFGSQTQTVEVVAGQTVTANFELRRAVLDLAEIVVTGVAGDTERAKLPFTVARLTAEQLPVPSPSAATMLQGKVAGASVVSGSGRPGATPSILLRGATSIQASGRSQEPLYIVDGVILGASMVDIDALDIESIEVVKGAAAASLYGSRAANGVVQITTRRGRGVGDDQVRYTVRTEYGGSSLPGKFNLTQAHQFATDGDLFVGSGSERCEFQNCSQVNLAGQRALSGQSASSWNTVQVEEWPGQTFDHVERFFQGGNEMQNYLSIEGRSGGTNYLISFSNLDQSGILPGTQRGFQRNNFRMNVDQAVRSDISVSGSAFYSRSEQSVFP
MQRRMWPGVILFALLWCVAPGSAVAQQENEPAPVEETQPADATPQEAAQGAEGEAPEEGEDPEAGAPAEGQPDSADADAAAGESEEQAAAESEGAAEPVIGPGGRELRTDYPGTEESLQARMDTDRIEGIEIPDGESPEEVYDLRVRDLETKIDDLKERVFRSKSRIVLLKETILSGNLAGSRAVIRFENDLGSAYNIRRALFSVDGSQIFNELDRDGNLSRANELEVFSGPVNPGTRTVSVNLELQGSGYGVFSYARGYEFDLRFSCNFTAEEGRTTVVTVRAYKGGNAFTAHEDRPDGVCQVTMVELNLEDLDEDQAEAAGE
MTNIQVLAFPYACGSLDSYSKLCHIDGIDLIKYELPGRRSRISEKLDSLNSVMIEVCNVINFNSPYVIFGHSMGGYLANELCRFIEINKLHKPRKVIISGQCPVDNNNDSLEEYNELSLDNTKTYISLFNGTPQEIINNKELMEFYGEIFYQDMQFINQYKMNNSSKKVKSNVEVWYSDQDIHVKEENIMKWKDYAVNSCNFKKFKGDHFFINNIFQDPKLTFELLCC
MALLGDIILFLQHYKSFSLAKEKWLQRKKGFN
LATMKVPEKPPILRRERINLYAIMRSISEMDSPEHTSVTESTPEEEVFLHAERKTCELPSQSEENEKPVTAKPSLPGDQRAYGRRPGRRGRSRGSRVHTQPCKQLSYHPAPGKVHKAVRHMEGSGSCGRRAPLQHRLSVAQLADAALVVSRTKEFEGQINPEIPKKLQLPSSLPCSRSRQVVRQASVDMDPSWV
MQHIQKKMHFESGFANLHSPQGPKFNSEQIRQAICPCTYLFLNTCT
MKTRILGALLAGMCILGAPAWANRGHGDNLERMQKHLGLTDQQVQQLKPYAENMQHRIQSERDAFKATLTQDQIAKFEEAKKDHHRGKGEWKKHRQNNGEQANGERPKRQRPTPEQMAERRQQMVAKLAQEYNLTAEQQAAFTRM
MSKSNQSQCSKGMRVRKEGINEVRATLVAAPADHSPFNDDMNFKEWLKTAKFNRRLYPQHQGILSILPTLSQDLCSAAIDAGITHDTDIDQCCDTLAQLVIEALRYEDQLAQSSSQAHNQEAAGMSSNLALPEAQQMVKLNNLASHFSALYLKTRPTILSMAPCSVRLCILYIFSWWQPSVMTL
MSAPGFSLLMRRHGFHIQPARIAALVALAEKLPAPVLAELLDISIDTAHKWAAYTQPNWSAYLAVRDQDSTRDTKE
MPAVPIVAAVGAAVTAGATVMSAVQAGKARKDMREQYKYERQLANNRSARERVNAIRQARVAQGAMLQTAANSGAERSSVALGALGSIQSQLNRNLSFLDTNQKLSNLAGYYASQANIRSSSSQTWSAISSLGMQVFQGAGGFNAFGGGGGGSGNSGNG
MLSLDTFEKYAWQTIIAFIIGLPPIIITTTKDKIIWIIIYTILILIGVTLFSIIKNLIKSSKNREVSISK
MAVAVAAAGVLMGSEPGPAEELAKLEYLSLVSKVCTELDNHLGINDKDLAEFVISLAEKNTTFDTFKASLVKNGAEFTDSLISNLLRLIQTMRPPAKPSTSKDPVVKPKTEKEKLKELFPVLCQPDNPSVRTMLDEEDVKVAVDVLKELEALMPSAAGQEKQRDTEHRDRTKKKRRSRSRDRDRDRDRDRDRDRERDRERDRDRDRDHKRRHRSRSRSHSRTRERTKGKSRYRSRSRSQSPFKDRKDREKYGERNLDRWRDKHVDRPPPEEPAIGDIYNGKVTSIMQFGCFVQLEGLRKRWEGLVHISELRREGRVANVADVVSKGQRVKVKVLSFTGTKTSLSMKDVDQETGEDLNPNRRRNLVGETNEETSMRNPDRPTHLSLVSAPEVEDDSLERKRLTRISDPEKWEIKQMIAANVLSKEEFPDFDEETGILPKVDDEEGSLPLELAG
MTDPQVTSDGRKHVARAVHAGHEITVVVAFSPTRQRYQVHLGVAKGDGPDRMDTSFDVGFHEYDSVDAAVRFGVDYAHTEIDAGRIDWSSNKQPHR
MSHTQLKPSIPAAGVQTKADEHGLDTMGCHTCLRMARRKSSHKQIGPVETCLLCNTEYCNTHSSPSVPGTCEMNHETYCRKQEHKDLHHPTEIFRNMAERNDFIARNGDRNVVLHEQDMDDS
MHHESVFDLYRDFKVHIVLCFILCFILFLITFAVHFVIPFGIYYEFISKTIFVSWSIPPLFFLCFISILLLNIRVYLTFSKNNQSH
MLISLCWLFVSVHRFDHYREWTSNNNQLRCSILVSDSFYLLSLPCNGAYMYMKTSKKDESITEEEGAKDVPSIPVSATAAATAVITENPVAEFVKLREPIPMDQQRELFKWILEEKRKVKPKDPEEKKRIDEEKAILKQFIRAKSIPSI
MDNLDSLKQFQELIEIGYEVQFKLNNKRWLVEPDQDAPEFSEKRQLISTEADNLDFIKKFKDTSEFLNYSICGKVIKDSWKEITDIDY
MATFSAKSDSPSELSEAAGVVKCSRIQANAIASQLVLICLSLAFRVRMAGFTIKHGLGMGYEIFIRPPESLDDIKPDTKGSESYFGHRPWRPDPSPGDFRESLARFRDMRVLPSGSIMGFRWVFRMRYFKGWVGFMGRMLM
MMDEPLLPVARKYANLQQNLLSVRDNVAVFVSDSSDIEDPVQFGLGVMNGRIVEITFDQALLDDFADDDVHLAATSLDSYINMLIIEAFDNYSVAVAEYMADGVLD
MAQVNTLTGAVPPGELGVTLMHEHVTLQMAGATSDTLVPAPSRAEIIARSVDWLSELKSRGIATIVDPAPGDMGRDLELSAEVSARSGVRIVAATGLFNQAFGGAPYWNGKLLYLRALNRVGDFRKYVADMFINEIRNGVGPERIRCGIIKVASSASAITDYEAEILAAAAIASNETGCPITTHSDDGLLGREQQAALLGHGVPPNRIVIGHSCNTADHGYHRAVVEAGSYIGFDRFGYELAGSDVGRMDALVRLMRSGATECIVISNDACFCWAQNEEPAALREMLAAEYEKRWNPLRISDVIIPALKARGVSDAEIHTLMVENPRRYFSDAPPNSGARE
MGEQHPDEVIKALKLEQKLLEDRLKDVAMKLRLIIIKESARDVTANRTAYGR
PTSPLREVDDIRACASLLTHETDAVITAYESDKNPYFNMVEQKANGFYERVCAPSSEVTGRQLAPKVYAMNASIYVWHRRTLASSLWANPRIQLHVMPRERSIDIDHPIDFEFVELLMKRKGLA
MTEPQQDRVEINWVQVSASALAAVSSAVLLSTVGVAGTIIGAAVGSVVATAGSAIYSYYLRITRERVAQAQAAALDRVARARAGASSVWADTRRAEARQQRTQLLRAQRQSEEAADELDEAERELDDVEEEAARPTWREVLAGLRWKRIAAVAGAIFVVAMLVIVSFELLTGRAVSSYTHGSDSGTRTSIPGLGHHASSKTPTPSPSAHPSGTPSSSPSAGESSSPSGTPTPSESASPTPTPTPTETPTTGTTPTTGTTPTPTPGATPTTGTTPTPAQTPAG
MADVLDKDRPDLVVLNGDLITGEVTLKDNSTDYIDPLVAPLVERNLTWASTYGNHDHTFSLSAENIFNREHEYVGARTQRMDTTACDPELLLWFFDSRGGAYYQRPTAAGAPTPQPNWVDTTVVEWFQGTNAAFVQRAGRVIPSLAFVHIPPNATSHAQRRIHPNRNPGIDLEQVSQQSQGWCANGTQDWDNPRCRYGGFDVPFMKALASTPGLMGLFYGHDHANTWCYRWDGEVPGTGIVARGINLCYGQHTGYGGYGDFIRGGREIVLDEERLKRFEVDTYMRLEDGRTVGAVSLNATFNQDWYPATPNDQTKLE
MVLRVIRFCFWVRLEHTLVGPGQTPKRGAVCAAAVVSSVGLCFDAACAPVI
MKSEGEVDGAEKIMPYYPKNVCEDYKTGNVGVMFRLPSLGCKVAGYVLPDDDGNARKLCRQL
MVGEICVELLILQAVKNRGPSRGIESFEEMVLENSLTLEEIIVSIPVEGSKESKKRNETIILFLLV
MSTAPSGWSLRALAQEAHVLPKVARDAAEEGVIDAQHTVETDIVLVRLYGALKRLVWPEERRPANKDQGLRVWEAITIETARAALPDEVHDDTGLFVHQTGCELVSGPGPKALAFFKFAEQPFYYAPLGRWFNELPTRRRLAEEMTEKAKD
MGPQLTTAGATQPGTLRPLSTTHAASAVEANPQDRPCNKRPIKSGVALCTCSNENIATAENNSEISETLRLPK
MTDDGGEILTATLTDGGNGCTGTPTVDGADGSSSSLTLVMSSSFGFSAKVKTVSTGGITAIEMISAGQGHTSAPNIFAARGTGATFTVVLDNVLTWNMTNSGQEVLKMSHIWFALDGGEPEQLSTSTYTIWDFPKHDYLFPGETVMVSYDLNSATPSVVAASCMGGQSSKAVTQNG
MSRIKYFIGEARKNIIRNGLMSVASLFTIVSCLVILGIFMIISLNVETVTNQIKDQCEIQVFLDINTSDERVNEIHTQISAMQNVKSAEIYTKEQMLEEVKTTMFKGREELIDTFDAEENPFSDSYKIVLNDISLAGETAEQLSAIENVESVTNKQDVVNVVISISENMRWATIIIMLLLLLVAVVIISNTVRLTVFNRRKEIGIMKYIGATDRFIRIPFIFEGVLVGIAGAIVAFLLMFGAYSFILNFIDKNNFDLFTLIGLKPVASWLAIIFFVVGGLIGMLGSMLSMKKYLKV
MRGSSFGYLIKEGAKSVYANRLMSFASIGTLVACMLLIGSSLLFSMNVNQIVGYAEQQNEVDIILSDDLDDAQIEDIGVQLDMIDNIYNKTYVSKDELLEEQKAQSEELASLISGLEGDQNPLFNSYRVNIRDLSLLTGTVMQIEEIEGIDKILAPDSVAEAFTSIKRAVSISGLFIVAILIVVSLVIIANTIKVTVFNRRKEINIMKYVGATDSFIRLPFFVEGFLLGLLSALIAFGLLWIGYDYVLQTIADSPATLIRSALDSTLAFEDVALKMFAWFAGGGIGIGVFGSMFFVNRYLKV
MSFVSVRFVSREGIVVLNSVVCRTVCHRSCPSRIVSTVRHCQSSLKSQQSSYKSQPIRQFSFGKSSSRCLSTPSCGVSFGSVTQGLLKVESSSCKQQSLDQRRTMVWPSGDNAYVDPLEVDGYVPKLALFFLTFLATLVYNSDTIPSIPRIFKNFKY
MVVDRAALEATAIPWAYRRSIGRVRAFLRTVCLFTYDSQRIRHELIRPQVDRDAGRFLFANAALLTLTFLIVVVAMAFWSRHLHGLIVRETSAMNGVIGWTRLMPGYQQDLHVPWSAGVTPYAIVPLYLGGLAVYLCGIGRKCHRRGSRTAEELRRARAVSIYAMSPLFFLLPAAICFAAVLGLEELDRNNTEERMFAVARTIAVLLTVLIAGFALFSAVRRSGEWVTRASHAGTGRFFLGVIELLGRFVVGVVLFLGVLPWCVGYWWIAIDSQL
MGASDGRRGHLFRLDCRIARNATRAALAGRRDRLNAGFMLVVVLAALHAWFAGRSWQIGAWAALGGGACAGVAAGRLIAARLHFHGTDGLLAALALRPSTGRHYRLAAHGIGVAILATITLIARPSLLIVSLPAYLAGAAVAHLTAMLAPSGAVFAKVRLGWTIRIWLHRPGAGMTAAAAVLLSLVVTGTLSSNARIAIVGIEAVIATLALTSVDHPTVRFMTVAGQGSWRIVAHHGRSMLPFVLLAVPITWLILGPLAAGIVAAACGAMLLLMTMRILAYRLHGRRFADLLVSVFAGMLILTAYMLPPALPVAALAILWHLHRRAAAKTWLLG
MFVILILEVIVMPARVLLTIIETDMEHVVIKDVYIGQGGEACTVLVGYDEVKRIFYFEIYNLGGDEVLKKVYLKNGQIFVANNDGRSDFDIKLDTSEF
MPDDRDAAAWRSLLAEAEAAYRPRSAARFYAEQRLLEQLGDDNVAAAVLGTTPQTLLRRHPTVLTTRMRSAMRRITP
MHTHERAAVRHGGYKMYKCLQGCAKTAHHHCCYCGRTVTRKSLFLAHVQTCSTLATSSASAATRAPLATATSSASAAARAPLATATSSASAAARAPLATATSSAATRAPVATATSSASAAARAPEATAASSASAATRAPEASVASAAARATEATATSSASAATRAPEASAASSVSATAHAPAAASRSPSCSVSPSIKSNPNPYPKILQSKPQKTTCTFCNLIVNKKKLKVHIQRRHTSSCVDVTSIHHLPSQCVDQKNGIFAVQRTFSGPIAPIHVQRCTWGDKHKIACELEQCRRAGEFARRSGLMGFQCIHLKSIFLSHIWLTKDGFLKTRGRSVSPKKNPAESEGCPLSQEIRLDSGTSKLYVSIKDTCTSYFSRLGRVVVYFDRKRNTWQCPCAKQKNRCPHKSIAKWHFNQTHPELFQKVRSTDSDVFDLFGKHGPQDGHSTGHDTASVYPPEGDGLMAMILYLLKNKKVPSVLPKDVSSPPNRNALPMHLIPCETFCALCPGKIPLSEPILVSQKAKIVMFTGVAEDVSTYCKRCGICGTFYRYQEWTEGLHNFDDHVILTLHLCLFLRQSVQNHTAVGRALDILEQTNNKKYPNHDSILHGYVHFEALTAHDYNYSCVNCGVHPPVVIMDLHKKGVFSMPVSDIEDPSPEFDGHVDVEAFWDSVEKEIVCRGFLKSKL
MTQMDVPPAAAPVQPPENRFGVRTGVADDWDAAYLGPGAPVTLSRRWITLAEGRIPGGARTLELTGDAGPSVALVGGPVDEPTGHVRFDPLRVLSGGSAEDGVAEHGPHPWKGLSADTVYPCCLLMFPNYETAPVGPDAHDPAAVLTYVDALVRWCRDNGMRSIAGLFLRPDFPEFLDALRQRGFDVVPMVDRCDMDVTWQDFEGYVAGLPRKRRFAVRRELRDIAQRGIEISERGVREDEPELVRLRAQIVTKYGGTPDAQREAGSLRHLREHFGPENVTVVEARQNGALLSFSLLVRDGTQWTILMSGTDYDHPDAAFTYFATMFYRPAELAPRLGITSMAYGLGTLQAKKLRGCTVSPLSAAALLLD
MRVDLLKKTDELQYQEFVQSHPAGMLYYDLKWCDVLLMLTGARPLYMVCKEAEEIVGIMPAFMAETAFGNVINALPFYGCHGEPLVVEHAPAARKLLLNFFDSLARDHRCLASTFITNPFEDNFSPDDDYKPTHLDTRIGQVTELAMVNGDETLLQQFEGRTRTAIRKAEKEGIEWCVEKDGSILPHVYELHHQNISDLGGVSKPARFFELVKENFKYGADYEVLTACKDDEKIAFLLLFYYKDTVEYYTPCVKKGYRQLQPLSLLIYEGMRRAHAKGCKKWNFGGTWKTQAGVYQFKKSWGAKDFSYYYYVRVYDDRIKKMAASELVKEFPYFYVLPFHELEASS
IKGMDDFILQPALYSARVKAHPLEIFLVILIAGSLAGVLGMLLAIPSYTVIRVFAKEFFSQFRLVRKLTEKI
MKKLFLIAAVGAMAVTTPALAQGRGNSDHHNRGHGKVSQSKHNDRYDRRDGRRYDNRYDRRDDRRYDRRAQQRRWDSSRVRYGDGRGYWYDGGYYRDGRYYGSNCPPGLAKKRNGCLPPGQARARWGVGQRLPLSYRDNYIPRQYRNYYTNGNYRYYDGYVYRVDPTTYVIREILRAAF
MLLILALFSLANSYEEDYFMEENGLRKKLKKAFMKAGHAVHKVGHAVHKITKPITRPIQKITKPIVKPVRKLVRPIRKWIHDRDQKETTEPVRVIRFNPTNLERDYKTRNLESLIQQIANEFHLDVQKVAMFFRLNKWSLYSKKLFNRIDFGQVQDKDQRSAYLGGTIIKITKSNGEYTVNCRQAAVSALIQATRTQHRYRRLLGHSRRHHDVEWRALTASEIQQVYNECNNQIVGRLNAYKNI
MPAPNLKDLAFAKEELLRCKGYAPRFIGERINLSLSKILSAEQKLLLPEVEGNKQGILHYTGFSVLYNTERKVPFVAAYNINGADKADQSPRPAFRKDPRVLAELQLGKEFYDLRKDITEFEIGHMASNNEMGRGENGRLKAYQTFHFTNSVPQAEKLNSGLWKGLESYIIKEAASVAGNKRICVFTGPMLTSKDPSYVKLPSFRIPLLFFKVIVFMSPKGLYSTAFMISHEKKLIEDNMFTVRRRRGLAPEEVGFFNDFVYKEVFQVNIPFVEANTGLNFSWTGVKKLVVPEGKKMLSVIRGIRDAAEAKERITRGLAPADINGGKRPTGNFRINIVLP
MASIQVLSEPIEFHCDHRGLVFEPLLAGQFDAQRNLHVVLTAPGHVRGNHYHRQGTEVLAVVGSWLIRYRENNAIRELTLGEKQAMRMTIPPGIAHAFKYIGTGSGLLVAFTDLDRDAALADVEKDVLIAP
MTERKAAPHEAGMSAKETAQYISEFSAELSYLAREVKLDLLAYLLDMARLEAIRTLQMADKDR
MSSNEEGSKSXRFCGTLSFNPFYFEVEDILYLHXXXXXXXXNINAFLADLFDAFESSTTHXXXXXXXXPARRFVPIQTIPDLRSQNYPHRALHPIKMKYVQSSASRTMSAMSADSLLTTRSGDSLRYNVPRSRPTLNGTETTNFGELLQVSLLFSCIQMY
MAASVNQVIVNGETIIDLTNDTVTANDLAEGVTAHDSSGALVNGNLSEIEAFENNPTITFRTEGPFGLFRCYPIVRKDYIIRKTSKPIVFLDADGFGDAMVADVIKGKTFTSKNGLKVSGTYEPPSTEPTLQIKSVTPSAAQQVIAPDSGYDGLSQVTVSGDSNLTPENIKSGVSIFGITGTLAAAASDNNCEAYVIDATNPTVSFKASGTIKVYGYGYTTSSSGWGGSTTTVHAFCGDGYYKAASWGSPSKTNCTFGVSGGKLTGLPSLNGGTLIAVCGI
ALTKRIKIGLQAQAVPSELVMKLFGSLTAISFSPIVTIEPRRRKFHRPIKLRIPLPAGYRLETSGNLRLLCSITGGQSKAVWEDVTGSTPLSIHEQCLLFTTTVSARFWLVHCQRSQRCQDAARFAQELWRYLIQVPFMAKFVVFAKRRDINEANVRVFCMTDDKEEKTLEVQEHYTLVARSRDVEVLDRQPLFLEFGGNLIPAHLNGANRRPGGGSQSTTRR
MSIRIYDTLKGEKVPFEPVRAGHVGMYVCGVTVQNVPHVGHMRSSIVGDSIRRFFEWQGDEVTFVYNFTDVDDKIIAIANDEGVDFTVVARRNEVLFLKYADMLNIKSASHYPRVTEHIQEIIDIIQKLIDKGKAYAAPNGDVFYRVRSFNGYGKLSKKNIDDLQSGSRVQVDEAKEDPLDFALWKSAKPGEPAWETPWGSGRPGWHIECSAMSMKYLGETLDIHGGGEDLIFPHHENEIAQSEGASGKCFSNYWVHNGWVTLGGEKMSKSTFKFRPISEVVEDFEPEAIRFYLQSTHYRSPIEFIEERLREAEKAYGKLRNTLLEAAKRTLAGEHPREEEIQAEGAKHREAFIEAMSDDFNTARALGSLFDLSRTINRALDETPGSKSPAVQSAVDSLFELGQVLGLFWLPLEDEGEDIPEEIQALLKQRNDARASKDWAKADEARDALAAAGWVVEDRADGPRLKRK
KQYGKLSGKDTESLQSGARVDVDERKKSPLDFALWKAAKPGEPYWESPWGRGRPGWHIECSAMSQKYLGETFDIHGGGMDLVFPHHENEIAQAECTTGKPFARYWLHNGFVNIDKEKMSKSLKNFKTIRDVLADYHPEVIRLFLLTSQYRSPVDFSAQNLAETQAGLDRFYALLQDVQDSARIYDGDPQTDVERKALEAIEEFPAKFKAAMDDDFNTAQALGHLYSLTRILNAAVHTPGQDAGGFSRRLAEAACAVFRDAGSVFGLFQVEPAAYFAARKQDGISTAGLSEADIQCLIDERLEARRQKNWARADEIRDELAAKGIALKDTPQGTEWSVK
MLIIHNTLSGKKEEFIPFNDSNVNIYVCGITPYDEVHLGHARCYVVFDVIRRYLKYKGYNVKYVQNFTDIDDKIINRSNELKVKSSELAQKYIDDYFSQMKKLNIENADSYPRVTQKIPEIIEFIKKIIANDYAYAVEGDVYFSVRKFKDYGKLSKRNIEDLKVGNRILPGEIKNDPLDFALWKKAKDNEPSWSSPWGEGRPGWHIECSAMSLKEFSSSNLDIHGGGQDLIFPHHENEIAQSEAATGKQFVKYWIHNGFVTINKEKMSKSLGNFFTLREIFDRYEPMVVRYMLLSQHYRQPLDFTEEKLEQAKSAFERITNIIEKTENIQHNQTTLL
MKIFNSYTRKVQEFDPVNEGKVSMYVCGPTPYDEPHMGHGLSQVRFDLIRRYLIFKGYEVTFVTNVTDIDDKMINRSNQEGITIKELTNKVFPIYVNCFSKLNILEPTKTLYATKFVDQVMSMTRILMQKGYAYKLADGIYFNIDKFPDYGKLSGVNLDELEDGARIDVKDDKINPKDFVIWKYHKDGEPAWRDPLKQLPDGRPGWHIECSAMIYSEFTDTIDIHGGGQDLTFPHHECEIAQSEACFDFKFVNNWMHNGLLNIDGEKMSKSLNNFVTLRKALESYNPNVLRYFMLSTSYRAPLDLTEENITNANNSLDRLQNCVDRVLASNGFESNDDVELDNQIHILFEGFVRHMDNDFDISGALGFVFNFITYVNTALDEQKLSQSNLEVIIDKFKELNSVLGFLILEKSEISEDVIKLADQRLEAKLNKDYQLADSLRDQILELGYEIKDVKNAYEIKKKS
MLKVYNTFIQRKEFIKCKFNGLLKIYVCGITVYDYCHFGHARIFIFFDTFIRYLRYIGFNITYIRNITDIDDKIILKSKNLCVPFNKLTLYFINFMKKDFKNLNLLSPTYEPKVTYFINYIVYLIFSLNKIGFSYQNNFGDLYYFVNKYLKYGNISNRSIFDENNFSRQNIDYLCKNFLYDFVLWKFSNIFKPFWKCYFGEGRPGWHVECSTMSMYYLGDEFDIHGGGLDLIFPHHENECAQSEGLTKKFFSSIWMHIGIVEINKLKMSKSLKNCVNLNSILQKYNNEVIRYFILLNHYRTPLEFCYDKLNISEKIMTKFYLILNNKFIESVISKNNIFEKRFFNSINNDINLVENFSVLFDLVYEINCVKNFSLYKFCSLIKLLKILGNTIGFFYLDSKQFLSNFGRNDKMNKLNLSEEEINELVFIRFNDKLNNNWKKADFIRDYLFSCGILLEDKKDRTLWKNF
MPLVIFNSLGREKQLFEPLHPGVVGIYVCGPTVYGHAHLGHAKSYVSFDVVVRWLRESGYRVKYVQNITDVGHLSDDADEGEDKIARQARLEKTDPMEIAQFYTRSFLDDMDRLGVLRPNISPLATGHIPEQIALVDKLVKRGHAYEVNGNVYFSVESFPGYGKLSGRTDLDAVQSGARVGVRSEKHNPSDFALWKKAEEGHLMQWDSPWGMGYPGWHLECSAMSMKYLGDTIDIHGGGMENRFPHHECEIAQSEAANEKPYVRYWMHNNMVTVNGTKMGKSLKNAVNLKEIFKTVDPLAVRFFILQSHYRSPLDYSDTAVAGSTAGLQKLRETRQRLIEATPGTGLLDAAPFSLRFREAMDDDFNTPVAIAALFDFSKALNTALDRPDGLNASSLEAARELFSTAAVTVLGIMTEDAEGGMHDGGRSAETLDEVMGVLMELRSEARKNKDFATSDLIRDHLLAAGIEIKDTREGASWSKTRH
MAALNVLPPSLEPRATAFVSQMIDTISAIMSYGHAYVVEGGDVFFDVASLEGYGRLSGRAQDDNRAGERVAVDGRKRSPADFALWKAAKPGEPSWPSPWGPGRPGWHIECSTMIRELMGPVIDIHGGGRDLVFPHHENELAQSQAACGCGRDHPQPSPSSSASSSSSSFDDMQPQLHNGTDFVRYWLHNGFVNVDSEKMSKSLGNFFTIRDVLARYHPLALRWFLLAAQYRAPLNYSDKGLEEASGRLYYVTQARADVVEALRAAGPDGERAVAEAQALLPLPGPRREEQAAVSTTSPPQQQPQPTTTTTTTTTMTTTPASVASNNGRKGAATAAAVSAGSPPVGPALISEVLLALADDLNTPAAVSALSGPLKTINDLLTTKAGRKRPDRLAVLAQLHVALGTVMGLMGMELSQAPAPGSEAARTHGRLEEVGASLEQLLKELRALALVRLEMTEAQVAASIRERAEARQAKDFARSDAIRLDLASKGILLLDTPQVGVWVGVRKGGHNPACLCMYVCLTMVILHPWRW
MSDYTISSNEILTEEECNIHSSVDFRTEYTPDLESAMSPFPAKNSARTDQPRTDNNLSVSASNAYEISTVHPFEGPMSSAETIGIRELTVGELLLGRQQQLNVLRRLKQRMQLQKLNDANMTAEELNCGESFRRRHQRSLTTPNDEIAKPMTALTLGELYRKSWAQRPAVKRFDANDFIRMHSAEIAKSKNALRSLIKSVAIFGGLVLLKAVYQNIDYVCAIKVRSGQIYTRWKRRILNDKADVKCEYSDTDSSTVKECSLYNLFATNFSYSATETREASSSRQEDRRGAAHETNVQKSSTPEGAIFQVPLHSPEHPPLSTTVGEIPTSQLLSLSEAPLAPEVFHETISWMQRQSELAEQEPFEDYLSATISHLSSGSAFELKEEKGVLKKASKTTKLSATQRRSSMKSNRQVKESQSDEALSCNQTTNDSGRSTRCISNADAPVGRHVAAKRRQKCNGPTRLEASLNLEDPFQLNG
MRERKPREEAVTMRHTRWAAVLKLALVLGTLGFSQAAQAQDVFDPRVFNWLFYLNNNADLMRAGYRTPQQAMNHWQASGIREGRQAVSSFHTRQYLDLHADVRAAYGAGNYTGALNHYLIYGANEGRQGHYAGGYGRWTVGNDIIRISASTRTAGAIDSLMWNGREFINSYDHGRQLQTAVIIDNQGECYNPTQAGSSNDGLASTTTSVLEGVYTEPSRLAVQNLPAYWLAAGQAHPFPSGNCVRAVNTTNVSDYRMNTDVRVGFGGIRHAIEFITAVQLPRYASAFQLEGPTAYLSGEFSSFYAMNFATGGLIAQSPANTEQDEPVIISLPDGSAALGVWSPNLPDGGTASRYARFSFIDGGNPANSTTKWSAVFRRTGLAPGTYSFRSFLFVGSLENVRVAMLQVRNQFLTGQAH
MTDVKIAPWEKFSKKLTQIKHIQFVTEDLEAVSVHKSLLKQTYLLESYIIYLVALWEAFIEDCFSDAITLLPEGSVTAKAKDAIKNFNSPNTDGIKRLASACFIGLETIPARWGWPGFTNQQVLSFLDKILKIRHAIAHLGLSETRLSKELNFRYMMLICNIAVQTQNVLIEFMIEKGLQVYPTFTLPYPELRPTDLKL
MKDWDPRESQTMAPDGSVGTLYAPVDYEAQSVSSRNSFYARAWFRALGLIGADCDEADFPANLLGPVEVNIPLKGLGKRYSDISATGFLETDGNAGVAFYRRAPDGQQKLLRRLDELQPTVTTWLSLGRDLRVTSGVVQIEAPYEDPVVLFRV
MGLLDNLSKREPPPEPKPGPPPVGHFGVRIELKEEKVSLTAGQTKEYALVVTNTGTEDDTIRIKVDLVYHSELPDPPEWTV
MELSQKNIAGIAGLSLVLMAVIAGVGYGYAINSFYIANDTITTIRSLDKFISLLKLTIASFSIILILDIIVTKFHND
MRDLIRRLRHDDRAATAVEYAIIAAIVGVSLIGALVFFREELVNLFRRIAAALGGN
MKHLLKFILIYLFIVNTLSAQTNSNAERKIDSLFSSYNSKTAGVAVGIVKDGKLIYKKGYGNATKDLRYIIIQEAMLVLNLI
MSLISKRFVITYIVVLFFISGCGGSESSDGNSTEIISPPTNVAPVSSAGDDQTVNSLETVTLDGSASSDSDGTITSFQWSQIAGPDVSLNTTEPSKPTFIAPSLDEDQTLTFELVVVDNDGSASASDTVSINVLAKEVPPPSIIERSGFALAAGAITGLENGILVNGVEYNTTIASFYRNGEQSSIEQFKTGEYVIIKGSIDDNNTNAIATTVEYMTILEGPISNINEYGTITVLGQSILTDLNTLKDDSCPSSLDNQDIASLTISGSYSATGVITATRISCKTSDDVEFYLLSGHIQELNEVNSTFKINNLLINYQQATINLDSTLRDGLPVEVKGDATSFNEEIPTLTATTIKNTDDIVKGSFGDHYEVTGFTKNISIEQIELFIGPFRQQIVINENTLFSGGAKEDLSIGAKLEVEGEMNNAGLIQATNIIFKPSIDIVIKSKVNEVSTSNRVLKLFEIIVNTDPVTTQFIDTTSQANATFSFADIKVNDTLEIRGKLKSGGEIIASLVQRNIMTEQSSIRGFTDPTSVVTEATAPGYRAGFTVLGVTIDATNVETFRDRNSNEITASEFWSVIELEAALAVAAGLDIGYLVEVKGSALNNTTIIANELQLEAE
MITTIFLTDQWGRRKMILTGLIGIILVEIYAAIMQREFQNTDNRVGKAFAIVGIYLFAVAYYCMLNSTTWLYGAEILPIALRSKVMGLSASSHLIVNHCCE
MMFSNSVHGSPLSTLLEEERSNPTRQRVLEKLGSTNADFILLEDGEQGWYYKIKHLTRLVDLLKSNKSNPDDISETLGGLANFYLYTEQEELADKVYKQRAKLDLESILGGPSNALSVLNELILNHYLNNDFIEEVQRHTSEPKKFIKSQKFEELVNTLSEAYKNFSKRIFEKRKQYNKEPLLLEDKVPTSTLKERIKELEVN
MFLDSVSITLTRRNEIGHWVCFSRAEVKLGHSTRMRMDPEMFRLWDQLSHSSF
MTFIWQELETEEGSETDSRLGLEDKGCEDLKASTVLSETEITKLLMERNDLKNERNILIIEKDNWKLRKNDLIIERDNWKMERDFLTTEASDLRAEIDFLITEKDRLEAEKKELPKLGFWEHQRRSFLSLTPEARKQKREADFAKSVRGESVQPTSATESATENNAEVAIDNPTQYASLSIAIATDDDENGTDPPGAIIQRFGRGSTYSSPQDIFPKNGDAALAAPKISTETIIIRVISQPSWPEFLLYRSPGQSDF
WVDSMAGPQTVAVVQPIHVAGAIALHTSAVRWVAYPGSSKLAVPTDVDACDVLWVSARAPKPGPAIATELGYRAEGALQSYRWHAASSASSGGTLYAQRWVTDARPCPSLRSVYDRLP
MKISHECAEQVRQKEQARQARYYNRRARMTRVFSTGDRVWMYRPPRGTKATKLVHVWIGPLRIIEPAGYDNYVVRREDQNEEPEEFIAHLEYEEQHADDTPAGTFARATTAPVRAASAPAGSKRSRTTVAGTDVWGESGGKLVEIRRRRRRNKAGQYVLELELRPVGRECRETTGESTRWVSIIEYDKYFQDDLSEECTLARSQLQRAKGATKGRKESNGFNGHTVRTEIADGEPSRPVALDGRKSKDYRETENK
MSKNVKIIATLGPATRKEGDVLKMRGRVDFIRVNMSHSSLEDLDYFVKISKKVGIPFILDTEGSQIRSGRFEKPPVYFNKNDMVELLGESGIKSVGDKNKIYLRPKEIIPQLEEGDILYMDFDTLALRVTDNTLRDKGRVGTSVITGGFLGNNKGVVVDSAFTKSYSLPTLSPKDTEAIKIGLAENLGYIAASFMRSGEAVDEVREETDGKMKIISKIECQDGLNNLDDIIAKSDFLLIDRGDLSKEVPLEDIPFLQKEIISKAKSAGKGTYVATNLLETMVEKKKSTRAEVCDVVNIIRDGAYGLTLASETAIGKYSMECINMLNTLISRAGLGKGSDALIAPHGGKLIHRMINTPPDSSYLNSLKKLEISDDIAMDTEQIATGVFSPLEGFMGRADFQSVLDSARLANGLVWPLPIVLDARQNDADGFNAGEDIALTHKGETLAILHLDEKFNFDKKETARKIYGTLNEEHTGVRGVFAMNPVLLAGKISLLKRRNAEHLAYALTPKQVRRIFKERHWSRVVGFHTRNVIHRSHEHIQLAAMKQENCDGLFVHPVVGKKKPGDYNARYIIKSYERMLGGLYPENKVVLAAFNTYSRYAGPREALFTAICRQNFGCSHFVVGRDHTGVGNFYPPTASHDIFDKFPDLGIKAVKFDEVFYSKKLGAYVRGEDSPNHAPEDKLSISGTQARKMFERGVAPPEWFMRPEVSGIIIDALKRGEKVFVE
METLVAPHGGALTERIVSPAEEPSLREIAAASPSLVLDARELADLELLAVGAASPLRGFLGAADYESVLARMRLADGTVWPLPLTLAVSDEDAARLERGSAVALRDGRGFLWAVLTVSEVHRRDPLAEARAVYRTEDAAHPGVAYLLSRPVNLVAGEVRAFPLPDDLPFAAHRLTPRQLRARIAAKGWKRVAGFQTRNPIHRAHEHLTKLALEFTDGIVIHPLVGETKGDDVPAAVRFRAYEALLERYYPKDRTLLAAFPAAMRYAGPREALFHALVRKNYGISHLIVGRDHAGVGGYYGPLESQLIFDTFEPAELGITPLRFEPTFFCRACDALASPRTCPHGPADRLDLSGSRVREILRSGGDLPREFTRPEVAEILRTHLGGVGRADGQPRKSGFILWFTGLSGAGKSTLAGRVQEALSGERAVEILDGDEVRTHLSRGLGFSREDRDTNIRRIGFVARLLARNGVGVVTAAISPYAETRAEMRRLADEEGIPFLEVYAEASLDALVQRDVKGLYQKALRGEIAHFTGVSDPYEAPIAPDLVVHTDRDAVEESASQVLGLLAARGLLLSRRAA
MMSTHRHIRNLKIHRNVLYTLVVALLIFQAASFVVISGQASKVIAQQEEIKDYFEGKIEGEIKDVRQELRFSVQELTKAIAEQGSNIRQEIDLLKLTQDDFSGVVDESIRSVVSVTTDRSAGSGFFVHSAGFVVTNWHVIQDAGFVNVVDYNGQEFQAQIVGWDEFTDLALLRVSGIFEHLELEESDNVQVGEKVVAIGNPLGLSFTVTEGIVSAVDREGPNGLKAYVQTDVTLNPGNSGGPLIDKEGKVIGVNNFKIGGAESLGFALESDVVRIKINELANATIIN
MDPDCQTNGRPPVANGRPSPAQPRTAVATQSTTVDRRSTVTVICQPDTMKIKVDRRAKRSTVPSPVA
MSFRASTLPSFSPPGNLDDLVEKNKEIWSEQRIGKWMDDEIAGGMNGPCNKPRTPLPQFFNGTVTAFEGGQHKTVDWNAFPNQVKLRYKTAPLIWQMADASRLVQDEYLEWSVQRRPSDGKITSVTFTCEGPEYWQFIADYQSSGEYPGAKSILDLYRELNPEFANDIQEEDLYLVNEKTGAKVYNPMNYWNQSSESGCIAHLCHPSNTLSAEIDIAAQATVLRMDPEGALITDANKLINCSKYGRATRNSDPVIGSAINSLARGDLEAGSAISVADPVALYIQSFKTGSLTLPTGPDDDQQPIPEGTVTFTRGRIGSVGKAINQGAANEGSGMFRDVKREELSTAMRVTVKVPEGVKDSSGTQLVVGDIFDSTIGDVINYGSQLALHITMGVSAVEIPEFQIAAKLKCPCDDSNKRIQRPEDMKHVPTTLLPIGVGFHGGKIPKDGLQSPGDGRKGGEQPKRRFMTR
MKRLIIYILFSVTIFPFAFSQTLAEAKALYLKGEFAKALPVFEAEYKAKPTDANLNQWYGGVCLFETGGDMVKAEECLSVASKKKIRDSFYYLGQIYTKEFRFTEAKQAFDTFESMLKKNDNEFRENLENKRKVLSRLHRVVSSTEDIQIIDSVVVDKSAFLSAYKLSHSSGRMEYFNKVFSANKPVSSTVYFNEKETKIYYGQPDKSSVYTLFQWKS
MTIIRRRSPRLFAGSGVFTVLTICLIVGTEMAAAWKRDMCIPGDIYIDTTNERHAKGCKFCENWCIEECSDLELPAVSYGCRDGGDLRCRCCCGKSSPLSSPPSPPTLLALPISEFDGSTWPHDHDICKGQEQEKMLKIKHKDGRHCNRYPSCEESCQKEGLWMTRAECVGGGFAYPNPSYQWFEQCCCGKSKPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPSFQPPPPPPPPSLKSYKDMTFAELYQCCYATQSKHSS
MAFSPNPLSLSVPDPAFESWLRDSGYLELLDHRTSAAAAAASSSASVSSSAAATSAASDDVVSSITGGFFASLLSRLVTVSSLLTINPFSKLSADDFSGDTTPWTTGFIGNCDSYSFPSSSQQARMRVHENIKRFARNYATLFIVFFACALYQMPLALVGLLGSLALWELFKYCSDKWKFDRHPSMRKLSIGIGQCGEFFDHFVPVFC
MRAMLFVSICVWQAGDVSAKARAIVTAFWLDTAVDLNSENAPQAAEPRIKHLLLHNNHLAAKRGGKETSPASVAWPAVARLLDPALKPECSWQAGTGATQKAEYSGTQAPHLHAPSPGRASVAPPNPLNPLNPLNPSPSDCSVARFGAIVRLVYTDNTMIDTVISQLAERIFGNSWGAKRDGATATLLHDKRMAKGRVGHARRTTIGDEDAQF
MQPTSKSSSLFSKRSTSMVRASVFVDPQPTQADDPQSIFTTTSHQSSHESTNKQALAPIGVRRAFGEITNHRQQQQPDSIFQSMNKKPPLSNNNLNWNQPILPQNKPQQEEKPPALASSSCRFHEPKFDPFSTEIDSPFGVGQTTTTTAPFGQGK
MRSIFTVVALTIAFAGPAGATAAHRGRTDHHLTVRHHGGETSFVPGAAYVRSGQSMQYDDTPRFDDPSRFGGQPLGAEP
MTGVALGAVVALAATGCTRPAGTDGDLVDDWASMAVPASFTPDSGTCHRRPQEVGYLSAYAPVDCAEPHQAETLHVGTLTDVTGNRPP
MGDLFLLSERQMARISPHFPLSHGVARVDDRRVVSGIVYVVARELEIPRVIVPTVASVLSAWGMLRSDLRYEVSRTHVSHGATLTDEGLRSVYATLEAQAEERLRRWFAGEIRIERSAEMRYGEQVFEMDVSLDGLRWDDPGIATRVEERFHQRHEELYTYSSPDQEVVFVNARVAAVGCVTEPLQDRATKRSLSSQVLKRERPAYFDGWRTVPVHQITHLEPGTRIEGPAILEAETTTVVAGDGDVSL
MVSTVRLFSAVRQVAIALSLVLNGLLFGLIYYFADFYFRRCAAPVKTESARGFEVKVCVALAELGREQKTAVDAAIAVFSGYYNQVAVYCTTNPYSAVFTPVGMACVGYFVVWKFIIGILCKVVLVGLVTKLTKLFSDHVIIVRNKSVTEETTTAAPEVEPSSPSSPGNDRRSTRGAGSSTTSNKTSPAAPKSPTGGRGGRSVRG
MLMAMTTTTMVAFVLSSSATGVEAKKAESEKKSVECFLCHGPYRLQKCPRKSFIEGNNGANKKPKKFGSSKGKVEAKRAKRRKKKRVKCFL
MLNQTNLFWEKKETVEEFSNLPVPPYWKEYFNQFVDPAKIRVLDLGCGGGRNTEMLYEMGYDLSACDLHKSMVEATKRRITALNQNNRMPEIIQANIIELPFGDNTFDVILANGVFHNVSSLDELLKALGEASRLLKENGTLCINIFTNAKVANDLTKDSEPYLYRTKEGLDLLLLSTIEIVDFLQQVGLYKQSEYVEYERELNVGIRSILRGVFFKKTKI
MEVAESGEKLCRFAGSTPNWWGGSLKQTERMLNTLEINKQSNVLDAGCGTGQTIAYIAQKYGCTVYGIDQHEEMIQEARKRIAGISSPVKVFKGNIEELPFEENSFDLVLSESVTAFTQIQKALKEYRRVLKPNGTLVMNEVTKIGYLEQNEESSFKAFYGFSELLDEKEWKYFLASAGFDQVRSTRIPAYKEAPGEVYHIEKLDKAYNDMLMYHQLLTEKYASKIGACLYVCYGNQLLS
MVFFVSFVSFVSAQQQQTFRTSTLLVEVDTIVTDSKGQFVAGLTPDDFEVLEEGKPQKIERMYVVTGGTVSTVPPQPTPAATGEPPEAPPPVPPAAPQRVFVLLFDQEHLQEGAFKRLKDAAIAFLTKEFRQGDAGGVVIGSTMTGNQITSDREALLSAVRNAKPSAAKTSRRLELFEWPRLSSEAEAIRIALVNDREVLAQAVRRACQDDPDACKNATPEPMVMEKARAIVNELRPAARRTVMTLQALASGLARLPGRKTVILITEGFFVEESWADLRQIVGAAARSNVRIYSLDGRGLDTRQVNDMHVLGVMDPAGGMPLDAYNTSEDGPNTLAVDTGGYPIRHTNKFAEALTEIARDTSNYYVLGYRPANPATDGSFRRISVRVKRPGLTVRARRGYLATAPSVSTSAPAPAAERKAAIETPVAAPAAAAATNGTATSTATATPDPSVIPPAAGSVGAPAVSLRPDSSDRVRELASREGGVERSSSLASQGWDRYQKGDLEGAADLLGKAVADPSAHPWVHYALGYSELGLRHLEKAAQSWEKVRAAAPEFRAVYLDLADAYMQMESYSRAIDALKAADARWPGDMDVLNAMGTIQVRRGALDDAIKTFRKATDARPDDALAYFNLGRTYELRYFKMRRYSPTEARWISNPADIKSAIASYEQYVKLGGPYQGHAREAIQNLQWLK
SPRRMEPALVTGEKVFHCEQRFQFSDDEALFGLGQFQDGVMNYRQKEVLLVQANKIAVVPFLISTRGYGILWDNYSKTKFLDGTEGATFWSEVAEQIDYYFIAGENMDGVIAGNRQITGAAPLFARWAFGYWQSKERYRDRQDLVNTIKQYRERKLPIDVIVQDWRYWGDNDQWSSMEWEESIFPRPAEMIEELHQKYHARLMVSIWPAVGKKSKIFRELNEQKLLYRQDHWGSGKVYDAFSDDARRIYWKYIKTGLFDNGVDAFWMDGTEPEFSNTDTQEITEREFKKCTKTALGSVARYLNAYSLMTTRGVYQNQRKLSPHKRVFILTRSAFAGQQRHAAATWSGDIGASWEVFRKQITAGINFCMAGIPYWSMDIGGFFPWSHGGDYFGGVEDPAFRELYVRWFQFGAFTPIFRAHGTGTPREVWQFGEPGSKTYQALSALLKLRYRLLPYIYSVAWRVTSEGYTMMRGLPMDFPDDQNVYEIGDQYLFGPAIMVKPVTHEMFHRTVGVGETIAGHHLLSLDRTPGLNGAYFNGASFEKLANSRIDAAVNFNWDFALPEKIIANNYSIRWEGWLVSEESGVHELSLLSSGSVRLRVNGKLLVDNWTPHQRKMDVASLKLNAGEKAAVRLDFAKTDELSEITLAWKTPAMLKQLTQKPLNPEVDLYLPANCHWYDFWYGQSYPGGQAIVSKPSLEIIPLFVRSGSIIPLGPEMQFATEKPADPIELRIYPGSDAGFDLYEDENDNYNYEKGSFSIIPFHWSDESMTLKIGARKGSFPGMLSQRTFYVVVVRPDKGLGIDQTLQPDRIVRYDGNEVEVFLG
MFIQDHHALIVRHAGEILRIEAWGKDSLRIRSTMYPSFTEHDWALTETPAETEPVIEIKDNTAVIANGRISLRVNPQGVFAVYKDSEMIFREYFRNYEGTLSNESRCLKYINRDYRPYIGGDYRIVQKFDSDPAEKIFGMGQYQQENTNLKGCILDLEQRNSQVSVPFFISSKGYGFLWNNPAVGRASFGTNITEFTAEAVRQLDEWITVSDTPKELLKNYTDVTGRAPAFPEDLMGLWQCKLRYRTQQEVLETARACKEHNVPINCIVIDFFHWTYQGDWKFDTKYWPDPKAMIDELHSMGIKVCVSVWPSVDKKSENFNEMLERGLLIRTERGGLQTYDYQGDCTEIDATNPETREYLYEKVKKNYLDLGIDMIWLDNAEPDYVKYDFDQYRYYLGTALSCSNIWPQYYSRAIYDNMIKDGRKNPVNLIRSAWAGSQKYGNVIWSGDVPSTFESLRDQLQCGINMGLAGIPWWTTDIGGFMTDDVNDPKFRQLLIRWFEFAVFTAVLRMHGDRGPYDIPPLDNRDWGGGYLKTGHANELWSYGEENFRIM
MREFIVEADGGSRGNPGPAGYGSVVIDATTGETLVERAEFIGVATNNVAEYRGLVAGLRAARELDPSARVRVRMDSKLVVEQMSGRWKIKHPDMKPLAAEAARVLPPGQVSYEWIPREQNKHADRLANEAMDAGKRGEQWDEGASRAALDARSAPAPVAPEPSGPPGDAVAGAAKAREALARAPRSRATAERVAEAAVDVDAAADAALRGAGAESVAEGVACGVAGTAAGNRVEAVTEGRSGAVGEASSQAVTERPSAAVGETGSEAVAQSGSGAAETTGSEAVAEGRATTAPGTRAEPVAEDVRRTVAEAVSEPRAKTGDDVRAARTVALSAADATAAAGPAAAGLAAAPTVGWGAAPDLGAPATFVLLRHGETPLTPQKRFSGSGGTDPSLSDVGRGQAERVAAALARRGTVQHILASPLARTRETAGIVAARLGLEVTVEDGLIETDFGAWEGLTFGEVRERHPDDLNAWLADPEAEPTGGGESFAATAVRIAAARDRLVAAYAGRTVLLVTHVTPIKTLVRLALGAPPESLFRMELSAASLSAVAYYADGNASVRLFNDTSHLRS
VKLNSSSGLLFYVAGERGSSMALFVSNGRFVFLVEVGRRRLRIRSKDKYRDRRWHTVFFSRDQSRAQLVIDGLRAQGAAVATTGLFVAQTPLYVGGLPAGKAKAHIPAASASSFDGCLRNLQLDGRPLGPPSRTFGVTPCYEGALESGVFFTADGGSIALADAVMTEQDLEVTLEVRPRSASGLIFHIGTRRSHHLLLYMEETKVTVRAGAGADEFSASVTCPALCDGQWHTIAVTKWKNVIQVDVDAEGNHTVGPSQPPAPSTRATFSLGGLPETDKASTGLLLPPLPHYVGCVRSLQINQRHVDLPRAGTARGSVGLKGCPVL
PDPARLRTALKRVLPEHQVPSVVAVVPALPLTPNGKLDRRALPAPQLRRSSGATRPATEVERLLAGIWGEVLGLTEVGTDEDFFDIGGHSLLATQVVARARARLTEAGARPVSVMDLFTHRTVRDLAAVASRDESDDSPRPLLHRLTPPVSAAHRTRTFVCVPYGGGSPVVYQPVADALPADTELWAVAVPGHDVGVTEESVPFDELAERIAAEVRERTEGPVVVYGHCAVGTALAISVSRLLEAAGHPLEAVYAGAQFPFARPRSRVLNALSRVASLEPLLGDRVYANWLIGLGVNTSDLDKEQAAFIIGNMRRDTQAAEDYFTRAIADVAAGAPRLRAPLICLIGDQDPAADFYQERYREWLLLAERSAVAVLDQGGHFFLKYRATEVAEAVTTVHPAVRDGVTDELPARDPRNSWWFHGDTGPVTAAEESGTETPAEEAESDAGPEPSMRRFLAVASGQLVSITGSALTEFALPLWILLNTGSLTRYALYAVIAMVPGILVGPLAGALVDRLDRRTVLLAGDLTACTTQAGLLALLLTGNLHSWEVYVLLGVLSLALTFQRLAYASAVPQLVPKQYLGHANGIVQLAFGTAQFVVPLVAVALMAAIGLGGILVIDVASYVLAIGVLLAVKFPRTLPWTRRESLVSEIRHGFAHSWRNRGFRAMLLWFAGLNLFLSPLFLLITPLVLAFDDLQGAARVAMAGGAGAILGGVVMGFWGGPRRHRLRGMLGLAALLALAGALTGLHAGLWVIAAGAFGMSFALSLVNGVYSTIVQVKVPQRFHGRVFALNTLVAWSTLPLGHGIIAPLGSSVLGPLMEPGGALAPVFGPLIGTGPGRGIALMYVLFGCAMLGLVALGLRLPVLARFDRDVPEAEPDDLVGLRERARIAAARRAKESRT
MTTDENPPLPMVCTWTGFQHQPISCIAFNLSTDLLASASHDSLIVIVSTNTRRPTVILQFDAMIVPTSVHWINKLRFWVSTLDGMVTLWEFVQADANKLVLLEVLPFTFQKHLAL
DSGCSKHMPGNLKLLINFVWKFMGTVRFGNDHVVAILGFGLPKFKYHKEHLYPLCEQGKSKRASHPPKPVPSSRQRLHLLHMDLCGPVRIASINGKRYILVIVDDYSRYTWVHFLRSKDETSAVIITFLKRITVLL
MPDSDSQAVIIYNDKDVALMQNLRAHAFHAVLICLVLSMTGCRTYGTVYELFAKPLNHSSRIQSKADSTRVAVKARDCHNEIVQHFTTGGPAIPGACSPSVGSAVGVGSIVSESVLSQIGVGSDAIDSESNRVGTRTSIELAVVDAAFLALTQNVVVRRDANFIPGTSEILSTPVQVQTALDPAIQDSGFLFGQRGEAAALSDFDSQLQISSIWGRNETIQNNRFLSGGILPGDALVEETAQFTTSLDKNLRSGARASVFHTWDYDASNRDDLLYSSVYRGTLGAVIRQPLLAGAGRNYTDVAGPPGDLLRGVTGVSQGILIAKNESLMAQFELQGGATRLLHDVESAYWDLSSAMLDVEVVAKAVSQISQYRSAVEARKLAGADRQADDLLQLEAYCLEIESLAEQSEYEVEQANQRLARLLGFSPDDAPWLVPNEIPSNTRINCDSESMLADALSLRSEIAIQRLRVRNVDHQIRAAQSLLLPQLDAIARYQVNGFGDKLATETVPGPDSSIQSAYGNLFQGDHTGWQLGFQFSHNIGRRLERTRIRNLRLQGQKERVLLHEQTNEVEHELLAAIQSLENSFRLLTLSSKRMDLLQRRFDASLSRYEAGDEAADIVQLAEARVALVHQQIDHHDRQASYAQAISRLNYVSGRTLREYRVATITADSKVTLANR
MVLSSDPQAAAETPNTDAALMGMAAEDCLKYAVVDEVATADEPTSTQHFSLIDCIHFTLANNQVIRRDAELTVIDSPLLAAPQSIATTLDPSIQDSGFLFGQRGPAAALSDFDTRLNIRTIWGRDETIRNNLFLSGGIPPGKALVADSAFNVVELVKQHRSGGQSRLFQTAEYSSSNRQDLLFGSAYRLTLGAEFRQPLLAGAGTRYTDVAGATRTSLAGVTGVSQGILIARSRTQVAKLELEQAVSRLILEIEILFSEILAAEQALAVVSEAIASLQQSAELMRARIDAAAGGNELDQIAVDQLVLRAEEIQLQTQSRSRAANQRLRRLMSLSLDAAIEFDQTESCPQAEVKIDCESVAACAIDDRSEIKQQRQRIQEIELQIRAAQSLLLPQLDGIARYRINGFGDSLDGSGDDLQGAYGNLFDNQHTGWELGFEFSHPFGRRYERTRIRDLRLKAQKEKILLREQVDEIYREISAIASKLEATYDQQDIIRQRTELTNRRASVLSSQYQAGESVAPLQLAEADFAVTLLRLSAIDLEAEYRQWLARLKAAAGANLRENGLHVVY
MRTLKLLSTLFIFCLALTTTAQTAEEIVDTYIENIGGEEAWNKVESVKITGVGKQGGQDYPFVATFMKDGRSNITIELPGTSLVYQAFDGETAWGMNFQTQKAEALDSETSLNMKNEAKDNVEIFLNYKEKGYTVEFLEKTTLDGTEVNKIKLTKTPVMVEGKEEENTEIYYFDTENNVPIASESVVKSGPAKGATAQTIMTDYQEVEGLYLAHTIIEKFNGQTGLEMIYKTIEFNTEINDSIFKMPKE
MLTLDQLIAWGGQLRSYPKGKIIFFEGEEAQYFYMVSSGKVKMFNSNDEGKDFIQGIFYEGDSFGEPPLFTEDKRYPASAIADADAVIIRLSRQQFFDKLPQHYEAHLQITQALANRLRFKTMVSHEIAIHSPEHRLLTMLRYYKSTYGPESGPFEMNLTRQELADLTGLRVETVIRAVKELERKGKLSIENHKIVIQ
MLNKDLLLDAGASVKSYKQGEYIYKEGSRCMFFNQLMHGTVHIINETDEGKEFLHEIVFPENCFGELPLFDDAPYPMTAVAGQGCEVLQLSRDKFRKLLTDKPEINTYFTAHFVKKIRFEVSRLKEISTTDPKHRISSLINYLKDHEVCMNREVEGVICRNCSKLKLTRQQIACMTGLRVETVIRALKKMEESGEVKIIKGKVYTDTELPLGRCTKPE
MHNMKKFYSIKPGESLEEIKRKRGISLCELLEELDVADSDSYESFGSNRQNNQQLTFDNLSEDDRIAQNRLKKLRGALNKLAPDNFYIQLMEIQDYLPVHSSHLMQIFVRELVQKAIDEEQNSILYAHVCTALKSVELLEVEDETIFNFGAVVLDECFNMFCFARSSLNWFSYEQPSENELLELKTKEAMKKRRLVGVARFLGDLFKAGMTGVRDVFQYIKKLEQDVTDKSIEGLTVLLTVTGKELEIAVLETEFQADWCATWDRIFHLSQKEQGLPHRLRFMLMNLLDLRDGGWIPRIMSSRSSPLSFIEIIKENDQVKAKKPRLLWNNKITVITKEPIVVNTESEILKLLSLNDNAYKTICDWERANFVGRKTWFVYSITKAVLRYSIEGNSLNKTIFTQHVDLLKKYINSRQAELAAMYAVQSVVVTEMKNPKGLLEEVCDILHVTNTISYIAFLDWRENWSNCPVLEVAGRAEAQISLATFFIKLFWESIKPSSQYKSIVKELNSFDLEHFVSMSKLPPEIEKRIKMEEEREKSELQRKDRVDNVSQSYLTKTY
MLGIILVVLDLLTLYFLFFISYFIKVFLRIGVQFSFDFYINNLYWLPIFIVILLYFQGIYTNRFSFFEESYRIFRVLTIGLLSILTFALIYNVYAISRYMILVFWIFGSFFLIFQKYLFKRFIFKKGLFLKNVYIINSNENYNFVKKIINDNIYLGYRIVENENLADDIILLNPLIDLKEISKLFGKYKNVKFAFAESGIPLLNVQIENIFTQPLSFVSVRNNLLSKNMLITKRIFDIVFSIILISLFLPIILITAILIKLTSKGPIFYVQERPGRFGRMIKIYKFRTMYLDADKKLEEILKNNEELREEFRKYRKLKNDPRITPIGKILRKFSIDEIPQLFNVLKGDMSIVGPRPYQIEEIEYMGEYRDIVLSVRPGLTGLWQISGRNDLSFSAKLKIETWYVLNWNLWLDLFIIIRTIPAVISGKGAY
MQTNPRKSLLRWSEFRKGSPTIPYEVISKEPSSLPAVEIIRNQSRSGRTLKRIGDIVFSLIVLTLGSPIFILIAILVKLSSPGSVFYIQKRVGRNYREFGCIKFRTMYKDAEDLLPNLLEKYPLMRKEFEKDFKLRQDPRITKLGRFLRRSSLDELPQFFNVLKGEMSVVGPRPIVSNEIIKYSLFMEEVISVRPGLTGLWQVSGRNNLSYKKRVELDLFYARNRNFLLDLEIIILTLGVLIFPMDRGAF
MVTVLDEIPQIPVPPRLTVLEAVAFKQSFQELLQGNSVPSKVILDFSQTTFMDSSGLGALVTNFKSAQQQGIELILWDVRPQVMAVLSLTGLDQAFKIEQRTPSETPAPTKGGEVQPPATHPSVRSWVKRVIDVVGAVVGLAITGIAFIPIALAIKLDSPGPIFFAQTRCGWMGKKFRIWKFRSMCTDAEALKSKIENKLEDNKLFKNENDPRITKVGRFLRRTSLDELPQFWNVLTGEMSLVGTRPPTPDEVERYEVPEWQRLDVKPGMTGEWQVNGRSSIRKFEDVIRLDLEYQKNWSLMYDIKLIVKTVLVLFRKNSGAA
MKNTDVVKKPLSILLLIIGDFAAFCVSFALAFFTRKYIGHIILLPKFIVYGYLSKLFWLPLVYFFFFSIEGLYTKKIHFWYELKILIKAVFISFIVCLSIVSIGKLTDFISRLFLFFLLFYSLIVFPIFRIALKNILFFLGLWKERTLIIGISPTSIKFAQSFNNNKYLGLDIVGFAGQTKEHIEGFKTIPLSHAKKITTALNINTIIIDENALNEEESSKISQELGFFVNRVIFIPKKHLIFLFNSEVNYLFVEKIFILSVKNNLKSLTNRMLKRIFDLFVSIVLLPFLVIVMFIIAVIIKLDSKGSIFYVSKRLGQNGKLFNFLKFRTMYEDNDKLLKDYLSQNEKARLEWERYKKLKDFDPRVTRFGRFLRKTSLDELPQIINVLFGQMSLVGPRPYLESELDRINDSIGTIVLTKPGITGLWQVSGRNELDFQTRVDLDCFYVTNWSLWFDIIILLKTIYAVLKQKGAY
MSRRKQAKPRAFLKLTGSSEFGAKDRNFLLKIVMSKKFNCLLLEYANTHVGINGSFRSVVGEKAEECDEHVAVLESKDQLVSDTESYDEEDDKADSNSATNDKESETVTTSKRTVSPAKREGYVSCCTKNVISKEVCTEA
MVKHNGIKDTLTNVRERYWIIRGRESVKKLIRSCKVCCKFEGAPYNGVSYADLPSERVPEDPPFTHVGLDFAGPLYVIDELSKDQDTLKVYVLLLTCASTRAVHLDITRGLSVEAFLLSFRRFTSRRGLPATLNADNAKTFKSACKEIRSITRAEEVWNYLVNRRITWSFIIEKAPWWVVIGSVLFVA
SSKRPIIVPNNHPATELLIKDYHVMQMHAGVNQTLLAIRTKFWIIRASSAVKKIIRSCPVCRRVETQPHRLRMSDLPTDRVTESPPFSHTGVDFAGPRFIRPDVQRRDVPANKAHTCIFTSMVQMADRKDH
PPCRVSQVKPFSHCGVDFAGPLFITLGKTRGAKRMKAYICVFVCFAIKALHLELVSDLSAEAFLAALRRFIARRGRCGDLYSDCGTNFVGANKLLLSCMQQATETLR
MELKQEKDEKELCVSLHVEENESKTKHDIVPPCGIDIQRYSTLTKLLRVTALVLKFIRKLKNVKDDAKYLTCDDLRQAENLWIVYVQRNIYNKDYKNIQKKKPSGLQRQLGLVIDNNGLLRCTGRLGNSCLSEGARQPILLPHKEKFTELVIQRAHKECMHYGISHALSRTRQTYWITRGRSAVKAALNKCNVCRRYDGGPYKMPVMAPLPSSRVQKSSAFSRTGLDYLGPLNIKTSNGNRKVWICLFSCMTTRAIHLEVIQDLSTEEFLMCLQRFISQRNTPIEIVSDNAAQFKLASKVINHIWKNVVQSNEVMSYVASKGIRWNFIVELAPGIL
MAMLAPGVPRVDRQQFQQYGYVLKESYLPESQFLALVRAVREYEGEIRECCQGDTNTHRILLAPEVLEQLPQVKAVLGDSALKRLFRYCAGHARLPICHIENVHNGSRKNDTVRDPQKNLHVDTFQPTMKFWLYLEDVTDENGPFVFVPGSNRPHRERMKWEYQMSLRAREHADRYTARGSFRVSQEEAERLGEGGPRPFRVAANTLLIANTFGVHARGNAEPGSSRLALWGMSRTNPFLPLPGLGFEYFNRLQYRVLQRMRRQEDARSAAKGKQSSWHVIEQKRV
MIRKPIARPAKKPVRAAVVTTEETPAREMPDPVDEDSSAEDVVSDATSSDTPIDNTNVDEPAAEDLIAVKKRASVEARRKTMAATMICAATGRPKFKPAKTEDQAEFSKVLADAEKILGKKVKPGSRAPLVKRVTKVIEREMDLIERIVGRIGGDESLRSEADQRARTLSVLVRTLTELKKARVGDEQGSADHDDRPRDLDELRQRLSERLAKRLRGGAQLSAGGADARRDDVPE
MDRSDFWRAVAMVALLAVFMATLSYIHRRPAPRPVSEAPAISAPDDLSAELRRCRALGPEDAEDPLCQAVWEENRARFFGRPARPLLPQVAPATSSTTNAREGGTP
MKFLIAAPEFDENSGGKIALHRLCHLINTCVDEHDAFLVRMGKGITRMAILADALHPRLFAQRIARQYRTHPSWNTPFAETISDLEDCIAIYPEIASGNPIGAPRVIRWFLHHPGFFTGRANYGKGEIYFRHRSWVTPFIVNGSRMSPQILRAFYFPSETYNTDGAIVRDLECCHMIRKGTHKAHIHPPRSILLDGKTHTEIARIFKRSKRFISYDDYTAYSKLAACSGCESIVAPTPNTTPEQWRPSVEDRYGIAYGTSPDQLEWARKTQTIAKDTLHQEEMDSTESVRRCLAEAVEYFNDRDINSNPIATPKKSSI
MSLLIYSQRYSRQDTPSQDKTGINPEHLPSDKKKQHPLMIQGELIALTGDPRTAAILGQLLYWNQQVNDFDLYIEEERNSSSKNHVFQHGWFCKSTLALMEETMLRVTIATFRRYLSFLTDREWVQTRRNSQNRWTGGRQYRVNLRKLSSDLQKKGYSLSGFAETCFAGEEERDPSEERSS
MNEDQLRQLLANHPEMAGEIAQLKGARGIRGSQGASAAAMAAPRQVSVADVVARVQPCQTAAREGTPAAVPAAPQAPSDEVESSSAMQEDDDLVLRMRNLASPTKVSDTSSRAVAQPGQIASATSDEEKLVVGMSTSEPADWDNDTVYHVQSDQVDHLALFRGSRQHRSKFATSIGGNLKPGNLCLYNDYTNRKYQLGIVKSVSTIPCEADGEQDMTLAFTDGSVLGGPVDKRVMIFRADENGTLQDCANFTKGKCLQLKKAVKIVADALKANFVPSKYSEDFSGFIDALWERHGDLINKLGESRVEHIKKTYLNRDPRHLGGRAVGLPGQSGSNNSGEKKNHTIKEFLKTITRFVSADERKNILFVMGACALDLYLEDDVTTSFVIKPRPLKNDYLFLRLVDRQARQDRGDLIMDGQYMVCTNAQDRTDVLDNREVIGNRNASFCANFPTASLVYSLLARIEKTRQSAASSASFFEQSLNPLEMEGPSTPEQCSKVLTSYNLHQRKQLKAVLITSVMTNSPGLREGETWEHFIHRRLQRYPLSAITTRSISSLKKKKTNIRRNKPPSKKELAARAKKDAEKFGAPDPGVGADPPTDADNGKFSSIDEDYVEWT
MFKSFQLLPFLKKPKCHDYTTEELITKSFNNADIVLFSNQIGQMNMEKGKILHTPKQSFTNGQENGDLELPLFDFTTINYATDNFSIKNVLGQGGFGPLYKKSPEHRPTMCTVV
MESVGKIGQMQVEMVSTTLKTFADLIEPLGKTSGELAQNAVNTANQAVQNAASAFTQKK
MNRELLLKVTQKLRNDERVIFAYLYGSAARGTMREDSDVDIAVFLESPENDPLLEAGISLELEQILDRSVDVRIINHAPAIFINQVLKDGILLISKDDRLRINFEVKNMNEYLDFLPIIKAYDKKRSERYGIG
MVERIRRVLEGHPEVAFAYLFGSWAKGRQGPTSDIDVAVWFHESVHDRLKAQLKLMGELGAALGTDNLDLVVLNDATLSLRYIVQRDGILACERDRRARIAFEARTRREYWDFEPLLKVYRDFMRRRMEAGRYGTGLDRKVRKVRKGAAKAG
MSDLDESAVLEEAIRLLSEAGARFAYLHGSRARGATQADSDIDVAAWFGESAPQSYEMLLPAGVDLLVLDRAPL
MFLSELYSQIKISIVTGFVSREMTGSTARKDSWSNKGNVHDDKMLLIMPLSLENTLSEAVAGLGSRYGIIAAYLYGSHARAQARPGSDVDIALLLANGRERSAMEMLEIGRELENSSGLKHIDVRFLNDAPLAVKGRILAEGKLLYSGNDDQRVDFEVKTRSLYFDFLPHHRYLQRAFVERAAERGL
MTPPSQKHRKIGFYLEGGEGGVLTWNFTIRPEELIREEPSRLTVQQTLGSAWVDAFDRGVSTITLKGHTGWRGGFSADGAAQFENLRSTVFTQWHARRKALAEKGQDPEAVGLYFADTLDRITARVAPKTFTLRRSKDAPLLMRYDVSLLVLNDNAEPTSAKDAITGALFNPLRWLTAKLGLENLVREIGEIIKQVGQAYSAVKEVVTQVCAFVQSCVRLVLDVIDEVRSGIGNLTAPIFSTVQMVAQAAGNGFGILATGESAGAVKGLLMRAASTMNDIACTIANGFETGRTFRSFDDLYGASNCSSTGGGRAWSTYAEAERSPLQEMFPPVASRVSVSTAGRNAIDTLLRDPLTIPSVAASQALAVLPSGVKLR
MTQSELTEEKRQTAFSRLLHFAKSFEGASELAGTVAIGAAAAAIYCLFPANLDDLQTAFPVLASLVAAISGNVLADILMQIASQDDPSLDEIEAILQATLSQTKLATARLQDLPTKRELYRAISLLDAAQSDRLQMLVTQNTLHNTLVLGRLEQLEQLLRQIGSLSEREPDIPQFDEDAALQNYLDWVQAQYDTMRVLSMTRPVPLESIYTDVYVLRKERYWREEDTAEREQLFAHRRNSFTENDRTAGVAILAQTNRLFMVGQPGVGKTTFLRWLALRAARETLAPARIPLYVELRRMNSWEGSLFSLAAHQLTLGGFPDGEDRLDDWLRQGRVLLLLDGLDEVLSERRNAVNDEIADLQKRSGESVILVTCRPHAETRRFEHVTYVQVADFTESQVNTFVRNWFDADAARGDSLLRELEKNEHQSIRELTRTPLLLALLCIAYAEKPTFPRQRGELYSRALRVVLAQWDGERGVKRDSAYGQLTPKRKDELLAHLAYHTFAQGQYFIPQPRLVALVRDFWQTWRQTLMAQQRQEYGQVYPPELPPREVNATAVIGEIAAQHGLLVAQTDDLYSFSHLTLQEYFTARYIVDNAAADTLPRLMQRVGDGRWREVFLL
MFRSIPLAAILTTAALAVSAGSASAEDFQSNGRTREVYHGDLNLAKAEHQKQLRTRIARAASRVCASSDLAAMSACRAKAISHVDAPVAAAIARAETGERYADAGKEPRSVIGN
MELIDIKSPRGERYCGQVKALYLEAFPAEERKPFEKMEELAAEGRMELLALTREGELLGLVFYLITPKTAILDYFAILPEKRSMGYGGEAMAAILERFSDRKLIFEIEQQDPMADNALERKRRKEFYLRNGLKETGVFVHVYHTDFELLTPDGELTYETYLTMLRESMGEQVLEMIKPRKNPRPMRLKKRQVAERERLEEILKVCQVVRIAYKDQEGLGLVPMNFGCRWTEEYGLQLYLHSAKSGRKVQAFASSPQIAFEMDCEHQLLKDSYSCDYSFAYASITGVGRVSLVEEEEEKRLGLELIIRHLEPEAEPIMRPEAVQAVNVYRIDADYFTGKERRARKRPGTKE
MVKGSAGDGGYDPGSATFSFRLADAPVPANAIDCMHDNPTSTISYPLVTCWFGCGMCCFWPAYEALRYRMIRRRGGAWSLSPRDILRAFLCWTAWGESKAALSKRGGGGCRFAGQDSQQPSS
MTSPANSIFRMMREWEIVVAAIKADWDVEPRPLLDDYLHALESRAGLEKALDELHPLRRKTLTQTLNSLDQDFLECTEETGKTGAGALEKWLDPLPKRPPEWLWKRCPRVCPW
MAKALYDLTDQISDWKLLDEIFSSKELIDVLSSPTISMDKKWNICDNLADKTGMNKLCNNFVKMMCKIGEIDEMQDILLAYRDRWDREHHVIRPEVIVDREPDQKLLDEIEKIIKEQYPEKEIQMNLTVDPEIMGGYIIRVNNQEWDRSYDGYLKQLENKLSRR
MPRYIFDEEQIHPAIRETIADRNRDIVEEVQKAIEDNDVVVVGMAQNPFPKKARKRLGWGWHHR
MGSGDGSGSMGSGDGSGSMGSGDAAGSGSMGSGDGSGSMGSGDGSGSMGSGDGSGSGSMGSGSMGSGSMGSGSMVTGMMGSGSMSGGGSDGDGGAEGGSEDGGPSGDSMMVMMMMSMSGSGEMAAGSGEPGAPLQGEEKAKLQELLAFLAFGISSELTKAMADFGSGDTPIEAELTPGMMGSPPKISPIDPRGSIIMGFDMDYPQGAEKVPYAMWLMVNVKSESDLGNSQLVVLPWKFDEESMLPKGSGGARFMALVVSQEGGEFDVDDIKTKATEDKREGFTGKELSGLLYFDDVSHLYRGAKPGVVIYATYWNVCPEGETGCQPGKDGAGMTDLTMEIDMGEGNTGDDMVMVSVTNVIHGTTMEIMDIPLATDAPTLNMGFTMSDDDDDDDDDEEESTTAKKKCMIKNCCSPKPCSEKPNLTGGGGGEMTTDTGGPEICAQEFLVHGTPWGRDEGTPAGGASHPPWRPPSQPASQSVSEASVAKVRISSSKQRCPGCSQMFGNPGEHELLVGDLDYELVRPPGASAGVSRTGPPESRQKKKGEGVNSRMYERYVTGRQTEALAPGAGLPTERRKKKKKKKKEGEGGAAASSTAAHLMVLSQDF
MAKAEATSSSSLFSTDIDSLLGKRPTVPQTVNTALFDDLKSASTNAFATVRGQQAAQMSVRSILDDPDEPVQSSSGLRLSIFTRQLNAETERDRKLARLPPELLSKFAAKKKHFEMAFKSDCETFGFVAKTLIQKDPGLEERLRLALAEAIKDLEEAFTQKIDQFLDQLVMIASM
MRTDLLFAGSFRRYHPAMSSSDLKAALIATPLLLAALGASLWLWTRWGLGVYFDAAMGALAGCF
MDQNEKFKIHDRSGLSSTDLTKLLHIEQHIFSVYVQPKSDKEEYKQNFGHGSLETMTNYNNMLN
MHAPTPDLARLNRQGLMHLQRLAGNASVSALISSDVPVQRFLAIGPTTHETIEEPGLAAAGLTQQQIEMVDLGNWMRDLSQLQMAPIGTTGGNAAFEVISILARGLFDKDITPAELGGYLPAEHLDRPEQKVKDPKEPVPPSPTSPVSRLTSRPERSMPGGGWGMPSMADRRAACSHLATRCTRCRTTTRIPTSSR
MYKSCLALLALLTSANAICNPGEIGVGSSQLCSIGNPTGGGSCGAVTAEIFSDSCDALDTSEDSDFCTASYTDGSTVACDDDHNVTGATVGRTEYGSCRAVSGTEADCSVAAYGYYFASFCCVPA
MLAACKGGISNWRKATEKFNEHEKSTLHSDSSQRIAALGSTPINALLSDAAPKTQNTARNVLELMFRSVIFLGKKGIAFRGDNSRDGVLYELMLERTYNLPKEREWPLLWNNRGWYNGCKCHGTIFTDTRYVDKSMEIHTDFLGFYNAPDSTGETLFKCITDVFLRLNIPIERLKGYCFDGASNMSGRFSGVQARLKEVCPDSLFVHCANHSLDLVLQEVGREVSLVAETLNFVQGIATVIRESSKRKELYVYMFGCDDVVNILAICPTRWCVRTIAMKCVCSSYTELQKTLKILKDDKSVRGDARAKIGGLYKQCLKGRTLFGLLCCEALFEPCEAVAKNLQSNNASARGALECTNLLRERIVALRDDTVVQGIESKVSAADLKMPDARQHRASKTPARYRHTTEPEAHAMSTWRQEFFEAVDLLTAELKRRFDQDGMKIAAPRENVLIEAANSRGQQQTLELESLHLPQLISDVDRYRQDLQLKMLGDAIGDSPCHTVQDIATRVAKLHPQARGIFQDVEKLIKLCLCMPIAVASSERSFSTLRRLKTWLRSNMTQKRLTHLTLMHVHSNILDNVDVSALMRVFNSATPERKATFGRLPTWSSRRQQNGAFSANRWHKVGELPMYGELGG
MSAICQRGMDLFNSQIVWRERVHGTPLATLQKIKAARAVSHTIFPHDEGYGGRKGHSIREIFRKEILKTVGVDYKKQTRDTR
MEANQLITRTVINGFPVRTKYKSTAYSETLKSVIAELHDWGVNHRKKILGK
MKRNSKLTNLKKQYSFLYRPNEIWCADVTILKTADDKKHYIHFLMDHYSIMILGYRVENSSKPKAIRDLLEQAYLKHKNKEPIAFVTDGGVENVNTTVRDFLDTTSQDIKHLIAQKTFLFPILKLRRSIRSSNISFCRHKI
MLTSGMSVSRANDLFDTLYQLHRHAKTNEIAVSMVDYAFPAVLRGHVQTVGRARTAPILTPIASPLTLQQTPRKRAGRATAEPAKMAPGKPVTVPSRRDDDDACGISRRLPEPGEEASAKSRRPRDSQRHQVWLGLGL
MMKRTTLLFLCAGLLFSLHALGFKKYAGEFLYLGAGARGTALGGAYTALVNDAGAAYWNPAALTDARGFQLQFMNSKQFINSIQTNYLSISHPYNATSTLAVSLYIVTVNNIKNTASAGVYDPVTAELIGLDESRVKDFNTGDYVLSVAYGHRLDDHLSWGVTLKSIYRDFDFTDAAGFGLDAAALYRLEDLRISAILYDATGTLIAWNEGEKELVSPTLGMGVAYTLTIPAWEMILRPALDTRIMGEGRSYAALWHVGPVSMDVMTGLELDYNDVVKIRAGLDALQRFNGGIGLKLPKISFDYAFTAYAGELGNVHRINVNLNLNRLF
MSKTAILRQIFTQRGAARARQVALMMILIVSMLAAGVLPAQAANGTHVVGGLTTVGGQARLPGPSGAVDGNLWVADRVLGLCRVDLDTGGAFVVNPATCNTAASVPGQFAYAPAGNFLYVPAGAAGSASIVRLLYDPATETVSTPVAISTAPGVVPVPDLRPAAVAIGPSGSLYVSYLNSSAIVRVVGPGSGSPTIQAVGTSSTGGGVRSLAFVGNDLYLAESTGVTRIAAVDACTGACTAAAVAGIGAVAPLSLAANATHLYIGNAAAVHRYNLSTGVQELFGDTGTVAVPGNTVTPPLINVTSLALEGTNLYVADDPNGGDSLKARVWRMQTGDPAGGDAAAPLAVATLYAERPQAGITAPANILWLDGALGQRMWVTDALQGLCRVDPGAGGPVLNVATCRIGADVPGQVTFDPAGNFVYMPVLGDGAYRAAYNPANNTLGVPVRFFAEVATPQGQPARMTSAALGPDGNLYIGYIRLGDIHRFVNPSGAPGGPPQQFHSIGLSGGEVSGMAFIGPDLYILDADPITLAPRLSVMRDAPACGGAPGNAGTCVAEPIASVALPVPLGEAHHTLASDGETIFITNETELLWYNPATDTAVTVARSGQDNLGVDAGLRAVTGIGFDGQGQVYFGDDTTGALDGNQTRIWKVKRPIANAGADQTVLV
MEITLALLLYFIRDLEPVTVKEPENARYFLGAQQYWKNEIFQANKLKQNDIVFQKDEDYQYLYVARLSEILEDAESLHADMAILASADRACEEDQLKKIPAGVILVSGKYGVPYVLNRMINIFRFLTEWDKRMHIAALEGKNVQEMLDLSAEILEYPMTVFDSGFNVLAYTSALPTIETHFQETIRNGYTNAYTMSLVRQQRIFSKLEKQPLIVAPAVENPELQNVYMAFAADGNVLGYGYIFPNRTEIEMGYLDLLKIFIANLTFCLQREYEHQRFGQMLYETLLLNLMKPSGISPEQLSEQLKNIEGLEAEGCFALGVLEFEETENVPLPFLARQIARAMWDVRPFLYEDKICMLKIMKTGSRSWNGFSKQEEINLSHVLDNYSYRFGISNEFYQIMELPAAFHQAQAALNFGKKEKRSVCRYADYYYFDLFASMETKMPLEHLKMGLYRKLQEYDLQNQTRYCSQILMYLKCDCNATHAAEQIYLHRNTVRKAVQFVEDTWQVDLKDTEMKKQMVMGELVDQYLAWKNR
MGLASRSRYLDGSPGVRTRALGLGAAALVSAALLGCPPNPYGPSSDERLDMATSGIDGVPTDIEQANAAHLAELASYACEKEQAVYQELSGPGAAEDEKLAGFTGLLRQLREKREKMTTLLEQHPGLRYHVGKAADGKSYDVPALLGTCEQTLLGTETELDALIREILEAPIVYEYTGKGRRRRRVATARIDFDLLAAAVTTLAPADSDLLMSKIDGAKKRLEEESRGGRRRRR
MILPETSVSNESTTNNNYFLDNTADLFSPFAMKIFQWIILAVVCQAIAIAGVCTNIVNIICF
LGTGWFINKNLLISILCLLMFASSAQWYGPWRFRRAITNNYACMGDLTNFPVLVYLTNDEIIKNYSSQNGFNILFTDNTGTNQLDHEIDYINTNLGQLWAWVRVPILSASGNPVESNAMYLNADPTKNGDYQHASNVWIEGFEGVWHLSETSGDVKDSTGKGHTGVNNLETAVTPGTLDINSIVGSGVYCNTNDYFDAGVVNHNYPITISGWGFFDGVEWAFCTEDRHQILGRSFSHYGIRFDHGISXHQGPNCGDWSTNAWHYYSMTYNNVNLTGYGDGTNANSEALSGNLDNTDKNWWIGGGGNSANNFQGWLGIIDEPRLASVARSSGWILTEYSNQIAPANFRILGPLETNNNTYKVCGYITGDITNNITMIYTDGTNYTNQSAANGYYEIELLPGSLYTIRPLSNAFSFNPASYTISLLSNLNTNYNFSSSPGYILSGYTLNLNNDPVSGVTISLIGTILNKSTNTDENGYYSFDVNNDMFTLLASGNGYKIRNSIKTITIDNTNSENNNFIAEGYFTISGIVSVKNVNTTNTAAKSIWVFIGGDTISSNLTGSGGNYSFSVMPGSYFIYPLSNGYTISPDRRSVSVTASSLSNMHFELLPALYELSGYILDMDKNPYENIRLILDEDVGSSVYSDSEGYYIFRTGYGSHTIKVTDEKFEFIHIKTNIIIETRAVSNVNFQIFFNSASDAGKEINFSRGSIINLESRDDIKFVIYKKIQGFHSCEIILSNLNGQIVKKIFNGQLGKGIHVFGINDSRSLRIGISILRIKLQISGRWKYFYKILTILK
RKRNGPTHLGNEHHHSRQLSVRAQYSHQLNHCGRTQCKGMYPQSQKFLIQCLERGPNSIRGKNSERAFLGQESRLSRNYDQTNSTYISNPKESLMDIHEKLGHTSIQRIEPLLGDSISKSDRSNFKCKACTLSKITKQPFKRTSKLSSKPFDRIHLDLIGPIKSKSSLKHNFILTLVDNYTGYLAGFPKEEGVLTFFNLFRRRGETLKSSGIQKRFWHKIVKSCCLMLNQIPHGGGSKSPWELLQDKQFLNDLLRTIGTPALILNSNRIKGRKFDIKGEESKLVGFNVALRSFQIFTSYSRVIESKHVRFLNKTEGDPILNYDNLLEFKPEPASWPVRNSEPQSEPESSDHREKNHDQDNSGDLNNDSSEDDSEIVDQILRKEPTPAPVSQPTTRVL
MLTAHTYSAHFAQRVLLSVLLGLLAWRLLARRKATREQIGLNPPPSSQIPYILLWSFGQIIAAEICQILLSALFRALQSVPALASFSQQQLKLTDSMLRNSLHAPLLILLLLIVLPTQLLFFQGWLYRTLRQSRSVLSASLLVALATGLLSLLVQHSLIYAMEHALFFFLLTLLYEKTGSLWAAWIAGSFYGLVAFFLDILRMSSSHVALILFAQTLFEGLLFLLGIVLVRRKYPSLRQAGFKLPTPPLLILLAPWIGFAVFLLGTLLGNVQFQVLHLLFPHASWLSQVQGLADRLFLKLHGWSRLGFVLIGVFLAPIAEETLFRGWLYTTLRNRFGMKHGLVLSSLLFALVHMDPLAILPIFAIGLVLGIVYEKTRSLWMSMIVHGTNNTIAFLLLYFWQASHPIK
MGKANNKAILSVDREAIARKRREKSKQVDELVFDEEKRRDYLTGFRKRKQKRIADRREKAVARDKEAKKQETKEVGV
MSATAAKNRIYRGKRTLSIMPTFTCPAACSDCGTFSSPQERTSLERAQIIAAIDEAKELGFVNVVFTGGEATLRWPDLLAGISHARRLGFPVRLVTNAHWARTPEAARRKIAALMDAGLSEINYSTGDEHTRFVPIERVAYAVVAACERELPVHVMVELKRGSTVTRDRLLRHEVLDGLTDRQRAALSVKTSPWMPLSPYRFHDYDAGVTANEGNLSTHGGCTSVLQTYTVQADGRIGACCGIGLRTITELNVGHAREASPLRHAIEESEQDFLKLWIHYEGPLRVLAWAASHDPAITWQDLYAHHCQACQRLYHDDAVRAVIRTHWREVVGQVIHTAWFQEVYGPDRLRSPAEDAPGVRRTPSPADGSLVQADGQGHEDDQE
MTQASGQNAQALFTHLRDGAGLNTTPSQPLMDDRDANGSDTNVFASNHEITVIDADAGPALRTPPMQEIQYFIIRFMELLTGRNAFTIDFWANPIAQAQIWDYKARTLHGPEVTRHAEVPPHQILNYAANSRVDRYIQNLYFQILMLYKLTILSIQHVLDGNTKETLIDLVGMCAALLRFAEKSTYIRIQLKEGAQGAQQFDPGYNRVMSHAIQPLHALRLIQGQGSQDLVNPQAGQYSATAMGPGQQNPQLVTFIPTQIIQQFYSGQLIPRPQVQQPFQGFGMYPGIQQFQGFPQPGPFLWTQPSGFSIQLYRQPSITEGLPQFMQSPPNFELQKTQQSNLLTPPAPSSAGHPAFQSSFQNWNLEQSSQQPTIRPPTLRQQQQNQMREPVYVNGSLLDSPGTALTRRIAQDLQPLWNNEIQQRADQSQFTPVDPPELTINLSQQQFNAHKDFWAQRSYTLRYKGLREDGTHHRGFGEGLMNVSVFIQRHTRHEQLSISEWKQFWRELDTDLYLDTVRMELDEDDNHHHHHDHDYDHDHNRRHDRERNDRNNQLDLGRGRKRRRVISSEGSGNGQNNDDWAEGLQREVQRQQLRQFRIFRNRRDQVIPPVQTVAGAPTYLPGLRALQEEAFRVANRISPNLDEESSVSWTSPESINQEKNTPITNFRTAQQLLTQQDNQEQLNQQVNIQIQQQINQLQEVNREIQIQQQDVTINNTQNEQRQEIEVIDLEQNIQQRIDNIPINQEGDTNNGNQQPTQTGATHTPQTEAPDNLQHQQVGQNDDLNNMAELNPVQPTQNFPGLLNLTHQTSLSETGSLNEQQQQLSLSLSPSSSSITQINQSQQP
MATEGEERFINKRSLSTCCQNDDIMKHAAAGGASGIKSFTFRDDDSPTESTFGFILPPTVCLGITSTLSMK
MTALPSHPAVPTHLSESVERAHKRIDAHEERIRKIEIDGATMTEWRQSTTETLKSIRSGISWLITLILGGLLAAVIKFVISGGLNGAQ
MKTEQINDLKFDPKELFNQLMNLMDLEDRPFFFEDTKINEEYSYRVFCYNIPGYMQYQLPFARETRGSMFLIKNDTKEFVSLVVLPMPKFFTYGEQPETEKLDLSKVKRFTLKIDGSLVSSYIDFNGDLAFKTKRSPSQDSFNELIESVLYPELKEELKELTKTHTVDCELTSPTNRVILEYKDTNLSVLKVRSRETGLFEEIHSEEFKNKYPHIAKIIVEELDIGLLEGLSKKNNNIDCKGIEGGVVEMPDGTLAKIKTRYYLTQNRFANLQDFKKRNRLMVEACIEETFDELRTLFFYRKRSENYNAEGIVADMDSIEKQVRELYNPLYSKIMDFYNENKELSLEEYTEKAKEEKMHEYMSLLIPLHKGLKVNIKAFFLKKYGNKIRA
MVLVNPRLTDYFGIPTPQAQLDFAIPFFNEDIPLYVDPFLLWASPSQQDQALHTAVINTFNQLGQLFLRGEQKKAVGTLIAASECDEVGLGASATRKGKRLGKTKAHEILSLFKTIPQYGSAGFRHFEEIQFFIDGISKDRISDITCSFTKSFLVDYTIEQCHRHGIPLRDHTLPHVYDYRKNEFKPEGGVQLPFHPDTRAPLILVPKRWLRFGPWISYDDYFQEHCPQDDISHEADKLERVDVLNFNRANYNVVDAYIREKERTFEDCKNDPLFTQIPVISARRKLTAIKKLPSGKNENADREYE
GANRSPHLMINMGLLTPEQQALLKQLNLLPASSISPLTRTSLPLEDERKSGSRNVSPVLASHPIVMNPMITNGTANKPLSNGQGSLYPLGSVINLSGLEDGGNGSGRGGGGNGSG
MYRIVIADDEEYVRELLTKNINQTDNEFEVVGKAENGAQAIELVQELKPDILITDICMPLVSGLELIERIKELDQSVKTVIISGYDDFSYAKQALTLGVTDYLLKPFLPEEMYEVLEKIKNELERQQTLMHNIQEMQTQLENHVAVMQEHFLRKLIENAADIQSAEEEAGKARIDLHASYYSVGILRLESNLEGGGRDLKDFLGLVTETYFPASIRPYVVPMHDRPLAVVFMGDYKNPHSFLRGIREGITSLHDSMEKYYDMRLSCVLGGICPDWNKICDSYQEAMSVWRGILDTEETLICYEEVKNRKADANKWTRPVDLETDLMTSLLMGRKEKAVELLYEILQYYGSLGVEGTEFVSISLVQLVFEISSALKKAAGDQYRVWEDEKVIEYLKEHFAFGSLKEARLILGEYVESCCDQFSGINEKQGDRIVYNVKDLIEKNLDNEEFGLEMASAKLFFSQNYVRQIFKQITGESFTEYLIRRRMETAKELLGSPVCRICDVAARTGYSNQRYFASCFKKYYGCTPTQYRNRVSGEEGGENNG
MEARPTNLGSHQAIRQSPRVLRPEFQKAPEHEEDTCNESYLALLNGAKNVSYILESKSDNGKQNTKAAAASKRNCSLDKRTIDAQPTNLGSTQATRWSPKVLRPEVQQAPEHEEETWDESYLAVLSCAKEDGSIIEFTSANGKQTTNAATTNKGNCNFDKRKMEARPANLGSNQAIRQSPRVLHPEFQKATEHEEHTCNESYLALLNGAKNVSYILESKSDNGKQNTKAAAASKRNCSLDKRTIDAQPANLGSTWATRWSPKVLRPEVQQAPEHEEETWDESYLAILHCAKEDGSIIEFTSANGKQIRYEEDDEEDDEESSCEVEMLAGEDISKCEEFVTRKLSKDPITVDSKHFADDPGSSSGTVFRKKLMGVLKMPYDVKEHEDLSRRVRHKRQKTVEKSLRQGRDFTSSLRQRCKSYLELHKDLATELKLVRADRPRNLNLLRGFFFWLENVPQEGAFKPWLDPSCLKVLPAQAIRRV
MELMSSDLRKYNYFSSLSDGALEFFASSVKQEHYPAGSIIMREGDEGDSFYFIKEGRLEVFKNARSGGDALLSVIGSGRGFGETSLLTCAARSTSVRAITDAVLLVLSKPDFEKITAGEPVFKRRLLRNVRDYARYNKIKTLQPFELLEPEKVYVAIERMAEKTYMPGEDIIVQGEKGDKYYIIKSGRIAVLKKREGEAETKKITELSSGNAFGEEALIKNDPRNATCRAMEETIVLSLNKKDFNQIVKSAFLENVFPEEINQDTYLDDYIIIDVRVQAEYEEEHIYGATNIPLEILRGKCSELDPSRKYITYCLNDSRGMVAAFLLKNRGFDAQCLRGGVSGWLGEVVKGSNGAHMPDRQTF
MNYYSEESAVSCERMGDVFYIDFNECDTGAALNAVCRELKSALREGVSRVIVDARDNPGGNSNACEKILNTMGMRVPSYGVIRRNSPLANEQRGYGRKEGFVEHSRSLDGTKQNPDISLVVLVNDGTFSSATMLAVWVQDGKLGRVVGYPSANAPTSYGDILNYTLSRTGVEVIMSHKQFQRPDANADQTMLTPDVFVPYCEDALEDALTLLGAS
MVCGACIAAGTAAGTGPIGLLAIPGLFAIGKFVKHSKKRKKPSSKKPSSKKPSSKKKKKLKGGGGTCSDCYDEECSKCEMKRLDEEKERIQEINRYLEDVFEKDAIKYLQKIQEETIDPCRSLIKEVQDHANKKIKFLDDEGELSYSDFNLIKEYIQEINQVVLDSSSKCLEDGENNINNLKSNLRYNRITKDQVIDMLNDPSFIPKSCIVCGERFDLEKEKNDKSIAGRKRTKTPRIDRELQDQLVPIAPYRGDLLPLDKKRSNKLTKKKKTSLSSTRRSRRGKPDPTKGHKSVGDVELLNWRVHQIMRSKKLAAQKGKKKIKLPKKQKTIKRKEKKEKKKKGKKKKRERKKKNN
MVEVTRVTAIQLNPTKEQQIIIGHLTYSASKLWNIANYKIKNKTLKLKELKSKLKKDFWYKNLHSQSAQALLEKLQIAWENCYKKHTKAPRFQPKDGHYPVRWKKQGIQISNDKIRLSLSKQTKQYLKDTHSIESDYLWISLPKNLSLSRVQEVEIKPHRIYGHTVYVMHIVYRKTIELDNTNRENIMAVDLGIRNLATVVTTNKTAAIYDGRELISRLKLFSKHKAKLQSAVKRSGQNTSKKLHYLIVKERNYVKDYIHKMSTFIIRQAQKERIKTITIGELSKGITNIDIGKQNNEKLHKIPFGKLCSMIEYKAKEVGIDVMRVDESYTSQTCCVCGKVDKSNRKYRGLYVCSCGNVINADVNGAVNILKRVSPSLTLGRSRGNLGIPTRVRVYSVL
MHDINYIEAKKLTIESYHEFIDEGFSAEQAIPAVFENLVISMKKNNKILVAVIQNLSIISLKHNFIPDYLLNKLSKLKINTELNNNEILEYTKDKVELNVLLKNNYTLDEDEHYSKRADILLGT
MKKHRRWPLFLFSSLALVMTTQKVIAIEALSAKALARHCAAYPDTPEHLDAQFCIRYIQGFIDGAIATDTQVMLNLEAEYNIKETYAERAMRTRIPNRKKQARAARYAEFCLGEPLQLRDIVEKVVTELNQRSHIEAGILARAAVYGVLRKHYPCQHPAR
MQPIQNILTNDSSGWKGRVLIALLVLVFGAVLSLAFGFLKLPVAAGAVGVVCLLALAVRRPVWGLALLCSLLSVEGIYTRNLAMTEIRLVGILVFGVWLAHVVLYGKKLQINRTFIAGLIFLLWAGISILWAQDPQVAGRYYGTLAQLILLFLLTINAIETEADFRLVMAGLLLGAVASSHLSVNLFITNIIERARAFEAQDPNYYSLIVGLALFAGIYLFSKLKNIWLRFSSLLLACFLVLPLILAQSRTTWVATFAGIMMFLWNTKKRLRNILFAGLVVAAAIYATFALDLINVTLIQRASVLTALRDRGSDRFDIWLVARRIYADNPVIGVGYMQFPVVYNRYRSETVEIRNDLVPDRATHNVYIKAATEMGTIGLALFILIFWSAYREGNLPRGKIPWISSVLLVFVMTAGLGITIMESKFFWLCLALASKAQSLASQRLALEETR
MKTLFAISGWRVDKFFHSKLTDEKINSQISTARRTGRGKFQWDLTVVAWRIAQVCLTSISTTNNHWNLW
MSGASQSVNPPHFVISSEGEILGEDTPENQELVRRVVACVNACDGITTEELENGIINDMRNAIAQAAPLLQERSQMTELLQREIRTELASRKKNS
MNKLLVLMLVFLSLDVYSAEYLTIIYDARYDKITGVPKNIYVHHYDVSEVSKIETQINRRLVFKGQAKSQSDVEVWAMNKVNKDPEIKALIDKLPLAYDYLTAVDEFGLTKVPAVVYSDGDKNFVVYGETNISKAIQKVNAYRYGTKR
MMTDLLQVLDNLEHITTTNLVLVIAKHLVLIEIAKLLHITTANLLLTMMADLVQVTARLLQVHIMMVKLLQIHTAKSDAASSYHGSKPYYDSKKASSGSYHDSRIHHESKSSSSYCDSETCHHDTSSYRDSKSSNFRDSEIHHHHETIAHIVIVKAQTHTVTAEKLQVHHTMTAKEQPHITRVKHLHILIQKHLQGHIMKAKELLAHQLVIVQAIDRINGTQSTMKTELVEDLITMIKE
MGKDGRRVWGNVKDRARSGREERRKKERMRERESERAFSRKEEEREGESRRADLSFVPEENYAPIQIVIVCKTSLPYERYDRSYFTLCAAVSTTDEYYRRTFIGSHTCTRAVTMYVVHENVDEKFATREQL
MDIKMDMYREIMEQPRVLGGILPKNEAVLNSLVAKIKESDIKQVVLAARGSSDHCAIYAKYLIELLVGIPVSLSAASVVTLYGGELRYENTLVLAISQSGAAEDVAAVVKNGNRSKAVTAAITNKEGSLLDKEAAYGLLCAAGEEKSVAATKTFMASLYLVALLVAKWAGNSDLLKSLEALPNALVHEAAQVDSIMALAREYTFMRECITLSRGLLYPLALEAGLKMMETTYTNARGFAVSDFQHGPLALVQQDTPVFLYAPGTGAAHKDAVAAFEQYAALGADLTVFTSDEALAKKARRAVITAKADEYTEIFHHTMAAQLFACGLAIAKHKNPDAPRNIKKVTITK
MWAKKWEQVLDLEPESQLEEANGQEELPEQQNAGKGEGNEKHIEARDAIFKQQNKREQETEKNHVENVKEPKDLEPEQDQPLEQAQQTTQATLATQDIQEPQSDPQLSEEHERRMINVILDLIERLLNDIERSLQQMDERIERLFLEPIATHRNRRKWSPGN
LPAGPGLFGNFQLAISTALKLYFATNLVGTAGSVYIFLMYSVQAVLIVLAGVVPLYLMRIPFSSLLKVSVKETIPPPVPTAGTTDGD
MDDSSPDLSLKLRRGSSDSRESFYMDFAQGIDSDIEEVTTIERVIPEHPGGRTEGDADTLATPSCLDENKELAQCCYSIPANNMSGGSHVSRGTFGGAESEISGGSAPPLPSPASAGAALVSPETLSRHSSPPPLPPHPSHQLPAPSCSSHRVHLESPLRLSSPPSMNLGGSLSLQPVLYGPAGGGPAHAPLPPARRPSRPSAAIQHMHVISASDALATTLSALYGKLLVVMGVAFPMAEVISTYIPPSFYEGFYLYLYIGSMVFLLYMYAALVRDRSRSAADNAVVAKSESSSSPSESDSCSSRTERSTATAAHALPQLSVKRLSLGFALATRTHHYGSFYLRMGAVAFGIGSMIYSGLEFGQYWELERNTNCHNVLLALTPA
MDNDKIKNIICNGDLTLYLSKKRTIKDYKLYELKISKEISTWCKETQCDFIDKKQNCDVVDYNPVASIDNTIETLDIKDLEGFDLIKGKFTLPDHTNNLVIHNLDFQVFRFQYNNEEVVIFTRNPKIAKLDRGLMAIPEKGVYTKISIKNHITLNEHIEILIYKDKAYVYKHNIVESLFFTKEMFKEKAKSILATINEKNIISNFDKLEEDILNDGRLFKRVAKLYNENDRAELFIKEKEKTINTIEDLKLGVKYEHTDGEKIKFIYDRSNKEQKRFFTNLILDAYYKTMIGGRAGENSSF
MAFPIWPGWLPWGTRLYDLCQSAQAATASRSRGIQRRLSALSTPSRYTNANHQLGRQSMAETTEVVIIGGGVAGCATAYYLAKAGVKATIIERNSIAGQASGFNAGGLNPLQGAGIPGPLAALAGESFKMHQVLAEQLPAESGIPFHSKTLVMVNVAFDESELSDMKETLEIFEGADGFSAHWMETDELLKMEPRMNPSAIKALYTYGNVSLTGYDYTKALCKAAEGMGASVVAKEVTGLKTSGSRVTG
MCTYSTILLVESFPENLALFQDAFRKAQLKISLKTLTDSQSAIDYLSGIGEYSNRELHPLPSLIIFQLDIVKVSGFQLLDWWQRQSNLQKLPVVVFTQSIKQEDYQRAYELGANSCLINPTNSEELAGLLKNIYDLWIK
MSNCAVLLAEDDPNEVFLMERAFHNANLKNPMHVVRDGQEAIDYLSHQGNFTDTTRHPAPKLMLLDLKMPRKNGFEVLEWLRQQPALRPLIVVVLSSSDLADDVNRAYDLGANSYLVKPGDFEALINMVKTLDAYWLKLNQQVSRERSFEYPGRRRQPRRPRADSAGNQP
MSSASLKPILVVEDSTEDFTALGRAFRKHALQNPVLRCTDGDQALEYLQGYGRNIKWPAVLPAIILLDLNMPGTDGRAVLDVVKHDDALNTIPIIIFSTSSNSKDIEECYRLGANSYLTKPIDYAAMEEKVRLIVQYWLSAVELPSN
MNESLKILLVEDNPDDEQLTMRALRKLTPKVNADVARDGQEAWDYLSDPSRPLPNLVLLDLKLPKISGLELLERLRKVERTKYLLVVVLTSSDEPSDVRASYRQYANSYIRKPVAYEEFGDVVRQLGLYWLDTNILPPS
MPFSHRCCLVVLALLPLIGAGLVQAQTGSARYAILIVGDEANKEMVGQEKILIQEMARAIRKLDAQERLPIFSYHFNKDKEKTYCEKRLNILREDLLFVGVVELSDSVPRKVAYRLDRIVNPARAAGDVLARAEELAGPPVAADTPTPDASPDEVATPAPGNGENPRIGGWRIQIGSFTQLKYAEDEVRKAREKGHEARISRTESGGVPLFKVYIGDFTTKQEAEASLEQLKADGFTKPFVVEGEHSAKARNAAGNGSKAGGGD
MDSHASFVCDSCGEELVIRIDPAEGGEQEYVEDCPVC
MVIYGLLELCLETRKAATKKAAAIPQSPILCYFRAMRDFSPNEIPSFPARLLSLFFVVKNRGKRIKTNQQALKISGIFRFVFIIVYGHTFISIIQQLPESDIKAIVGHSSSMDTFGVYAHSVDGRKASIAEDIEKVFDEIISKQKCVI
MLSVLMLASSLLPRHDLAELGRLPRLLEHYRQHQALPGSLSFGEFLVLHYSSTGRQTHTGRTPTEHQDLPLHDCHHAAVPVVCVLPTVLVLPVAFASWPAPAYQPAAHPCCPSGHASGRWQPPCA
MTKFSHLAIVYVCVFALVFTHTMPAYAGMVGTNEILQEQYTDLKRENLYQILYQAEARSLLEQHGVTAEQAQERINSLTDEEIRVFAQNFEDLPAAGGIGGAAAILILVLLVIILALAK
LSPVLCSFAPTTEKFPVRTIFRNCFKANSQLVFFFSSSYCYHPCLSLWILTLGCVSEKPFYLLCGGFLEASNYRR
MDNPDDGWVIPGTDEESVFPPPEEDEVESHAGGACFVATAAYGDPLHPDVVALRAFRDNHLVRFRLGRRFIRFYWKIGPKLARVTTPTNCLGRAARYLLSQLARFGMTLE
MIGRRQPKDQSSVAMSGLARSRLSTCGRIPLSLPVESVLALGKAGAFRSVPALATSSPRGGFGDPQTAAGWGGTRADHEANPFRVRIHFADFRATLFHRRCSPWNDAVMSAAGVAHSVLRFSKAPRLPDTAACGALPASWTYLRWPFRRGSLDVGEFPHLLGSTNPCASAFTWNLSLGLQSSHLNICYYHQDARRAAAPGLAPGFCGDRQRPPLIGAQLLPRRRSYKQHPIHSAASFIVETRAVSMRLEPRLSFLINENILGKCFSRLLRLS
WSIWLEELQRAERVMVGVASDKRKPIQQQQASGEIGALFGQLIGVGLTTVVLLFCITYVLTTPDFVAAVSLIAVAVIGGFLGWIRLARQLLPDSQGEVGIFVTFGLLFYLITSGIPFRYYTDPPTPYLLAALALIFPLAAIVSWQGGGWVGKNLAQFIWRLTHADEEELKQG
MAPDPAVLPEDALAEVLRHLAPHTLAASRLVCRGWRDTIDARLCGHLLSRSVRGIFINFTQLRFSEFFSCPSTGPEICGGLDFLPCTGVRVTDHCNGLLLCRGLRHERDKALPSDYVVNPATRRWTRLPQCRPPHMPGFDHSAYLAFEPGVSPQYEVFLIPRLLCASVSDDEASHVICH
MEDLPDDLLLEVLRRLPPISLAASRVVCSAWRAAVDANRLLRADLLPLSVAGIVVNPLSLGSRFLCRPNAAATIADDLDDIRNTSRGIFNRFDFRVSDHCNGLFLVDDDVQHVVNPATRQWAPLPGYPPPHPCYPHKLFSPTICLVFDPAVSPHFEVLVIPRLRSELRRPREWPPSPFVLRAFSSRTDGRRSMGG
MVGWNCDAPAAMCAAHHVVDWAKGGPTDLDNLALVCDHHHAMVNDSEYGWTTVMMGSDSPHRGRVGWIAPAVIDPTRTPRVNEKHHAGERVATSIAARCHQWGPQAA
MTEVAGPLVSFRWTCVFGADGQVLTSDSTLRFRERQETEADLTAQGFVVREVRDAPDRPGREFVLIARRPEPAPRR
MRASRGDTTAASGQKTSRAGDTTPALRPLALTRSLIGIPARGSRSPPARCPARPAARAFVRPLAASCRTSGPQGARGVGARK
MLHIVTICGCGMGSSVILKMNAEKALKDLGIEARLEVSDITTGKGAARTADLVLIGRELAYLVQDIDKPIIQLTSFVNKQEIKDKLREYCEANGIKQKL
GPAVCAWSFILVPCGIYTIFAERLWQEWFPVVPIAAALMFFVTTMLLCLTCCSDPGIIPRRKFILQAGMEKELTEMLGYEVLGKGQPTGQLDVDGSAMVPDELRRKGYKWCRTCQIVRPPRSSHCPDCDHCVMRYDHHCPFVNNCIGQRNYIFFASRRPLRPETLQPHTEYTGNPRKGLRAVEGSCPQLPVKSALPAFVHSDLDI
TILPRYHLHQSMIQIYTKD
MLPHAQYARKDVLQLQLHRAAFFAKSMNPHPRAMGGYP
MDYPPRRLSRLRPTLVRCPPPSLVQSPSASPSLPIPSGSETSGLLSTRISQLPGPSHGLLVLVHARVVPLPLPLQNPPPRHPMPKSEGDERGAEFMHALSARFGRAAGCISRRNAMGDEQHKGRSRGSSQRRAIVPAWSPQRSKRCAVCDVGEVEHVDASGAGDRWGAVAATTSTIAKMRRRGSVVGAGEYQTRCWQMQARLLVAGVSQVKVWPLGTLAVKRASRLHLHSPLSTTCYSCAIPIRSLSTPTPRAQVNPLRRDLHDENAVLAYHGHVHLVHDGDDIATATGTETTTNTNTANETTRRPMRSPRGGDGRLARAASTMQGSVAHSDTADMGGTRGAQSREVQDQREARDTAGCRYGKIGSGCGCSQR
MSFERYHPSKLNMESSDNNHHHNGNGHVHVKYAPIKIIHNDIVSNEERRKYPQLNLRFQLSEESITRQDPTVTIPLSFLQQLIQQAYSNKQLRAINNPSFRVEENRNDAVEELIKQIQIQQKGFYPIDEEKKIQNALIQNQMNFIYSNEEAIQIIDNYFADNTNLKPLFNTWLSQLELKAIQEMLILCHSDPKGQQYQDLFKALFQKLSIEFYQKHAFAYVLRSGQQEKLKYLSQIGEILNKITKPQEYYYFKQR
AAVAAVAAPVDLAAAQVVDSEAATAAAAMVDSEAAQVVDSAVAATEAATEVDSAAAKADLAPARKTPRPSLLSYHERKKKPRALH
MVFGSAFCESGERSEPDEVKRWVYNLYGLTDEETEIVKEAVGD
MDIDLTIYQGEDQFHFGEFALSPVLRHAALLCFGDRARRGQIEFQLEESEDDRPWTGPPQVRNLTDRFARCSIRLLNDGETLAVERMRVVDLFGPVLAPELAQLQPEETHWAFRLRKRRVATLLQVAEVLAERLLSNERPAPEAEGSVDVDPGERRHRPFTLTPMAATDAELVQPSTLGLDPERLGRLNILMSKDIHDEFLRRMPLSNRMEEGGFLLGRISKAADDVHLVQITHITPAHRSGAGVIHFTFTGDSFLAAAQLIEDRGEDEQLVGWYHTHLFGLDFSMGLSSIDVDLHLATFQRPWQVAALINIRRRDRVLRFYGRDEKTLREYDQWISDDRTSYRPAPRPMGDD
MLCAGAVTLMVAALALTALRRPGPVPAAELVQALGFAGFPAMAVLLTARRADPRYAVPWSTAALGWSVVMVAGAGPALPLLGTLGDLGWCVAVTSTPFLLLLFPDGRVPAPRWRVLPPVVLAAGAAACVGTLMTPGPAGTGPVDRWTVLGGRLGPVGEPLLFASVLVLLVAVLAAIASLVVRYRSGSTPVRLQITWVAFAALSLGVPFAVLGLANVAVPGVWGALLLAVPMLATCAAVAVAVLRHRLYDIDVIIRRTVVYGLLTAAVVAGYVTVVGTLSALLQGRARWPVAVVATGLVAVAFQPARERLQRAVNRLLYGDRDEPHEVVARLADRLATTPEPAAMLPTVVDTTAQALRLPHVSIWQVDGDVLRPAATTGGTAGPETGVVDAAAADLIRAATEPLPRIGGDLGAALDAAGVTLVLPLRHAGDLVGVLCAAPRRRGEDWSDPDRRALTQLARHAGAVVHADRLTAALRRSLGDLTRSRDGERRRIQRDLHDGLGPTLAAIRLHVETCLDAGTAAPEWLRRELERIDELVGQAGSDVRRLVHGLHPPTLDQLGLVAALDRQVTQFGRDTGMTTRFTGEAVGDTPAAVGITVYRVTQEALTNVAKHSGAASVDVALWHHDSHLHLRVDDDGAGLRPGAGGGAGLGGMRDRAASVGGTLTLDRRPGGGTRLTLTVPNAAEP
MFNSGAARRAPLLFLLLTLGFLGVGVAGVIWRAETPGDGTTVNVVSGAVSREGAVVRDTDGTTPLRTGDIVLAIDGQNIRDALIGGPGGKPVHGGEVLRYDIVRDGAALSLDVPLRQFSVGGNLVKGWPSLLVNIALLGTASAIFVARPRDPAAHAGILASGIGVATVAWSGYFQLEVIDLVAGDQFWRWYGGQAMFALLWAGMVHFALAYPEVTDRRRHHRLVAAAYAGSLLSYAVLAAFAGLFGEDPFERLSIMGSPAIPSLYLYPFIVLLILVTKYVRGEDPLLRRRLRWLAASLSGAAALYLGIWIIPTAVTGSPPVPMEYHTLVFLPVPIAVAMAILRHRALNIDVVISRSLVYATLTVLLAGGYIGVVGALSAVFPPLGGMWQQAIAAAALALAVQPLRAWVQRMINVRLFGEPTDPYRVVSSLAARLEEIDTPTEQLTAMVETIGAALRLPYVAIELDRDGGTEEAAAYGTPTALSHRLPLTFQGESIGRLVIARRSPRETLSRKERAVLAEVARHAGTVAHTARLTTDLLRSRDRLVSAREEERRQLLRELHDGVGPTLAAITLGLHASRRAIGESAPSAMLLAKLQDALNGASTEVRRLAHGLRPPALEKLGLRAAIEDYIGTIGHAPGLTISYDAPEELPAMPPAVDVAAYRIVCESLTNVTRHAKASTCAVVLKAVTELEIEVSDDGIGLDEHSAPGFGLASMRERATELGGRFRAAPRPGGGTTVSATLPLPAELPGHAVEGAR
MELVESVFKPLWDLIQENIFESFIGLSILIFVLYQWFFSSLAIAKRQFKKDAVKPIFNFKEGEFGKIIGKVENISTPLTAPLSGKKCVYYEVIVIRNPGEDEIKLVEEKKSIDFFISNNSGKALVKINNTAICEINKDINFSSGWITDPNNSMKAFLEKHAIKQKGFLNLNKSLDFIEKRFDIGEQIGILGVGHWEIPTNTNNNQENNKKVLTFKSLEQHPLFVSDNPKTLENKSVL
MSMQFLWSENGVELARFLRERKVSAIYITDYPGFALDSFSVSPLEYLLKPVDEWRLAAALDWDRQQKRPVLRVGGRAISSGRDHLSEYLGL
MAACLSVRVTTDLPPGATLWLCGGCPALGGWDPARGLQLEGPPPTAGHITVFEATLHGKELPESFECKLVWKSDSAGLVWEDRPNRVVRLVDLPVPEDAPLLVVSWRPYALSADFAATQPATSDGDGATGQGSPAPPKAPWMGVIDSSTHGDPWLEAHRPSLAARAARATAQLCRLDEESAAIGRAPLGLDGVSSVYDSFGLVRQRRLAQAGWLFREWAPAALAASLVGDFNGWDTHAHRCAREEGGTWSIFLPDAEDGTPVIGHEARYKLCLVIPGSAPAADGEALSADECSRLGLAVSSPPPRRVWRLPAWARCCRPAGSDGTLEAVAWNPPPDRRHRWRHGRVRWHEAVVWAHEASAPGLSTIATAPDSSPQAAAGSAAAAATAATAATAAIAATSAAVPSDPSTGSAKAAAAGSATPTPRPAQGHAAGGLRIYECHAGLAGDEPRVHSYAELAERVLPRVAALGYNAVQLMGAVEHAYYASFGYLINGFFAPAGRSGDPEAFKAMVDVAHGLGLAVVIDLVHAHASSNVADGLNGYDGSDSCFFHEGGKGRHSAWGSRLFAYGRPPVTAFLLANLRFWVEEYRVDGFRFDGVTSMLYHHHGIGHAFTGSYDEYFGADVDGEAVSYLTLANLLCHCLDPPALTVAEDVSGMATLCRPVREGGVGFDFRLAMGVPDEWIRLVKDVSDEDWDVGHIAHVLTDRRWQEKCVAYAESHDQALVGDKTLAFRLMDAAMYSDMTALRPAPPVIERGIALHKLIRLLTHALGGEGWLGFMGNEFGHPEWLDFPREGNGWSYHYARRQYSLAADETLRYRFLEAFEAALHALAASGPCQWLVPGTSTYVSRKQNGDKVLVFERGTADGARGCLVFAVNLHPTQSFPDYRIGAPFEGEWRPVLTSDAGAFGGHDRVSTDTVHHATRCDAGSTFDDRPAEMQLYLPSRTAVVLAHASWFSAARASPAGAP
MRIIEQDPWLRPYESVIESRLKYARKRETDLTGGRSGGLSDFANGHLYYGLHQDGDSWVIREFAPEATAVYLIGELSRWRRLPQFRFAPVDEAGSWELRLSCRLLPHGSRYRLFFEWPGGEGERIPAWCNRVEQDPETYLFYAQVWVPDTPYEMKHPHPNRKDEPLLIYECHIGMSSEEEKVSTYEEFRRNILPRICKDGYNAIQLMAIQEHPYYASFGYHVSSFFAPSSRFGTPEDLKRLIDEAHALGLYVIMDLVHSHAVKNEVEGLGLYDGTHTLFFHDGNRGLHPAWDSYCFDYGRDNVLHFLLSNCKYWLTEFGFDGFRFDGVTSMLYYSHGLGDRFDNYDSYYNGHQDGDAIAYLILANKLIHELCPDAITIAEEVSGMPGLALPLADGGYGFDYRLAMNIPDFWIKLIKEHRDEDWNPGDIWYQLTNRRQEEKTISYAESHDQALVGDKTIIFRLIDADMYWYMNKQSCVHSVDRGIALLKMIRLFTATTMNGGYLNFMGNEFGHPEWIDFPREGNGWSYKYARRQWSLADSPFLRYAGLHLFDQDMIAMIRSVESFHKLPLREYWLKREEQVICYGRGDFFFVFNFHPERSYSDYPIPLPAGKYSVAMSTDARKYEGFGRVDEWVEHFTLPDGSKDRFTHEQYLNLYLPARSALVLKLAE
MKNLVKKIINNKSVLKVLFRFFTYVHNKAYVALSTIAILQNNGVHPKHRILNYHKFFVDHIEPSDSILDIGCAHGNNAADIATKAKEVIGIDIEQKYIDVAKKRFQRPNVTYIVGDATTYNFGKKFDKVILSNVLEHIEDRVEFLQKLHPLTDTILFRVPLITRDWLPVYKKEQGVESRLDLTHFTEYTVEQAKSELASSGWKFAEYSINFGEIWGVLIPVDTK
MSLENSEVEPFRTGRDVAVVMIPSLTAVRTPLRRQLSTPSASDTSVSPTTVSDAVSQSLSTGSVATTEPTATPSTGAASTTLSPTSSGDSQQPSAAISEGPTTNRLAPYTHALVITVVILSVALFALVVVIAVLMFRKRKAKAKYIEVSALSAPVAVGATEDHRRYSYTSESIAESNPFIQKEERGRGLQSRRSSVSSVSRYSQ
YIKFLHPELMVDFLTVGRGSLKERPLKVEKLGIHTQSLQLLDILTVDTAQVKYKSTKITIPNPIRFALHKILISTRRPTPEKKEKDLRQGLDLLEICRRNEKYRDQIKLTFERLHKNRQRKISKIVTI
MNTFILLFLISVAVFEVFGAHGDHGGGHDHGGHDHGGHDHGGHDHGGHDHGHHGGWGGHDHHDHHHGGWGGHDHGNGGGGGGDNGWGYRPYTGFGGGRGYYGGGGGGLLARLFGWRRRGYYNNGYSGYPNYGYGGFCRLSQFVDYVGQVPRFYCDCPPYPPNYQWNQCSPMYMISCEAAHHGGGHHDGGGGGGGHHHGGGGSHHHHDGGSHHGGGGGWHHHHHHHPHHWGGSSDGGGSSYYSNNYYGGGGGGFGLGRLLFGGLFRRPYYSYYPNYYNYGYYNRGYCRVSQFVDYVGRVPRYYCDCPPYPPNYQWNQCVPMPTYGKK
MFKKYSSYLLLANMFYQYINFFSWYLGNTQIQIESAFSLGMIAFISGLAFHSLHTHLVFS
MDGSDRRWLSRRRDGTPGEGRWVCGDCWTAHPRVSPDFLIEELDGSLVVTRVKGADGRSHHRYAYTRQQRVRATRQIRLVGIRSHWSGQNRTVEEATSLFPEHRLLDGAVAEEDGRVYRWLYLLGPLARGRRVSVGIRQVFEDAYAPMKPYYRESCEERPVGKLSVRVQFDHADAPAEAWHVVWRRTRSGSSRQEVARTRCRPSADQAAGKVVYALTLRRPDPECAYGIWWRWPEETPRRLAGTPARRAPGEDVSRTLPRGRR
HVEDDALDEAPEMMCRPTEQPNPASDEPPPTRAKSGKKKKREKWCSMPNCGKQKAGKLKDDTVLCSEHYLQHRAQQPQSWTTPPPRTCRHTECNFPAEPHQDRQLLCELHRFDYTVDKAKKEQARTEMEANAFVIRVRKKMEKPEEREAQCERLRSMKALQDGSYIPTNLPAKLRKLPNHRFSNIQFHNEQVLQLMMKGNDAQLDENFKTDKSQIDVLGNEEMGLLVDQSIPYDKDSFVANIAEDSRKRYLEHRDPKLREELLEIALAAHINLSVPGDDDKAPALFNAAEYHRGNSSAKIQYFPHHKDKNCFTMVSIYVVEGESFNFVIVPGGPDEGPDFDTEHGDYGEYDDWKGTLGVEDLKNVELYENALKDKRNQFYTKGHHSIVVYKLTPGQRLIFKAQWLTHGVIVPGNQQRSVIIFHDLLPKWSTFRAVKKLKSSRAAKKGRDEPTKGTASTSRASARARSKRGAAKKKEAMTTSSATSSGNKAKRSRKRKY
MRVSSVILTALGLASTASATIWQNQQIREVNFPDTAIVLDSAGNSTWKTYPPNASEIGYKGRWDSKHVSWWSAPGLKFGFTGDRIAITFGQYTSPGVLIGYRLDGQDWQFTNVTANSTHSLITTATPGFNLTSSTTRTFELRVTNWSYGVQIASIHTSATGSLLALPRAPRTLEIIGDSLSAGQYATYEGLASYAWGLGEGLGGTEFSITAYPGICLVDADCWGNPRGQIYQWHQTSDTSYRATELYGSNPEPWDFAAHPAADVVVINLGTNDNNTHNNVSASTYLQTYIDFVADVHAVWPDAQIVLVSLWNGFGPVGSSWAQGGAFIDEIQEVARTYAAEGFVHYFNTTGIMQHNDIGPQWHPTDVGHIKLASHLMQFIKEKFGWDLLATGPEVQHETLYWNDQANY
MSDFETITCPLCMATTFTVVYKANFPPQLTADFLTKVYRSSSDQALFEQVVRCTDCGLVYLNPRLRAERIIEAYAGGEDQAFIKQDAMRIHTFIMALRSLSRQHRFKLKRKTKVLDVGCAGGAFLRAAQQVGITPVGVEPSRWLSDYARREHGLDVRSGTLAEQNFNKESFDLITLWDVIEHLSNPGRELNHIKKLLKPDGILVVNYPDFASLPAKILGRKWPFLLSVHLIYYTPKTMRRQLEQYGFRIVEISRHWQTLELGYVLERASRYFAFFGWVKKLVEKLGLATLPLKYWIGQTKVVAKKYVA
MARPKGGQGALQLGAGAGEAHRDLRRPGEIALSNEARGYDRGRPPLELVDCPLCESHDGRVLGREGACFRVLRCKSCGLIYVSPRVVDPERSFWGAREGYLAAAEEVLNGREPSFRDPNYNEVLDLLERRIPRGRLLEVGSHLGFALRLAQARGWEVVGVEPSRPLAELSREYFGVPVLTGLIEEVDLPNASFDAVLLIDVLEHVKNPRQFLARVERLLRPGGVVALQLPNTYFTLLKAAVIHKGLRREDHVVFDAEEHVCHYTESTLAAMLETAGLELIDVRPGRPVQWAGRYQIADGIAKQHAMPWYRGFGLRASRQALYLLARAVSAARPGRVGATATNMVALARRPTESC
MSRVRDHWSIGEVLSLLQDEFPEVTISKIRFLESQGLIDPERTPSGYRKFSDGDYDRLYWILEQQRDHYLPLRVIRDRLDEGQDIAAAPRRSDSRIGDELPDGEYPEDEDLMDESDDAPVLFDGDADFADDPEPTEASAESDAMSAGTAASPGHDQRDTMFRDALAENEGPLRAADPTEDHPVQHESENALAAAVHHASRQVDPDLLAEDDAVDSAHGPDITADEAEWVAPSPDGPGESEPIEVADHSAYQGAAADSTDEDAMNQPEKRRPAPRLLGTRTEGPKPVTKSTAEVLAPPPRPTLPGSSVALTREELAEQSGCTPGMVTELEKHGLISPRNVAGAILFDSTALTLARLAVQFGRYGLEPRHLRTFRLGAEREVALLTQSIGPTLKANNPETRRRSYDTLQDLAELAASLRSIIVRDLVADLEPGG
MAGGLVQSSFQSTIKGFSTGNISGSALAGGIVASASASTVYGFSTGSVSGSSGGGAIGHIIRQSVQAPDGVSYSNQYRVSRSVVFSSSPVTYNNKTKMPTVRAVNDQRSLGTPYWRGSSAMTVSEFESTWESATSAVGNYVEVSGYSRGAITFASPAANDKIGGLLAKADNVRIRGYSLSSLPSSNAKCGVNSYNANSYLFTDSGHNWAYYKSNPKVYCFQSTTGTGDAGNIDLTGTKAQASFDTSYFTFGTNAWEWSWDAVRGRPVINVPTTEFPFNTTEGVAALAVAR
MDPHLDDPLNLDSAIGVDFGHAEDVVVTEADILGTGHDEGDINMSTDVEFEHTEEQSMLMETEGEEIIDLMSEQFMLASDSNYVHDDQMTGIMTINSNSEQQNILIKPGAMNVQFVPQTSMSSVDFGQDIQVIQPQIITVQNNQQQTNKKNTVQQLATIMPKPVTVNAQDILKQKTVITSKSHPVTKPLAIAPKPMTLIKPNMMGTVMKKMSVSNIQPAPKPGSMIAQIGKQLVVLPPGSARKLKLVQSDDSNQLQHLNNDEKARGRRQSPTPSAATSRHGGNLESVGSNQSSSETVSSESQSAVLTKINPVESQLAGSTRLVTVHHKSVPVSSNTGLTTSLPKRHGNEIVRIVKNKEQLSFMKSPTHKSLPAPTSGYYLFTGNLQVVVSGTQRTQLHPINVPGKGIQYIRLVTNPTNAVTKPLMTTKNQSVINVQPKTIIMSDGKGFGHQCPRRSLQVPSQRSDILPEQTSRDSFTKIGHSSRTNFQGPVDSGLCPRRMCSTVNSSA
MEPPERTGSGHGTSTDGVAYADLGDVTICHRLAGPEDGPRVVYVSGSGSDLRREPNVFSSPFVEGCRVLAYDHRGLGRSTTGSEPPTMADFAEDLLRLLDHVGWETCRAVGISFGGMVLMEAAVTRPERFERCVLGCTSPGGAGGASFPLHELVGLPDDERRERWLDALDTRNADPERRAVVRSVIEAMDAAKEREPGEPFHTDGEVAQLQARRHHDAFDRLPQMDMPVLIAAGRHDGIAPPANQEAMAARLPDSRIQWFEGGHAFFIEDRTAYPAMVDFVTTD
FYVQDGVVHHSPSSTILGANNDDSITDDFCYNKKVLFGDVNDFAEKGGMAAMGESLDRGHVLALSLWDDVAVNMLWLDSAYPLDKSITDPGVKRGECPGGVTSTPTYLRQTYPDGHVIFRNAAVGEIGSTIDSIRNQISPAPVTPAPVAPPLFPDGCFSNNYKDCNHPLVCSDKDNCPESCNAIFLADGPQENCDALWSTCTPNTDSCCAPAVCFGNSVYAQCVPPDAVPDTDAPVTVAPVTPAPISPAPVTKAPVTAAPILPGTPAPTPSPIVPATPLPTPVPTTPSPVATSGGCCGWGNTGVCSQLDNTWCQATQDRCEGPCSGQWIVSGPTVPPSPSPTPLPGTGVSCCAWGSTNNCDGVDHSGYCHTSQSNCEGNCNGNYITV
MYKIKAEKLASFFNNFSIPMLFLWSLWFLYPLIVSGFVSDDSYISQVRGMLYFSDIGILDRIAQQVSYELSMGRPHAINWIFLLLYFYLIPSLFAHKIIIFMIIFLNIIFFKKIIEFFSESRKFSIFIAFLIPIFFQFRFWHDPILAFPTIPAVSLSIFVSLYLLIKYLQTNKNLFYYIFLFVFTISIQFYEIYYLTIIFSFLILYFYNKSLKKSFILIALPVAILILSMFLTSYLRFDTPGDYPGHSFNLEIIPIFKAFWIQTFASFPLSWMLSDSFAHSEIKSISYIDFAISLSFSILLVRSLINFQYSFKKYNAIFFLIFSLLLLLAPAAIIAITGHQNEIILAGLGYGYIPVFLQYFGLSVLLAYLIFYLIKKIDSSLIRQFTFIILVILITLIASVTRNENFHVVEKSNQIYKYPRELLKSSLDKGLLKNIESNDLVIRNQNFPSDHYWFYSMNAKKIINTCSLNIDSKFIKGERVKVLPKKTENFMQEILKNKILKVNKLSTGKGYIPEDKYPFCIEYKDWDNIYGLSYFMNLKEQKIQVLLAKLTLDDETIKPYYNFKFKQFVIYDSEADSLSQFDSEILFDFNKTLRLHKSKNINPDEMQSLKLDMVSIHFENFHPEEGIGSYLRWSSGNSKLILINRSNAPQKSIISFTILRPDNTELELFTKYKSQTNTKKILKALEFNMNLILDPGLNEVQIFSNSLKISNGDPRNIVFGISDYKVKILD
MQQIGQVGNQEQTDEALVKAFQAGNTEVFPALVARYLTLIRRKACSFREIFSLEREDLYQEGLLGLFDAANTYAADGRASFETYAGRCISNRIVSAVRRSASRKNSFLNHAVPIEAVADLGAGQATDPQALLENRDELDGILQKAHVSLSPFERRALSLYLGGWRRAEIERALGIPARAFDNAMARVRKKLRRK
MANLSVDFKDDILASSNPKRKYQLIHNSDGTVSLEDVTVYQQNGSNFGAKEVNEERTAINKINNDRIVSLDKIDLVNQPGFFVDALAVKELNSTLKVKHQQAETIYFIANIEFRKSGHLVNAYVNTELRHSLPADTDTFIATIPEEYRPVDYDYNAPLIMTGRHVGIITFLSNGNIMAHPTEFLDPGWLNFNITYIV
MKVVFIVGSITDSHIVKRIETFLCKGFDIDVYGYTRDVNFTNKIEGVKVNVIGNLENAKYANRIIKGWKAITNIVEAYPRETLYYIWGLDIAMVHLIHRTKYVYEESDIRYAEFRFPLCSIFKYIDRCVIRHSIASFLTSAGFIDYIGGGNSVRKKIFLFPNKLACSFKDFERRKTELNTKLRFSYAGLYRYPNTVLKLAEVIGKSFPQYEFHFYGKGNDAIMALVNELVNKYSNVFEHGPFKNPSDLPYIYDNIDIVACNYDIEGENEKMAEPNKLYEAIFFNKPIIVSDNTFLARKVRKLNIGLVIKGKGEKEIYDFVKSIDYADLKFIQKNEANIPKEELIEDYSQMLNLIDRYNENLASK
MPKPTAIVYVDGFNLYKGQLESRPDNKWLNLVALFDDVLSDYSIVAVHYFTARIKGRMNPEDPRAPDRQDAYIRALRTLDRLTVHDDSLFTVHHGMAREWVDGKERPPLTRHHVYKVQEKGSDVKLATQLLMDALDGLADTFVVVSSDSDLARPIEVVKQRFGARVGILYPRDASTRLFTRAGIDFSLYLRPAYAARNQLPDVVQTPKRAIRRPTKWKKQGPAEAGP
MLHADRMSKPVAIVYVDGFNLYKGQLENRPANKWLNLVALFDDVLDDFRVAHVHYFTARIKGRMNPEDPQAPDRQDAYIRALRTLDRLTVHDDSLFTVHHGFAREWIDGKEQPPLTRHHVYKVQEKGSDVKLATQLLLDALDERADTYVVVSSDSDLARPIEVARSRFGARVGVLYPRDERTKLFEAAGIDFSLYLRPSYAARNQMPDVVQTTKRPRNGHTVRAGRTSCVLPLPPRRPGEVAPRTPPGTEAARRGVPASAPSHWSAIGASSAADTRMPLRFRESLRLRESRSSRGAATVPPRSAHTQPRATGCR
MSCRYPTKAFKSRNCFTLRTPLCNHNLIKPTKFFIAARIGYVGGEQLHEMILLKLGRDRDLILRQHSNSNKLQCFSLAVERSRNEYLLIGINGSRMFSHRELVLGTMLNRETSRGEDEAAKLTNSSLRRLLSYLLLGECALYHYKTTYYKDIDLEKSKYFSLVMFLNYRLG
MPSFHTRSVRPCIAQSISPCSDRLISIASRSVPGSTPSPLRVTLSRIVTCGDPLNTRNRSPSTGSRWPAIQVGQQ
MTKYSLNVESLLPNGNVDYDFTLIINDKKYMINSLIASTHSKKLFNLFFEDPIATECSIKGPNGDYGEVINFLNGNKINPSPYNAIFLFSVSNILQSTDLFEIVRDSLTEPLDPTDALNLCQESFQNQMDCEYFAKIVALSFNSMVSSNKLPSNIDHSILDIILQNCTPDNDIDPTLLKNYLDSTTNIIDNPNDRLLSLYPFSICTIEQINTLLNTKGFNINKVKDTLLKRTLTSNCRVDNFSIYSPIPGKLLEGIIHLFQTPEVTCSSSYKEGYDPTVLLEKEDPSSPSKKYYCSKGGPNEWIQFKFNSYGINVTKYAIKSWYGASNKVSPSSWVLYGREGDSDWEIIDSRDDQTCLCQDGHEEVFDVTENKNFYTSIKLEQLKTYNQRNKVFAIAGFELFGKVKHL
MDPISMSVLLGLIVKAAAGEAGKNAWKGLAQLARRAFGENEQAERALQRAQDDPDGAVDLAGQLIGSAATDPDLADLIRTWIGRTQHAATDEAVINTISGQAQIHGHAVQARDIGSVQLGGRDNPAG
MNGVPLAQGTHPGPWTARTLEAVAGTLMEGAAPTWPPACAPSPGPGAPAGPGGRDPVPSPPRVFVAVSGARHFPGASVVAAFPTES
MLCSFATHGFYSKGLDNTWKPIYAEKFNQQSSKRYKRDIEDMEEKDAYKLLDARPVSFLPLCVEDGQRRIGLVAEEMYELFPNLVSKDIEGKIQGLDYASLTAPIIKLCQMQQKQIDVLTTRIELLEKK
MLTLSIQTHCHGKTSLWNSQNHCLHLWPLHMMQRPTDSLWQILIPETKQRLFPFHSIQHLEWLT
MNHRDYKKFNNGSIAHIYNRGNNKGKIFFDEQDYKAFLFRLALALGFYQKELKGENLLSMSLLNHIRTYFQNK
MGYEIRDDGDGDVEIYFKSKTLVGLHEEIDRYVKEKDPARLIGFKGDLVPEYHRGAWILRMWIPRLYKGE
SIAVIGAGLSGLTHALYLARGLLNNDEKHRIVVYDSADRVGGWVKSDRIKPVGMEDDEGALLEAGPRSIRMADLGGLSTTELIATLDLGGEVITVPKTAESAYRRMIKYDGHFHVLPHDQWSLFQTSFKPSHIFQKADFGDILNALNIGERKPIRSRFPDETVEGFFTRLLAPKNKDGSLKDENSPTPAIVEYLASAMFHGIYAADVSQLSMRAVLPQVYHPALTLFQDKKSWLPWPFNIRLTPYYLLGRQGEEWAKVENFKQGERLMLRKYMIDRLGEQITKMVAESSVLSFKNGLQTLTDAIANECRQRGVEFRLNSKIDSIHVQEQNGKAVIRSEGGKKEVFNKVTSSLPSKALQGLLKDTKLPHLAANPSTTVCVVNFAIPAKYKIRLPPAFGYLIPRASAKEGDNPFGHLGTVFDSEAVPGQDSYTKLTMMFGGPYWKPALAIEIASIVLEPHLQDSGQDRSIIDNPDIIKRISVQKDCIPTYTVGHMERMYELHQALKKTENAPLSVLGASYTGVSLNDCILHARRTAMITINS
MAAPEPVEAGVRGPEEQRTVGGRSGQPGSDDPVDQQRSDRAPIRCARRCSPPTARCTVAPARSRR
MNEQRLQAYNQLIQTLLDCPSKEEPEIFAANTELLDADFVQVVAAAEHFAQQGEENTASPVYSVS
MADINGTPASETLPGTDGDDSFIGGDGADTLQGGAGFDRVNYAEEGGPGGVLVDFGGGPGRDTFGAADRLQSIEFALGTAFADEFRGTSGSNGAAGLAGADIFDLGDGFDDVRYTFDEEYGGTSGIQVDLSRQFAIDGFGDRDTLIGVERVRGTRFDDSFVGDDRDNQFAGNAGNDVFDGRGGYDSMHFILETGAQTIDQTAIGVIVDFNAGTARHGGAARQDEVDRFSGMENAEGTNRADVFIALTENGDHFFVGYAGNDTFQGGSGFEQVSYAGERFFGGDRGIVFDWSTGTAIDTFGHEDRHSNIDLVRGTLLADRFVGDDGRNQVRGLAGDDIIDLGGGVDEVRYEMDANEGGAAGVMVDLAAGFAIDGFGDRDTLIGVEQVRGTDFADRLMGDGADNRLRGLDGADELAGAGGNDRLEGGRGDDAIDGGAGRDAAVFSGARGEYAVTSGAAGLIVSDTTSGRDGIDRLTNVERLVFTDSTLAFDFDGTAGQAYRLYQAAFARTPDQGGVSFWTNAFDRGEQDLIGAATFFLASPEFAATYGSPGTVSDAAFVALLYQNVLGRGPDDGGNAFWLGELAGGRSRENVLVQFSESPENVALVAPAIASGIVLDVGIA
MADRFGTSGDDQYEASIVGTDENDRYFGFAGFDFLAPTLGDDILDGGDDSDVAIYGWFDIFTNGITINNTASEQNGVAAFTTDKRGFGTDTLIGMENFHGTNYDDEIYLGYNPDGTYTFDEWGDDLVVAFQGDTDVGNHFVAGPGDDTYVGSMGEGDLIDFEDIYTGSETGVTVLFTGIGSGFATDPWGGTDTFFGIEEIQGTQQDDTITTGDGDNGIMGFAGDDTLIAGGGRDDLFGGAGDDTLDGGAGDRDEARYDDDIEQGGGSGVTVDLAAGIATDGFGDTDTLIGIEDVRGTDSADTISGDDGDNTLDGRGGNDFLYGREGRDFLIGGAGDDILDGGDGDEDRVSYDDDIEHGGGSGVTVDLAAGTATDGFGDTDTLIGIENVRGQTVLTRFPATMGTTPSMAGAAMTFFMAARVGIS
MSTIEVIRSEFSKRLIEACERAGLESHGRGVFIAKNIGITPKAVSKWFNGETIPSHDKLIELADLLSVSASWLQFGQTDEPQQIKTTGFNIKGWTDLVKVRMKDVGLTQDSLAEKMNVAQSTIARYLNEVREPDIRDVCKILNLVGLDLRIVPISVNANAISAQEFHWSDLIRLKMKENGITQACLAQKIGMSQSAVAHWLGGKRSPSIEEISLMMKSVGLDRVSLNSNGMIESTDAIFNFDAKLEWTDLAKQLLLKLEMSQETLAEMINVTPGCVGHWLNGRRDPSMAQIASIFSCLGLNSVTLYSDGMVGCEEKSNQRNANARSKGRSFPVLTASQAATFVSQDYSKWQNLSERWCLATEDVPNNSFWLEVDGDSMASLSGPSIPDGALALIDRHRSYKNKSLVVAKLHSSNELTVKQIIIDVGQRYLRPLNQNYKTTPIDENCLIVGVVADVKINL
MRKNLQTDRAKEATQKSLGEDEQKYKDIFAIIDRRWECQLHHPLHAASHYLNPDFFYGNDSIGNDREVMASLFKSIKRVSENKEEYDTITMQLESYRTAEGLFGIKSTIRKKDFISSSSQTPNLRDFAIKVLSLACSSSRCECNWSTFKHIHSKKRSRLEHQKLQDLDFIKYNQALKHRYDNHDVIDPIILKDIDDSNDWLVGEVGEDNAEDGNVFYDDTLTLGVVANASRVGEPITYTRKQTRGTRMVNQVVPIVSRPLISSSRGLAVMNEVDDEEIVDEEEHIYKFSSSEDESEGEYLLKFDGESEDDDFFHQFTCI
MPSQKIKGSGEKNLSRIQDDTGELDYLQNQLKRMDGLSDELIGILDGFDGRLMKLEASIRPIHKSTQNLTKLASSE
MFLTDPSLELHDARSSLVSPFMMWDWIAALDSEPCFILSAETSDDDLALPATNFFSSVDRLLAFAHAHASTRCKVYLLQKERQGKGRLKFLEIESIGKYEGKPAGVCYYAHVTTNGILEKTYDAQPPLPPKHQIEILANFDKKIFIDHER
MMDGSRPINDRWPTILLVWLMNSSYPQSNDLLALKMFIQQLAPEASQNKVAHLKHFPHGCPVLPFRKKIIGYHGNQLPQHSIPSKRTGNLLPPLPSRSSSRAVSCALAFKEKREQVGTELLTESSSPCSFPNLAVLPAASSCGEDGDTARAPGVGRGGGCGGRERGQETAEEQSARSASRPPLGEGGERKEGRTDGPAGRTSSPRGPSRPLTTSLPAAFAAAASSPAARRAPLGPRDGSAPRPPTPSRGSEAVAASRSLPRTGGGTALAPGSPRLLPRPPQPAPPPRSAHAGGADPGSSAFSSASGRWRRRQRRASGRPGRRCSAERELEAGGGLGEAGFCVSDRHVDSFCGIELTAVSSAQHFNSNKQMPPLLERFGIYEREILLPKGTN
MLVAERATACDGLSRLSAKIFYHFTRFFSLHHHVQLHARFSLMRPVANAAGGMMMQTEDEECELCVSFSIILAVFIK
MMNFIKRYVIPSSLMLLFLSLSPLYDMLNKHNQEQAFHIMISLDATIPYIKEFIIPYLIWFPFLLICFFYFLLKDLRTYYITMVSVILGKLVCFLVYYFFQTTITRPVISDTDVFSSLVTYIYNLDDPFNCFPSIHVLTSAVMIIAIHQSSIKRITNILIIDTTAILIILSTIFVKQHAILDVVAGLLLSVIISATLARSINKSFHQDVVILDKQNVKAS
MSKVNIFSQELNRMSREPIGGLSLKQIRQQVIDYLQGKRTVCVDYRKMRVDQRGREDDEPTGQETLEIVEVMKNFTVVKRHGLNTCIMHQDMFLIAGIGESECS
MPYSIVTTPIGSISVTGGCCPISQKVISTKTTVLHVHCQTILESCKERGPYFPLIHARLSRVAISSGCGRLSIVALLTWYSVYHTTSRGVLSMSLVVYDEVGATNCDSFRM
MTVSESIVELAPRRDMFESFKPNKRGNGRYHEEDEEGHSYDGNSSRNDGDDRKPRNGKWRPNSLKGKREKLRSYFCKGPHMKRDCLKVYSILAIKRNDEQEEVKPIKKKTSTVNSMVLISKRMNGEEGLMFVDINIAGQKRSALIDTGASDLFISEKAARKLGLSIKKSNKKIKTVNSEEAPTLGVVHNVELQIGEWKDNEDFEVIN
MKSSVKSLGAVTSLKNLDLSYCGLNGVFPAQGM
MKVKSSAPGKILGASTQVAQSPPLRVLSLLPGATDTVRALGAANLLVGRTHECDWPELQALPIVTSDKLGEMPPAELDAAMAACGGALPTLGWCGGGIALLDQGLSPYRTDVEKLVALRPDVILTQMQGLGLDLTPDHYWSPLEQLLGYRPTVVQLAATEMEGVWRDMRSISEALKLDREGRDKICGGGKALGTYGFGRVLCCSRPLQRTIMAPSPPPHTHAASLSLSCENTSRIPCRHILLCLSIYLEVCLSRCLSAWPIYPPRTHDLFGPQLCSPQSTPLSSPALPSTDTWICAFGAQRCSADCRLRRTQRAGGHVPEWWWCNGPTPCSLRGAGCRSSWSWRARGTCWDEWRLQPPSPPSSSQVGSRH
MKFQLSQEERESLRHIQRKVSGTTANVRVTSVLMFNHGRSVDSISEDLGISVATVYRYIGLYVSGGIDKLLRCEQVGYWGKMDSFQLSLLRKELKTKLYTEAKSVSSWISQTFDIHYTVQGCVSF
MSSNFTTPMRIEDVGPSQQMVEENTSSLMYMQSYDNDMKPIVMLFDEDDGNEDIMDMEDNENTENDASLLGGGEHDVPSPIFRELNWDVINSMVDKDLATRTRLWNESNELFKGLRFESKEYKPLLGILVLDGTCLMHTIDIVLGMWLDAKKWTLTHDGGHRFNQASNLLTLGFRLQWALTQPLQDSTLLKALTLRLLQDIITQPSLKMAQIQDKALNASVLLSINEVELSIYRFLSSGVKVSKRLSLLQSDLDWSSIGSRPVRETPRSGREGYWEREKIFDLP
MTYRCMVHHIILVSSRRHSLLDPGSKIKARPVLSRSGAQVYPTPLPSSVPGLNSTLNSIQPWTAVDTTYQFQSWGGDIRGL
MGHVRETGHSNMYVWCRVSNREKRSTDLTGKRVIAICMSDGFKHHTFLRKMAEKLVLFFMQLVLQRFRDYVMIKDYELLPTLPPRAGASLPHGTGASLPPGATGQANSFEEVYSHTSLAELKNAPGRRNMPHLHPRTEASLPPRTGASLLVVFFLLSWTLVSTCILYCCGHQIKGLCNDCMIVYSQTCINIIL
MRSFNGDFPPWRCSGRQRRRGERRRQPLGNKPWKKELHHQECALDKKLGEDASMEEKKEREKERGGEHEIEGTKEEEKLNFEEAIVIIDSIAASDYQSHHDRAPTQRKGIMELDTQNAILAQNKLLMQQIEALTKQIGQLPHQYHQGGPQKTQQAHQVQQIFRCDFCGGNHQNDHVQHLVINNKKRRHIICRAKPDLNKTFKEATKAIGVAQGPQQQQVQPDRLSTMEDTLNPVYAGVHLKPKNTNASIKNLEVQVGQLAKQMYEHGSGSFSATIEVNPREQCKAVTTKRGTMVGLKDGEALEQMLAYAKFMKELLTKKKRIMDDEIELEAGCSATIQKSIPEKSRDPGSFTILVTIGKLSVGRALLDLGASINLMPLSMIKRIREIEIRPTRMALQLADRIIKHPYDDGKLIVRVQGDEVQFNVFEAMKHPKDKGECFQMDVLDELGRIDGDPVLRETRIWATWEYVSSVGEWYLEICRGGQETLGTSGGVLLPKTKLDQSRPNPGIIVMAAQLIHDRVEMECLEGVWETLEGNTRCRFRGTIRFTATSLVHPDEPEVTSSEEDPEEDHEELPPEPAVDALDFLEGDEDPLPEVDSP
MAATGLHCPSLPAVRMAPVTSFEPRTSHNKDGSFASAFRLWTVSVSGLGVFSRQFSLSFLECFGARTMAAKDATSGSLSEESSALDLPSACDIRDYVLQRPNQDTNSEAFSSVEFHSFPCSSDVDPADL
MRVDYLQAATVSSIGCDAVINKASYPDHTCLTTPVSPHRSHDTALSPSVSPHSSDHSSLTTPVSPHQSHHTSLTTPVSPHRSHDTALTTPVSPHSSEPISLTTQLLSQWSHHTALITPVSPHQSHHTGLTTPVSRHSSDHTSLITQL
MTAMASSSAEDAPGVPGAAGAASARTARGPASAAASAAGALSARELSTGASAPAFPASPAFPAASVAGAPTASV
VRVWDLATGQIVEKHDGSKDAVVEVRFLEGDKRLLIRDRAGVVRIKPRTRVSTGDDDPPPQDIERAFQFITPPAIWNAGGESIPAATENGDAAERVQSQSELRNAASREARAAARQSLLKAKDPAAEESEVAKAAQVAALEKQLASAASDAFKADLKKQISRLKTVPVSQERTERPKLMGTLTTSFQFVAGPASQNRTGPRTVQLVIHGDAESLTATTSSASYDMDDERRKPADSAASQLWVWDIATQSLLRHWDDLRSAVGTCVFVDSTNQVLSSSGQVFSLPTGESRSLSSLAPETISAIATAPDSRHLAIGYAGSVQATTSVLRLFETATLQEIKSHETYEGLTTAIAFAPDGTSLAVAIRERQLHRLLILDATTLVVQATIEEQPHSAPWLQGTQSENRDRGLTTLRFSSDGRYLLTHGSYGSGDYRLTLWQKKGAKWTKETGVNSKASQPIVDDAQPPAPLWFVGGKGSQMAAISSKGLGIVDTSNGRLLRAVELASGTKDRNPIAWSSDGTWLVQGDDKGQVTLWNLRLDKEAAIFPAQLGPVKALALSSDGRVLATLGEENKLHLWNLENWQPKNRLAAKAKAAKPTSAE
MAKLTLTQYLNYLGTETRTMGWGALLIYDRAKTNALLAQEYIERFDKNQYFPPFNDSEETDQNAWSDMQDFILDRPRLSFTNSNIASSRARLSMKVVGGKYVTLVKPIGTRLMDITSIALMDPLNAPSLHMDIRLEESNGGTITDEGRVLLDLSRGESYTFHLSSSEDRNRKLGLALEQEFRSWDDSRKVFELSRIVAGDDDLQPHSFAVRTHSAARAGSASVSQEDDQEEGAVLIGVALKGMSNGMFPINDKDMPYLLPLVGPNEEPYSMNILLSNQQWIAISLGQALQRLEASRAIVLNYPTTPTGKITGVAARTGEIMPVTFCYNLPTEFVWYRGPRAYSLYRYEVLPASIDIGGAIEFKVIDDAIHLMWQSSNTVRHVKFYVEDRNGDVSKTYPATVDTHFSVKYAFVILTEGPDKGKLRLEQVGELEDHFTMAIERATGEFDVLNQFHRGIAAAWHSAMLETFNRFVAVITGAEVTINAMHLNHLLFRSQQAAEPRSVYTPCDLTLLGTLAPDRLHFAISPLEPVIAAGGVQPFSITPSDAQVKWSVDNLPGETGDKGAIGEDDGLYIAPPASSFEGETSRRILITARDGDKFSQALVSITVSDISVYPHLQVAQFGGARYVLVGGDGNGQELDWEMAPGGKGTLRRPDPEGLDSDLDIPEGQDVCIYVSPDRDPSGGKGDVKQALHLDQVVARNVSGNARTIDLLVPWSNPNAWFEVEAHPEGVKLSMWVDAFEGAEEIPPEDAFWYLVKGSGQLNQNIYTPSESSNDYAIIAAVEDYRRLTYAYIVLPLPFIALRKFNALRHAGDSGKDK
MTWIERDGRTVYVDDDGPAPLPNIAHWLKDVEPPRSAVRPLVPLLGLILSQIDEVVGVAVEILDAVFGVLFCYCIGLQVVPRQTIQVPKRGININVPQPSIQMD
MAQRRGRAAERQSPNPRPRQQSRTNAPDDDEASRPTPQNAASANSQAGSTAQATPSAAGPDTMSMQQLLPSPDANPAAGTDAAGQRKIRISSCV
MAGLVTNNSSATGLDAFILGTSAAAKVGAQIGVTQLRNNLVYDYGDMLSGAANDGTIYNIRINERGDYEFGDNLSHGKQKGLPNNVIDAGDVLSMPTTIPLRYLEQRDVAHLAHALLLFSKGEITEEQINTDPAFKNFYVLDVVDAADRMNLEIGSRTFTINGVKMEYSNDRFRILSRPGQGAVSGRSRDPELEAIRRKISNKEETLLGGKEDVETVDAAEMSIGELIGVREDLEEKYQFVAYNFADSQFVGSDSFDGIVNALATIPDGFFFGANMAFQLQDKFGDDISNGAKNELFAVTLNYFANLAVGAMTGLPSQLRSEFEKHATDILTGTIGDEDQAKSIIDTIRPDFEETVQNVMDAVANIAQGAEVEELREGLGIRSSRESLTYTVRKYTSRF
MASLPLARAAIFSLLLLLVAATRAHAATPATVFDDIKARATPDEIYRLLFALPKGGDLHHHSGGGVPMDYVVEYYTNPARNRGQKIYLRTTIADVPSAPTPAMSAVLVHVFRESTWKTYSPALRDQWKLVTDLTAEEKVAWLSGLKVDLPGEGRDAFF
MGMLRSGKKVKPITGNNWEAGIKRNWFNNRWSTSLTVYQILKDNETSSDPQNTPQESYLIQVGQSKSKGVEIDVQGEIVKNLSVIANYAYTDYKVSKSVNESRPVGTRLPGYAKQNFNIWLKYKFTQGMLDGFSLSAGQTSQLDRSSWNWGSTLNNTQSLPDYFRFDAALGWRKNNLNLALNIYNVFDRYLYSGSPYGNYYYWQSEAPRNFRLSMSYSF
MGSLGLKVSARKVEKNKEELDESSSVNFCVFYFALTALPLLALVVVGKSENLVLSGSPDSTIKWLDGAFQPLQQPQSD
MDFMAKHGIKGMILGTHADYVDEYMHKFQEANRKYGRDLPLGGNLGIGLGAYLDDTVSKAEKALEPLFEEHVKFSAPLGMLRYTDEQMAEVGPGGVARHIASGVNFRELGQEGMVRR
MADDLAQCYLMSYPPDVAASDLDEAREEMNATFRGDYGKLRADASTTAWVGGRLAGAIMVVTHSIWDAHLTGPFVIDLFIDPHARGKGIGRALVQHAIATCCDAGDHTLSLRFGQGTSDAAMRIYQRLGFRPVG
MAVQLLLFVFACIVLSNHAEQSCGIANVQVENEDGTTQVFEVKGLEPVKGESCSICALKPVNFVPQLTALQSNVFLAVGEVASQCDDTNADLPEGLCSSFKDTGDCSKDSVCNHDPEDLADVIMKKGYVPMATGEAETDKKLALGIVLMNSGSLEEAIQILLSVIKENPNTMAAYYILGVAHVRKGVQERGNAVSAMRDFTEAIQRSTTHFEPYARRAELHLALKDYNEALKDLIRAEEFHPDSKVYFMRGIIHLLLENFVEAEDDFKMNLNKEDHLYLPSYYHLGLTMYYRGKIRNAIEVFKEVLKIKPDYVDASTSLAQAFKELGNLRASRGKFNHSLNINPSHSQSLQLRGNMLYHSGETVKAAQDFKKCTEIDPENINCQYMLALCDAAVGKFYDSVKATTKVRTIQSWVLAM
MRNRILEYVRANNVKYNRNLTEEEINKIVESYLRASSEYDEERLDAHIETVKLQWADEKRSEKDTEDLKNWRSKRRVSVVSGNISGDLQDLKLYKKNKETYEFILGVLDEDFVPFSNMTPKQKKKLADTMVPKTIPANTFLIREGDSDNRMYIVEVGKFLVMKNGEIIKTLTRGSFFGEIALLHNVARTATVKSLEDSKIWVVEQKSYMSIRYTDRARHKKIALEGLKMSRLFEDFSEEDLKAIVNVLVFNYYNEGTHITVKDDEIFLFVIDGKISDEDGVFRDVKKFDYIERPFQCESVVEGTKIKKKQRRKVERNLT
MIAVMSKTKALKNLKKDRHKEVEEVYDMCYAIDMLEKQSEERGIKYGITLAKSVFLLNSNGVSKDEIAQKLNISIDKVKSILDDSIEGSALSSHIE
MPKRFATPIQLPGDPTGALEAATKQYVDGRPVPTHQHSAGDITTGTLDPARLPRVLPVLQSFSVASGGTHTTDASLGSYVDLTVNGGNAIIALPTNGVNRQTLEYVIYAASATSVIFSSDILLTTGLSTRTFSVPAQKYGFFSLKYSTLRSNLQVWAMVAGTVTS
MRPVRSSDSITFEIGLKELQALVCVADLGSFRRAATELGFTQSALSHQVATLENKLGHQLFVRPGGRGGVKLTVAGEAACRRARRTLSEARAMFADIEESGGAERAQIRVGVTQTIAAEIMPPALREFREVHPAVEVTLSEVDGDEVVLNGLGSGKFDLGFVNTQAPDERVEMLPVTEDRWVILTRRGSALSASERPGLDVLDGADLVAWTRRWRAQRELEDILNRRGIVPRIAYRTDDNLALQRLVAAGLGDACVGELSVLRAVDASLTWLVPADALGSHPIVLCHPRGQTPRPAVSTLIAAIRAQAPRPAVPA
MAILRVSWLSSWAGVAAAILGMVVTRPVAAGLEPQAALKASLATPVVLAGKKQTVYLKVGITGFALPRDGKRPPVNLAIVLDRSGSMTGQKLEDAKKAAIMALDQLDSADIVSVVTYDNTVNVLVPATKMTDKGDIVAKIQTITAGGSTALFAGVSKGSEEVRKFLNKERVNRVILLSDGIANVGPSSPDDLGGLGGSLMKEGITVSTIGLGLDYNEDLMTKLSAKAGGSHYFAETPRDLAKTFEREFDRSTRVVGQEVVARIECAPGVRPIRVLGRDAEITGQMVSVPLDQLYSESEKYLILEIELPDGRDTEEREAAKVEVSYLNMKTKETDRVKAAVPVRFTNSPADVESKTDRDAMVSAVEQVATEKSQTAMKLRDQGKVKEARELLMSNSGYLASNAGKYGSQKLVEYQQENEKNANNLDDANWQRTRKSQSAGDVWRVKQ
MAKLISAFRDHLEADVFPAADSAELGEIAESFALRGPLQYLRMIENTEVHGVRHRGWLVSSAEGRAVDVGMCGTACGLGAWEPLTSTQDGQRW
MSRAFKLPTCAVRSCKRCGACARRTAAAGMTAERAWKLFLLVPRLLLTRPHAVPPPGRLPQRSTSTDVGRRMRERACAQVRRSQLLWARQTLTSAPLAPGNAATLRHLSDPDKRPQSSRHPMPDDIAGFRASHPAELGDGEALDLLARATSLLANADAPAAVLEALALSRLTALSKPGGGVRGIATGYTLRRLASRALARQYAETFDRATRPFQFALQARAGTDCLAAMLRAASELDADATIGLARRPERL
MEPAEVDAVVHATSQLEVENIQTVTRETIGDVEQDTNPMAEAGRDKNGKEAAREATSRPLTRAEKRRADDEARCGQEQIVAAVASVPVETSPVAVSVGDRRRAAVNDGEGPAAKTTRKASKKSKTRSDSGASRPEGATDKHSQTPTSGQEQQEAALDDSDEPVSTYANETSLQLTDDEISEAQTHSKLVQTLLKAGKHQDMEDTQKVP
MACVVAAFEFRLIGDAIERTTLAAFYEQPAGATVGAPGSIIRSEELIGVPFDAHAWRIMYRTTDVTGAIVVSTSGSRASGSCSIGDLSWPPPTTSAWAPMDPTPTSSARPPATRCWMPSAPPNH
MFHLIRNFTKLFSTFEAPIRELPGSELIASLDEYSRASSLIQAKNYVMAELELSRCMDILNKANLKTEPGYSFLLHKLALVQRLQQKFSQCENSLETIVKNYEQNPKNYQIPLQQAYKTLFIQYLAQNIDKALKLAESLSLSNNYNSMTKEFQQEVKFIYGTALILHGQDFSKAKSLLNECLNMDLDINTPIILHNLACAQWLHSKKYYGIDTRNLSTEERQDYNDYIENYNKAILNFQKAIQIYEGLSDIFTLNSGFVLKNKLSGLSLTNIGEIFIENNDFEKAVDWVKTAMKLYEDTDKENIGRALVLIGSVLKEKKHLMHAEGLIRNAMEIMKGRNDGNEVFALRMYGALLKSNTKRFRECEDVQKKAQGIADILPYWYERAVYLYVPKWVE
MNKKAIQLIEKMIEEDDYQTVSFFALGLNVSTRTIFNYLEFIEEELKDSDLYVHKVQGRGIRLIGSQKDKIDLLHSLNGVEVLSTLERQLIIIQKLILEEQTLTYQKLSDYFMVSTSSITKDLEKIQHFFKKYSIQLVSDKKGTYVIGDEYHKQLCQVTYLEERLSLKKKRSEDTLQKEDLSLLKLFIEGEIVDGVYQIFHEFIGEYQLHVSHKYSRRMFITLIVFISRLKYGKHPYIEKDFLFEEMKYLDSYILAEELLKRICSQFQIVYESQDVDYINKQLMALKIKIKEVPVNPIYLSSIQELINQLSEILKVNLNQDQKLLKSLLFHFTPMIYRLRMNANIKNPLLHEIQTQYSILFSIIAHSVYKIESQYQVILTEDEIAFLTVYFQVALERNKGGKKILIVCLSGIGTSELIFHKIQKAIPAQDTLEITTYHALKKRDLRKIDLIISTIDLDIEDIPIIKVSALISNSDLKKITEKYANFFYKDDYEREIEHFQYLKQYIEEKMIFWDMEFKNKDECLKFVMEKLYSAGYVKEGFEKSILEREKIGTTALDSGVAIPHASIEYTRETKIVIVNLKHKILWNDKKIDVVALININQKDKANVRNLLGELYQFIRERKDVEKYFKMNTKDEFIKSINQ
MAVTCQDPVPKASCTGTWVEGATCPEVPGCDAHMVVLLDRTGSMNAIRCETGSTRCEDALMAAQSDVDHFFNTHSAGSSLAVWTFANDGPVDLTGGFVTDRQVARDALAPLEGVPCDGWTPLAEAMCDAVDSLVATFPSAPPKSRILAVSSDGQENFSDANCAGPDSVGGDSCGTFDEGSWQRQVCERIVAHAIAQVRHWGAFIRCDGVAGAAIDVETGQALPARVPDRVFFAALAEASGGTYLALSDASPPPPPGPPPFGVTGACCLPDGTCEEAITEAECAVLGGTHRGQGVTCAEAQCFLPVPALPPWAVAVGAVGLAAVGFGLLRHRGAGHGNR
MLRTKAFFRLHEWLADRVSFIQYPKPRAYPIGAPTWTLARRWRNRPSMPWPAAVIPPPLMLFVPGGVYLAIAYIAFLFIYCRRPR
MTITLQDVAGILGLPTDGIVVTRSTSEDWFAVCAVVFGAQPLASEFHHSGDLHISFLYRLYTRWNDHAGDHDREIYFTKAHIARMLVCWLLADKSGGSNIGCRLVPLLEGGFDEIDRFSWGSAVLAHLFRNLCECTDVG
VMYGTTPFTMKVVCIFACAYFGYVLWIYFVQISLQGFFEDGLGGVYVL
KSDSHRIPFKDVPFRQILTSRHVYIICFAAFGNSIILYAQLTGHPKYLSSVFGVSKAMASYLSIIPFMSNFLFQILYPLIFDYSRFSHRISKTSYRRISSAIAFFGSAIFLAPVGFVSCDRMSISILLVTLSMVFLGANQSGFFTGIIDLSPRYSGIIMALASCFGSFSGILQPQITSYLIKNGDRKEGYMLAFIITSIIAYLTGVPYILFGTSKLQNWNNKEKEKSKIDESTVLLNECDL
MHLGGFFPSGLENTFQASKKSEGKNDLAEIDVLEVTPKIIRILPNEVSE
MANALVNRAATVNQPTNFFRSSFSFLRNLSTATPTTTTTKDPSSADGPKKPKRKKRKNLFEVAQFLPNWGLGYHMAKTHWTGVSYEITKINLYKDGRHGKAWGLAYRDGLPIADAPKKISGVHKRCWKYIANLKKAEGSLDQIKQAEESTPSPDVQANVSGGDNANLGRNRRRQRRRRRRRRMPSMAGSSETTTDGSFRFSDSDSDQSWHSPLGSYRYEEQCGFSMRSEGNLVSCEEGKRGCCSLADEEIDLESGELELKVHKEVESSCRICHLSLLKCGGIGDDDQLLEPSGGMAIELGCSCKGDLAAAHKQCAETWFKIKGNTICEICGAAAVNVIGGQVSEANNATITNVGVSNAPVVLSETRRFCHGRRIMNFLLACMIFAFVISWLFHFKIFP
MFRFLDDFFDIVSDSTRFTEAIKVVYPVVKQSSIEAALGSNVTFECIFSGVSSPATTWEKYGGELSPDRHTMILGNLLISNVSKVDQGSYICRAVNQGTAGKALLDVALPFIIFSLTVIDPLKVKLTYSIANKREGQHLLELTCETLGGHSPMLFWYFNSKPLLTDGNVLDTKFQGGVFVTAAGRLTAFLDLG
MKKRNTFLAGLLTLMFSASAVAQDLVIPTNSESGVEIMKDGKFDPVTMNIGNCSESTQVYLGEVDFGENGDKYAAAGIVFANGYYMDGWAILHAGQDYESSLPFTQITLDETGGYQAVYTFADSMAYLKGPNHDGVFEGAQTQYYKPTGKQKVYLTFIGGSGNIWAVNFYENPIPADRFIQEGEHDYLYGLALRTPNMIPGYEEVSTRLLATESVPMVPTGEEAGAESPFFDTKLDGDSWGWTNDGFIVDYGIMDFGNGDYDQIIAYVKRSADVNINLYVEIYLDEVSEDNLLANIWTGLQMTNITPLAANIKSVTGEHKIIAKWVGGGFNLQNIEFSKGNLWTESLKCGITVVDELPSDDAFHCTFVGCLEGQANPWYYEVLAKGQYESAGNIGYTKNGTVINFFDALGDGVDFGNGEYKSIVVNHASESSWLGPIDEANFAFYIDLDPDFTIMKETWDTDLASILEGHEPIARVRIQGTGSWGIKKHTAGEMLREVTGKHQLYMVYNILNSSTAGANVFDIYLDKKELSGVSQSTADVEGVEVYASNGKIMVNTVDPVKVTVYTLSGAAMSETVASSGANTYDAASGFYIVKVTDKNGAVGTYKVLVK
YKRQAQETKESSDSRLKALQATKTALSGVQAGQAAAMATATGDPNATGVSLSLTTQKSKSQQHSESDTVSGSTLNA
MAHGQLESRPGLALAGKRRIMTNKSAVMTRPRPQDSVEMFLPDVLLPQQILELRNGGHATGERHLLIAVLEDAIHCFQKFMFPKNAQQRRLFREAEQWLMSEELDTPLTFNSICHVIGLDPSYLRRGLHKWQEQRLAQQWRPRASAGAIQLRAAKTLSREPARVRK
MNKQLSPANRVLSAIWEGLVLGGVGAALIRNEWRFAGVCVILVAVALAVWILLRSSEN
HAAEQRIAISQLVSVSLGHWALEAVSRILDDPNALTEEQLQRLAGLTGGLDAGLVSSSTLADDALIARDFFQAVYGVTGEELVTYEGSRHLRSLIEAGQAIRDAMDEADSNPDIRAAEPDERRKLIEDFVWSIFQTRISKRSETIADFEAIMAAIDADAAVPVWEWAAYPGEAAVESINAEYEAANRYSLAAILTPPLDMLGRTPTQFRQERDALRTRIAIEQFRLLRGRWPGSLTELVPEFLDRIPHDRADGHPLRYRLDEQGRPLLYSLGPDRDDDGGVPTPSGRELRFYFPDELAAARAGAPDAPAVPDGDWVHWRVQD
MSRDNAIETLQKNKLDNKIIDEFIKTLDNCQKLIFSPSKDDSKMEKIYKDANEIINKLEEKLK
MSFLGRLPLEKFTIVALERSFSSSIDADRAFPDQHRLHYPVQLNDNRHRQERYHHYNNCKVEMAYIHINSN
MLLLKEVWRNIQEVVQKSLSILAWIEEVDVLDPPVRQLYEAFAFLENDRAGIPLFLRENPERGLTVLPRQFWPSGQGIDEEFLQVRVTTFFGEHLRAATTYFDNFPGHAY
MADNVQLGYAATVHRSQGVTVDTAHAVLDASVDRSTAYVALTRGRLRNTAWLVVEDDQTTTDVLDTIASRTADNVTVLDAAAREARAAADPVRMRDIYADLATTADRIRWSRHLDDLVRGRHIPPAAGRATASQQFPDVVGRLTGLEAAGVDVDTLLRDLTTGIGRPEDPAAEIATRIDQWSDQHPTVLDPDHHGPLSDLDDQALTGLADRAGRQAQAAAGRAQARHSAQPADVGGSEPAPAWTHRPYGDLTDTELSQRIREAVGESFAHAVDSPAREPDAGADQAVSQMLAERDTRAAMDPGHRRAEDAQRWEVSHLDGRPVDIRDAMRHVDRSARAAAVVAREVSEEQARRRWRRAPTSRQDAGHETGVGEGLTQWAAPTAAIGDRRTPSTWRDALVAQRQRLDEAVTQAGRDALGTAGWAQGLTRPQEVTTRQWQRAVGEVATWRAANHVGGHYPLELTAENGTTPHDAGVHQLARALARHQHKDDPDGQAASPGSRQAAARRRQATSEALRRARRALSEQREQARSQQQRSTTQRPTTRPPRSTPGGPRL
MFNYTAFEIILTALTVTCGKGIRMRTRLYVNPEAAFAVDCSRQLVSKEMCAAPIAECNGQPQDDDDEGENLARAASLVTDDGEGAGVCKTTPWSVWSECSASCGIGITMRTRTFLNHLGRKRCPHITIVEKQKCMRPDCTFEEVELPDPMCPTTQWSDWSPCTATCGRGVTIRTRLLLLENGAVKDNCTKRMELNQQKECTVPQECTINMEMAKEICVESYDSGPCRGVYKRYAYNMEYGRCESFTYGGCRGNRNNFLTESDCLNTCSMLRTAAFTNSRAPSRLSYPEAYQAADYGAVDCVMSEWSNWSQCSVSCGTGYSNRSRYVITEPKNGGQPCPKRKVKVRRCIMADC
MPYTDDATGTVVSPPLTNATARLAVRRLPEPPQHGTPSFDYMHVEYSSDGINWSEASYVWDRSVSGWNDELTFDGQNADFPGFTLEKVAFKAAAGPLFIRFRLTSDQLVSSPRYTGVAVDDVVIHR
MTEVVRIAPRGEEEGGSREGKPISDAAGSPVVAQVAAPLDHHTVKKEDGLPSSSPNLGAAVNKVTYRIPSYAGWFSWDKIHSIEQRALPEFFDGNSLSKTPKVYKEYRDFIVNRYREHPQRSLTYSEVRKMLVGDVNLIRKVFDCIEYWGLINNHTAIENKNQTAATDSAHASHMSGNIPPGIRIVYPCKIVHPKGQVPSNERPASISNLASHKDLFTGWSPMNLQAVPIEESIDRQASQSCSNCGMNGESKWFENKKKTGFILCEACFSAGDGYLKDDFTLAETTSESERNHLAWTKEEALRLLEAIEKHGENWDRVALHVGTKSKAECIMHFMKLPFGDQFCSGIMSLDALAASRSTDETIVKNTKVEQRDSKGGGEALELHDNEELLESIEDADGPPTKWRRLNPLADSSNPILAQVAFLSAMVGPRVAAATAQAALMAISEDDPVAAQFLSAVQPGHKDPFLPTTTSKDSSKADEEKKEDAVMRTEEHVQSSKTGEVETSSSKENLPSTTQIRAAIATAIGAVAANAKLLADQEERETEYLMATIIENQLKKLESKVQHFEELEHLLEVDHGHVERAQMQLESSVSLYVNHSRRSQHSFCRQSSLTWGDIHYGQQSLEACPGSKCSGLFFGTMIF
LVVALVAGAGGWALASGGSTDAALSADLAVQSSADPSAGSPAVGSLGAGAPGIAVGLDGSRPGTAAVRPGAKGSPAPGANPSAGASAAATNPAGSPTAGSSPSPSPTDDGTVTVPNTEGSAVNTAESTLKSAGFTNVNKTYGCYNTGKADNVAHQSPKTGKVAKTTAIGLQVEDCAQVPNVTGMSESDGKWQLYWAGFTSTAVNGACANGETSKISGSSPTGQRPRHSTTVTLTVTCTKPAPAPTTAAPAPTPSTAPTTATKA
MIEILAELNINESIPDELYMAVAEVFAFVYQADKEFENNNS
AQLLASMETGTEIPPELYPAVARIIAFVWQLDEKYAGKIGAVSD
MSTVLFSKTFVAKINTILRRFWWAGIQEEQDTSPIAYRSWDDICKPKEQGGLGIRDMEMVNKSLIIHSAWNVATNKNLFLTAILKAKYYPNHSFWTAPVNGSRSVYWSSVLQVKDQLAANSKYQLHAGNSSIWSSPWTPVWDNIHDHILLPVVTCPLPNKVCDLWNPGTHGWNHQLLSSTFLEEAVNIIQATPVVTSDRDDLLRWTPANNGQCTTKSVYTHLANQQKSKSIPPLLKTFAWRLIKRAIATGARAGKYSKHIDKHCSYCGAIEDDQHLFLKCAFSIQVWSSTDPPIQPNTFPPEIDGLQMFLSLMITPNPSDDLLFKTLFILWFLIPSPTTLQGCRCYIDASTQPDNTNSVPYRAGLGIFLVNTQVHPPHSIFIRAALQQCSSVLMAEAAALALAAQISNNLYLHHITILSDNQQLVHFMNGPDLDNLPDWRIKPLTHMADIAIR
MKKTHALVVLLTLLWVFGSCGKSSEQEESSNDVRAAGPAPSVTWLGFNEGLKLARDTRKPLVISFYADWCGWCRKLDTEVFRDREVADRLTRNYVTVQ
MSQGKAYEDIVQGEKGFGKPPEKQRVLIFQGGAALGAYEAGAYQVLYNHIRKQLEEDHRDENIFDIVAGTSIGAINAAIIVSHVTETRRQNPTWSTLRCWEGSAEKLEEFWKDTRTISAVELMPLFMVGWDAYSGMREIGKSWFNIPAQFYSMLNPLFKQWYEQSKEYFDTQASPEAARRYFSVPEFLLFGARNVFLPLAYGIDSLPSPIAIPKSDYKFYNNSPFVPNNVWYRYSNEPLKNILKTKYIVPPIATSEGDPRLLVMAIDV
MEQYYRLFSSYRRPGVAKDEQVLNLDRDPFDPEYVIVACNDQRTKEAAVEWWCANDPERVGKVEATIHQAAGTPCSSTQAEIYAVIAGLNWLDEHAGKGGRVMIISDSQSCLQAIKGLSKTPRKMLRKLEEEEMVVKLIWVPAHCGLAGNELADDAAKVASTRAQGGIRVPASSSKKFIQSKLGRDDDRSNRRSKETYGEQGVALQPTGLSKEEAVDFRRFRSGHGSGGTQKTENRQVTDLFLAKAGVNAVRIVSVMAKPKELEEMDFADIRKLIIEKTLPKKKLVIAERSKFMSMRQEPTESVQAFAQRLWDAARFCEFDHPFGLTRIGVNPRYVCQCNGAFVHGSKAATAAFDLIQQASIRSIASFATAAEEQDQPQHCGPCAGLSNHWLVIEALDREKMVYSIHQRVETTADRGRLRKGGNRQENAAEVEGTVPVGYQTSTMSRALEKRLKIVGETLTFQRYGTTCRRLREGKSLPNGSRFYVLDAMFGCNDLLTEEAIYGQLRRIVKDAGECAAESANRPPPRLGVLTSMQRDLWARAREHLAQNETNRANLELIERSCFIVCLDKDSNQQEQQAEAAAVGDAVSNDVRRSLQLLHGMGSRHNGANRWYDKTMQFVISADGNSGLNYEHSVSEGIAVIKLIEHILAYMEEMKAKRMRRFPSICELPHPQKLEWHVDEETEAFIEQGLLEIDRLADNLDLYILRFEKFGREYPKKANMSPDSFVQLALQLAHYKVHKYLVPTYESASIRRFHLGRVDVIHSASMAALHWVRAMEGDSEATTEQKLRLLREAIESQTEHMLKTILGHGMDNHMLGLRITAETVLGQLPDLFTDPVYVECNQFRLSTSQRAWLSRLLRLVVFLANHAYIMTVKVSTTTDALICYGAVVPNGYGAAYNLHTDSIAVCVSCWRDCPNKDVSARRYAEALAASLEDMHAMIEANLDLARANSVATDVKIPEESPDLGAACSVNGEALG
MLWVDELLGAAVRGPAGEHLGHLRDLGLKQTRGGPLIEAILIDGGGRCFILPSEDVMAWGGVRLHVRTARQSWVPLGMSTESQWEWLAGGVLSKPVLTTPAQVKPIRVSDIGLRKNRDDRWIAWLIDTRPKWLRRLGFARQLTPWTVLSRRCVIRRPSQDPRPRSPATVTAARIRGRRRGSPTASAFILVQRSCLGLPNREPSKGIRHVDLPR
RPRILVRVVTPLLRFPYSSPHTVPPLTNSYSNIHSEEPFTVYHVPPSTNELRIMCGCMAAGGDLKVH
SRTVTKRTNKPRTATKQQPPRTTTQKPPPQKKSRTPTTNPTRRPPPRTPTRRTPTTQPPLTDPHQTTPTRRPPPDDPPLDVPHQTTSTQTTPHHTTPHRTTPTRTPTRQPPPHNPTRRPPPGQPPPHNPSPGHTHPPHPTRTPPTPHDPTQTTPPDDPHPTTLTRRPPPKTTPTTTTPTTPPTQPHHTTPPHNPHTQPPPHNPHHTTPTRRPPPKDDPHQTTPTRPPPHNPHQTTPSRRPPHTTTTQPPPHTPTLRTPTTQPPPPPTTQPHHTTPTTPGRPHPRPPPDAPPHNPHQTTPPKTNPPPQTHPPDDPTTQTHQTTPTRRTPPPTHPPPQPHPTPTNKPPRRPPTHKPHPQTPYQNPHTTPTTQTQTTRRPPPHNPHKPPPRRHQTPQQPPPNDPHP
MQLKREGKVNTVFTRDGVVHVVIGERDRPRPVRCDAALERISREVAELAEAGRPGQPSRRDSRSDETTGASRSDGGREMAHSPPRGRDHVAAGPAGASTDTTPVRAADAAGRGAERREGAEPGGSVVRGEEEAELARRRRSPSSPGAGDRPERGRGCSLPGEGGTNRSPDTFGRGGGGYAGAGDGDGGERRGTDVSTSQSAVAEAPRLATGMASVRRRCGADIRQFLNVHSKCD
MAIVFIPIQRSLLRHFAVFLAIVRIFADKAGEKTVSLQQIIKLAKQLSIVDKVRLIQQIAPEIERELTDKVSTLPRQSLWGLCADLGNAPSTEEIDIARSEEWANFPREDI
MRTNIHQPSTEDTGGSAPLGILFHDGTLATAMSRSMKPRATSGAGYRTPREPVKEVVIRMGRTLWAVEDRRYPPGE
MESLRKSWVKLGRGYFTTPRRGLRLSFLQPTLKGENQMAVYSISYDLNSPGQKHQLISNILTTAGAIKVMETYWLLDTVHQSAVEIREALQTVVDSNDVLFIARINLDDCATWGIKGEPLHWINLLYRNW
MYLGWFPVVSALCCSNFVYFYSFNGIKSVMLGSQKKARPLLDLSVAFISGCVNVLLTTPLWVANTRLKLQGAKLHTEHYNKKTDEPTRRHYAGILDCLLKMIKTEGVVKLWGGTIPSLLLATNPAIQFMVYEALKRYFKRILKVAELSGLLYFILGAVAKATATVITYPLQVVQSRLRVIYKIPQLYCFRTKGVRFLYKGMEAKLLQTVLTAALMFAIYEKIAHFIFQTMGLR
MDKSTPSRGLVRNFVFQHVKWEYLAAGVSGGVASTLVLHPLDLVKIRFQVHEGYGVALTKRPQYQGMIHALRSIFTNSGFTGLYQGVTPNFVGAGLSWGLYFFFYNSIKTWMQDGDTKKALAASQHMLIAGEAGLLTLTLTNPIWVTKTRLCLQYEQGAKSGIQYSGMLDALIKLWKYEGIRGYYKGFIPGVLGIAHGAIQFTLYEEMKNKYNQNKNRPIDTRLNTTEYLAMSAISKIIAATCTYPYQVLRSRLQEQHSTYSGVIDVVTKILKHEGFYGFYKGLVPALLRVTPACCITFIVYENLITQLLHRSSGAEKPGPASLGENMQKRDLDKNQSASNMDGSKIGEPNVEEEIEESVVGEGVKT
MRSSALLLLGCGVLFACLVVGEGGSLTKNFYRVSCPNAENIIKTRTSQLVSANPNLPAKLLRMHFHDCFVRGCDASVLLNSTAGNTAEKDAPPNFSLAGYEAIDDIKAQLEAQCPGVVSCADIIALAARDSVSVPSHLRHTRLYNFTGKGDQDPSLDPTYAEFLKTKCKSLNDPNTVEMDPNSSTNFESNYYSNLLNNKALFQSDVALLTTKGSRNTVLELRSQSDFFTEFAQSMKRMANIEVLTGTSGKGIHLYSILISEEFYSFRSAFPVEQGISYSYSYSKSKSKSKSETFPNFNSTPTTLLQYSCFFYNSLLYLIESFASQLHPHKISFYQSLYLFNNPRFFTMMLRIRSRDGLERVSVDNPHITVAQLKSLIQSQLQIPIHNQTLSTNQNLLLAKSHEDLLRFTDMSSPDTPLSALNLSHGSIVFLAYEGERRVAGPAFNPAGSFGRKMTMDDLIAKQMRVSRQENPHCELVSFDRDCANAFQHYVNETLAFAVKRGGFMYGTVSEEGKVEVNFIYEPPQQGSEDNLLLFRDPDEEKLVDAIALGLGMRKVGFIFTQTISQDKKDYTLSNKEVLQAAEFHAESGLKEWVTAVVKLEVNEDAGADVHFEAFQMSDTCIKLFKEGWFETEIGEDDDPKLSKMKKDVVVGVKDTREVDNDFFLVVVKIFDHQVEYATIHHHHSPPSTTSTTTTINHYPLPTPRITCHHHQPHPPPTTKITCHHH
MTSEKQALLKKNLSIISKKSFFFDLSKHYLELLENSNNFDVLIKIGHEDNYKEFYAHSFILNQRSNYFKKLLSSTNFIKKKQIIIEKKNISPKVFNIILKYIYGGILDNIDSQEPKFILDVLIAADELNFFEIIDYLQDIFLKQTQNSIQRYFTHFYDVTSQYSNFTKLRKYVKNIVTELPHIIFRADDFIGLDKETLLYLVKRVDIVIDEIEIWDCILKWCMAKSNLKNKDIKDWNIDDFYCLGKSLEPFLPHIKFEYITKQDYSIKIKPFKQSFDQNVYKKIKEAINLNEYIDNNI
MLPISVSKEQRNLPAIIELAWIAGIAVSLTFVVLVLLCLKKKKKVTVLAVEGVPPPSPTPEPPKPEAKEPAAAPAAPAAPAAPSKPEEEAKLSCAKGESAKETMMKIPSIRGN
MATHKEDIIRQARERLKAALDWESAARANAREDYKFVNGDSANGYQWPAHLMRNRQMERKPTLTINKTAQHCLQIVNDARQNQVEIRIDPVGDQATYESAQCMQDLVRHIEYQSQAQDVYITAVDFQVKTGI
MPTEPTARILVVDDHEAILNVYGKLLGRAGSEPGADTAGPRYHLDRAIQGAIAAAAVAAGHPYAVAFVDIQMPPGIDGIEAVAQMWAIQPDLEVVLCTAHAEYGWHDIAGRLSTPHQLVILRKPFEAIEVRQLAACLSEKWRRGRALAERVADLEARVAARVRIEIDVAKFEALGRLAAGVAHEINTPLQYVQTSLEFVDDACRKIDAVLAQVRIGAVPAPAELDEVTALTEEIPQGIADAQQGVARIVEIIRSVRDYAHPARARHQRVDLARQCQLAVELTRLQLRGEAEVVLELAEVPAVRGHTSDLGGALVALVVNAGDAIRARRRGAGPLGRVVLRTALVPGAVQIQVCDDGVGIPAELLGRIFEPFFTTKPPGEGTGQGLALARATIVDGHHGTLTVESVPGQGTTFTISLPVGPDES
VTLRRPAFNLVGQVAAIVPLGLLAVSSYGYIRRVRAGERGASAILVGFGLFLLFTLEEVAVAAGWIEFVYLADLGYVSVIAPVAWQMLSGFRDDANQLDQLSTHLTDEVRRRTEERDDARRVMLEQQRLASLGRLAAGVGHEINNPLQYLRFNLEELRDHPALAADADGRVAIDQAFEGVDRIRQVVDGLRTYVRPGADEMAPLDVREVARAAIRVAAPQWRQGITVVTELAEVPMVNGHEGRLVQVVLNPLVNGAQAMLARGDSARMTLTVATRVGSDGWAEISIRDQGSGFAPEVIARLGEPYVTTKAASGGTGLGLFVARGIVEAHGGTVTFANQPEGGAAVLVRLPPLKTARQGTGERRTPQPFPVLAKKKTSLRVLLVEDEPSALHAIERGLATEGLDVVAYSKAREALAWLAADDGARRIDIVVTDLMMPDVSGSQFAAALAQSHPRLRERLVVLTGGAATPEEDAFLQEPGLLVLNKPITRQELATQLRQRAAGTNDGDRGR
MPTPEPQCRYETVFSIFLVLLAFLWKDNPSLVYPQILHLFSGLLLLNLGAGMSLRRWPARRALAAGIILLNCAVITLALEYSGGPRSNLWVLYLLPIYTASLWLRTREVVWITLGAVGFNLAFQAHNVVLWDDTAAFLLALKSAILTFAAFTTHGLAERERRGMERLAADREALAALERAGQSSRKRLEQARALADVGLATASVAHDLKSPTMVVLGTAQYLLENAAFPEAFHPDIKRIIRSAQLCQQLSSSVLDGAAGRAGEVQECDVRDVVDSALAVYGDMLLKHGIAVRVDSEAGLPAVMGRPYELQRVLINLLSNAKDAMPKGGSIALRARRRVGPEGTRIELHVEDSGRGIPAEMLGRLFQPFATSKGPGKGTGIGLYMSRIIVQTHGGTLTAQNMADGGCRFALSLPALAAGLALAPAPQDGARGPA
MSTALLSVTEQLDAIEQNNRILAIDDDSAVLDYYREIFDPAGGKNRDVWNALMDVVGDDEHKHNLEAPQYDLTTATSGEKAVHLAQQGLSQQSPYSVAFVDMRMPGGMDGLETAQELRALDSRIMLVIVTAYSDYQLEEIQRRLLHDVLFLNKPLSMDEAMQTVRMLNQNWKDREKNKVMKMQMVSHAKMAGLGNMAVRIGHEINQPLSYINGMLQLQKMGLEQGDELDVEEMVSEVDLALQQTVRIKEIIDSLRVFAHPDKKCREDVVLAQALEHVQRIFKGRLESQRITFRVQLEDQQMTVHANPSQLQRVLTNLISNAIDALLEKRETEGSGWKAEISLEARLKRDRHQVQIDFLDNGTGIPLALQGRMFDPFVTTKEPGKGTGLGLSEIHGMLKEHNASIEYQAVPGQEGAHFIMMFPSMSPDMLSVDEES
MSEITVPGKIIFYGGYSVLEKGNISLSIAVVDEQNKGVTAKYEKGKRRIISPQFKIDITPSLENKVLIVYPYIVTEVYLKAKGKWKNDVKVKVTNSPIFGNKDEKTGLGSSAAATVSVVKALFEANGLNSEENIDTIHKLSQFSYALFSQKVGSGFDIATSSFGKTITYHRYNPQEIVLPPTNNAELLKAIIQSVEKPWGWIKAETFAFPSKYNVLFFNIKGAKTSTIGSVKAVIQFKAKNPAKYAEIINMQKEAEEKAIDALSKMNDTDIRKYTHEARDAHKLLQKETASLVPDFDPIEPEPLTRIIDESEKIAGVIAGRCPGAGGWDGLAFIVNKDFKDAKKIIEIGKKEGLKLEHILLKVL
MEADDTSIFDKEFIRETWIRRRQLMPVALRMYVWFLLIITPVSLAARGWAHYKYSSFPNLTAVDWVELTLGAAGICLSIAHFLAALFLLLEKKWAVRFAILPTVATILLSGYSMVQMNLSGVVALVLIMNIVFLLLDLFFLLMLLRIRKDWETKALSRKGI
MHLSALGAGAAGSLNGLLASPVHTLKKEEITPSVMAAARGTSMSLALGQQQVSGLARAATLEQLREKLETGEGPERKMARLAEEQQRLMQQAFQHNLLVMASQVPMNLRLGNSTTARDEKQQDMALSISTNGSASISVSVEVNGTLYSGVCV
MSFDKVQRRALWTQKMVGHMNFFWFGPLMVLFLRFVLAYRCSNRRKVRRRIRELINQHPKRPLLICANHLTMIDSLLLTWLLFDFRLLIQRFTYFPWNVPELANFGKNVVMRGLCYLGKCVYLERQGSVASKRLVWEKISYLNRFGETLCVFPEGGRSRTGNVNRESAMYGVGQLVQSNPRTLVLAVYLRGKKQSTYGLWPRWGDKIWIDWKLVECKIVEGRKAQRDITMQIFDSLENLESRYHAAWQ
MKFNKKFFLVVFVFLIIMGFVIFEKFKNRTIEDVAIIIGSVDNIENIKFEKISESLIQDNYSLNTKYDTDDYFINNLVNAYGKVPLINSDISINVNALYDLEDLFKDLNNHNYDNIIVRRGYLSCSNENDCVTDHRTGYDIDVYIKDREWEDFEGHKEAKYFINNAYRYGFVLRYTKKDNYQPWHFRYVGKVFSYLIHELEYDIDDFVNNLEVNTIYKFNDEETYFYKVKTDNIYIPKNIKYEISSTNSGEYVISYSLKTLTKLSETSDEFDFKLNKLSNENINYNLILVNEDKENKFLSDNIVIFEENKKLDKTVLESYSRMKEQANKLDNHILFSTSAYRSYEEQKEIFEEENSGIANKPGHSEHETGLALDLATDEKKVTYFYGTYIGKWVTDNAHEYGFILRYLDNKENITKISYEPWHFRFVEEMHATYMYEHYLTLEEYLNLFDLNKKYIMNYDNKNYLIYKYEVNDGNILKLENSVVYYLYDNCYLVITEM
MKLVLILGLICVVQCGVIDDITVNYEGGLPPNYPGQTEVMNHIYVENTNNENESKMIFSLMIDLVSVWTDPQLAYSDTISTKSSINFSDDISKVWKPQIQITNSVRDEDIMRETMTVFKNGTVIYAKRYNLELRCDFDFSTETKEYDCKYIFYPLTYSQAYLKLSLKNYTTNGELKEVSVRIYDQSEDFSGIQLRISPDKKINYYTYTIVVPSILFTLMSYLGFWIDSKSVPARAYLGSLAILVNINALFLLPVVSSVNWMGNFLLGCLMFGVLTMIEVNFSPLNHLVLHLELQHMNCKQSQHKNRGTYCLNINNEDLEPEHDIKVLDQIRKSKNKNPQEKDFELEPSKFDDANHDFSFGKQEQKSEENKEPKDDSDNASHDISPRRDTGNKPMINIGRLTISKESKNPLPPIDEMSLAAENNPEILKKVSIQKANSEQKVTKGLRKEEDFKTPVKPRPKNTVNFDIIPEREGEGEGEGELEAEGEGEQSLNDISMGRALSHTIQKNKINSVRLDRRDTDRSESPVKQDFLPISNTSMKLQSPIQYLEGRVEESKNNMHAEGSTGHKPPIIEKRRKSMIGQMTLQPGKHKDTIAILKKITMRARKNLNSPNKSKDKITKNKDAGGIHKSFLRLKTQIKKNNGAIIKKLKTETKKKIEEIIKIETSTSYRLASHIMNRLDIFCRFWFPIVYMIWFNITIFTYGYNYILFSILSVLIVCVIGGYFFMKIKDLKKKRGLTTCQSIKYYMRCRCLRLDKTLKLT
LHIQVPSLEHDGRVTGESLDLIKYIDTNFQGPALLPQDPAKRQFADELIAYADAFTKALYSPLISQVAMSDEAVAALDKIEAALSKFSDGPFFLGQFSLVDIAYVTILERVQIYYSNLRNYEIAKDRPNLERYTEEMNKIEAYKQTKNVPLALLDAAKRHLKANTTFLQVLFFIPFGLYYRY
MNQVEEDESFIPALEDEAIEVESFLVEPKNDHVPVTDALCFDKESLEKCMEIEGGLDSNTTREGEDGLKIGVFDITSHEVDKVGNLQAASGLSCTCEDYLLDIEFAESVPRLDYDSSEGLHLGISGSESLIPWFSRSDDGTFGISELSTAFIPVPECQNDLPNQTICELHHVFSSICGCVTPVKDEFWLKSPSSFELQKVDNSDNVSNLLERSRSFNEIGKDMIFPPGLTSGRISCTSKIVQNNEVSLVGQSITRESLAGHDVSKKSSAEASNSDALLTQKRLRKPPRRYIEESSYLNSRCCRRRQEMSTSTSKDKFLRVRSLKHQHMGSRAMTLVPEESICEASIQVPFGSPVREEHWKKHASVMGLKSDEELSPAESEDDSVGTIRYKKGGSQRKHHRLWTLSEVKKLIDGVSQYGVGRWTDIKKLLFSSSAHRTPVDLKDKWRNLLRASCLQKHNKREDEHKQKHAWRPLPTSVLHRVSELAIIYPYPRDCKSKLSRIHHVSSPTLPARSTGAHCGGRTVHRKNCI
MTKRSFKIDKDIFRENLNKYTKKAFHMLPELDNPFILDIGCGSGAPTMELARLCNGQIIGLDINQSLLDDLTKKIKEAGLSDRVRPVKCSLFELDFPDETFDIIWSEGSIAVIGFERGLKEWRRFLKPSGFLVIHDDIKNFPEKCELVSSCGYDLVGHFKLPGDVWWREYYSPLEEYITKVRTEYGNEHEALLVCDNEQQEIDMFKKNPSRYGSVFFIMQKMKKF
MNKIIITIIIIATSFVNTYAQEVLTGLSRNPILINKELANSNLKESKAVNEEIHLPMREDFSLPSFIPNPKYWASKSVFVNNSYAINPPSIGVVTFDAMDENGLVYSHMNSFPAGADTFTTNNIRLDSVFGSFQRKLKPSDSIYLSFFVQPEGKGSMPLSGDSLVLQLYDANNAQWNSVWNIDGLSLDTFRAKYDTSFLRVMIPIVDINYFTDSFRFRFYNYARVPSADKPSWRSGLHSTWNLDYIILDTNRSMDDTFFADNAIQNMPSTLLKDYQYTTWKQFNADPGNSMQAGQSVTIFNHDNLVKNVAKKFYVYDLWDKTLSFSTPTTAINVGSKLSSEYVPDYNAFTFSSSAPQYPDFKVLYHVSSNTGDPDIFKNNDTAYFYQKFYNYMAYDDGIPEAGYGLSTPNGRLAYKFTLNTADTLQSIQMYFNQTLGNANQKYFYLTVWDDNNGKPGSVIYEKSGKRPEFNSQLFKFYTYTLEQELPLSGTFYIGWRQTTKDNLNVGFDLNNNQSDKVFYNVSGVWYNSSFEGAPMIRPILGQEQEAHVGIKNNKKTKNISFNIYPNPNNTGIINIAISDNSINPINYHIDIYSLQGQKVYKGQYQSRINLQHLSKGVYIIMISNSKTNNIKKLILN
MPSNLNEALARLHSILEVLPKGWRRTAIEGLSKQLRHELIEFVEAKKRAAMLKQEQAPLGEKELDDIIAYMLEGKGHGPTAKVKLPTESEVRSICNVAKETFLAQNCLLELEAPLSIVGDVHGQYADLLRLFEYTGFPPNTNYLFLGDYVDRGKQSLEVIILLLVYKIKHPENFFMLRGNHECASITRIYGFYDDCKRRYNIKMWKLFCEVFNTLPFAALIDEKIFCVHGGLSPELTDLRQINRIARPTDVPDTGMVCDFLWADPQADLKGWAENDRGVSFTFGPDVVAGFLRQHDLDLVVRAHQVVEDGYEFFAKRQLITIFSAPNYCGEFDNAGAVMTVDETLMCSFKGTKKHQLRHVGPAAKASAVKPSVKPGSVWTSRRASAQHHRARCQIGGVLVRSSSVRCREQAEVLLQRLRAAMSEVPEALEHRLPAAAAALSKSCTVSFVVTLDARRWIGRSLESPTLHSLAETLSWRRRAAEAEAGGWPRVKALWMEWMQTTSAQPRAFQRSRRSAREVHRLVAAGDVCFSGKAAKKRARQEASDRRLARRLRSAVTTAEALLSRAMVPKRSSKSSTRTCEVSNSRKRAVVASDLTEMRMLVGNRREQRQTPGFSHVHCWGWNTGVGESMSPTALWQDFGVKRAHYSRATQKLVLTGSPSALRPGTADDLQRVRNMKGDVITEAENPGLRVEPNFLDESEEEALARELRAVVEAHGFCFEEEKISVSVVDAAGQLQEDYGKNRSRRVTGRPESRGAQKGIVAPWGYGADLDVKKLPPLIGKLVQRLQEERGYKLGPLRDCTINHRTDSFFMIHPHVDPVADGPHVFLLGILSGAVLTFTPSDAQPRNGLETESKSWTDRDLDLLIRRRSLVVFSGPARYDWKHGIRAGFQLQSPELEGKTICDFWGSMKYILPRRPERFSVVLAFADPV
MSKNAQQKAIKDHKTSVRVTLNQIGEYMLRSVPSDPYMKTVI
MDKIEDMRKRVRGGEPMASIARTVGISEPTARKYARMEDLSPEPPKRREPASEVLAPYEATIDAWLGDDCRNWRKQRHTATRVYVRLREEEGYPGSYSTVQRYVRRRREETARERDRRDAAGCLLLDWLPGECQVDFGEADFRVRGVTTRGKYLTAAFPHPDVGPAQVFWGETSECVCQGPGNVFEFAGGVPRR
MIGVEEKERIRRAYFLEHKSIRWIARNYHFSRTTVRKALGDALPPVYKRNKERVSKVLGPFKPIIYEWLEEDKTKPKKQHHTGKRIFDRLKEECGFKGAQITVYKYLEKIRPSLKDVYVPIAYEPGIEGQVDFGEAWVHVAGKLTKVHLLCMQLCYSTARFARVYPTERQEAFFDGMQEGFYYFEGVPRKVTFDNPKTLVRKLYRGH
AVAGAAGADFLCYVTPSEHIRLPSIDDVKEGVIVSKLAAHAADIAKGIKGRSWRR
MSYELLFINAIVIALMAMHVYVYGREREIGENSTKFDISATAVMFFVVLMAMYVYEKAKGMWENSAKRKQIDIPAFSASMTNAKVLGTTEIVQFDKVWTNNGNDYDPNTGIFKSPINGVYQFSFTNENRLVGVYPGNGYNEGTANMVLNLQKGDRVYVKCGGGDHGYIHTANGYWY
MKKVDLEKRIAELAAENNATWVYAGGTNHDKFKLNGTVIMIPRHKEIGEILAAKILKDCKKALG
MGSHFSLDTERRHTVRYQFPVRVDISVESGTEQKYAGVRVNDISAAGMLLTLSTAPDLRAICAIRAGAGLRCGGEVVRLKSRKCGIEIGFRFLEVSAKTLDSIQSRRGPLQFWTLESELAGCSTLVKDCRFRRVHEYVTRNYSRRISLSSVARATHIEPEWLSSVFHECLGVQFRAWVRLIRISEAMLIFSGADRQVAEIAEQVGFESLKTFERAFRYWTGLNPSQFKKLCLP
VFVNVGMVTGILPVVGVPLPFMSYVGMALFTMGIAFGIMMSISRHRSVKS
MLKSNMMKLWNYFHWNELRIFT
MRICRFEEGAGHLCCDDVPPAAGCKTKPADEVGQGRASSLATHAAATLVYMGDGRFCVIESRVPEEDDDSNPHLRVLMMTFFGLKYVRIQKGFGLDALYE
AARMQISVGLAVTLLSVTVCLGSRPNVANGWRLSRRNRNWLRDSTPRKRAYSIYQKDKVMECCPSVVSVTQPVGGRSESGFLYDLYRDTNSTQKFYETLCRKDTLGKPCNFIKDSLVSYSRCVQKYTLTYALVRKHGTDDSWRLDYIRVGSGCSCEIHRPRVSDRRL
MICSSLEGLMNKRMSLRDMEHLLHKESGSNGHAVECCPSTVDMVEPVGGRNRRNMFVQLFRNGDITQRFFEYSCRADVLDRPCKFIDWRLSNRSKCVQKFAYVYAIVNTTSEQDYNNEGYDPFDTRTEWTLDYIRVRSGCSCEIMPKPKRKKSISNKTRRAKSKSRQPREQELDVEM
MGVIGIQLVVTMVMTSVMQKIIPHYSLARWLLCNGSLRWYQHPTEEELRILAGKQQKGRSKKDRKYNGHIESKPLTIPKDIDLHLETKSVTEMDTLGALLQNDVGIFLDCTLAEVNQNI
MYGLRFAMCGFQFEARVLHAAFAWRVFLRPRLFFAHSGRAARFAGNSFLNGMRKACDAAGKVRLISGQFKSNFGLWKLTGDFRPFRFASLKNRLRFGVRFSLLKRLFRLNCRGNFPRFFPAATPV
EIAEQLVRRSFCPSESSVWSSYWCGDSNPRLQAETWLQSSCQSSKDNQYYTSH
MLNLYFILPYLTTYIFMLEFCQVYFLFLWKVVFSLAILLPLYLNFNLYIESFSLHFSISTLTLYCTVFILTTGTV
MNRVDSEEKDFGYIIDYQDLFGAVKSAIEDYTNGAFDGYDDDDIEGLLSDRLTEGRKALEESLQAVYTMCEVIHPQTREGYFAYFVYAETTPVEDQQKECEENANKRETFYKLVSRLVRRYIDIANEMEQAGYTTEEAADIKKQVDYFNDIKDEIKLKSGDALDLKYYDPAMRQLIDNYVRAEDSEKLVDLADISFLDLIDTQGDKAIDSLPKKIKQNERSVAEILAANMRKMIISERPNNPAYFDKMSDLLNHLLQEQKDGKIQYKELIKKLIEKLKEARSTIKTKYPATIDTKGKQALYDNLGKDETLALRVHETIKANARDGFRDMDSSGLKKMKALRRAVERVLQGVEVNIDDIMQLIVAQREY
MTCYFLIFYQILDQAQSKIIYEDLFNSVQDTWYAYRNLDCKNKEGISYFQSEQCQDYKILLINQCLSYEYHHLIFKTIELQPHYQLTLQLTFLREKPSVDPEFLVYIDDRIVLQRSYTDYIHSNDFCTLNTRNYELYPIIITIDHSSSSVTISMIAQKGFWGITKFEISIKECSIGCDSCNSNRCFNQELFFNQFTSLDFSFMDIEKTWQTKDITNIQIDECLGFKYLVSNGDHLIKYFDLDYHHAICFELKLLIFNSQSTYIHIFIDDVFVSYVKAT
MSSTLSLGGHLPLDSQRAGLMMSRGIGRHINRTADFFVLLFVREGVLHIEELGTAFEVQAGQSLLLWPGRRHGGTKDYDENLQFYWLHFNLTDIGEDHPALSIPQYTNVTRPDFVTELFRRYLDDQETGHLQPLGAALYSWMILMEISDTRPPLDTGAATSLAGRAYAFVRTHFHEKITASDVAFALGYNSQYLGRVFQRTYNQSLAEAIRRARISHAKSLLLHTNHNVGEIARLSGFEDTTYFQRVFKQLEGMTPLRFRRLHARMMINNS
MIRNYLPDDYAKVMEVFRLNVPQFFASKEEADLTDYLKEHGESYYVIEVKGEIIGAGGHHYPLPGVGRLSWDFFRPEVQGKGWGRKLINHSLDEIRSKKHVKRLEVWTSQQSYQFYAKFGFNVHRVEKDFWAKGFDLYHMEMIADKIQRPAFF
MERLVEVVRSWLDWQAQCLLKLAAAEEQFERLEERGFDFVFWVHLEAAANDDHNRVGNPIGRLSIETERLG
MRSYRRMRNRAEVTTDLNVTAFMNLMVVLVPFLLIMAVFSRITIHELNLPGAGGEEQAEKPRLQLEVIVRADRLILGDRASGELVTLSRKEEGHDLKQLSEWLQRVKVKFPDEVGASILAEPAIQYDDLIQVMDTVRAYEVQENGERIKAELFPEIALGDAPIVAKKKGS
MSYDPTQYVLLTPMWEVFTDKDTLTFLRGGYAHFYCDNDRAQYKEVYQLNGTGGPTPSYSYATYGFLTGGGNWRVNLNMQGAFDQVVYGFPFDENGNPQLYYIEFFNADGVPQFSRQAWPNLDTGSSAGTAVTNNLVPNPQFYSHQNIPNPMTTSSTVAGQITQTETFLAYGGWYFEKNNTSATDIVTFNRIATYTAPPPTGNARYNIRVQCTTPGSSENFKYLAIRYNDVNKFANAPGDLSEKYTFAFTGFAASATQVSIAVVQNYGTGGSATRVTQFGPITIQNSYPSTMYTQSIYFPSNDGKTIGTNDDDYVEVAIALPTNASFDFTFQNFILAFGLISNPLFFELPDSYYLYQSLFAAGTPGNPYSTPYYNNANLGLPLILGRYGITYDNSSISSVEYFSSYNPNDWTDGVHNSTNLLLANGATYETSAVSSFGIPYSRLQAKYWNDNINMPIYGTGSSYLTIYQYPSASNLIRITQNAPGAVTAPTDGTPATGFSFENIHAGVSTYNVTAFYYGSEATNLVKVLNNQIGVATPPTAGTSGFTVGITRPGHQIGTPLVQQIIDISTIAATTLAGKYFQFSSTTTLYYVWFTVAGVGSDPAPGGTGIQINLQASNSAADVAQIISEALNGYNQNLIGTVAGSAVPAGSYFNLNTATDNFYVWFTVNGAGTDPAPLGRTAIPVAILSTDTNSEVAAKIQIANNSKYFAVPNYYEMFQRMWVPNGESFIFDTSTSRSSNNSSWFGNNIGTTQFDEIYAHNHTVDIDANYEVYQYELPYSGTGRGNGDDTLPSLTESTVTQSLNVNGDTGVYGGIESRPYNTYLLAAIRY
MHFALPESAEKVLEILEASGALSSRELSLKSRMPERTIRYALRILKEKGFVEEIYLLGDTRKRRYKLKAARDALCFV
MKSGPPLLLPFGCVLFFAACSGSQPAVAPVVIATLNPATAGSSGGAAFGASGAATSAATATAATVTTAARLTTLERLRCVGQWRDTEAGSAGAFSARVESGAGGGVVTFEVGGSVFGGSGGVFQAAFRQSGDLLVLNQRSEFLGQVQAAIDAVGNATATMIAPPALGSAAKVTLADASYSPSGVLRFGLNIDAGGGRAVTRTTVEAACAKQ
MKNKNAQAAALAAGISVLIAGCINEFFLNTFLKGTWLFITQIIVVLIAAFLAGYTAEHLDNKDHQEK
MKNLPEPLLDRISKFFDRLSKIKTSTFVLASSLMFLVLFISFYTVISTISNSVREKWANFHPNYSVSIDDARILQTQKEKQDAVARYIAGKPVSQHDTWWISPRSHFAPIRRDLIKQYADEEVLSREQARQLLEISRDFHYARAPLHDTVHP
MTATASPPRTRRNALRWAAGLLTLICAFGAVPTAASAEVIGTGAVSIKGPGSELIPGVDVEIRKDDCSGAAVWRTTTTNRPDAYGAFGIGLAPGSYCVLTQAAPAPYSITAPVIFTMEARAGNWVTVWLPGPPPVVSGALVAKDITGGGVNGVTALIEQGTCASPAAAVWENTTATSRWSTGGFGISLETGTYCARATDVPFGFDAPAPVDFTVSTPGPIWVTLWLPDTVWSGTGTSEDIPVDFVGGSKIVEFSCPECSPEETTGFISNDEACCARFGVGREHTYVIGKGGVFAPHLKTLRIDADAAWTLKFLEMSRARVAGATTSGEGMDILVFPEGPSSVTLSFHGSGEHALWIVEPSLKAEDTSLKAEDIGLGSGDFWGQVTIPSPSVVHVYTTGTWQIDRQQ
MSLQHDTGREKNLSLADNTRVLLLLCPSPPLPNDAGLLNSWRVVARWKSGGIGGGSVDGYILGFGPRLTDFRLSLRGWGEGVGGRNGGGDGSEKRRKRGKGKETGGNEEEEETGR
MSPKTLSSSSIGLPSAVPVAIATRSLASVVRASRQPSFTAPTTISSGTNTLSRKTSLNSESPVISRSGRISIPGVVMSTRK
MSLIRSEHLNDQAVRSAKDAVLSAEHTLEEARAHLEKRERAQYHEEQIWSDTIRRNSTWVTIGLMGVNILLLLSQLVAIEPWRRRRLVREIKNALDERTMTATVPVTAVAADLPNTPVPAYATKDTTEIAEPAKAASPDPVEKEIDEIVEPAGVPLEQVEPAETPITVSADLTGPEPTIPLPEAIMPDARPYSKKRPNPTTPQEKVSAFIEDCNLYLHDLFSERAISVRKVDITSAALQGAAAGAAIMGVVVMTWLRANGGN
METNAQNADVKVYYMKSAMSGRQLYNAGVAYGGTIVYGVQPGRSLVTFSVQGHGQFTVDMIATNVLNRVGTVLNGIFGHLLPMQPWVQGGPVDNFRVRTGNVEQWATSGDEAITFPTDMITNMWGQATPGKTLVTVATTINLNNVETQSLMTSFGSNNIQQVVEKYLYSILMHEFLHGFGLTHNSTPDMPQTPVYQFNLNFTDHNQIMHRVQNVYDGIDYLEDLRNSLGRPVQEADILPSTGEMHTLMNLLNNCVPPLEAAISAKDQCYTDVTKSGVTNYINPALPPTYISIN
MKTKQFVVIYMYTTIFSFLSAVAILCLIDWYHNVYAAFHLNKQVHEEQANEEVRMLWLVYELVCDLIRRVDRGYGLILLLLLQSFFLHFIMTPYYMLNISEIPSGLSSIGQQVAWFHFHAASVILIVEPCHRVQQEMAKTRSLVSKLMCQTRSYDSLSRSLAAFFKSLSLEQPLIAPLGMSVLERPLLITILGVVSTYVVPRHYFSFPNSTENIFHGTNTSRVANNL
MNEQERVKVVLQMPRGRFEQIFAPSARERLQGLAELIGPGDSVQHADTLAERIAEADVLFVQSRVALDRAALDAAPRLRWIAQTSGSPPLVDYRAVFQRGIAVTDCRNAFHRSVAEMALALYLAVSRGVVAHDRALHTPDQAEGQAKQYNQEASFRTLGFVGFGGIAQMLVRFLTPFEPRLLAYDPYVSASTMAAAGAEAVSLPEVFRQSDSVFIVAMPNPQSQGLVGAAELDLLRPESILLVMSRSSFVDEAALIERLEAGRFRAAMDVFDREPLPAGHPYRNLPNVVLTPHRAGGTREAYWRIGQSLVDDLERFVAGQPPIHCVVVDEATVRRLGRYGDT
MRTLNYLSKLSLVAFLFLGLNAFAQDNSKSITSNISSASDLSKFYGLLETTQAVDLLNDGNAYTVFAPVNDAFEGLKKEKYVNMPSGNTKLRAMATYHILEGNWTLEKIQNEIKEKGGRTMVKTVEGTPLTISNDSSGNLIIVDHLGRGNVVMATENQSSNGLVYKTKSILLPIR
MDRRSRTGARAQHHLREIFHAANRAFGTHDQRVFTRIQTPRTVVAVVAPDGSHHVREAQAAGGKAGTIRHHGKALGLAAQHVDVGHPG
MVEGVLGDVGDAEIRVLPDSAGRGFELAGEQLDGSRLAGAVGPDDGDTGGERALEGDVRQLGLGGAGVLEGDIAHLEDSLLLGLDALEEAGFREGEGDLGGAELIVRLGLGDLLNELGEITLVTTELE
MIASRLIADSFISIAGAGEDYLTLIQRQLSIQPPYVKSMSDPVIEKLKNKELVDSVPPLLNSLFNKTVQKYLIDASSYDPKQEISKLDIPVLIVQGSNDIQIEIKDAQLLHNAAKKSRIEIIQGMNHVFRQAPENRLLNMQTYGNPELPIDDSLVNLIVDFLMKIKIIIYSF
MMHIRISLFAFLLLCSSAFAQKSPIKERNWRQATGQGRLFGTLAVPREGKANTLCIIVPGSGPTNRNGNSGVFVYANSYKMLSDSLVMNGYAVLRYDKRGVGESVYAFTNETELTFPLYCEDLGQVIRGQRRDGHFSKIVLIGHSEGSTVSLMAALKDTVDGFVSLCGPGRSADSLILTQLRTQPLKVREEAEMIIRTINNGESMPRVSESLQSLFRPSIQPYLRSWFAVKPYVEIAKLSCPVLIVQGNTDIQVAVSEGERLKAARPSAELITIDQMNHVLKTAPEDGPRNIRTYGDPYMPLNKGLMPALLPFLKNISSK
MPPQLQVIFRPSVQPYLISLFRQDPAAAFAKLHMPALIIQGSNDIQVGVDDARLLKAAKPDAELALIDGMNHVMRIVPNDVKRQLASYKDPQLPLAAELGSRILRFIDGLRSS
AIALLKKEGFKEEDVGFDDFVNDAIAVVNYFKDKDEFSKIIVAGHSQGSLVGMLASKQKVDAFISIAGAGRPIDEILIEQVVKQSPIFEEDLKKTFAILRSGKVDENFNPLLTSIFRKSLQPFWISWMKYNPKEEIKKLNFPILIINGTRDIQVSVSDAEMLHKATERSELLIIEDMNHVFKEVKTDDISDNIATYSNAELAIKKELVNNIAKFVTQIE
MSPRLHVDTEELHRPAGHHRPVAAEASTVPARFPEAVDPQSLSARSRLIGRSTARSAPGHQAWRGPDGLRLSPRASAAVEAFSALTAAGEPTLTAAVREVARAGSAELTGLAHRLKDGESIRRKLATQQANTGRALPALLHRTEDAVRYTMVLADESYAAGVARVTALFEQRGYHRLSLNDTWRSQRYRGINTTWADPATGIAFEVQFHTPATWRVTRDTHPLYEEFRRIGTPAQRRAELSRRIGAAYRAVPMPAGVDPVGVDSVETAPVPGDGRAAGRHSRLA
MNLDALFQQIQLTEKQAGEKRRRIQQAKCDINRCHEKINQLKEELNTAKIKLETKVQQLSEKMFFLEILKKREDSLEKQKAELINQKSILLKNFVYVKRKITEEEDSFTREITDFNNEYGLTSNRDLLIKKKVKTEINDLENEAALLKNEMESMEHKNVQLNALQLQKNELKQKLFTLQSELKDLEKLIKEAEGTTKDLEAEKVQVTEKPQTNPECLR
MVMGHRGFGMGSSRPCRNLVSPRCKPSFLPSINGGPERIERERERERTEVEEEDEEEEDEEEEDRE
MRSSRETLLRLHRFRTEEKRRQVADIEAMIADLMRKYDDLDAQMKIEEQRTGVNDPAHFNYSMAAKSTRGRRDNILKTVGDLKDQQANAQGLLQEEESELRKLELLAEKELDHRPTTRGAPTGFAARQAMS
MINFFLIIIWKMGIRYIWLLDQMRLKLNLGLFLEIILTVATKGLMLPPMHLPIGLDKFHIVLFLELLIFLIKEKGWAWCLILVVGAVLQSLGAGILPPVGSNNIPSVATNQAAPSNGTGQSQDATGRAQQIHQTQTPITLVNQLPFQVQLTSPTVLQPNMVIPDSLRTLSYYINRMELILQNSGSQSSGPSNTQNILRSDNTSLHWNRMPTPDVLGSVIDQARVLLTGHTATALSRMAERLRGEITSDPLVRSQIQTEAMHMGLVMQHLGAMLLELGRTTMMLRMGTPDGSFVNSGPAVYISSTGPNPIMPSPPQLNSVFTGSLPLVSGPNILNAGDILRNVNVHVLSGTSSAPGISSSGSREDSRDLNHSGQQNVGQASQTGHASAPVGNAAPLRGMAARTAVAAIPARYSEGNAGHVFSVAIPVHAGSQTSNPTLSASSQHSHPSMVSGSQPTASVTVPLLSSGSGSVPRVVAQENAHTDHASASTAQNQTSGCSPTQSISNTVSQQLVTEGSSSWNTCENVMSDRPDNNSDSANKNIETLVPDISTEDGKQKLLVTDGMRGPATLKPVNICLTTMEKPPEDKLEANAESSKAAVTDRTTPLGLGLRGLQPKKRSKVAKPVDKDGKPHDSSSASQNSESISRGQQLLRSIVSQGSDGNSTMNSLDSMPFGGQGSSEQIDVSDMVSQVLRGPSFNNILTNLAETGLGSTAALRNMMEQCTQSPAMMNTLNNMAQQVQDQDLGSMLMGSGGSQGGIDFSSMIRQMLPVVSQIIGRVPSQSPSVSVPESEPRSQSNSMIRQNKMQESKFQYSQIDLHEAQQRIEQNDSPGSIFRAMVESAGSLNGENDYEGLQELGDDVELSIEYSEILRRHIQQRLDKESKSKEKL
MTVTTTAEVPLNANRAAHLHPVQFEIVVVPGIIRSLNQCHTLRGCESLNDGVAPENRSKHVPIEKRRPQENYKTVKGTQVFS
MKKANILIVEDELLIAKNISLILKNEGYETIYGVTDYDAALNILESTEFDLVLIDIQLNGILDGVDLGEYLLKKNSIPFIYITSNTDAITVNRVKETRPHGFIVKPFKPVDVVTTVEIVLNNFAHVNIDSFRYKIEKIEDDVPFILKKVVSYINENITSKITIDELASLTRWTPQHFNLLFKKYTNQTPYQYILIKKIEKAKAEIIEDDLSLLDVSLGLGFKSYSNFCNAFQKITGQTPEHFKKEQNIKKLSK
MDAIKILVVEDEFIIARNLQAILEDLGYEPYEPVGTKKEAIHALQELEIDLAILDINLAGNQEGIEIGRYINDKIRIPFIYLTSNSDKSTIADAKETHPRAYLIKPFNEDDIYAAIEMALAYSSEPNVAQIQKDALSAVSMPTLRDSIFVKVGSKHIKVKVEDITYAAADGKMVQINTVQGQKLPVKMSLESLQELLKDQGIIRIQRGFIIQSKYISAINGEFVYILETPIPIGRQYKEDLMNQIRTIN
MLIMFKNGINENPTPQFQDINQTRNLYQHQFPWGSDQEEDYLLIYDPYKGMHSPFNYIKTENTYPSANN
MPTVYIKRTIRKFYADDDGDVVYAMYEDVDSYVHFYYLNQPGTRDKMIEPIFFKEYKQIEEPISIEY
MKKSSTVHAFRNEIFKEQKLTIGLDLGMCVAAFGGQSYPTATVSRYNRALNRFGSRPANSF
MAKASKVIQSFNAGELSPLMDARSNQTKYDAGCRTLENFFPLIYGGAKRRPGTEFIARQHTAGSKARCISFERSVTNTYTLVFENQRIRVFKGDADATTLGDRIYETAIDIDGVTLPSGTPVSISTDGSHGYEDGDTVKFQDVDGTIELNGNEFVITRVDTDDFTLDGTDGDDFTAWGTTAGTVKKVLEIHSPYLTADLRDLKIEHSADVMYITHKDYEQRKLSRTSDTAWTLEAIDLGTGPFTDQNTDTAKTIAVAEISNGGIAVGASVTLTAAGTNNIPFNNSTTAGHLPNESTTAIS
MPCFTLRMATCGKKRRKKKKKQGKNTDGEKLAGDEDGAYVRYKLPPGEEQTTVAEVGGQMPNGHAGSHRSIVEEKKAQAVVELYKKDGCTLGLTVSGGIDKGCKPFISNLRAGGIAHRSDALEVGDRILSVNGIRTLNLKHDEVINLMKNAGQKVTLEVEYEVPQSPGEVSPCVCARTVELKLFKEDNSFGFTLRGGTCNDRMKSRP
LAAAGVRRGARAYQGYGYGLWIGGLALDQGFKAMDCVNFPLGPGRGDLAARWMRDHAYEVASMSPLWLMSLAQAARAQGINPKTDWALRTAILGGQSVSAEFRAQLEAEMPEGFVSHNIYGTTEAGGPILAISTPYTHADDELHLINEDTVLTEILDPVTLKPVSEGEVGEIVITTLTKEASPVIRWRTHDLVRLSSHPYDCPSGRRGMRKIGRIIGRSDDMIKFKGVIVFPSQIEDVITGVAGVVKEAWQIYIDKECMTIGTMTVAIEASAQAGRPAEQLSNEVGREIHARLGMKVCVECHPEGTLPRYEAKAVRRGHGLLAGGRVAGADPRQLRRLPGRGGAARR
MTVLLFALVALLVVPAILPLGRASQMMVELLFTVTAVAAALEIGTSKAFLVLGASVAAAAVVAHVATWIWPGSRAGLVAAQFLVVVLFSLLIWRVGQDVVHARVVTADVLRGAVAIYLLLGLSWSFLYRMTDTLDPHAFSMPAVTVSVDAPTAGRNLRRNETFLYFSFVTLTTLGYGDVTPRSRPARTLAWLEAMVGQLYIAVTVAGLVALRISHRRDEEDD
MKTTILLLVLSPLYVFANDCSQVVSQLRQMKAAQMAVQTSLIKNHDMVADSMDSYADALKESSGRAHKTVANSMLTASTSLRKRGEKGQELAEKLAEQTDLIIKSVENCLK
MNFPQIKNFALILMVLTTFTASCWSKDDVSKPFVRPAEWAQPLVTQELENCFQLDEGVYRCGQPDKKGFDELWRLGVREVLNLREFHSDDDEAEKFAFNLHRIKMNAATVSEAQLLQALKVITQRKQPIMWHCWHGSDRTGAVAAAYRIVVQGWSKEKAIEEMLLGSYGFHKIYDNLPQLLANLDVQKMRKQLKLNDEQKKNP
MSVIISNKFVHYEVVLKLKSVINLFIIDHGWIRALINNNFYRINEGVYRSGQPSPGLLNHYIEKNKIKNIINLRYSDTSEKDIYLMQKNICDKHNIKMINIPISARRLPEKSKLKLLLKSIKQIQKPFLVHCKTGADRTGFFMALYIFYNTNDIELAKKQLSLKYLHIKYSSTGILDYFFNLIENLKLNNTDLEEWINKNYDPELITSNYNSSK
MANLNPVKALKAWEKSLHQKYNTDFSTPENRRKAQFYIDWLDHAVLRRRWTNEEEIVPGVWRSNHPTEERFREISKRGISTILNLRGTPQRPIYVQEVMLCQELGLKLIDVPMSARAAPRKDTLANLIDVFRKIERPFLMHCKSGADRTGLASAIYLMEIEGKSLSDASKMLSPRYLHFRQSKTGVLDRVLEAYAPFEGKKSFEEWARDDYEPQQVA
MGSEEALNRGVQVMGINFSIRLFLNYMMANLLTFPLYTYQLRFCLAIRPYAKRVFSPFSKTKRTTSTIPKAPSPSS
MRGSVALDCRSTSSPLSSTPEHSLNEYNATIAKPTASRIPLTGFRLLNFGLIIGIGTAKAILAAQGHSTAPSVLEWVLGVICATGLYWLGLWESVEPPVLHWLLHEDYTPEVLLLARFPISAVKGVVLPVGSLLLTVVPLWLVFNPATDVPTNWPVLRFFDVILNSAMVSTFNWSYTDDCLLVSLGRIVLSLSKRSGLRVSTRLKSLALQAIETVLPPDRFTSVTPFSVTLLIDYLAVFVVGISAVASLHMAMRHWPLFGMMELCAGSALTAFMLYTLSDKRRFTKRLGDWFLLLILLFHGIVVMISSL
MTIRRYTGLLLATAVVAALTGGPARAQVVDPAEGDPQAASRERFDGTADAAAADIVVTGRGSSANGVTNTTPGGRLMSVQTGTKLRNTVNPTMKPLANPHLTALSPGAIDQDIPHIVNRYRVPTFPWVADLGYASRPDRSARIGRA
MSGRGSVGGGAAKTCESTFSSSYKEAPRWLVLVLVHQQKLISRRWRVSGDWAGIIGLQAIREGGNLQALAEYDASPGLTPHYVSVTSRGSWDASPLPAIEFESREEYLDQEDLDPMWEKCTSEEVPEMGNNDDVESVSYPEVDQCWSGRHIESVWDPGDVNTPVKESAVGGDILQSGKGSNYAPRSPMPYCSVEAASEDCTLIAFPSKLLMEDEVKKGELEADKDKMMREYRAQLDAERASKLALGRNHSSSKSNHKRDRKEKDKDFKKRSSKKRKHSRRRSSESTSSSSSRNLQEDEVKKGELEADKDKMMREYRAQLDAERASKLALGRNHSSSKSNHKRDRKEKDKDFKKRSSKKRKHSRRRSSESTSSSSSSESSSSDDEERKIRRSKSKLKRKKKERKHRSRTKHSSSDSEEGDGPLPLSRFFGSVKS
MLRISYARTLETPFNENLVLSSQGYGNDVLSPLLLCTPGVSGTLQPGYRNEFHAGFQQAFGKNVVVSGDYIWKYTQCLRLQRPREYSHHLPHRLAQFQNSGFCAARRYT
HGLNEFSSRSHSVLTVTIDFEHQQEPGDETLYITSRGKLSFVDLAGSEKGKDSPTGIPGIVESNSINKSL
MTEKQIDGYWENKKYGEFYYFNMIHDFREYNLPPCNVKYRFTERFPSLEESEILTFGDSFFDFSRMVTFPERLGKELNAKVYYERYDLPLRSLGIKRYKKRNFQTIYL
MLTPVFGALFPFASGGIVTGPTPALIGEAGPEAVVPLTNGAIPVQLQMPQLAENSRDITVICALDYQLIEGIATETLSKRQDIVVAHFNRDYMENGVTRKTFRRR
MVHEALPSLRSAILAMEVPPIALVVDMFATEAFAVAEELMMMNERPLLIPGCESICFVDCFEPFLKRNEGDGSLCTHLSNWATGEDVEPGLRSEVLNWLSKQPKGSVIYVSIGSDGTLSAEQTIELVWGLEKSQQRFI
MPFHRKGLAYFWVLNDKCDADALLPQLDAFAADPGVMALCLHPRPGLLTPYGGAAWFDFIKRICEEADRRDLQIWLYDEDPYPSGSAGGLILNENPQYTARGIRQYTCDLETQHDQSLFCFPMAPLIWCGLVGDDPDQFVDLTERVGTLRRRWEMTEQWDSRFFYPETPLYYTPRADTLDPELAIDIPDMPDGMHLVAYVAEPCEVGEWAPWGAVVDTLNPEATQKFIGLTHEKYLASIGPMFGDRIEAIFTDEPKCMDSNAWTPGLFDLFERRFGYDGRPYLGALFSDDESDRARLMRLHYRELLGERFRTAWLEPVAAWCTEHKLKLVGHVSPEDEPVEQSAYVTNMLPIFKQFDLCGIDIIIPAVGDRRHPILSVGATCASSVAQQQNKDGVMTETGALTTGLTAAQYGRILLWQSVLGVTAPLVHCAHSSVRGPRAYEYPPNYGPNSDVWPGMAEVHQKLINVQNVTHDARQIAPVAILWTIRSFNAQKALTDFQKDETGMRVSMIQTLAGCLDRQVGTHFIDEADLWGATLTGGTLTLGKARYTHILIPMCTVLHTNTISKLKQLREAGVTIICTGDAPTQQQTDTALEPLDMNWCPQMSIDDAAASLPRLIDLAGDATDIRCTAWVGNDAPSDAQPTRLLINLNDDPCEAHFDGASQTLEPGEVYAV
MGIKGKRRLAQQPGSYMILAYRRKVLRLKSESTLIETPAPTNVLDGCYADVSLLAGLMVDKAVFCFGDGFELTLVEVNTGPKPLLFAEV
MGLLEFTGTQIRSNVCGINLTFSKIHFNALLGLENSGMVLDKYEKDTRFREDLLHRICVDMALKGKVKGLTDECRVLFKIILSSISPRVGSLKKFYPELVTCKITPEVLTGSFLTKMHLINTKVVQPAQEFSVRLEDRLYVDGYPLISELDAEHIIQDYLKDLKAEG
MKLIRFTLAALLLATLAFVLPAWAANWRLVADSNQSLANNGAKATIKDADMANNDLRVTVENATDHTLMLGRHTTNYAKDNATARADRNGAYSGAVDLTKPIYADGDDTPWTIVSVANMAFYNNKALLTAPDGILDLTTVTNIGIGAFGNVTGMTGFRLSSHLERIGASAFMSTFATESYFPQLPASLKVVETGAFCHNDQYDATLSMSLSGEIELRGVEVIQSAAFHLNPSIRSIVIGSKLVELGVQGVNVRQKAVTQGVFEACDALTSITWLGPAPTNTIPKNTFFNKTASACVTNYVYVDYIDGWTNNINASGMIVGDQVAESDPAVWPINPNNNNHKGTIWLSLLPGHPPVEGAAVFNDAPTMSLSGNVFTFRANMSEGEDCNLFAVFTATDGTAVTNSLATSVDGDPDEFYTLTPAGLTANRTYSFGVLGIKGTEATYRVGVGTFFNGEISVAAPAAFSEAGGTGSFVFSRTGTDGEVVIPFAVSGSASEFDNFLEIPRSVTIPDGASSATVPVTGVVDLANDSDTSLTLTTDTASLFLVASGAASATATIENWSSPTVAGFASKMSFRVEGYDDKRGDLANFPVLVRIPAGKVSDPAQMAFFDENDDPLHFEVDTWNASGESLVWVGLPTLAHGAKITLASGRAGYTAPNLACGLWRKAGYVLVLHCGDEGPALVGSTVQGIGGTARATDGSSGGQTGVFASGAAGAARTISSGAANAKDWGAIRVENFERYMADPIRFTVSLWFNHRSNVDVGNECIFGNRLAEKDEVAGFTARIDAANSAASPSFIVSGNSALANFGFATYLSVKDCQSANVPFTNAWAHASFSFLPGQDEATFFNIAGKRALCRAYIKDKWSGDYAESTTTFHSIDSIGAATPVFFGHGSATETANSFKGAMDEIRVRNGASSDDWAFAEYETIQNPSFIQPIPRSVMCIIY
MKSTHMGRKKESRDSGNSLSNPHSRDVLCLKVCVCVILWLQTGGEILLGSRCLQLWQPFETVFTAPFVSKSKKSTSAISVPPVKKMLMINWCPISNCHAEFKQKDL
GSVPFGNVPTTFLLRGSSPSGTSRLLFSIGVINFGYVQTTFLLRGRPLR
MNNNSNLDEIIAHRIAGIPEEEANRVRDEASSSTKALQRKVARAEAGARAAEASVTQWQNSYHNLEASLTQWQEAYRNLEGQLQEANSSHQRSRLVSLWNRFNNWIRPQDSSFAEQFKSAIKVFYSSLITSGAIAGMVIGAYFGISDIVRKPDTDSVMTYDLNWSDVNDAIRPYVLIPSNLPKNAVINCVFYDDRTNTIDARYSIPNDNNAYAITSSADEQSMDSIISHANGYFYAYFLDSAAIQKLDSRGDLRALAALVLGGWSLYQPNASGNTPINPGSTIDILYNFFKNADSIEFFAPNHNSPYEIQVDLPNNQLIYLNHLVSGAQEPVFTGIGQLLGDKP
MDNILQTLTFNFHGIEVPVEVRQSPKGKYVFFNEKTKAYKKAFRRSLKRQLLIYTEQRAKAKALLLMNCKFRNLLWENKIVLTEDNMDDDTPCVYWME
MIKIFTTSILTVLLFSFICIAQTPPAYHYTSADGLASSSVFSMLQDRDGYMWFGTLQGVSRFDGKHFKTYNSKDGLNSNSIVSIASGSEGEIYAATYENGINIIKNGKIENWLKYPSGKQFPITYLHFLENKPGKGKLFSYKNSGPYFEITKGKDGKGEAKSLNLKTVYINRFGFLSDGTILIAARDGLFFEKDGNFSRRIVTGLPREEMTSIFVNRDGSYYVGARGKIYKIVNDTVSETHTIDVGTRSQDVIEIFCDSRNNLWFSLMNNGFHLLRHNDSRTVNMGKKFNLESTHVNGFLEDREGNIWVSAFGKGVFCLNNLYATGFDESDGLSSENVNFILKDNSSRLYVATFDGLNALEDDSFKRVGIFSTLSINEYIYNIKSYDGEIFVCGVFGIKWIKRINYQGQNVHLITRTAFCKTSKGLSLFGYGNNIIFVRKGFTETMEDSVYFTLFGDTLSVNRINEIVEDTFGNVWIARGVGLCMVKDISIKGNRATATKRYFKDIPVLNCRINSIWLERDKKVWFATERGVANYDLVTGEMTAYSNLAGMDLAGSNSVVTDNKGRIWIGTMRGLFLYDHKEIIVFNRNKGLKSNEVVALFYDSNKNSLFTGTNGGVSAIDLNIMDDYPNPTYNAVITEIKSANKVLTGVNDFELERDYGDLFIRFSALNFASPGSVRYRYKLGGDWVETENDFINLLSLSPGKYKLEVQARDMNGSWGKSAEIKFSVLPGFFESTLFKVLVILFFVFTGPLILRWRLAVQKKKNISELELTERINELKHQALSAMMNPHFVFNSLNSVQYLINSNKNEEANDYIAMMAKLMRMNLETAGSGFILLAEEINRLTLYLNLEKLRLGEKFNWQINTFNGVDPARVMIPNMIIQPFVENSIWHGIIESGKNGMLNISFQFEEMTLESVEEKALVIKVTDNGIGIKQAKINKNVDHISKGIEIVEERLRLLSSKMEIPQPILFEDLATREPESQGTEIVISLPPSLYRSG
MEAFWYHSIASGLTSRVLAIYRKEPNPERFYVIGLLHDLGRLLLYLNLSQEMKEALLRYERGGFLYEAERDVLGVDHAEVGGALLKKWKLPPRLVEAVRFHHRPSEAPQYPL
MTSAIGALPRGTRASNSSCTRGRPPVMSSPTPPWWKVRMVSWVPGSPMDWAATMPTASPMSTSLPVAMERP
MLASTVPVAIVLAAVTSPAVAAESTAGEAMPIVLLSAAAASATGAPAVITDQPGVTSPLQPGTSTPTPPPDPAPTPPVYYVQPPEIRHGSGTRPAPSADQPVEPIAIEDLHLPVPVEPVAPIEPPENTIRVGQWEALRPDWLPPPPRVRGRDQRHRGRR
MNGVRVGYLEFDADLDAEVQVEIVAGHSQDAVLEPLPSLGGDRAWPVGGHPGISG
MVQYKNGQLYSRNNNGAFVYSDDVLKDGGNVLPVRFRYKKDDVARIDLLSISEAAYKFYNDLNNIQRNDGGMFNAPAANPVSNVSNGALGFFQASDDSYKEITIKP
MSKHRNSQNHIAIHR
MTELAQRYTPGEERMYAVNSGASHLHPWFLQGVEGDPATLVTSALFPLLDCADAVAANVMTYIGEDPSAMHNKTHLRRMALLRQSRGEGSGHVADWAEYAAKRMREGR
MDYPRINRRFAQACFALPPIAGSLTSIVWHGGAIWCLWLIASRREPLSRDRTMWTLTGLLYAYVAASVASALVNGLELHQAEDLVRLATPLLFPFSYSLFAIARKREIADSAAIAAAVGCAGGAAIALLQFIATDMRPEGGAGNALVFATVCCLGGITCLAGALTLRSALQEWLLAGYGAAWIGMILAGGRSVWLAAAVITAVMLIASRRRIRTLFGRHAAATVGCVLAGAILLSGVLVSRVELLVADWETLTEQNSYDTSLGVRVLLWNHAIELIRERPLLGYGIQNTKALVQQAAHDADLSVRTFTHFHNGYLTIAVQSGIFGLIPLVAVMVVAAVAALRALKNDNDAPSRFGALVLLGGVLTYAIGGTFNLILGHDILDTCLMIVLITGAWLACGTERAPGADDTALRQETGPEAQRDEPSSPASVPR
MPSRRKTTVAAVAGVLVISAALAPKTNASPPPSEMTQPASTQLIDAMKNGFPYVVDTTNRTITIATIHGAVTSYPKMSPSIAATERAATDTTPPDGTSEKSLADQISNFHVNEISSQFTKKSLESGLIDVENSYTNTAHENDFIAYMYEPSLDKIVVYADPDIANQIAAQLPSDTHQVFHHPEAQPRAA
MRTPLLRALFWLTAGILLFAGGLTIYAMRLRSLSQKLINSASEIHSTADVERQIAILRNRRGLDFWQDSSAQNGDQTYEVRIENGLLHRLRVVPPTMLGMTIAIHDGNLRYIIVTMFAGRKPSTTSGVWVQEWFGSDSVSAFHVNDNRKPWKATVEFSSAASAAQRGKAFSLNTNCFVKLGGCKSAEEILPGVWLLTSPVSSKLDRQSYP
MAKRTGQYTTSSKDVLLVRGGMSIRTLVNDEEFIRQLTLAANSASYVLTVCTGSALLAKTGLLDGWQATSKLL
MEVPASNADEISIEKAMTMRASTGPGELELVVQFNDALAVVEVSRTSVSALLNLSGAKR
MESGVRLVSIPARCFFTLSFSTPRAPSPWQSRSWQACLVRGAWLRLSQWPRRSTSLTWLPCV
MKTTGTKHGLEKALPRQLERSKQKKFENHRVKLYDNEPCDYCGLTTSFPYYQISSFVMTSRGVRFSFEMPLGISQHSCSSFRPLNIPKTGNDCSNCSYIISIHDAIHFLLDFCLFFSWSTGLTEEFSRIPLVWALVSC
MGRESIEKGGGLLNDPLLPLKRSDGSSGAVECSISSRDSAGRNENRSSFSSATTMVVVTSLVAVCGSYVFVFALWFNIDNWSNDRCHSEWENSRLPRKKMYNGHFRDNLYLRMACHSFLKGFLVAQPWKTSGRMWDGASYVVNPNIAIYFQLLVMCQMEIFVFQLPIIYT
MKYSILIAFILVNICQLNSQVLVRRFPANINPLVENFSGINCTFCVPNDEKQQMLINDNCKTSVVNYHAGSFAKPTKPSEPDLRTPLGDLLHNYFGIQSYPVSTTNRNGNFTNGGFVNDAKKIANKIAKVNVGIKTTYDSISRKIRIDVELFFSEDVADTSLLNVYINENNFKGYQLMPGGGDNFSYIHNHIFRKSLTSNWGTLISKTSKGSLVSFNFEEELDRAYKVENMEIVAFVNIKDKEILNSATVSAFNDNNAPKSSRSKSENHKLVIANNETFQYSYTIQSNLAEPTQYNFNITNSFTSAMKYVVSINNVSLNSSDSIVFGPLELKEIKVSISQGDSPGYGDMKIGCGPKIKPKPNENCDPPEDLNECVEIKLGCGNTIIIPNPAPLTDGRKNPINLQKPIYDAMNAIRCKNYCDLKASEMTNFDKYLDTTCLSRVFYLGGWGKPVITDSLVDVFETLIKAGKLHLFISGQDLALQMGGPRNQGSISTNITRNFLIDYLQANFVDNGDSTRKRVVPYLSDPYFGMLPSAELEPVYQTATALNLIPDRASANSSIGNYFLRFNNTTPAGTYGNIEKYKFVYMTVGPEMFVSDTTFLKSLVRSILNYFDDTCKTRILNIKDIGDSDNNSVSIIPTHEYIY
MIEHSGGADNNVELLKENPNIKINLLITLDARDPDKFGWTDINIPSNAKNAINYYQNTDPLDLINDRKMDFDSKANGVNMQILQQ
MAYACLSTLPLPTTANAVNYWVGNDVVGYEAICTSRQKNMSWGQRNTATGFCNYQNQSHQESQQDRNRMPLSYQTQFALNQPTPSANPAPPPPRQYAHGTSSAFSASANPDEDWTQISDLAERRRIQNRIAQRNYRKKLKRRLEDLERRAGSPEDAAKAPDEAAEEEGGSSTVVVGGRPGTGKNLSSSSSSPSSSSSSSSSSNATKARNGTAKRSNAGRRAIQQQQQQQQLQQLQQLQQPPPPPNQYSPPPQSTDMPSWYDGAIFVRDQSGTPPPSMMETAGYTAYGSPSFTPADPSIYVSPYGGGIPSPYPAMPVSSPHYMAAPAMMTTTPPSTSASTSSSTLTPPMAPMASFSDPDQGGFADSYASYHMMGGGLDAIHIPASYGNHANGYDPLNTPPLSNTLDLTNCPDNAYEYPHTPLSMPESPECY
MVGYLHPLKHWITYETLTVFKKFLMRVLCVIFCGPTLMIGADGAFHLGVPDIPLA
MTERHSGHTGRPALRSAPMTSRACTLFWSMSLNVHKLKLNSGATVTTSKNSSKLDGFQATRIIRKLPKNEQLPLVALTAYAFDDDSRYSLTRKGTCHEHRLHLLPTPPPGCRVQLPTGRRTGARWRDNVGVR
MPLHLQAGKKQTEVALQLFDQDSNADARGRYKGTGLNYATLNLRDKLVSIFLSWSVIDALGTDNQRTALDLAVIYGHIEAVNQLVDKSIGKRRSGDERIISVLSNAAFRGQAEVAETFPDKSVVVDNVAMLGVAKPNQEESMDILLKHGAGGDSGDVSGRTA
MKSTLSIITFMLLSLLVQAQTAEVPKKEFAVALSASSLTVSPGDNKTVEMSILRSKSYQKGEATLNISSRLPEGLAVTFEPAKTSDSKTEVRIAAAAGLASGTYNVILNCTLNYKAKGTSLKIVVP
MSGEKNVNTVWINMPCHYLLNSTVIKAFFAQFNSKAATRNFRSMVDITRSGSTGVRWSLSISVSLALVRSLRGSPATAGSLLFL
MEMQIHAATCGNALQRLSDKIGVWTRTGSGDSEESSQLERTPLAKKQQGAVGRTEEGAGTKGGDQLSDAGFARLPHPAPPTSKEQ
MDNDVEVYFEDESWKVKTKGSKRASQTFDTKKEAVARAKEIAENKGSKVIVHKKGE
MSAAESNHAQRVLSALNQQRAVGRFCDASLNVGDGVVFLAHRNILACFTELLQQSNVPSATEFCLQGCPSDGLELLLNFVYTGELKLDPRNLDRVQQAAASLCVPEALTRCQQFKETSKEPVPVKRKRGRPRKSASDITKYEPTIDTAAASFTTAATTTATTTRSGRVVKSSRRLVTIDESPTTENELAPPLPVEKEVGDAVVEENQNSDQLAGETEVTELQINDNVISQVSGEVEAEDDNDDVDIPEEIPEDTDEEYVPATKPASSTTSPSTATGQKCKAQSQKNKNKNGQTMEDDSKKASVQCPICFKAFKSKYYLKVHNRRHTGEKPFGCLKCGRRYYRKENLLIHEMRDCSSALVSKENHPFTLSPTLTMKNPQCFYFYNSRRTPASFVLLHLTQKKRYDCILSPTQEKCPTRYSIHLTKSIAEYVTNLK
MADLQEVQPVPVKRKADDTDQPENTDMSDGKKVKLDTDEDDEDDEEEQSIRSARRRRPTTFDTSTTTDLQAGPLPEDIIKATDDGALTLKDGEIGEAEDGRGETGPMDKLNKDDDNGDGESDDTECGVPEAKPNYAASPMVKVLVGKNKVLYHVYKEKLVSSCQFFEKCLSVGMKESHTDEIELPEERCGTFNQFVCYLYEGRVRAIEGNASLGDNIEAWLMAGKYAMTEWQNAIVNAMIRYFGSTYLEVNMVIWVSEKCEKGSLLHKLMMERLAFELVKHYGHYRQEKAKLDILMEIEGMSASDIIDLIMSERARLMAEDQSRPGAREPCRYHAHPDGNRCRSR
MPRRFGRNQKRAMRARVAALEAQLAQARSTLFAPWGEAPADLPDLEADGAVRIVSMYDTFEYGHLWARRIEVERIGGPPFRYAGRFRFRGFAVVVMGGSVGLCEDRPGTVHRMELTVVRVG
MICFPLFHHAPGILLLASLSGGAPAQALAEWEQQGRADGLARPDTPCQDFLQAMGRKPAGLEYVGCSQDDASYIKPMQAHYRVAGARAGQVEAYLHATFGMPVLRYVCCGWSNGAPYYWREGPDTVRYQIGMGVESLPHERSQWWRIEAFNVTVEVLRQSP
MASESSAVFKSVKKVRNFEPVGEIDDGMGKKWKVVVDPINLDPSYDMIDFSAPGARVANTVLMVDSCHCASESDILNCRRYFYRGKNEAER
MPTNISTPKVIQDEIAIRSYVEGLLQVTKLLPHPSKVLKNICNTIEVFETTLKQPDVAAVSRRYRDGVKKLDWDVSRVTQRGERASFIKSVCRDMNLNCIIPAALSARDFGFTILEATWEKFGSYSVITDLVEKPREWFRFNYANELLLITRNKPEGVLVNEVYPRKFIVVQHEASYKNPYGNGLLDEAYWYSKGLAANFEYHLSFLEDDGRDHWMGWVPPGSTNDYKDKVELALRQLRNAAVAVIEEGTRIEKNENKGRTSTSNSYETFKKSCRSTLNVLWLGSDLSTSVTGSGAYASSKTGMDITDDAIESGKELPENALNQAIKWMDEVNNFPGDTSEEVAFYLYKAPKSDKEQAEIDQIYGTVTGRKPSPQLLAKRGYEEGDFEDPAISQAPVQTFESGYNMQPLFNAVEGLKKKY
MKTIVWDLDDVLNELTKAWFIGFRRSHPKAKDLDYSGLKKNPPHDVLGISHDDFLRSLDDFRRSPEGKNLLPNAEIHQWLEKHGSEFQHIVLTATPAFNASQASFWVFTHFGKWIRFFGYVPSPRKDDQFPVYFSSKGDYLKWLGHGDLFVDDSKQNLQFAEGAGIKTLLFPQPWNDSPFESISPFLKELESILITK
MRETGGASVAPKKGLTLRDLIAAIDRHERNLSNIQKIDTFHLCGERVSECLERVEQALVGLSDVVKFQRILQYVLHSYHQEVKKVIDAAHGSWERFKEGMQRKYRLGDGLLTIADLEAMNKEDFTTIGVFVQEFHKRARKVHGISEEGQCTIFLGLLTASKAVELTRHGGGSTNLTCATIDRGVEVGCLDHVEQRQVRLQRQKRKERDATASGTPGVTKIVTDVLAQLGYATEPVVQRRVMMAVKVKGKEPMIEKVVQEELWEEEELVPQHLTKVQRKVRDLAQGRQGSRKVQAPQAQAAAILNVAAPSSSTGPSQVLKEVETRGITIKATEGGVEEGGEAGMAAEKEGNGMVKVRGTKVKGIKAKGTKAEGVKARGTKAKGVKARGTKDEGVKARGIKARGIREVRGEDERSGLISSTMDENIYDQFGEAIDRRLGGVRVEAQRRAATGPPPPAMFRLWQEKEEPPIGVEEVGSDEEVAQGQRGGSKTEEPIIIESDDEDEEERMKPPSVLFGKMEDLLDKMGRYQQKLAGLCEEVKGWRSNIPKVFLYDSGRPGVNVVGSCPQSGMMGRPLTPQARLAETTRSRNQAKASASQEPPRRETEPGRRKEVVEVEDDDDEEEEDERLCTEEDQRTEQRARKKGTRGEAESVIRDGPPNKKKYVVRLEEGFDVERXIDRLLKGHNDLXTLKEILXSAPRLRDELKGRLSRRLVPNVHLGMIXPKEAEWXESGTKXDWKCVACGTVDLVVKGSKCAAMVDTGAEMNIIREADAIRFGLDIYRSNCGILHGASCKAVFCGTASNVLIEVGKVKARACFFIMPDVDHGILLGRSFLSRTETVMFNKHDGTLILLLCDPACGNYKIITCRNTGPRSIRNRSNPGSFTIEELEGECRRLRAEPEAVERVEAFSLSLSDIGKVMDLVAAHEMADLDAIQSLREQVLECP
MGISTILDIIGSVFVGGLLLLILFRLNTSATGTLYNSNAEINVQQAMVSVVEVLESDFRKIGYCKDWTKIPDPSKSILYADSNSIKFLTDVDNVGVVDSLYYYMGSTSQLSSTPNPRDRLLYRVVNTDQAKSSNVGITKFTLKYFDALKNPINENPVSVPALINSIQIDVQVENTEAFDSVYTVAFWRQIRLAARNLRNR
MRKIDMLLGIALLAGLPLIVAAQEAPPAHRHGPAAEAGQAGRPSARMGRDWTRYPLIVAAPGGRGERGANLLAVKNLEAATLDVYAPDGPPERAHRRVDLTPEGAKVEPVSPKNGNYYWVSAREVKDDRISVASSATYFSNPGKAPTQMLLERRNELEIIPQPLPREHGSYRESEKWRFLLRFDGQPLANREVRMETEFGSKSTFTSGPDGLVTVLFPYDFKPEEPGKADDPHRGPRRGRFVLAAEHEADGKHYLTAFNFAYSPDAERGKSLWAGVGFLALGMGLAVPLWRRKAV
LILNLRGGAFVSNTQITMADKQKKFINEIQEGDLVRSYSITDETFQQNAVTSIVKHEADQLCQINFGKQHVVCTVNHRFYDPESKLWKSVCPHPGSGISFLKKYDYLLSEEGEKLQITEIKTFTTKQPVFIYHIQVENNHNFFANGVLAHAMQVSI
MGVILMLVFIGGIIFLLRSTMRSDKKEMPEMNVKEIERLLDEEVDFYKKIQTSERKENFKQRVIRFIQHVRFTDVGKAKHNLLDEVLIASSAIMPLFSYPDWEYKNIREILLYDDHFDGNYQTDEKNHIMGMVGDGAMNDTMILSLPALREGFERNDGSQTALHEFVHLIDKADGTVDGVPEYLIPKSLIEPWLRHIRAGILDINQQESSINPYAATNESEFFAVISEYFFEKPELLRRESY
MSTAALLFTLLLLALLAGMAWQLFFRRRPAPPLETGQRAVLQEKVRYYRRLSDEEKERFEQSVAQFLKDVAITGVEAEVTETDRLLVAASAAIPAFGFPGWRYRNLSEVLLYPDMFDDDFQVEAAQRDLIGLVGDEELNRMMILSLPALRKSFAHPEQRLHVGIHEFIHLLDKADGDTGGIPNALLPPEYAEEWLNLIRQEMEEIRRGRSDIDSYGATDEAEFLGVAAEYFFTQPGTFRYRHPKLYEMLSRMFRQEP
MLNETFRIDWIFIDNIIIILLFLLLVIVRIFKSTHRWRSSFSNKALEYFFFPLASEDVNRRFILTKKWILIKNSSLKERYTTKPVIFVLRTNYKRKLLRILTEGLSSYGFNVINVRIKTKHYLASNMRETVVINEFASLISSIIDGFKKKELIENPNYILLNHSKSNFPYKAVLSDVKNIGMILINPRVTLDYIKNFYDNIDNSHQNNQFFTIFSKKSIFISKNKNQKRFLKELYSINTSSLKIFSLDKAKRSFKYYETVLLGTIIDIVENKLLNSKM
MRSIGSIFCTLLLGLNLLFSSYTSYNVEIGNNDTNLRLIQKDTVGGILSLAESLANLPKDQWNDNQLKLIIQKIKKDHDLEIYPLDSNRVAGRIYVEINKPIDTSIIIGNILIPYKMHEILRVKDVEQYFGKKIEPCGLFRYAKNPPPIRLTLSDSTSLLLKVNSRKEIQESFVIQLEVVKGKYPDN
MSIMAYLNPYHARMEKIIIAGMCLLAVGPVLLAGILPSHYYKQSSIKNTTVAMQRIAENRKEVISLFLQNKENLLGTIVRLNSEEQLGEQAQLNRLFESLGSTSAIVDLLVLDGCGRQLSYVGPYREKIRGKNYGEAPWFHEVMLNGRHVSDVFLGH
MAEAAGAQQQSVITSCIEQMQQASEARQKAHQALVERELPEDERRLLEHKIAVENRRIRQCAQRINDALREAAARRQPIGQLPLPSTLSDPLLAQFVRSVEQSVRQLNRLVDTDDDPAIERSEAQLERQLAEARRHAESFERAIAELEHRLQELERRAQAAERLEKERKRHDKELETRLQRLE
MFDRRTVHWAGTRAIAFGAALVVLSLPLVIVGVADVLADAASITTAIDVLIHLAPVEAVHRDSWTPLSLGLLGVGIGCWSLGVGFLLQGRTEG
MELHCIFYSQKFDAGYNCYRCLITNREIPLNKELNLIGRHEGNKTNDNVTHLEFSNCRIRKIPQKLTKIFPNLKVLKIINSELREICKADLAEYKNIERLIFDFNEIEFLPGNLFEDFQNLELISFRGNELKIIEPNILDSLDGLQHVNFAENPNYSKCHSILSCKDSNAEIEDVKVQIIEKFFILNSEIVQNFVKHLKNPLEVLKKYENMSIKIEVKLKIVELRLKIFEEFSKRKIENLKNSELNLNQEIKNFVNFESKLIREINQLRNFEGKLTQEIQELKNMNSGQTFIRQQSEPTYGNSFNIPLAALRQELQSWRDLAKKLNQDVQKLRNSEAKLSLEVQNLKISLQIENLKKSDLIKETEASDMSTNSAEATDMSTNLAATQKLSRNQENEPNIEEKNKNSNLNRNPKNMLHEPAFASVTDVKCEQNDSNSNNSTSNNHHSFIIDIKKYIQEDITKDFIIKIDEQEFLVHKFLLAARSATLADILNKNPFIDSLSIANISIDSFHHILRFIYTDELPVEDEINFVDLFDAAGRLKIEDLKNFAGKRVLEKVNAANAIEIFNLSSKYEHKMLRQKSFEEIKKNFEDK
MATAGGGDADLGGGGAAAVRAARPAVLAAALAATAFAVPVAWAASWPAVVAIDLVVGAVLLVAALVRPALPTAAVLTSAAAGAVLLGHGLLVGLADPIGASTACAVILAVGLGAAVAGRRGDAVRRTVAGCGLAAAVLVVPAGAAIALIGVGAPPWWQARGALAAVALPAVALLALRRSWPELAGYASTGLAVVAVLTGLSPLTVPGAERVTVYAAVAASLVALAAFRARPVGLLPVAGLVLATVATVVALPVVLSALLTPYGPPPAPWSAFRRLACHRTRYRSA
MKNLLITRWLLLRPVLWKVMLWMLLPLALTILITSAVNETGEDFRVPVAVVVQGEEGEVTRGILNSLENSEFIRLDKFKENEQNHAIHQLEQYNYDSVFVLTEDFENKMTNNDRDNLVEAHYTDRSLFYVPVKEQLASLMQEYLGELAVYEEIYSLRDEFAPEQSISEQEIGSTIKTIRTDSNLLTQELTFQDTESSSDYDDMLNPWTGWAYLTIMLSVFMFDMINKEYHGNIGHRFKFTTISHKSYLLYSLMMFTAVMLLIDLTTYFIINSMFGVDVSLFALISFRMFCSLLGFLLAVSAGSPFGLYLSGLMVTVVLLALHIVMPLAGGQAVRWAYEYLHPAVSLMTGTMNLMLPVLLLIIVVWKGRGALVES
MGKMADLFEILIAEKANKPLQGERPTGKKRTAAEREDDAAGGDESESETSSFRSRGKRQRREQSPDAISIHAGESEDDLAQLLGSSEKERENEPDTEAEHLLNDLAKRLSDDESTGPNISQKLADIALKRWGKQLNPEKLKSILEKYTRPENCPGMTCKKVNPEIWQLLGSKSKRTDIQLYNLQQSVLKATFAALQTTNMLMETPDRDNSQLLASLVDTVAILAHTHTNLSLVRKEQIKPALKQEYSAICSLEDQPNSKLLFGNDLAKNLKDAKEASSLSSSMKSYPPKQYNYSANKKPALKNKQDFWQGQRKNNQKKKPWRGDERRSDRTSQTNPKL
MIKWGLVLALIMMITGTINTISAKFADVSASYGADKCESFRRTFYHPFFQAILMFIGESGCLVVYHLTVVVAKRKGEEPQVAGEGFKPYFLLVPALCDLTATSMLYLGLSLTYASVAQMMRGAVIIFTGLLARVALKQRFERHNVLGMFIIVIGLIYVGLSQTLHFRLLSREELRSSVELLAKLTAHPLSPDDLDNLVDTGHQQGRGN
MTASGGTGAAGSQWADIVDRHLRGLLAAEGSGPADGPGGSSVFEVTMPDEAPWVR
MRGLDLPSLQSGGAEILTFYPGGLSKTAVAVSLEPGLTVQAITGRLDKALSPEGIRVTLSAQGELELSVPELAFVKQLLTS
MVVMTATTTVMEVAEVRNIMVVITATTVMEVAEVRNIMVVMAATRTVTEVAEVRNIMVIMEATTTVMEVAEVRNIMVVMAGTTTVMEVAEVRNIMVVMAATTTVMEVAEVRNIMVVMAATTTVMEVAEVRNIMVVMAGNTTVLEVAEVRNIMVFMAGTTTVMEVAEVRNIMVVMADTKTVMKVAEVRNIMVVMADTTTVMEVAEVRNIIVVIAATMTVMEVAEVRNIMVVMAGNTTVVEVAEVRNIMVVMAATTTVMEVAEVRNIMVVITATTVMEVAEVRNIMVIMPGTTTVMEVAEVGNIMVVMAGTTTVMEVAEVRNIMVVMAGTTTVMEVAEARNIMVVMADTTIVMEVAEARNIMVVMAATTIVMEVAEVRNIMVVMAATMTVMEVVEVRNIMVVMAATTTVMEVAEVRNIMVVMAATTTVMEVVEVRNIIVVMAGTTIVMEEEEARNIMVVKATTTTVMEVAEVRNIMVVMADTTTVMEVAEARNIMVVMAATTIVMEVAEVRNIMVVMAATMTVMEVVEVGNIMVVMAATTTVMEVAEVRKIMVVMAAIITVMEVAEVRNIMVIMAATKEMKVAEAKNIMSLIIIPAPTFIIAIIQTMTHTCMVAVTCT
MSSSKSKNKNRADFNYICSLKYRHIPPTPEHLPLDLSWNVDLNYLTEPDSFFESFQASRLPLISDYDLGMKTELSDYPGLFLGDESGLNPRRETTSFDINDQALLCVGKDPVTVNQDLSRLKRLSRNEPKEWLRRTQYLDSEAVYKTNKTTTMESRMAALKANLDLVDQSHEEQIKAIDRTFEKANDPEFLSTLKHPSNSSLKVKEIIPVFPEFQESLRGQNKFDRDPWEGYEIDDDEDKGAEKELRMSKAIIIPGEDRHGKNLFRLYVPDVESANRLVKIKSFDDTKNEAYHFKWVRDYNIDSDTVMENQDLLFVEQNPTDGKNEKIFSYSELYVASVLKRRRDTSERIQMPELQGGSTYLNVEYVQNIEKRMPERLDSDDNDDFDKDIDKNSDISGKRKRDVNDDYTDNWTSNRKLKTDNDEKEGLFDEELGSDNTIDAD
MPQDLYEDEEDLKFFLEETEEHIRTLKEGAKALDGGGAPPDPLLESLYRSAHTIKGSSATFGFPPLMELAQAMEKFLDRARKKTMPLQEDGVALLKEGASGLETLKDALEGRKSPPDQGELAKRFDGFTGAAPKKEKAPSPKSRSVAEAPVIPQDKRLRAILQEGFKRGFKAFQIDLSISPQSPMPFVRAVQATKELERSGRIAHLDPPLQMGKADEFGGSLRMFFVTKLEESEILELADSIYDVENVKIVEISSADSAGPVSRKGASAAQPPPEAAPPLEVESEKPAHQVDSSLISPPSGRSLEEGGGGPEEGDGKREKRRESRGKGEIFELNLQVS
MMTILHICDWYHPFGGAEKLLFDTLNLLEKNNHKNVVIYNDHRNQKPTGLRPEYACIGLEFWYYYNPISYLFRKSLVKKISAIIKKHSPDVCQIHSLQNSFIIRYLIKTIPSVRSIHDPRLYCFTNWRLLPNSSICPYPLGPECVNQGCISSGIIPQNDFDRNARWVLRNLKVHKKIPVLIGESRAQIECMLENGFSPEQIAWLPNFTPVSNEVEVKHFLQKYFKPEKKIVLFVGRASFEKGIHVLVDACKYLKSKCKVVIITAGPLLEDIKAKASKYNGLIEVIPGLSYEETRKYYARSSVVIVPSVWIESFCLIGLEAYANMKPVIGSRIGGIMDWLKDGETGWFFEPGNAKELAEKIDQVLENPQRSQEMGKAAYERVCNYYNEKIYISRLIEIYQKGIQIYRERNN
MFNFDLDKKSVLIWDCLTSPPDFEGETYLWQNYTEDKPNRRYSIPQYVEKNRVRLREKYNSLIYELGEKQIQGKRIVDWLMIRPEFSYWWMTLIVEGNYGKSSFMTPLVKLLAFEEIFAESIFEKIVICSDDVRLKNVVRKFCKDKNITFCEHVSGNSAIFLKRFALRNLYSSFSYPVQAIVAFVRYLYLIRSLRKQRLSRQKIMDTGITFFDYFFHLKLEKGDPPVFKSDYWTILVDFLRNAGVKTHWSHIFVPHSLIPNSEKARAVLEKFNLQSSEVHSFLEGFIDRHAIFNTFFDYCRLLIRSVRIRKFSSSCRTEKLGFDFWILIKADYLNSLRGSIAVQNLFFLNSIERCLEDLPLQKFGFYLQENQAWEMALIHAWKRKRFGILIGVPHSTVRFWDLRYFRDKRSFKNAMPMPDLVALNGIQSSRAFLEGGYPEDHIRQVEALRYIHIPTNGKVRDLTKKENKKVIRVLILTDYLPSATILQIQTLIQALPVLKRRYEFILKSHPAYPVLKAEYPDLNFTVTHDSLSKLLNEVDIAYTSNITSASLDAFSAGLPVISVLDGTTLNMSPVLGFKDVSFVSNGSELATALDSRKFSIRRTDKSKMIFHLDKKLTKWKKLLDSKDV
MTWFNGQRNLVKECNFFALTTLNSADDIAGIKANIIPGVGNE
MYGVCVVGYWFRTEYCQGQLVGRSADGLYRSGIGFSALFFANSVKMAGTEIDGGESSMLSEEEERREGGLDWDWNEVVSRTRKESGRKRKKEQTGASGSDNDGIDVGGSGQNTGVTNMVVRFEEEGGVKKFDPLKLTQIIQRQVGEETVIKLLSSSFKEDKTRLSGDAALLMAELLKVFVQEAAVRSQKQAESEDCDQVDIEHFEKILPQLLLDF
MQRRSRGREERTQTDRLTRASVRVGMAVGAWRMLTRPGFAFDEGRVIATKEFNQISRFTSAP
MEKNKAGIIALCLALAGGVFAFATGAVPALLFLSIPILIASLVVSIIALTRKGKGKASGVIALVLSVVFGVVGPIMFVGSFIGSLAEGSTSMENSEVLVEGGTDGEEAEPQRNERGNLAKQIGEEAFIFGSTGEKEISFKVLEAVRSPECQPSASTQNGELVALKIEMTTTEDYLLQTKYVDTVRLDWRDWTGVSLDGSTIENSALGLTTCLPMTEIFPLDVPQGETVTGWWILDLAPGATSVVWSPDGEPGWEWPVP
MEKLHLLLAEAGLELVPKELWGHPAVRASARRRGKKPGEILLDVALHRSAMVNLEERWKRGRPDIAHFCMLLALGSILNRAGLLSLHVHTYEGKVIDTAPNVRLPRNYNLFLGLAEQLLVE
MNVDTKSVEASVSAVDSENPNGEFDVILSTEALDRDGENLYIDEWKTPLPDRITFDSDHGMSVATTVGSGVPSLEDGVLRVRGTFASTEHAQNVRTLVNEGHITKTSVAFRAIRDKKSNTVTRELLNGAFVAVPANEQAVVLSSKSAKAAENPSHEQNIHDAAVALGAMCDGIKAATEAETKALLDDEAIDPAKILAGIDAILDQAQELVVSVDRESLPAEVTQALDMLFGVGPAVDEVLEALGIYDPDEIEDETSGEETGEPADSAEEPAAAAAKAAAAVDDSAEKAALRARSLAFLIHKNIEL
MSIRNWNHGWLRAIAAGIASIALTFTHAGAAWAEEPSVVVDNGDGGIKPPHCGAQSAQIRVDSYDAEGMSWCFQLIQPAGWISLHATGSYGVVNGLSQPVRVGVQHSSGRVFWNVVVAPGEVAPVMVGEHASVVVELATGPLGGASGASTSTVAKGRDVSLRAVSGGGMIASTWSGVRLRELDRDSDFPARVAATWRVLDADDSSGCFSFAPVGDPDARLQARNGEVSVAVGGDQRAASWCVREGSTPTSVVMSSRFSPGLALGVDQKRLVLVDQARAAEWFVDQPLVVLGKPRGE
MMYLSNLRIRNFRNFETADIPLRGNIVLLGENRVGKSNLLFAIRLVIDPTLPDSVRQLKLSDFWDGCDFSTAPQIEVHLEFADRS
MPRVNRPLILFTALAILFVLHLLISPSSSQPSYKPSSASSRRNSPAAKNIHGSTVPKGVSSDGVEIDSRGYINYVPGKTVTHPLELLVERGKRLAAEQEAKIREVQTLEDAVDEYEREYGMKPPKGFDKWFRFTQSSTPPTIVSPALLPYAHNPLLSFLGLPTDIVRERVDEVREKGEIFSFTFVPDGQGDEGTACGADEDWYPKDWHTRGKGMVRVRGPASWLWRCNNTLTMLLPILPLLPDELFTQDPPVELAFSMSDGPRGMVHNTVRDRSESLGRAGKETVEYVPWEKKDIAKAFWRGTSTGLHHNKETPWRSSQRDRLHFFAHNTSTANTPILVDRTKSGGRLELEEWSVKELNEKWLDIGLSGGPAQCSQEDGTCDDMAKEIDFMDRVRKEDSVNNGWSSRFRRLLASNNVVFKSTLYFSGMLSSILLGWVSWLTFVPQPEWFSAQLIPWYHYVPLKLDYSDMQYVKRASSQLSQNVNADVIVP
MLYLTQNSLFLLIWNLLGSGRGGATPSALPCGSAGYQLENITSYEGCFVDPINSSQTFAILSFSNGFLLEEVDGMPVFAASNDTQGSFHFSKPPGSFTDQFDLVYDRPGENPLYLAVSTKVGNEIVFVESSLVLGPQHSKRTTPSKNEDCDFITSIWSIHCNGTISTGIVGGPELEFSIDNNNTLTILTPEECQNPSQVRRQALGPFLLGILPTALGPNWPSIDQPRCTISDTVVRRRAGAEDRPPNGCGSDSAGILGLWGFPPDFDWGHCCNVHDQCYDDCSQTWVACNQQMLDCTQQQCRDDFGSSLVPGSDEVLFGCLNLAYVYNVAVSSIAGRNAFDAATQDQCECVCPDATLPDACPDIGCVNLNSDPENCGLCGWGCGEGKYCVNGECQSTPTTPSTPYFWTFDAPEQLYVINSISSQDYFLLVDGSTMLNTKCLTIVETGVELNLKTIPAQSPIVYETEPDVFPQACCIKYFANSDCQEIAGQFKELCTSTGNIFVEDGVFRTEIDINSWFVYNCQGEWTGLA
MRSNIKRYYQEFIDYIDFPTIVYIYETGRILAINEYARGILGYDLKNIKLVWQEQIKHKFNRKLLNNGSQILNNVIIETKGDKQVIDMELNSLIVDKNHIIFCFFELSQKHFFTEHMKNQIPRMIWKDEFLVLQGLSGYSMWDFSIDTKEQVVNRKIFDDEVANKIENDDLEIIQSCNNIYNIMEEFRLHNGDSFFVKLHKMPILNREGKADGIIYVYTQILNKEEKNNLLQTTLQENVILKEVVSKSGTIIVRWNTESNWGIEYISPNIIKYGYDAIDFHSNKILWKDIIHPDDYLRVYNEHMRDNNKAFIEDKPLVLEYRILTSNNDIIWVREESIAFRENYQEYYREGVVNDITYEKELEKELLDNRNVFKIKLDEDYILTNKIFNMVNFWDLIDLEYMKLLQESIENLSGIMNFLVDHKGNILTEIVVRKNLKEDFNQIMTTKRFMNHLKLLTKECMEANKPITRYYYENSLTITILPFVFGEMKVGAWFLVGNTKKLEVDIKPDQLKCISDMKVIAKKSYEKICLYLWSYVKSQRNTILDKLELINEKKKIRETSLKTEKQYEKAKLITKILQLSHLERPYIEVLNNIFNKVASFSEVEKVIIYENVEEDQKLAIQYEWHQGEGLPKGRKNKQIAEKMPYLQSVLKDRGGFVLLYHSDDKTEYNELFLNSKVSAAAIAHLTLNYYNDSIIVFTHSKEKIKWKDEEISLFREIIPIIQNVVVKARETEIMNRNNFTMLEILNNLDEYVLVLSEQDNEILFSNNLVTMSYGSNIIGNQFIEFCDTHQLEIYQLKNNNRVQFKQVEEGLFKCYDHINECYLSMKSKKIQWFDGQGAYLINMKNITNIMDRLKKEYSFLLE
MVQKGNTRVERMIEALKLQNVDVKFSEALAQKNMNDFGFNGKKIVEINGKRYIQEEMINVDEDIEDDDTFSDEEEDFDLPDDVFGEYLQGQIPGEKEIPVHDLPIAMERRGNEFIRAWLEDAAATEAWPEENKSALALSILVGTPYAQIFCIL
MPRTLDHLPAGKRDELAFVVELLTAGFDEKHADVLEFWGTAERQLLAELSSGVQYR
MNDNKEAHRLWAACQNAAGISSMDRNTEVSSERGRKPGLPEYLVSIECVSLTVLLCLTLCHALPSGGRQDDVDFLPSLSRHVLSRSSSLHPTPQTKDSPYFVSVGRLESANHPTSFSLPTHDNPSIHPTNQQIGSSFAGLDSSLPSSPSISSYEEYHSPNIRVTHPSQGHTVSPLVPNLSRDSIASSADNNLSHGGTITSQGFPSYSPTVPTRANDSFFTSHVSALNPQVSRDLFPPSSASSPSPPLSYKTPETFQTHQRSLASAPSVYFKVDDSSTFSDAVPFRSPSSVSQVQQVTPQVFAASVPHLAGGHPLTASHKISAEHDVSPEYSFSYDVVSPAVLSSYGENKEEENIFFGHAEQRKGYRTEGQYYSNLPDGRTQLVKYYADETGYHPTITYV
MEILIGNVQSLTETVRVLVDVFRESQNIQLHQAQEEAVESTPTRQPRSTGRLWSSSLAANQRSNLTNWRNVKDQQRDQEYRLGRERSRSHDNKTTHSRAAAPPTAPKIYYSDQYRRDTVNMHESTTSMFNRLTWAGTSRYWVQDRVVDKSTKEEKDNQNKLDHLQRQLDLLVSQRYGLEQVGAVDPPFTVIMAIPYLARFKIPSVTPYDGSTDADEHLENY
MKSLKYSKLWSIIPSILLALIIGNTFLFSGCDDSSDNPTPKLNAEDSTMVVHMREEEKLARDVYTALYDKWGLRVFTNIARSEQTHMDEVLKLLNKYGIPDPASSEPGKFNNPDLQALYTSLVAKGDSSLTNSLLVGATIEDLDIYDLEEYMSKTTNQDILNTFQFLTCGSRNHMRSFVSQLQVYDITYTPVYISQTEFDDIINSPSEQCGLQ
MKNIKNHKIITMAVLLASIFLLGACTLADQDHVSKIPQVEDKAISDEEPEVLAEEEIETMEVVETNEVEEDLITENPTEIAEPSDQESTESVTLASVLTDDEVAGLVYMREEEKLAKDVYLGLYDLWGLNIFQNIASSEQTHTDAVKNLLDLFDIEDPADNSPTGVFANEDLQKLYDDLMVIGAQSLGDALKVGGAIEEIDILDLQEYLETPQNDEIRRVYQNLLSGSENHLRAFTSTLEQQTGEIYKPQYMSQADYDAIVTSSSSRGGRGRGNRP
MRRHLTTVLAVGTAGILLLPPAASAFGASAGARPLPATARYAVLDTVQPTGTASAELTAVLVAMREEERMARDLYAGFLAAYPDSRPFSRIVLAEQRHFDAVGKLLTAYGITDPSTGRATGSYADPALQSAYDGWKSAGAASKTAAYQAAVELEKRDIADLEKAIATTTDTQVSRVLNRLLTASKRHLAVYTQAAAGNRQGKGMGQGGAGKHVGMGSNAGQGQNCPDAAPQAS
KQLLVLQASNYSIPKNSQKKLVWKAVNSRKYFKFDFKPRLTSFSNFQQMVAAKCNSQFTGVRAVIRETIETGSPIMLLL
EDHVDFFPGTYISIGDWETSFVSIGHHSHFAPHCVLYGWGGLKIGPYCNIASHTVFATVGHHDEITDRPMALTGEKAGPITLEEDIWIAANSTITANTRIAKGCVIGANSVVTKDTEPMGLYVGAPARRIRNRGPQTAIA
MKERLERALGIALDRAKREMRALIGRADNPPVIALALDRMAAEVDPVAEPARALNAFDRHLGLDRLASWADVQRVLNALEAVEEAEGIRHVSISAPGRILASEHNEELMEEGGSDPFAQDQVVRQALQRLGLPVNLIGPLLRRVVRYLPLGAIVETAETGDWWVLSRWGVSWTSTPIHPMAFVRAGYPPRIAELLYKGQEISEEAVKAERLLMRLQELGYEVTVWPEPRDSSLESWEWIAMALVEGVEVAEAWGTNHDEAIVALARELGHAEAAD
LFHGKQGRHPTYMTTVMLTATFAGLAFDNVMNGLWDMNNRGKQWKDIKNNYAEED
MDIDVVLELLRRQGGQFWQLTTREELAEWILTEHPEAAALEDFPAAVEAMPIALRVAGQGGLYAEAMTFAGAVIRTAVPLAARTAGRNWMLSVWRPDGPDPRVRLTVGLPEVLDLTTRDGDLYAWAALSGSAVRAALASGSLSADEMERRGLIESMRPYKTLGEYDAVAYQGTLDAIRWLYAQPAGLTAARLLCAQLVADGRFPHRKNYEPAAVAEAWAIHEAAGQGRRGFDRPYRGKPADGVYPELIPVGAAARAAAIGEHDALCRQLRDHLAAAGIAAGELVAVPADLAWRDRAGGQVIAEVKSCLAGADADRLRLGLGQVLDYRQRLAARGVAAKAVLLVSRVRDPAWFDICAGVGVTLLAGDDEKAWRLA
MADGDRNRVLRELRRSRIAVIGLGVSNQALLRFLLARGVRHVAAGDAKTQDSLGPAAGWLASLPITLRLGPGYLDILEDADIVFLTPGIRKHLPEITAARDRGARLSSEIGLLLELCRARTVGITGSAGKTTTTLLVGDMLARSGRKVFVGGNIGTPLIDRCLEFTADETIVLELSSFQLQLVDRSPNVAAVLNVSPNHLDVHRDYDDYLAAKKTIYRYQRPGDWVVLGADNAETFRMAQERAAAYRELGNDGSSGDDDGLLDGNGRPRDGGRGNLAPADGGPGLALFGKAEPPGSLAGTDVPYRAWVDGDHLVVTTAGSPAPVALCPTAGVRLRGNHNLLNIMAAALMTVLAGGRLDAASQVATTFQTVEHRLEPAGELDGVTFINDSIGTAPDRTEVALASFPAPIHLILGGYDKKIPFDGLGEIVVECGKVRRVVLLGETAPKIRRAIEAAASLAAGRGPNGRIPGPRGADPHSRDSSPHGPESPGPPPMVEVSSIEEAVREAFAGAEPGTTVLLSPACASFDMFPNYEVRGAAFKEAVRALMAKREPGA
MTPGVKYAREISINCQLQLGYKRSEIVYILGTSTQKSTNKTRRKEKARGLEVGTWYFSLDFFYLDKKCISNVAAFGAMLSTISSHIYFPALVPMVRDLDVSVSLINLTVTSYLVVAGIVPAFMGDFADQSDRRPAYMLMVTLVFCANIGLALQKSYPALLVLRMLQSAGASGSYGIIADITTTAERRSIFWFLVILMGVYLVFVLLFLPETQRKIVGNASIPTRGLHRSVFDVFTGGSRQVEMTGIERGPVPSAKEKLQFSNPFKPLPC
MSKGGLLDGPRERTDQDDENQGKQEQPFQNNVDAPKPYTVFTHTERWLITIIMGIAMFFSPMTANVYFPAMPALAEAAHVSVQDINLTITAYIALQGIAPLFVGDLADKIGRRPVYLLTFVIYITASLGLALTKGSYAVLLSLRTLRSAGCSATAAISYGVLADVAIPSKRGHMLGAAMIAANTGPTVPAFFLALAVLFPETSRRIVDNGSLAAPRWNRPTISFLMTYPRNATSSEEGLQLARKNTRMRFPNPLPALRIIFYRDAALVLCISAVHYVSYYCLQATIPARFADGYNLNGLRIGLTYLAIGIGVAFGDFSNGKFLDINYR
MARLSFLSLLALTLVGVSSANSIGQQEALVPVHTQEGWDWTDCGLATDPIQIESIHITPDPPKPGKDLTVLVKAIAQHEVQEGAYADVTVKLGLIKLLQKQFDVCEEARNANASVRCPVEKGYYEVEQTVALPKEIPQAKFTISVRGYTVDDDSLVCLDLKVDFMKKPFPLSKFGW
MVHAEDDSWLAVTWPHLASSEWSQSKNYSANLAKATKYRGRLDSTAKGDEGATQNLVWTTNDNNHLSVTYHDDNGVDHALVVCVCNDTGSIRLVRSSAKSQWLNRNEDYCHAVLIFVPS
MNRSPSPLPSAEKLRLENLGSLPVLFPTVGILGLLSAFIWGLASNPMQLAFSYLFAFTVGFTICAGALFWTLLHHALDADWSVLMRRILESIASCFPVLLLLFLPIVFLFSKELWHWMTTDLSTDPLLAWKKPFLNEPFFYLRCAFYLIFFSTAGLLYRHLSMRQDTDGDPRITLRLRHTAYGFIPLFVLCLTFAGFDWLMSLDHHWYSTMWGVYLFAGCAQSSMAILILITNGLVRAGHLKGLFTVEHNHIMGMLLFAFTTFWGYISFSQYFLQWYANIPEETWFFVYRNTGTWFWFSIFLVVGHFFVPFILLLTQPAKRTSWRLCATAVWVVAMHCVDIYWIIMPNLQLQESRHAGHVAATTGFHPHLLDLLALIGVLGILGHTILWLLSRGSIFPARDPRLRESLAVTNWL
MTLAGAVTCGVGGFHDLGRLGYAYLTAYLCWLSLPVGGLFLVMVEHLVEARWSVPLRRLAEHLAGLSMGLAVLWLPLAALAPRVYGWMQSPALSPELSVQAKAGLLNRPVWYGLSLGILGLWSLLGWRLRAVSVQQDRCGSAAYTRQLRVLSVVGLIGLAVTVPLGAVLWAMSLQPGWCSAVYGVYYFVGSVWVTVATVYGLATVLKRAGPLAQALGPRQFHDLGTLWFGFTMLYAYVHFVQYFVIWNGNIPEHTWWYVQRQQGTWAWVGLALVGGHFLVPFLVLIRRDTKLNWAVTLPLVGWCGLMHYLDVAFNVLPAQPSGPRSSFQVVDLGCVAFMAGVLGLAWLKAFSACAPMPLRDRRLNMALELSPPEALDRLSSAASPKP
MSKSSNEKYVKKAFSGKVMSIGLLLLVIGITLIGIGSSSSLSDIYQSPDSLAVVSKKQNDARKKVLMEKVSFGHLNGKAHKENSHANDNAHSGKEHSHAEEGHSKKKHQPTQKTRFWANFLVLFLFITSVGIGSLFLIGLEHVVGANWSVPIRRVTELMAVFILISFIMGLILLFFGGVDALFVEWWDGIDIEDKILNGKRLYLEPKFFTIRFGVAFAFFILFYWKFTSNSKAQDATADQKYTRSSRFWAPLFIMGFALFTTVIAIDWIMTLTPHWFSTMFGVSYFGGSLVAAFSCTIFASVVMKEKGYLHPKMKNDTFYSLGGFLFGFNCFWAYINFCQFMLIWYANIPEETSWYIYRWEGSWIPYTVFLIVFHFVIPLFLLISRSAKTNPKRLKMMAVWLLIAHWIDMYWHVVPTFSKTPTVGLFEVGFMFVGFGLLVVVFHLWAKNANHVAIGDXKLEQGLSFRCH
MRLNSVRAGMLTGLAIFLVPVLLAILFAPPESERTGSGSGGFAASGYVISPPPTDFEAMANAPQDTSVRGRIERLLGSFEPERDHSGDVIEEEEIAAEQRLAHEWCARTIAKHANITERQASVALTTAMHFPEAETTNLVLTALESVPESDAEDDLVRRRQCAALLYLGMMGSPPSLAH
MVQVSIVIPAFERVEPLRMTIQSALKALSDIDGEVIVVDDGSIHPLESQLTEFQAKVRFERQENQGSIIARQTGLSAARGKYLQFLDSDDLLHPAKLSEQLQVMKDDNLDICYCDACSENDNGQVRYRSVSDIAEFALRVQPLPHSPLYRTAYLLEAFRDPFLKPKRSYDAAGDVFLLYNLAPHAARIAHIPKTFAIVGDHQEMRYTQHWEKLGIASILLQQAFLEQCFAVNLASKYRIFLAESAYLSWRKLPRAIPKKFEDAQYKIFERADVGQLKDVGGPVFRLIAFFLGNNAASKVLKWLRPHYQNIRTLPQEDLDILLELL
MTSATSVPRRTQVCPRPEGGGDFAPALGGGSGANRFAGEPGQAFTFAVRLSDGTGAARGLAARLVGGGSGANRFAGEPGRAVATPVPDPIRDLLPLKQRPRRGGRGAMGAGRGVAGRRAAPVPDLIRDLAPTDRRLALNGGAL
MENCTLQKGRLHPPNRQNKLNRLKMMTGPSKEMYKFKRLKMKRTSHLPNRRLRPPKRRSHNLKKKIAPPKRRKSKKPNVGSAPVLAKKASEDQKPNVAGLRARSCEKSKRRLKA
MFFILIFQRVFASIISSSSLQSCLDQGNSTLTCSQKLFISLSIENGQLSGAENFEATLTSISSDSNNITLIYPIKITVAKSQVKARYRLRYIQDFNNKAQELIIAKSIFDCEDGAYSNNPTCGWKYDSEHKRIWDSQGYCCKCTFEDFLGMNNDQYQRGYACKAFNLGTESATAFCLVWDDLWYSSYEIFQYDIYYTITVTITKADEFGNYTATSYTISPSTPIINTSDSIIKLIGDFHPASPPPSLESFVLFTPSKPLSHYRVLVGSPFWMLLSRDIISFDGSQCNKVGTSYTGFRGQSNKCSEPINSCFSNQLDNLHIEDIMRISNNQSPLYFASRFGNLTIVRNNDERYLEMQLSGSFATLLTFELSADSLKFFTSVSKGVIDLAEITSFESLTLDGTLFTQVSNIGSIVASFTLSILCSEGVSPISAIPLSLDSMQSKQIISKVAVINESAKNYSCDIQLLDSIGQITDSFRLYFNTSDRHTDQGTQGGEGKNPDGANSIDKKSTNLGCSDYCPDWYDLACFVSKGCWESFFTFFGIIIGIIIALIAIKIVIKRYGLCCQKCFPDKNP
MSYGDQSQPPGQGQPQDPFAQQGPYPPQGQYPPQDPYAAPVQPGYPPQDPYAAPASPAQPPGYPPQDPYAGQGQQLPPTAQYPVQGQYPQQPPYGQPQYGQPQYGQPAVAPAAPAKKTGLKIAVIAGGVAVLCLLGCVAWGVTTYLNPKDEEPVAKATTATPSPKRTSPTPKPSLTKSPEWDPKVKDCVKNTGTDADPNLVPATCGPGTYKIVARVPNTTDPGSCKTGPFAAKEPYDATYWYRSGSGIYRYVLCLKKQ
MEMQKFKKRIALHFNLLNIIGNFDNCISLSYLTWHFDYWHKQNVKGPRPQLFKGTFPKSFAGQCSLIEELHEIYIKYKTCERCVGVFSRHVPKLFILDPVLAAEILTNNFTKFRVNESSKWVKKLVNKYTSSRVERLRVCSPFVSSGEEWRARRSDLAPILSINRLRSYYESMLESADQLVCFIERQNCTYLNAKELANRYTIHVMSKFIWGIEDKIFENIHQKTPLHRMADNMLEQALKCVSSYEKTFSWRILRPIRFFPKETDVFFQNLIKMLMNQREESPEKRTNDVVSHLIAIKEKKSLNDEQIAGNTTTLLIDGFETVAVVVSHCLLLLARNERVQTKLRATLNENERVLSYDELMNLRYLDQCIQETLRLFPPLTTLFKLCVESITIKVNESGKYVNLKAGDCVYISSYSFHHDANYFEYPENFWPERFNEELGGAKKFREMGVFLPFGDGPRMCPGLKLALSEIKVAIFKLVKNFIITPTENTRKDNRLEINSFLLSVKDCIELNFHKIPKDTQTFPSVKSLRWL
DCYGGNIGASIKITAHDYFPRFPNNVVAHDVKTAPKVFEFEAFGEHVGWGVVPNCRVSEFIERMKFVEECDGAGAYIRVSWEAMSGPSALDCLSDVNVFALSEIVKGNKDAVTITKSWLEKHYDITDEALITELADCMLKSWEVIANAYMDDKVFPRHSRLPSSWEEGWHSMLTSGMGNRHLEKGVFALNDIGLNDTDLVRIFAEKEEASKLAKQLWQRVLLVLVDCPENLRDDLALPFELLAYYAQKFEFAIKGTLICAINQVDAEALYLDELEECIRSLEMIAHQLEIIINGKAKYAPHTVSVLFDPSHIQSFADSLKKTLAKKKPCLIKNRA
MSSIDTLFRGMRSATSGLRAERARMDVIAENLANSQVTSTPEGGPYRRKVVSFEALVSDGPDGNPTVSGVASARVTRDMTTDFETVNIPGHPDADASGMVRMPNVNAVSELADMITAMRAYEANLTSAEGYVRMAQRALELAR
MGLFDGYNIASSGMGAQRTRINIVSANIANAKTTHTEAGGPYKKQNVVFEELLVNNTKNLNNNLQETNNKNTSAELRGVGVKSIVENDADPIMKYEPSHPDANEEGYVAYPNINPVVEMVDLIEAMRSYEANVATFNTHKNIDSKTLEILKA
MSTKNLSLANKIDEKFVPPMKQVIPSEMEKLNDLTKWPIILDVVGVFFGLSFHPDVTINDHRQFTIPSHMNRLIALIIVDKDIGKCVEELRRVCAQLHPDLFDAVFSKTGVNESGRDKLDHLFVLTQTLDEFRQTDFYQFSAKTRSEVSLICVWINDLIEILEKYDNFFEPQFKLT
EPEPEPEPEPEPEPEPEPEPEPEPEIITTAAPAAAPAAAPAAAPAAVSAARAALPPAPVSVADLLVEVDDDLIDDEIIEIFLEEAEEVTETLKEYWPRYKQDIADAESLTTVRRAFHTLKGSGRMVQALIIGELAWSIENMFNRVIDKTISTSDNLIDVVEHTMSVLPALIDDFRQRGGPSVDTQPLMDYAHALAAGLTVPDLAEVLGSGPAAATAEASVTEQADTEQTATAVTAADSDVDDSHETDDDSALLEVFVQEANGHLETVNDFVETSRRSYFDNPLGDQVQRALHTLKGSAHMAGISSIACLAAPLERLLKELRAYQVSNSEQLVELIDDGAVFIASALQNKTDLYRNLTDAEQAYLQRIEQVEQSLLATLLQNDNTVIKTPNPQAISQFLANGMDSLLEADLLLRQWQKSGDFSVLDALCRDLVEVADGAEQAEQPRIHELATSLHGFYLQASQSGEKTQAAEQNNWAELAMEGQEQLLNMMDCLAAGQALERPAILDRILGITHLLKAWAEDADAKAKVDESPFGEAPGDIPADDDMAVAPVTDTIQATTDLAAGADDVDQLSDHSVGSAWHQPTPENDTGEPVATLADAINTPFSSAGNAAPTTLDIEPVVTGSDDHRDFEDEPSFELAGDQSSIETAQVSAPEATQTVSEAELPLLSDEPFIPRQLDDSDGADADFSDLGHTIELDFSASDDRELPDTPATIEYSPLSDVEDTTTPDSHDQTTAWQTSDSAHQNTEVSPETGLEGTDVPLVHESDTAEPLLPVIDDRLLDLPGTLEVEESAVDFQDAIPVIDDSMVEPSETLEVEESTVDFQDAIPVIDDSMVELSETLEVEESTVDFQDAIPVIDDSMVELS
MAADVRLHTRQGYPSFGAAEQKELALHAFLQALTPERLRQHVILATPRSLDEALKEAESAEDVLCLAPGQTARPREVDCYEEEEVRWARSPPQQRRQRPPPVRHGCCYRCDEPGHLACVCPAPAPKPQSPWPSGSNTGTAL
MGYVINVIKKILDSIGAIHHIQLNANKHQEIIEWIPFKKLENITYLTKGGFGTAYKAKWLDGPIYSWNYEVKNWIRINVENVCLKSLDNSTNKINFLQEINNQLKFRGKNVIATYGITKNPSENEYMMVMNYAEYGSLRNLLNNKFEKLTWKKKYNILSEVVIGLTNIHEMGLMHKDFHPGNIVNQTISLSCITDFGLCKPVSENDPEKIYGIIPYMAPETLSRGEYTQASDIYSFGMVMLEVLTSYPPHYNIPHDVNLVIKIREGLKPEIKCEIPQFLKEIMEKCWNFEPLNRPTAKELKSQLEKYLSNDNKIRKQVNKQINVANKSNKNFIQYDPNVKHSEAIYTSRHLPFVKSKKFETHKTKQWDFEIPDDIILTSYPPHYNIPHDVNLVIKIREGLKPEIKCEIPQFLKEIMEKCWNFEPLNRPTAKELKSQLEKYLSNDNKIRKQVNKQINVANKSNKNFIQYDPNVKHSEAIYTSRHLPFVKSKKFETHKTKQWDFEIPDDIIEEVEENEIQEN
MPTSSQGVENQTTAARPTDGRDAINEQSTLEPLSRSGSVAKQKHEAILELELKLFKNMTIRIVPQATPLRPMLRPGEVLPPDHIAANAPGGRSVNTLAKSFRALRFGRRYPLPVQNTISVCPGPPSQDDMFVDCEPIGYIDYLSHPWDTGDVMLSWRFVSQRKSAVHNSQRLENSACRCWTKLPRDTSLILSPAVELEKKVRCHFDLRGIPITIIAVETCRRDYQDRAGGFELRTTNPAGAFHYNINTSSEPQGVSHAHASSRKLFTNAF
MKRRRCGQDSSVEWYNLVKLQEQDEELQSSDSNGSNYSDSDSEDTDSDEEAQLSDGVKRKKLKLLRELKTHNGLKTLDSKYSKPELLFDVRVSVNVSHRQENVPFVHLTSQLGGQPVVGYPVEIQVLKNELSKTLCCRRESSYAFDRLVWKTGKRTPVYYVTKDRRTAGGGSMMPAGKNGEMLEKGKESSRGSCVRVELVFGKLLAAIV
MEDKKANIIGATVIIGLIVFIIVARVSLKLSGLSSSLQGQILHFLRKVAGVPIKFKHKELEDATDNFRALLGKGSSASVFKGILGDGTSVAVKRIDGEEFLVYEFIPNGSLDHWIFPRRERETRNYPGGCLAWDLTELLLMWLRHCFLDGVAAHASICQRSSSTNVMETDCREHVVAELDCCVVFDVNAYSAPNMGFEGLKNMKTAAFDVNAYSTANLCLDQQHGLKNMESDPENILLDENYRAIVADFDLSKLMGKYESRVITTIRGTRGYLAPEWLLEHGVSEKSDIYSYGMVLLKMIGGQRNVNFIGNGTDRPSMAQVAEMLEGRVVVEEPPDKQMVVVDLLSTDEEDCDYHQRSKLTVMGLDADRNIPNSASRTIAPSYVLSKLNSALVFPFLFFFIFRIGK
MKREEMLKKFGGGEKVKLCRVSATINNNNGSSVFEGGQFYFFDENIDHEHYWVFAFNNDDEVNGDMLSLRFKKTIKTGRFKIESANEADVRAQFFGGVSGGELAFDGNINILRRDDHNKGIAEGDFDFISERVNSTDTVKGSFFKRP
MNMVNVADWTVDDEISEVRKEMWRILAQIPRASRKDKENLRARLAELRSRLDELQRLRGTPKFGD
MSKNTRQSIKVKGQKRPIPFPSPVSDKRKARKFRRRIGKVMAKRLNSQVPGALLFSNPLRIDLDEQVKLGNIDIGHLTWDGSTDEFAGLDTDYGFSLMRNPRFE
AGTPVRGGPHVEHYFMSLNAEETNEIISEVFRVGGKSVALWLVDWFGKTYTDYYGAPERFAEIRHIFKEIGKMRELRFPVADTAVFFSNTTRFAENWWFEKSSDPNAREYESAFTVLGPRTGSWFRFVSDVQITRQNHPLDSYKAIYIPSARYQDEATVKRLKEYADRGGTLIIGSPNMFELSDDGSRRPGLKKELMGLEVSGKLSQSGGLRFENLNIAASGNPAWKMKPVGKAEVIGRFSNGEPAVIANPYGKGKVITFASNPFSFSLLTDRDSWQMFRNLQKQAGCKLDHAVWRFRFPRPGSKPGPRWPKNMVCVTGNAFAWELDSIITGPNKLKPFSASYSLLPDLIPDKQKNCGALFNRRSSLDAPLPRRGSNASLLKNWAAAWKNRGKFSITLDFSEPVGPGEVRLWCHGDIPSIELYSGSGDNSVKEGSAAIPVNAEDVDVREFIIPVGQKSKFFELKFGRRSKGDFYIGEMEFWSLKK
MPADSDVANLDLAPAFHASEIMQRLRDELSPPAVERLRSSFIKLSSSVTRDQLLSSGRQRRYCASAARQAVGSLWDREHLPSPRGEMAGRASPADWTGRVQAVRGRQGRFDSLNGRFLGLKTATFDGASRSIAPAWDEQDPDSLIMRHWESEVKIRMIGPGGAD
MGDGNHLTSGGGQSSELRSVSVPVWDINDCHQILGTSRKLPNGPTSDNQICAGEKQGGKDTCQGDSGGPAQIQDGCAWRVVAVTSVGRSCGAPNTPALYAIIHRSFVAAQVFGKGISTQQTSQNINRQDYTTVNNKNNANRHNERENLSSHKNNNDYILPENVNVNNNHKQNINKQDYINLNNNNNNRDVNYNYNHERGDNINLNNKNNNNAYIIPDVATNSNHRQNVNYNNNYTPHVIGDYSDTVSGEHNYYNQNKNYEIETPSPSHNKFHSIRSPDYIYNPSPHDNNRQYGGYNGNVNVNNNYDVGFPEQSYTVTEAPRFNNGRNWWQPY
MPSPTRFNVPRTLTPEQIVEKLRRRPYDRAAREAADLIEEMLAEREAQGERRH
MDMDTEESDWSEADITAAETLVLMSSGGPGAAEPAGSERQIDPRLDGDYQSELPPAPVANNAENDDKFIIKLSWHRHENKEKNASVEAPFKNTIKIKNANKNIKKPKDDDLLPRPDMPDNVKGVIDAMGGTGAVLVIQKRLFDTDVKKHNNRLQIPRSKIPTDSLGFLSENEENLLATRDQNGHLKHIETRLLDPRLVWHDIKLRKWDMSKKKSGPPIAVYVLNHPWIDIVKANELKADNLVQVWAFRAGDNNLHLALVKIDESSLPAT
MLKFVLGFLLLSSIGNIFVWCIFFLGVHEAQDVLLIALFIVMVSIAAVSCYTCFMLLFKKDTNRTRQFLKFNYWNCVMQIPGFSLFGLTYIYSAGTEFILYMQNEGSITFGARIELLKANTTLVYNPEEPVYFLGINLVPLFIAMYLNKVLDKTDLMTKEEEWQIDAGSVSNTMSHD
MGKRSCFNLLDQINCRGRKIIIKGREALIPDEERPEKTPYAPVNYTGIYQAMLAAQPQFGQPDTGSGIPDEAYSVFFNSMPQVGAIKITISDTGSYIVSYDASLNKLTAGGSA
MGLVKRPILILGVIFVLIGSLITIRDYNSRVAVSCAVEPVIPTVDVKVLNVTNGNIVRGFILAFRAPLESPNVTVLDPETGRSPVYRKEGALYVPVEPPLPLRLFRVEGLGNTTVEVYWQSPYTTVVLDTPSFKPVPGGFKYVTTTDYPFWLGFYAEIENPRGVIRIPDNGSTGIVLNDDSVNLTILNRTVEGVPKFLKILYPREGPFVLHLEGSVEVNGTEKNYSYEFPTRNTTWIRIEKGFKFTSMRLYSRYPFGNETEKCTTTHQVGLEGPLSLSLGLLMIFAGLLWRT
MDTNVFFSHFAQHFSHMFLKTDHKLGPAYTWGTHQIDGSNIYGPDEAWRRKLRSLRNGKLRSRMVNGEEWPLSVAEVPVAMRRLPNQRDEDLFALGHEFYTFSPGLIMVSTIWLREHNRVCDVLKAKHPEWDDEQLYQTARNIVTGEVSVLIMQQYVKHVSQYKFDPLLDPTVIFDDGIRFIHSVPYEYNIMYHWHAQATDYYDVGDQRFTPNQFLFNTSIPIDYGIERMVDAAVRQRAGKICGRNHGASTLKVAIETLKQSRQLRTASFNQYRKRFGFEPYTSFTEITGGDRELARAMEDLYGHVDGVELYVGLMLEEPRVGILSHTMLYLAGPTTFQGLMANPLLSNDWWRPSTFGGETGFDIVKGVDLQSLFCRNMKECPRVAFTVPK
MALRIIPVSRRNGNYKKVCGTMTEAFPETERMPMWILGLLAKRKFIKFSAVLDDEDFCGVLYTVENKEYVFILYLAVSKEARSRGYGSQILKLIKKTANEKEIVLHVEYPDATAANASQRLRRMRFYEANGIVDTGYFFSEGNEKYAVLSNDGSDIDIESYKNLLRYVSFGLYTPKIGR
MFDKADNELIKRLIEEAEVIIEEEIQKRLYQENNAVSTKNQKEVTHTDNSDDAQESVPKKSRKRTKKSNDASSESVDGLAAESSDQDPPKRRRGRPRKVVKPEVVDDEADSADANLPSSTANKKPIAKSLIKERVDGEMMIKEAILEFVEREDGVLTLQEVGNEDEPMVTIHFSEQVKAMIGKDEIQNVGQNMIHAAIATVMQRQMDSWHAHIYDEQPTHYS
MVQQGQQDLLALLELLVRLDRRVSRDHKVLRVLRESRGSPDQLEQEALLVQEVPLDLEDLGVSPVHQAPRVYRVIWARLAQKGHQVHQAPRVYRVIWARLVQKARPVHQAHREIPVPKGYRVT
GFKTIKSYVDKEVFYEDIRSGVIDYPVFVKPVRGSASINISKVGSREEIELLVSRFDNLMIQEFMDGTEYGADVYIDMISGEPVAIFTKEKLKMRAGETDKAISVKDDKLFDLIKDLVKKAGLRGIIDIDIFEVNGEYYISEVNPRFGGGYPHAHECGVNVPGMILNNIIGKANQDVNGQFYENVYMMKYNEVLVRTICGGEGKS
MNILLTCAGRRNYIVDYFKAALEQTGGNVYAANSKSYAAAFVPAEQSFLVPEIHHPDYIKTILQICTEYGISAIVPLFDIELPVLAKARNMFLSHGIQVIVSSPDVIEICYDKWETFKFLQQNGFQSPKTYIDLDSVNNAIDNKEIDFPLIIKPRWGMGSIGIMEIDNREELIVLYHKIEKVIQASYLGYQSSKEPGQMILIQEKLTGQEYGLDVVNNLNGEYVTTFVKRKIAMRSGETDIAVTENDLELMDFGAKLAKKLNHMANLDVDLFRTDKNCFVLEMNPRFGGGYPFSHLAGANLPAAIIAWLKNEEADPAWFTITPDITGFKGIVPLKMTKSPINFTENVSNHCRE
MLAQRYLRKGYEAYLARIAGLPPVREVEFGIDLIPGTAPISIAPYRMAPAELKELKSQLQELTDKGFLNKVTIKNKYPLPRIDDLFDQLKGATWFSKIDLRSGYYQLRVKESDVPKTAFRTRYDDILIYSKDDTEHAEHLRIVLQTLRDKQLYAKFSKSEFWLREVGFLGHIVSGDGIRVDPSKISAIVDWKPPKNITEVRSFLGLAGYYRRNYPTHDLELAAVVFALKIWRHYLYGEKCRVYTDHKSLKYLMSQKDLNLRQRRWLELLKDYELVIDYHPGKANVVADALSRKLLFALRVMNTQLKVSDDGSILAELRVKPKFLQEISEAQKDDQDLQAKRKTNEELIQKILQEAHSGYFSIHLVKAEHQVPSGLLQPIMVPEWKWDRITMDFVSGLPLTPGKKDAIWVIVDRLTKSAHFIPRSKVYLTVLAKIARRVRYKVKFQYCFSSTTDGQSERIIQILEDMLRCCVLEFQGSWERYLPLVEFAYNNSYQTSLKMAPYEALYGRKCRTPLYWTELKENQIYGVDLIKETKEKVKVIRDCLKAASDRQKSYADLKRKDIEFQVCDKVFLKVSPWKKVLRFGRKGKLSPRFIGPYEVIEKVGPVAYRLALPPELEKIHDVFHVSMLRRYRSDPSHVVSLTEIELQPDMTYEEEPIKILAREVKQLRNKNVALVKVLWQRHGIEEATWESEETMRNQYPHLFTGKIFEDENS
MLPFDVTKKKCYFIDQVRFFGAKFKSLPRLISAIQAEKMLRKESCQGFLVNIIGSQHTETTVNDINIVRDFADVFPEDLPGIPPGRQVEFTIDLIPRAAPVSKAPYRMAPKELQELKLQLEKLLEKGFVRPSVSPWGAPHGKVLAYASGQLKQYEQNYPTHDLDLAAVVHALKIWCHYLYGGKCEIYTDHKSLKHFFTQKELNMRQRRWLELVKDYDCTIHYHPGKANVVANALSRKTGSQLTVLPTVQKHLVRDFEKLRLEVITPPTQTTARVRAFMIRPILLDRIKEAQNKDPFLQKIKAEVGTNKRMGFEMSADNALTFKGRLCVPKDESIINEILEEAHSAPYSAHPGQGRTPKTIRTTKTIGYSRMEVGTYNDGLVVGLPRTAKGHNAIRVVVDRLTKSAHFLPIKVTFNMEQFAQLYIKEIVRLHGVPVTIVSDRDPRFTSNGKVCRQPWGRN
MSSCKVKSQQRVYVVGNAGANPDNVVAGMFLLNNRYAYTLFDTGADRSFVSTTFSSQIDITPIALDHHYNVEIADGRIIGLNTIMRDCTLNFLNHSLNIDLLPVELGSFDVIIGMDWLSRYNAVIACAEKLVRIPFGNEILTICGEGSNERNESRLNIISCFKSQEYMSKGCHVFLANITSTKDEDKSKGKRLEDVPVVREFPKVFLKTYRDKKEHEEHLKAILELLKKEELYAKFSKCEFWIPKVQFLGHVIDSQGSEGFIVYYDASIKGLGAVLMQREKVISYASRQLKIHEENYTTHDLERDAVVFALKIWRHYLYETKCTVFTDHKSLQHILGQKELNMRQRRWLELLSDYDCEIRYHPGKANVVADALSRKEQESLRVRALVTTISLDLPKQVLNAQTEARKPENIKNEDVGGMLVENAKNPEAIREQKLEPRADGTQCHNGRSWLPCYGDLRTVIMYESHKSKYSVHPGSDKMYQDIKKLYWWSNMKADIATYVRKCLTCAKVKAEHQRPSGLLVQPKIPEWKSLQKALGTSLDMSTAYHLETDGQSERTIQTLEDMLHACTIDFRKGWVNHLPLVEFSYNNSYHASIKAAPFEALYGRKYRSPVCWTEVGEAQILGPELIQEKTKKIVQNKQRMQAAHDRQKSYADLKRKAMEFQVGDKVMLKVSPWKGVVRFGKRGKLNPRFVGPFKVLEKIRKVSYKLELPEELSRGXEVSCRRTISCSVGWTSL
MKSHRIATALLLAAASAPALAEIDRLQTLNQQEFRSLAEDMSSALSYKPLQPVEPLGFPGFDIGVAATGTKIKHQDLWVRATGNNDFPSTVVVPSVRASIGLPWNFDVSGMYASVPKTGIGLAGAALSWAAYGGSTWLPAIGARASYTKMFGVDQLDFDSAGLDASISKGFGPFTPYLGAGKVWSATTPQSTTGLQRESFSQTKVFAGIGVQITVVNFVVEYDRTGAANTIGAKLGLRF
MSAPLPPYPHALRSDGSSSVTLLRSITSNRTESRAYHMAYVRFPTPGSTRIQHSKRDRLPVPNCQQLAPWLRLCWIDIVVICAHLFLPSLTFMPYLYAPVPTAYLAIVIATIVYVLWGIPLTIRMWRICRGRPPNTPPGSPIPSPSTSPSASPSPPSTSPKQFTMPTHFKQRRETMHALHFRTSSLPVENEKRASPSAFPIPRAPWLRRPSASLEYIPASPPTPSPMPCPPASAAASTSKDPYLFVNPSLDDSLLLRSGLVAPTARPEPALNAYDTHALFPSPPARSVPARRHSDAMLPMPTPGHCQGRGLRLSELPTPPPLSPPMTGHGLGHGRREGRRSYDEGRSSREERESAGVGIGTVAGLGLGMEGFELVLPPPPAYSQYPIGQGKREEESVRCRLSRRAQRAWTCSLTASYIAWTRSSDTLRTVHTLSFGFTTCNPRAALARLHLAISALAPCTFAFVSLRFRLLLLLSTFILVVCFRTTSRPPLVSYRHLTNGQTNVARGSASGQPPHTQPRRFSGLRAVLGCRGRTEGAAARRAGSGRRLND
MKVILYGFGNPDNRGCEAIVKSVSSMIKAKYENSYIIALSNDYGRVPMLKIDTIDEYGKGIYPHENTIQTFIARVIRKIFKSAVPFYTILNIKNFRNAQDAVLCISVGGDNYCYNTKNDWLYAMDKKFHEKNKTLIHWGSSFEKSLLDDRMVKDLNQFDLIIVRESLSRQYLLEKNITAPITLIPDPAFILKPEKLDEVCLSKDTVGINISPLIMRYTTSEDLVYKNVINMINYIIEKCGLKVALIPHVCDRRTGIGDYTVLSDIMKGVRQKDDCTLIDYTYSAEQYKYIISKCRMFIGARTHSTIAAYSTFVPTLVIGYSVKAKGIAKDIFGTYENYVIPVQSLQSEEDLTNGFIWLYKNEDKIREHLHSFMPGYIERAYQAIDKIDEIVGSR
MDKGDAVKKFYFPIHLDSGNRGCEAIARGTIQILDILPQNYIGFSREIENDIITGIEKQISYINTKYDFLKKILIKVINKLKIKDKEKYVYYLRYSNFLKTINQDEICFITGGDMLCYGNNQVNYIVDYLHNKNITTVLWGCSFGEENYTSEKFKALSECSLITVRESLTYEYMTNKLGLKQVLLYPDPAFVLAPESINLPSYFENDCVGINLSNFVSGSVNATTCFEKNVINLMEYILSETTMTIVFIPHVFWEEQDDRITCKYFKNKFKESNRIQILDTYKLNYCQIRYAISKCRFFLGARTHAMISAYSVCVPSIALGYSIKSKGISKDLKMPDYTLIDYRNLKKQNELLGKYKMLQQNEHYIRKILEDEIQDYIQKAYDAKECILRLGAYNDKN
MLKQVRLAHGSNTRACEISQYRPRAKHKGVSSAVWTSQYVCPVLPRLGHTDPQKVSLEAEDEPESEEVLTPAAETEKEYAKFLKEMMSRHNKIKVGEQVTLNASYSVIISR
MEGPPAFLRAALDLCDGTRTMDSLLQALPSGVDAGRFAAFVSDLLEAGALVDGNLLTAHALKYAFQGAPQGVSAPPRETDGIGRRPRVLPGGAGLRIESVGEAPWMAALQGRISTYAFSDAAPPPGSLAALLWSLCGITQAGHERLGAGVARRTIASAGALHLVQPYVALRREVDGHAVGVYRVHYPGPRQIALERVGDAVRLMRAFVQPWHVQSAAGAVFLAGDVALAATRYRNRAVQYLFSEAGAALHNGGLAAASLGLGYATLGCYDETVVQALCGMGEQTVLGSAVFGALPQAASAAKVAGGPELEFTWIDTEGVALPVRSTAALCLARARLRRDAPDGEYAWGADPDPEIAYRKASAEAIERLAWNTLGATVRARGAELSGACDPSAHIGHSAAQYRRLGFPFRPYAEERAYHWARAVALADGAQAWMPADLVHARATLAAAGLAENHPLTYATSSGCAAGASVQDATGRALLELVERDAFMRHWLAQRPGAALVEDSLPADIQARLRRLRDLPCEIGVQALDSGWAHVYLAWARDDARPFATLGAAAGLDPAEALARAVAELESRVLAWTRGHAPGLRQPSLARTPADHFDLYGLRRYYRRADALFDARATVADFPGLAAGRPMAGALLDRLIAGGVSPLIVDLTTSACVLDHGRRRLHVVKAFAPGLVPLSFGHGLEPLGMAAQAHRRALFPHPFP
MTIFISYNFCNRYFKAMAQSEVPPVKERLEVPISTQKTDTGLTPGTLRILNNQLASMKIVPLPVVEEKWRDLSLPVERFQEICRIGNFVNSCEWRWFLAIAASDLCAVSIHIFSFQKYVKT
MTATTLRTIYKQLVPSAIRDAVRPLKDPLGMYVSQVIRQKSNCEVMSGPFKGMKYNKMDNTHPLWLLGTYEMEIHPAINLLQSFEFDQIINVGAAEGYYAVGMSLLYPKASIYAFEAQTDTLHPTISKLASENLVGGRIEILGLCRPSDLNKLLEKETKKSLLIMDVEGAEVELLNKSKVSGLARTAVLVEIHDMFVPDCSKIIYERFKNTHKIEKYTTRPRTLNDFPFKTFTIPSWLTKQAVLNFMYESRFSKEVTHQDWFLMLPLLR
MSSSMSDGSSSSRQWKSSPVPYRVGPLDYQPAVMCQCRCPAKTARWLSWSTDNPRVVVSGWGAWCDGPTSSFIRELLNDLRDMVNSLRRWKELLQKEVEDSRAKGERQRREIDYVRAMVAVKKEEIRSLKARNQKLEKEKKILKTYEHCLLPVEGMNSCPEDDREALKAPGYVKMPGRPRTETRREANEPAKPTKASRMGTVMRCKKCKQVGHNKTSCDRHHAVARGSTNTAPQQDNNLVLSVEIMDTPYPHGVDIRLGMGVPYTDRIYLVKDSIVMLTCVSRKYPIVLIGYDYNLSLHA
MVADSAAITGKKFLRLDWTTGLAIGLISIVAVFAWFFWGRAFQFVVALSVATFGLLYLRYLEIPILVLGFLLTSNIANYIPGSTSVFMILTLMVLILRKLLVGDLKWRFGPLIIASLIFIAYYQSTGLWVDRTEYFSWELIYRVVPVLIVVSELFSTPRHHVWFFVGIAFGMLFTSLSTIRTAFEFYTTGAADQIAGSVGYIESTRFYGHWPDPNIMSMTLAAYLGGVIAFWRSKLHFLIRALMLATLVTTVAAILMSLSRTGLVGVVIVVAMMLAVERRRLLLVGILFVVTVILLTILPVDLFGRVADLLSGTDKSTSQRLSLLTAGWHMFWENPIFGGGLGHYKSNVFYYLIYLGQSLFAHNTLVDLGVDGGLVAIVLFAVCVAIALRGLDWKIKYVDNSDTVSLLNAGLRAGMVATIFSFLTMTAYSFVPFWVLMTMSAFYPAATRNQASTQLQAV
MALVTYEEVRPWARAIKLRTSLGPHAGVMPPWFVEKDIGIQKFKNDPSLTDEEIAKIGLWVNNGAPRGNPADMPPPLNFDDSDKWSIGEPDLVLKSKEVMVPATGPDWWGDVGLIPTGLTEDRYVSAVEVREINDIPKTGPTKTVGGRFVFHHMTYVSLVPGERDANSADEGATSWPIHEVG
MHHFALVLLASSVSSCVRTTPSSSTTLSRAKLLESRSQQQQRHSLNVKAAPVVVAHFPKEPPSRLQTDSQELRIQDHLALFKQASAKVFRVSGGESRPKPAEAGHPLKWSSEEILQPQEKIEIVIQKEIANFENEGSGQGYSTERTTVTTTTAAENSGVTLQNGQEDQCKSCPTLFMDHKTDERTLCSNWEHVQGSFALTMAPKYETKDVLYGYNKCSRTVICDEPYMLVR
MQEHNQLIDLMVASYSTKVSGRLQPLHHTGLIQLRSLSFAHVFSDKSGKHRCTGINKMLEVFEDAALRPPGVIRAHFLPAPSPDFRDSSVYMTALHTLSRYINRHLGKRSHFQAFELHQYHTCPQGDSQARLDIRTCFKFILIKHIK
KLNQELQEKINEQESKIKEIESQKQTIIDQAQKQAELIIKKAIEESQNKAKEIFQQAYQEGIQQGSQKIKEELEQIYNQKISQLIQEINNFINIRQKIIEQFEEEIIELVFTVAEKIINKKINEEPNIVTSYLADLLSKVERSKSITIWVNPDELEDVRNYRDKIKNILEDVETLNIAPDERIEKGGCIIETNFGKIDSRISSKLDVLKEIILKGKNA
MASAKTNTQDQNINTQSSMNQEDAVKVINNLLSDLETGKENESLNQENLTTIQGLLNKLGVKLDREVGSKDDSVRSLAGIVEVVNKMASAKTNTQDQNINTQSSMNQEDAVKVINNLLSDLETGKENESLNQENLTTIQGLLNKLSVKLDREVGSKDDSVSFLAGIVELVNKMASAKTNTQEQDVNVEVSTLKAETLNLQINDLVTDKLILTKVSTSLNQTSNTQSSINQEDTVNVINNLLSVLETGEDDGLLNKESLTTIEGLLNKLGVKLDEEVGTKEIKTYDILRGLVKDVSQDVKNLVEAKTSKETLQNNILAKGLTLKEETPRYNNADVTLNKVVNEANYVKETLADRSFSDGGKESNQNNSRTLNEEISKETKLLSSILDDGDEKENNKFSLIVDRLGTQTTKQIMPEPTVINKESIATDVIKNVKLMVTNNIKELTVKINPGQLGEITIKIIEEGGVMKANLKASSRETYHLLSQQLGDIKKNLGEQNIKIQEVNVSIYEEDATFYKDGQFSSNSFQNDANNRQNKGTEFTGEFTEDELKDNNELNEEGNINMLA
MFHLIWAKFCLEGLKILFRLIQHSTTTLRVTTTHSPIHPLTTLQVTTTHSPIHPLTTPRVTTTHSPIHPLTTLQVTTTHSPIHPLTTPRVTTTHSPIHPLTTLQVTTTHSPIHPLTTLQVTTTHSPIHPLTTLQVTTTHSPIHPLTTLQVTTTHSPIHPLTTLQVTTTHSPIHPLTTLQVTTTHSPIHPLTTLRVTTTHSPIHPLTTLQVTTTHSPIHPLTTPRVTTTHSPIHPLTTLQVTTTHSPIHPLTTLRVTTTHSPIHPLTTLQVTTTHTHPPTHHAAGHNNSLTHPPTHHTEGQLSSHSAFPLCFSLLGARHTGVPLQVTFLPSVFPPRSAPL
MATATCLASLRTITDELPRLTASIETLTRLEPTSYSPLSPSHVDDIQSLVSNMALVLSELGAISSTIHHIQTSIHESHRAHSRMATALARLPFEILAEIFELSQLSTQQSSQELSLGVSLYRPPRGHCGIVNVAQVCRDWRQVALATPRLWNRLDIITEAPLSSSVVHERLQLLERAKGCPLFSASNCGGDASGRRTRTVIMCFLREPCTAFRT
MIIRREERREGRKKRRGTRIESEAPSSLKHLALTLALLREAQRTHDGSIEAAEAVIVKPSSLRPGPASNILTRALQGVGYT
MPKHKAPYMVHTSFAHPLYQLEIAAANNDYRTLNVNSLPASTKDVDASRALEEEHPDTLLRLGRQGLEKIRKIHPMKERIAQNEGTHFLETEADVLDASRLYLLHPVNIAIGQLLRGGIKLVCKREHTASNTARTDILWVHQHGEDTTDVAVLEFKNTYILHENEFRAAMGSDDQAEGMVYKAMGTGSEKTLLNGNAIVVSKQARKYHQALGVADVALFDWNNMFVFDFSGMSETVAHPKLARGIWFSEAGQNHRNHETFRAFLLGFLIRALHRLRLTPGVKPKRRYYSLTLSSSVNAKSP
MFVEEKPGAIRHTVDGREYFFCSTQCLNEFTAPEKELKKLKTITGVSIALTIPITVLTYLMILPKEINSYVLLALAIPVQFWAGWRFYKGTRDAIKARASNMDTLIAVGTTAAFLYSTLVTVMPGLFPFEGVYFETAAIIITLILIGRLLETRTKEKASNAVRKLLDLQPRMARVLRDGGKREEEVPVEQVQEGDLFLVRPGERIPTDGTVTEGSSSVDESAVTGESIPVDKSAGAQVIGATINKSGLLKARATKVGQDTVLSQIIALVQEARTGKAPMQRMADQIAKYFVPAVIAVAVASALAWYFIGGIGLTFSLLAFVSVIIIACPCALGIATPAALMMGTGKGAENGILFKGGEYLEIARKVRTVVFDKTGTLTKGQPEVTDVMALSETMGGQQELLRLAAIAESGSEHTLGQSVVRKAKEQGLVVASPESFEVVSGHGLKAGYAGHAIIIGNRKMMADNGVAVPDKVSATMSRLETEGKTATLVSVDGRLAGIVAMADTVKEHAREAIDSLKEMGIQVIMLTGDNERTAKAIASSLGIDRVIAQVLPQEKEQVIARLKSEGKGAVAMVGDGINDAPALARADLGIAIGSGTDVAKETGGIILIKNDLRDVVAALELGRKTVSKIKQNLFWAFAYNTGLIPIAAGALVPAFGAQVYEWLPLLAGGAMAMSSVSVVANSLLLGRYRPRFAAAGRPEKEQMRTEKELKQPYYHYTEAT
MARFEAGETAETQGSGGALVRAVRLTVDGMTCGGCARKVEAALKAVPGVMDASVDLPGKAAMVKGSGASGAGFDAEAAAAAVRQLGYTVSVAETEAETLHLHIDGMTCGGCARKVEAALRAVPGVMDVTVDLPGKAASVKGSALVPGALVAAVAALGYRVDMVESAKADAGLVDAELVDAGPADAAPAEPPVAEPAQTLTFEIEGMTCASCASSIETALKAVPGVQDAAVNFATESAKVTAAAGVSSASLAGALARAVERAGYRAVLPAAGAAGRAGTHSIHLSIEGMTCASCVGRVERALKAVPGVMQATVNLAAETAQVTVRRGVAASALVAAVDEAGYRASVQAQVEDAVRAEASRDAVRQAAVRREGWHAALALVLAAPMVLPMLLQPFGIHWMLNGWVQLVLASLVQFWLGARFYRAGWKAARAGTGNMDLLVAIGTTAAWGLSVYHLLVEGHNAHLYFEASAVVIALVLLGKWLEGRAKRQAGAAIRALAALRPATARRVAADGQVADVPVEQVGVGDRVEVLPGERFPVDGLIADGHTTADESLLTGESLPVEKPLGAKVAGGAINGEGRVIVQVTATGAETMLSRVVRLVEEAQGAKAPVQRMVDKVSAVFVPVVLVVAAATLIGWLIAGAGTEAAVLNAVAVLVIACPCALGLATPAAVMVGTGRAARAGVLIKDAEALEVAHRVDTVLFDKTGTLTEGKPAVVALIAADGADEAHVLRLAGAVQRGSEHPLARAVVTAAEERGLADLKADAVRALVGRGVSGRGDGRELRLGNQRL
MTSPDRAVTLRIGGMTCASCVRRVERALNRVEGVDEASVNFASATARVSLGDAIGAGDLVQAVERAGYDAAELEAGAEAPDEDAKARLLMLAAGGVLAVPVIIASMAMDIAGLALFGSERVTGWLLLGGAGVVQALLGWRFYRASWPALRALTPNMDVLVALGTTVAFVFSAWVVLADRSEAMFFDVSAAVLLFVSLGRFFEDRARASAGGAIRALLGLTAKSVNVLCDGEEEAVAVETVAVGDVFRARPGERVALDGVIRAGRSALDESLLTGESMPVERGPGEAVVGGALNQHGVIEVEATAVGEDTVLQRMAALVAEAQGSRAPVERVVDAVAAVFVPIVLVLALGTFLGWGLLDDSFVRAMVFSVAVLVIACPCALGLATPTAIIAGTGMGAERGILIRNAEALEMAARIEAVVLDKTGTLTEGRPELVELAPGAGFGEDEVLALAAAVEALSEHPLSAAVVEAAEGRGLALAAADGFEALPGAGLTGEVDGRTVLIGTRRLFEERGIALEGEAEAALARLEEEGRTTALVAVEGVYAGTLAFADPPKANAAQAVATLRSLGVRTIMATGDNERSALAAARALGIEEVRAGLR
MENNKIAADVSAQRVNLALSGMHCASCANLIEKSLKKLPGVKQASVNFAAEKASIFYNSTEVKIASLLQAVKKAGYYAEEIDVQDADFEKRRQEKEIKKYAQKFWFSFFFSLPMLYFMLLDFFAIPGKTALLPYVGIISLLLTIPIQFVVGAGFYKGFWSSLKMKTFNMDSLVAIGTSTAFIYSLANFISYFIKTDSLIGLGGKIPELYFETAAYLITFVVLGKWLEIRTKSRTGDAIKRLMGLKAKTARVIRHRQTLDIALEEVVCGDIILVRPGEKIPVDGKIVKGSSAVDESMISGESLPIEKMVGDSVIGSTINKTGSFEFEAVRVGSETILARIIRLIEDAQGSKAPIQGFADRIAAVFVPIVIGLAVLTFLVWFFLLGSTLSFALMAFTSVIVIACPCALGLATPTSLMVGTGKGAEYGILIKGGEPLQAAGHIKVIIFDKTGTLTKGQPEVTDIIALEKMAEADVLAIAASLEKLSEHPLAEAIFTRADRQSVSLKEINNFSAIPGLGVHGEIAGVDYYFGNRRLITEKLGHVIDAIYNQLVELESQGKTVILLATKDKVIGGIAVADTVKSTSAEAVAKLKKLGLLVYMITGDNERTAKTIAAQVGIDNILAEVLPEDKANEVKKLQERGYKVAMVGDGINDAPALAQADLGIAMGSGTDVAMEAGGIVIIKNDLNDVVTAFQLARETMGKIKQNMFFALFYNVIGIPIAARIFMSFGLVLKPELAGLAMAFSSISVVGNSLLLRYFKPSKRNYLSLIAPVLMIIVFSFGFWEFAKLSSNMEAGSMQVLLVSKQKAADFDVFIAEHEAKINFLDGQPKLFLGIDVFPSDIRLDEGSLVLGDNEMVIGYNEAMMMKKEKLIQGSGDSLKDFFGLSSVKVVGILEQTGTPMDDYHFVNQATLAKISGASASKP
MAVGENSTIDDKSMGESVELCLTGMTCSSCAARIEKRLNRLDGASANVNFATEKASVSFDSSLLTTQDLLDAISGIGYGASLIEGNDRSSGEIDDESRYLDHLNPLRNRLIISAILSLPVLALSMVPSLEFQYWQWVALALATPVFIYGGLPFHKAAIANARHLTSSMDTLVSLGSLAAYLWSLYALIFTDAGGPSFRMMFSLTASINSHHPDIYLETASVVITVILFGRYLEAKGKRRSTSVLRSLLLGNGQVVHKILNNDIEVEIDVVDLRPGDRFSVRSGEKVPTDGEIESGEALLDLALLTGEYEPKRFKSGMEVVGGSLNVDGFLVVRATKVGSDTALAGIVSMVEEAQAKKAKVQAIADKVSGIFVPSVIAIAIATFLFRVIIGDSIALSFSSAIAVLVIACPCALGLATPMAILVGTSRAAQMGILIRGPEALEAAGKIDTVVVDKTGTLTTGTMTLQEILLEVGFDYDFVLSMAASAEAATTHPIGRFIVSLSEERELEVQKADLFKSYVGSGVMAQVAGHSVAIGKLDFCLSSGFEISTSFQSKVDAYISNVSITTGLSRSYIGLDGIVVAFMAIGDEIRDDAFETLKKMRQSNLDVVLLSGDNQESARITASQLGIERVVAGATPSEKADYIRELQSQGARVAMIGDGINDAAALAQADLGVAMGSGSAIAQESGDITVFLGGVSGAYSAILVARATLRTIHTNLFWAFAYNVAAIPIAMAGLINPVIAGSAMAFSSVFVVGNSLRLFGFMRR
MKNSEFNLQNVKCAGCVGKIQSALHKVSGVKNAQVNLLDKTLYVEYLDEKLDTQVIAEIEKLGFGASLDKLVEQKVNVWINIVLPLICGVFLMSIGMLPQFMINPLTTIGFYTGLAYSLVSLIITLGVGKKIILSGYLGFKTLNFNMHSLILLGIGSAWLYSVGVIIIVHYCKISLAPHLYFDSALMILGLINLGAYFEERAKTTTSNAIKSLTNLIPPSTTIIIDGIEQQIATNLLRTENLIKIRPGEQLPADGEIINGEGYINEAMLSGEPNTVHKVIGDKVNSGTINTSGAFIYRVSAVGSNTLLGEIIKLVKSAQLSKPPLAKLADKVAQIFVPSIILIALTSSLSWYFFAASNNFATAVAVFMTVLIIACPCSVGLAIPVALMVGIGRGAIKGILIRDASCLGQIDKLDIILFDKTGTITIGKPQVINLEHIPQITVNQTLQIFKTLELNSEHPLAQAILSYQSEIILSNTSTQFKSVTGKGVSAVIDGITYYAGSAAWMQEMGLSNEVLTINNHYSQVYLATQDKILARIDISDALKPDAALTVAKLQAQGLTVAMVTGDNNSSAKYIAKQAGIEQIFAECRPQDKVAIVKQYQAQGKIVAFVGDGINDAPSLAQAEIGIAVGGGTDIALQTASISLLRGSLTAVDDALNLARKINLNMRQNLFGSFIYNSLAVAVAAGAFYPLWGILLNPVIASVVMSLSSITVIGNALRLRRA
MTGESKPVAKKQEDKVIAGSINYDGYLEYKAEKIGKESTISEIVRLVIEASNTKVPIAKIADTVSGYFVPTVIIIAIITFLVYLCLGQDFSIAISTFVTILVVACPCSLGLASPLAIVVSEGLCASNGILVKKSEVLENAQKVNTIVFDKTGTLTYGTLKIAEIINYSNIEEKELLQLVGSIESMSTHPIGKAFKEYLEKNKLEKLEVKEFGNITGLGIVGKINGQKLILGNSKILKEYNIKNIYHQDEEKLTKNGNSIVYVANSDKILAIIGVNDIIRENAKETIGILNKNKIETIMLTGDNKETAEKIAESIGITKVIANVLPSEKAKIIKELKKEDRYVMMCGDGINDSPALSCSDIGVSVNSGSDIAMDSSNVILTKNDLESIINLIKISKKTIRNIKQNLFWAFFYNCLMIPIAMGLLKPIGIGINPMIASVAMVFSSITVILNASRLKNMKIKK
MTRPAPNDLRIAHTVPGRIRLKSSRLRHTDGAALTQSLSGAEHIVWARHNPIAACIVIRFDPSVEAAAIVELAGKILGIQPPDNGAAQSLSSCPASGCSVYRPSRDSMPPENNVKGAAIRFGGLSAAMCWVLLRRLATGSVMPVGLLSPLGAVAVIGALPLLRGLVRDARERKMRLESFLAGSICTAIAVGEVVTALEVLWITAGGDLLKSWITERSRRAVAEILEITRHHTFVLRDGVEVEIHVDELRRGDIVVAHTGEKIAVDGRVVRGHALLDESTLTGNAEYPERKKGDCVFAGTLVRQGVLYIEAECVGENTYLARILHMIEEGLANRAPIEGVADQLAQRTIRIGGAVTLGTLLVTGSAMRAFTVLLVMACPCATVLAASTAVSAAISTAARNGVLIKGGRYLEQIGNVDVVCFDKTGTLTTTSPRMETLVTRNGATREDLLQLAYSAEIHNHHPLAEAIKVAADAAGVSPTPHDVCEYYLGQGVRSVVAGQTILVGNAKLMKRFRIKLSEVAEQAESISERGLTTLYLARDREVVGLFGIANPLRPEAVVVAEKLAAQNVELCLVTGDEARTVTELSRRLGMDKTYSSIMPDGKSAVVQELQSTRKLVAMVGDGVNDALALAVADVGVAMSAGASEAAMEAADIALIRDDLGQLTYVRDLSRRTTSIAQQNFWIATGTNILGAVGGAMGLLNPLTAGMLHIVHTLGVLGNSSRLLTYNHTTEKH
MKKLFLAAGLLILGVVFKGYKYFSLGALLIAYLIAGLDVLKLSAYNIKNGQVFDENFLMSIATLGAIGLGDYSEAVFVMIFYKTGEFFEDLAVGKSRKSIQSLLEYAPDEVRVIRDGEEEIVDPDEVEIGEIISVLPGEKIALDGEVTNGSSSLNLAFLTGESLPVDVEIGDQVHSGAINLSGHLEIKVSKTFDDSTVSKILELIEESSEKKSVLENFINRFSRYYTPIVVFSALFLGLVFPLIIRDAFGPWIKRALIFLVVSCPCALVLSVPLAFFAGVGKASREGILVKGSNYLEALARVETMVFDKTGTLTEGNFSIIEENSYSSDFDFKILAGSLESYSNHPIADSIRLKYGSDLKDEISNVQDVHGKGLVGTYKDKVLAVGNEKLLADYNIEAPETKNIGTKVYVAYDGKLLGSLVIADVIKDHSKEALSSLKDEGIKSLVLLTGDKDEVADQVGNYLGIDKIYSQLLPDDKLKILENLLDKEGKSMVAFVGDGINDAPSIVRADVGIAMGALGTDAAIEAADIVLMDDNLNKLPRAIKIARQTLGTARFNVIFSLAVKILVLILSVFGLATMWMAIFADVGVMLIAVLNSMRLMKN
MNQNGKENNLDVQILPSELLELEESTESNTCGCGDCADLTVNIAEEKFNWLNQAIPYVISLVLAIIAAIIGLKENNQEFIANIYFYVFLLASYGFSSFNVIFGFLKNLRPKTIFDERFLMIVATIGAFFIGEFFEAIGVMLFYNIGSILEKFSVFKSKRSIKKLLENQPKYANIKRTDGSINRIDPSEVKIGDLVVVRPGEKVPVDGKMVSENSIFNTSALTGESLPRKIIQNEKVLAGMINLTNFCEISVINIYENSAIARILYLVQHADTNKSKTEKISNRFAKYYTPIILGSAIFLAIIPPILLPGSSFSDWLYRAMVFLVISCPCALVLSIPLTYFIGIGESARNGIIVKGTKNLETLAKVNTVVFDKTGTITKGNFTVSKIMALNGHSEIELLEMTASLEKYSTHPIGVSIVEAFKEKGLEDSHQSIENFREISALGISAELDGSDILIGNDKILHEFKIPHLHKYCSISGTVVHIALNKIYIGYILISDEIREEAKESITDLRKLGINDFMILSGDEKSIVSDIAQKLGIKNYYHSLLPEDKVTKLKDIKTHSNIVAFIGDGINDAPIIAHSDVGIALAGIGSDIAIETADVVINSTNLLKVEKMIQISRKTRMINRENIALIVLIKSLFLLLGAIGIASMWGAVFADVGVTILTVVNAQRVASKNNKKKV
MRKRSTGLLPFSAESGGERVICPDRAGLEKIEIGDPKGGKNGWIRCTARGMLIVGTFKGTSQIRETQAVQGVRALLKEVPLSPPSQIFPFKSVACKSFARALLGRHRDKLLLPARWLNSSGYEARSGGPGMDEARLQSGCATDPSSFDRPRTDRTTRNGDVEIGAA
STAPMAENRSFLDAVRARVAEWSFWGQAFWGIWRSNNGSGQMPNRDAFQFFEMTGALLSSLVRRKTIKQEPQGAIAAQALNPGFPHQNEGWMLKGASNAHDAAPFTSDPRPTVSRA
MKFQGQTAKRQVFGNQMHTLPEETSVRKPNAYITGRDK
MPWNFTNRQLDPMTLTVDGAPVEVAGGATVEIAAGPTSIAYHRLNYALVGIWPFPADQSVDARYDGGQNIRVQNPNTGTTAIYHYQEPLR
MIAEAFDEERIAYRFELAEGKKLSCIETQKTLRIRQMAPSQGTLYVFIPKKMLLENCSIRVNRADIRLEGVQAVHILAMLNMGSVTTSECVFKNAVINIARGSLSYDKTQIVKSCAFTVTDATAAIVFPSEEAEYHIDYVQNGGTLTIAGNELTKSPGEYGSAKAKRRIIFSGGAAKTSINFTKKDTKTAASKQNSGN
MTLLNDPQLLIFRSRIEHATLSFKTVALPFEATVIVMGNVSHKYTIAAIWSKPSLGTVKRCYSSKHIFAPYDDEEEVNRKKEQLSDDKPWDGEESVKDAVLRMIVDKYRAPLRVEGAARRNLPQPQPYPPHIMQQFAQDKSPGKKQVERERKNKAMKQNRLMNAKDAALDYSLGKKYPTTNEEGDSNVSRKEFISINDIGLLCEEKIREAKAKGEFDNLPGSGKPLQEDYFKNNPYLDQTEYLLNRIVQRNGAAPPWVIMQQEVDTELNSFKLQLSLVCKRCIDELKEEGRPVLKTTLVQRFNQAEKSFFEKQVSSLNSRVRSYNVMCPSPVRKQLLELDKELASVMEKYVK
MSDSKNLAKTGWKILAFGRGQHLADKAKVYLDSLGYQTTVLSLADDPESDQKLIDALKKDQFDAVSVGGGINGYDKSYNPDFNQLMWFNRLVNIIHENSPKSTKLIFVTSPDDIDAGVRRILGEKNALSTN
MIYRATFDTDGLPTGFYPEDIWPEGYPDDAVEISEDHYQEFMQFGGFRRWGGSMPVPYEPPQPEPQVPQRVSKAQAKLALLEAGLLDTVEASLAAMEGVEGQRARIEWNDRTEFHRDHEFIGLLAGAIGLSKEQVDALFTRAAAL
MLSTVLRLFSSMKSHNQCLERVSLTPVKSVSVALLTTSDFALLQGSWHFKGFPEEEEANGNGIRFRGFIQQQQQSSKLPQEQQQQQQSQSFSPSTPPPTSVDYRTTKRRKGIAHRAPMAGLIIEY
MKILKPSRCTSRKAVGSSGAETLPKAEMQGASATTSTRSMNRSCRWHSVPMRKTSPLRQNLTTRPAPGFFRVATAKCIAFARLVKTSIAERQSWVGCRPTIESMQMSMAAHPNRLPETTPGPTSGLAFGGHPSFLLSLAASFHFLSQQSKKIGTSINHVAYGDQFSRTQTLVKHMMSLYAVPADACFTDASCALCAMRIAHIALHIRAAKLRFT
MGDLERGEGLASQAAEDVAAYVNYYGLSGDPFDSEKPLFFATPQLEKSLRLFNYLSRFSRKLVVITGPVGAGKTTLLENFVGNQGDDNQVCSFAALASDSPIQVLLEIAEQLQVPELVGDETQAQLHQAICDYSLDCLDDDNHCVVVIDDAELFDQSVLELIYEMATSELGQRCRISFLLSGQPKLFADIQKIVPADMMEKAVFHQQVPAFSYEEVVKYLHLHFIENAGQAKAPFSQNEFKKIFEQSQALPGRINETAKQVLLAGMGNLLATEEVPKRGTKGFILALVGAVFFVGAAFLWWQGSDEPANSTIVESNLVGQIERDNDAVEVQDREPEQPIFVEPERAVAEQLSSLEQSDTQHDAVGVPIQKSTENRSEENIAPVSATAVEVIDEQGPDELGVAMVAPSDIQEPPLSSQLLEEVETRTVVTHLMAGEAREAETATEPVVESRLEQDEARILAFEPTSYTMQLLGSKQQDSIRKILAGLPADKQAMYFEKTHKGAPWYVLIYGNFPDKVTANAAVDNLPKALRSYKPWVRSVSGIQDAIKAN
MSSTTLPILLRRVSSIEVNTTITTDGAAALIQSSSGKPPAPAVLGGAIGGVLGWLLIISLVVGFFMWKKRKREMMERQGGFEESELEEGTGGGKGKQAVAKDPAVLRDAEWLGLDGKRLVELHVDQKGCGPGVDRLK
MSTFAARVAASARADGYAAVGAALGAADSPLHIAAATRTSRLLTQVRRGREPERALADAVQDGNGIPGFGHPLYSGVDDRADALFPLLAQLPDGERTMDAVTRLSDTVAARAHARPNVDLALAALASGARLPDDAASSIFVVGRLAGWIAHIAAEYAEAPMRLRPRGEYVGP
MPQSDIKAFQDLFQIAVKLQPLFFFGRNVSHLRLGASRVFGRLRHQLNLARHNRAQTFYITEVLTWIFAAYAIESGQTSETY
MLFRRVLILGIDCLDYYLIQKWRLKPYLLDCCGYHYVGTDLYTPVIWAKFLTGVDVSTYGFDSRKISKVKRIKSLYYLYDFFKYLQKITDDKIMPFLKKELLKQVNTSPYVSSSIIKKAFLKFIISDSYEDLRIGEKILLRLLQKASQVERLPKKLMEKTFVHFAIRRGLRVMPIEFPPINDNIYSLIRIMLYFYIGSPVHERQIFLNHAWKLTKITLDLLLKKLEEYDLILWYTPYIDIASHMFYKPKNLRYMLKLYTVYRKLGNEIEKVVSKVYNDAIVLIVSDHGYNPARQDHSYFGYWSINLANIEKPRTILDFERLIRRLIL
MIIEDLPALLTAVIWAWASIMYGDFMKRMHPLTVNFLRMLYASVVLLIPAMVLGLNYGAIWGSLSGLLSLVIGDSLYLFSINYSGVSIAAPASYTYIPLTVLFAVFLGEPLTITKLMASILLIPGVYLLSRGGGSRREIKGLFLGLAAAVAWSAGQTMIKIADIAGLNPISIAFTRVAAAGIVLFMVNRLTGSNIAKAVRETVRSYLPIIAVLDLGLGVALFALSMDLIGLGLTVILTGSMPLIAQVMSSIMGRERFSIVKFLGALIIVVAIILVMLSWP
MNSDLENIFSNNNIEIVDVIVKTIGDPTDPTPKLIRLNLLDNLLSIRKKLEKDCIINDTLSFSKNLDHEFAEIIHEDEFRLYDIIYKIESENILYLTHCSIPNWEYLNTLHKLDYGCIMTFDGIEKPKNRAFVMKNCELINSSMGYIKDSVEFKSIEEWIKIINLFFYTDDINVDNFVKLGMSTGSFEK
MKRTPSYRIPTQRFYHCRLDQTFKNYHNVGMRLWFLFLMLPAICMAEEIDTGLPSIDNGKILYQDNCSACHGIKGDANTTMASTLTNPPRSFSDPKVQETLTPIFAFVTMAEGRVKSGMPSFQHLTERQRWDIAAYLFTLQSNLTPINDPRPELTWEESKEKSNLE
MKFEYEEILQKLDCTTIPTIKMYFQTSNNIQTTIYEGNLEESASKILFENIIDFFNIQPIPFDNQKTYDFKGKKEDASIEILNLEDFEIMNSIIQHTTRATQDLTNLDFKKITSYIINLEFEDGESLYLFTAFQKGMYLDLNKKKFFKLDGDSLKEAILENNLISIPKTFDICVYKNTVFFNNHSQQVLNKIIDFNVFYNSTIDKTISLIKDANIFSDINAFQIAIESKKDNKIIQNKLARLYVTKKDSFTELTKMHSDQVGKFRENFYKLKEETNLTFSLDENNKIKIDTNNNEELLSLLSFLIDAYGKTFMLGNITED
MQGACQPVSFADPNLEVAIRKAIASAKPHLYADYGDTYQGDIYAYMLDEVTELYAGRQNIADLSGLEYCTHLRSLQLDFNN
LVSGYLVHHGYVATAQAFSRWASVALSVTDAQSDMAESPSPLSATTNQSPVHYDKPPIAAGLQSRSCDSHLGSQQVTGIPEVKPPKLVNIARRHSDSSCIAPTNLPIHQLPGITSMLHRRRLRSLCRRCQYGRAAATLNHLYPQVLERCPELLVQLRCRQLIEMTTSVNNCMLQYNFIKILTHYVCLHTQMRRHAMRRGRSTAFGSTASDSATAPPKVPKTNSSQHVRSSCCVPERTNKISEPHSLNISENGTTITDMEVDSDQPSQGGLSSNLRLFSLPGSDVTSGQGDSDEDVGNGTSNIPTQESTSCLTGMEVDPQPDGSQATAAPVVDEMSKPIPQIVEKSELPCLMRHVQFGRSLVNLVKQVRAKTGGLSLETERLLQQSVSLLAYPSPSAPDCPLRHLLDPAWRDAIANVINSAVLKAHDLPVQPALEQGLQALQHCLDDQYFLEAQTLGHFLLYHLGPSKLAKLERDAAALAAVNQQKVTKRHRSTLSTSHDEFVPSDSAGQTKSRSLVLNGHSVGRRRRRRCDRPTEDPDEGSNDRSTYDAEEWSSSSLEDVLNKAEDEDDEDDEEDAADKPGQSRLGRLAILTRYCPTLLVDPTYTRVLAAVPSSTASYSRRLVNSSGANRVNVPDSPVVYLDPVVRPTTTTAPRTTRPSETGTPPPPNQYIDSAFNGLLSFYPQPAEFVAAMDAMDDAVKAYVGSLLVTDDEQHLDPTLLPLINRSHRSHPRGDGPPPPAAGGSALA
MKKIVLALFLIIFFSCKNEKAQPKTNIKPIQNKELIVRLGFKTNVKDEFKILLNNIKVDEFQKKNIQVRETVPPSSGFESIVANLGSDVNTRNLLIHLGKKEKTVVFNGIEFSYGNKNILVTKANFNKFLNTNKFLTLEDNGFVFSTKRINGKHNPAIIAKKKLLDSLFK
MADKNIFEKLFLEAEKTNLQVLMDNALKVFKVGSRKNIVLGQNNGFYELKPNQGKLIIEVSNKTKKTQAVDVNKIGYFKMAQYPAKINHRQSADIYLNEGFYSDKIHPVPKKIYFLISLMFGMVMLGLIVKLWI
MANYMAVDVGGTNVKYSLMTDQAEILEKGEFPTPYDEGLQGLVDGLKKVYDSYADRNIEALVMSAPGKIDATKGYFYTSGALHYIDGINLGDVLKDVIPVPFAVENDAKAAALAEIWKGSLQGIKDAFVMVLGTGIGGAVVIDGKLYRGHTFAAGEFSGIPMNLYAFPMEFGNAWAVTNGVGRLVDRYARLSNQDPEKLNGRILFDAANHGDEYALQAIDDYTKTIASGIMGLQFTLDVQRVAVGGGISKQPLLMDYIRKNLTLYYSAAQQAMALPATAPEVVPCTFGNDANMIGALYHYLYELKGAAK
MESKKESLKQVNLDAVLLGDETLEFENSRFDPAQIEFYGLATKLADSVGYAAELSVEPQIAQLLRLRVAQINKCVFCLTAHMQAALDRGIPVAKVFHLPAWRESPMFSASDKAALAYCEALSRPDRPGFGEIHDALTKFFEERQIAEIAAIIINMHLWTRLKLAQGQVPVTSGES
MKATVQRYNLILAPVLVAGIPLLLYTLDDVPRRTVLKETLSLLTLLGFCFLLGQFYLSRSHRMVRRFRMSRVLRVHQWIGYGFTGLLLLHPFFIVVPRFFEAGVAPGEAFLTMITTFTTPGILLGLTAWGLLLLLLLTSWARRRLGLRYKTWRWFHGVLSLVFLITALWHALDLGRHTTGPLAFYLIAGAVLGAGPLVQRYRSEIKTGVTS
MQNKIKTYNRIAAILIFIGLPVLFWALGDVPRRSNLKETISLITLISFSLMLMQFFLARSNRTVIKEHKMSRTVNWHRYIGYFFVTVLLVHPFLIVLPRYYEAGIDPIDGFIQMLSTFNNPGIILGIVAWNLILILGLTSLFRKIIPLSYKTWQVIHGILSMLFIAIASWHAIKLGRHIDKPMTTFILIASGTGVLLLLKTYFFPKTKKHKNE
MALTSMDDLPQRYAHLVGAGRKNRPRTLARYSLVVIGWMWALKFASYEQSLVSERPLRLSGVVV
EEEPAANAPKAQRDAYQKRVDDASDAKCLMLATMTSEL
MNRTTSPTAWIIGLALGYFSLNALLLGLVSPTAEWDHAEQLILSQVWQLGYNSQPPLYTWLVQGLFWVTGPSLSALLALKAALLTLFVAGVALSARELGLTREQQWIAVLGLSLIPQMVWEDQRNYTHTVLALALAAWTLYQFLRLRRTDGWMSYALWGLLLGLGTMSKYNFGLFAVALVQGAVTVASYRRLLFTPRLLIAAAVAAVVFLPHLIWVLQNVEPASEGFHKLEMTRGIGWATLFDLAGGLLASLGVLLLVSPLLIRRLPSGSRQPDPACTLLARVALAGVLVAALMILASGARDIKEHWLQPLIFFVPLLLACHAAPGPRALRLFRGLVLVVLLGVSIALPGQALFADPERPSRLNKPYRTLAVQIQAQIGGEIDAEGVGRTPALILADSDPLAGNLRLAFPRAIVMSQRSLFPELLPARDWLIVAETPLDAGSAFRVWLGDRLGIETLTPAVARARYYHIDAGELELHWAWLGQGR
MLGWLERRFSHLVLLIAGYFLVNAAVRLMLPASLELDEAQQMFFSQWLAAGYDSQPPLYNWLQYGVFALIRPSVLALTLTKNTLLFLTYLFYALTARRLIANPILVVVATLGLLTIPQIGFEAQRDLTHTVAVLFSSSLFIYALTRTVEKPDWIGYALTGVAIGCGALSKYNFTLMPVATVLVMLTDRDMRRRVLDARLLLTIGIALLIVAPHALWLKDNLGLATERTMGKMMAEAGEPWLSQVAEGLASLIGAVIGFSAVTLLLFFAAFRKSLWRAIPALSGWARFFEKLFVAFLLLIAALVLFAGAENIKDRWLTPLLFLLPLYLALKLDKSALLDRAGARRMVVIGLVLMALIPTALGLRIPILGALGAYEKLNVPYAAASAEILATSAQRPALIVTNDQQLAGNLRFNIRGAPVVMPGFTAFAASEVAAPTGPVLMVWRNRGKAEPALPKELAAWRASQPVLAPLPFLPQQTSTAYIFGRAGDRYHIGYAWLTPVIP
MPFRLLPTPLSPSPSLSFSSSPRLLFPHTHTHVQGARGEGSVGLFPALVLLRTVSRAWPARFGALTDFCLCIGPSSFSRSLSLSQSIF
MTPLFPHYDSETPEEIVDQSDDEEYTSVDDFPVVRNKRKTSESGPPTEKRRGGGYKTQERTKARNQD
MFNSKKSSSYKASSSGELALETNKLGRTSFPNLVYGCGNYKNSMSIEKVSSGVFGLESGPLSFIGQLRESLGRKFSYFLVSHSKRNVRRKFTLRNLSSKLNFGTSAIVSGRGVVSTPLVTQPSSPLYYLTLEAITVNNKRIPFTRLNRSKEEGEVDIIEEGNIIIDSRTPITSLPANIYQTLKDELKRSIHAESIEVPDIPWTLCYVNN
METWHKAWILSGIIFRQCQAAQARLGKGRGSHLEAIAQRLYKLQAAEALVRLALAGALDEEGALPAPLAAGPKERALVEAAGLEGDSHDPAALAYLVAWLADQGDPEAGRHLAQGFLARFPGHRIRSD
MTGTINILNAKILLRDGLFEGGIHICFGKIKKIGRKTNLPKAEENINAKRLIALPGLIDAHVHLRDLNLSYKEDFYTGTCAASVGGFTTVLDMPNTFPPTDSIERLKEKIELAKNKIIVNVGFHALPPKNPEEIDDMVKLGVKSFKLYFNLFKDEDLKNNALKETLKKCALLNVPLTIHGEDGEKINKIKNFILKKGKKDLYSFLKAHSKEIEVSGIKKALNLIKKEFKNGKAYFCHVSTLKSLNEIKKNKFLVEVSPHHLFLTKEKLLRLKGIALTLPPLRSKFEAEALWKKTIKGFVDVIASDHAPHTIEEKIKENYWEVSPGIPGLETTLPLLLTKVNQGEISLQKLAELLAYNPSRIFNLRLKGELKEGFNADITLINLKKHFKIESEKFFSKAKYSPFNGFKCVGKPVKTIVSGKLVMEDGEIIAEKGSGFIIGEKTNEVSS
MIVTLSNTTLYPRRPLVVAPKAQNPALSGHLNHTREVTCSNSKCRSPVRLVNGCWKRRAYPVTNYEGLWEDPDDASDDSEYDETDEDDDEENDLDYESDLRANGNEKASVSNLEDLSRKNYEEDLVREVELMLSPEEKAILEQNEAPNLEKLSTAKWKPLHSFALAGHIKYMDSMLEKGYDIDLVDKDGLTSLHLAVIGKREAVISHLLRKGANPEAKDKVWQLINV
MVFISKCAKEWLRLKNREFIITVLLICAFGFFVTFHVRRFVSGGEETGQPGEYTAQEYTAEEFEVQKEGAEAEADPAENSLPPAGRAADEADGPDTSSTASYSDGIGPAKAQNSAPEPEAAGTKGKEQTGDKTGKDTQAAKNSEISGTSGEQAQAGGETGKNTSEKGNSKSALNNGAAPYKEESGKAPESGLSAGTLQTENPLAGKVQENEKKDSSAQTELPGKNQKTEASSKGQTGQTEAGPGSTPFSLQADNSQSRGKESSAGGAASDKADARLRSVPKAAALSGREGTEAAAQPGGQTEAAGSASRNADGTASDTKAASEGTKEEMTEQDYRQELADIEVLVEQLKNSRADTSTWSYLNMADYELKLWDDELNVIYKDIMSRLDTEEAEKLKKEERTWIRQKDEDARKAASRYKGGTLEGLEHTASLAKSTRERAYALLDSYGSCLPQEETQ
MIGGYLMPDLSRNLVHLRWLLKPLIFRAAGEFSWGYAMLATLYREMCGATQPTKAKIRGCLSLLQS
MGRGAEPLDAEMLDGFAATLGVPVAVPAELTGVRPSTASRTPTPEAVDTAAPIWEIRHLTGERKGQLTAYAEVPGRE
MMKPAPLAYHVFKHALRVRQSAPACGIYLPAMADTRATEITGTIKNITFRNHETGYMVLKLDKNTTLCGVYHDTTASLEGARIKASGEWKKHKAYGLQFIFQELTVLEHELFYFLTRMVKGLGKNLALHLIESMGEEALERVLDYEPEQLLAVKGIKEKKLTKILANWHRFKELKSLSEFLIPRGGTPALVQRIYRELKDEKNLIAQIEKNPYRLTAVKGVGFKTADRIATAMGIAPAHPFRIQACIDYVLFDYTDSNGNSCIDRSLLFSLVEQELSPDGGALDPELFQTVLQDMAGEEKIVFLENDKLTSSFLD
MASRRREGQAETRLRESPSLNHLLSPPFDASTHLPVLPQVHPIVIPDLPSLSGLLVRPGDRVEEGEPLARYVDEAPLEELAERAGAKQEEASRLEADLARLEGRFRLEREVLEGELARAREARDRLRYLAAQGAEPRLKLLEAEARVEELLARRKRLVLDYTGERAHLAAWR
MKKLLSAALAAVLTLSLAACSNAPETKEYTTEELSQILSSAISENSDGMAEVITTDSLNAAIDWLTTNKGMSEDEANTFMEEYNALPYEDIDATLTEKGFTAEEIAAFQQAEGTARSSQMIFETLGLSAEDIEAGALSISLINVRAYGMALIKPAEGKEETVLSACQNFVELQQKSFETYLQDQYAIAKNAKCETINGYVVMVMGENSDAIYQSISDTLNAK
LAFTASGVAHYGNKISFSHQLIYGDTSRLFPYGTQIAQVDSPAVGAPAFSTFDTAVGDGVGGDHIDLEVPQGSQSPTGRNIEYDAEGNLYMAKTTPVSITFNDTTYPYRGGEVDVFIAKWGTDTCPGAVCPAPTQLASTSITDTSAQLNWTSNESSWNIEYGQQGFTQGTGTVVSNLSQTNHTITSLQPSTNYEFYVQTNCSASDQSQWSGPVSFTTGNSPCTSPSSLAANNVTDQGATLSWQSSVPTQDLDHFVVEWGTQGFSPGFGDTAQVTGSSYTVSNLDPDQDYEFYVFTVCNSGQSPLAGPGSFNTLTTGLAEQRRDKLKVYPNPAENGFYVMSKQTTNSIITVYNVTGSVFYEKDLTSTLGPVLVPTANWPGGMYVVQLKKNEEILESERVVVR
MGERRVRGNYKAGHQNPSFSSTLLDAIYRSIDESDGNAAALGSVSSRDRFCAPVDIQKRSPLTLLPPVAAANQGAASRCRTLPPICTSSSSDMSSYGGFSSSSDPDSVAARLRPIRTGAAPLCSAPLPLPLAEEGEKKKKKKSGSIRGKLHEMRGSRSAAPASPGARLAGFLGSLLSAVSGTRRKQSPSSSTTTAGGCDDSACSTASSHSRSCLVKNPSTRERAADRGKRTVRFYPVSVIVDEDLRPCGQKSIFEADSSSRRLSAAALEARRRVAELLRGMEEEEEEMSDSSSDLFELENLTATENGGVGGGGRMDELPVYETTRLVSFSQSQRFLKI
MYRFERALKEERYLREMKKKESGNSASFSSTLLDEIYRSIDECEPRREPEQQRSCREAPSKKQQGPRSASSVEKQRGSDRANAAAADARRSYFSQELPRKPKYEPDHDSDALYFSSTSISSDSSSGGFSFSDTESVRGARTTTSSSSSCFAPFRLKPVRTRVVAAAKREEIRHGPFGERREGQEDQGGVFRSAASRICANLKKVKQQPVSPGGRLVSFINSIFSATPKSARRSDPTEANLERKLKSGQASSSASTFSTVSSLSRPCLSKRSPSTRERFRSSGAKRTVRFYPVSVIVDEDCRPCGHKCLHQEAGGGGEGEKQSSSVSLSVPTAWRIGKSQPRKIDQDRGVNLKDLLMEKSRRFEENARELLRDYRENQKKGFELKAINDEYSAELPVYETTSVDKCRASGKGYRLAILSFTDHHIARLNETRLHEKPVEC
MLRHLLLFLLVLGLAAPGVAIAGHCTPAQAAPSAHGCHENKGKRMPAGAPSTVQRDCIGCATPPLGSPIVVTTALTRAPYTLPMDDRGRLQRPARPATPPPRP
MFFSYSILHQMKQFLLLFALFVFSFQAAQACTTAVISGKFTKDGRPLLWKHRDTWAVNNHIKVFDDGKYRCIGLVNSKDKKNKSIWIGYNEKGFAIMNSASYNLNNDTIRQTGYEGRIMKEALQTCANLADFEALLNRMDLPRRLEANFGVIDASGGAAYYEVGNFKFTKFDVNDPTVAPYGYIIRTNHSLTGKLGEGGGYIRYKTADDDFQMAIREGRLSPKSIVQGCSRNMNNSLTHVNLAETYGNIKENTPTYASFTDYIPRRGTSSSVIIEGVKSGEDASFSTMWAVLGWPLSSVCMPLWLDKEVELPNIVKMDDNLKDSPLCNMALQAKEAAYSYQWGYSYKYYINVNALVNADHTGLIQLFTPLENDIFAKADKMIDGWRKNGKKDYGQMRQFYQWIDQEIPTFYNDKLNIKPLAPAKVNKK
MIYDMMNIGTMNFKRENMAAIDMKNLPLCTIDFPLEISPEEIGSLAGPVNTLLKSSFLLGTSLETETIFQSLFDIAEEIAGAEASGVLLRPDDRSVPLRLFASRHIDSVPPEEKTPLLFAPGDVARHFEKPVLLDSEKESVFRPICEAWSSHSLVVFPLREDRDIVGALVFGKMGSHPFTDVQVKLLLALAMQAQNHLQRNGPINAKSVYSFLEPLTHLYNRSYFDIQLGKEILRSRRSGESFSLFKLDIDGFREYKDRFKSASGEIALQEFAGILGGAVREVDTVAHLGGSNFAVILLESDTAGALSLANRIIQRFHRHLLPGIDGSRTERLNASIGIASFPANSFDLDDLLSKVERALHAARERGGGQACLYHEIAGNGHSKPGGKDLPVNKIFDAGRSVVDMDKFLEILLFTAMQGLGAGRGSIVAKDPGGGSSLTATVGFDRYDEHLAATGKFHPGPVTEWVLTHQLPLVVSRPEDSPVGHPFKKNGYQSDSFLSLPLTHHGKTLGALHLTNRMDRQPFTWEDLMAFGPVASEIAKILAQGMAFHENVRSFSLSILFSLSGALELRFPFLSGHAVRVRDLSVRIGQRMGLGNGDLDALRHAAALHDVGIVGVPGDILGKTGTLSEEETELVRKHPFLGSKMVEGVPGMDATRRAILEHHENFDGTGYPFGLRGEDISMAARILSVSEVFDSLLSPRPYRDGFPQEEAAKMVMAGESTLFDREVCAAFFEERRSSSGDRFC
MRSQLNKTEIEELFRMLEPKIQNSLRETSVKYRDDLEQEIKLLILTIINEKNFNDVPGFFEFIEEGDIPEFFELIKKGEF
MTEIRTMEIRLYPNKTQEKTMLDTIGHCCFLYNHLLEHCRNAFAEGLRHPTEYDMNKEITAFKKEHPELTTVYSRVLINVSTRVSLAFKGFFRRLAARAEHAGFPRFRPFSRYDSFTYTQSGFSLENGRLKLSKIGTIRIAGLRKMFGRLKTCIVKREGTGPHYRWKACLTYECEEIKTSFLEDTRESVGIDLGLETVVETSSEYSFVNPRHLRK
MEQLNIIIKNVQDHFLSLLLVLVAFSFTYLPLLFLPISPLYFLAMSVIILFFILLYDKTSFKSLFNDSAIFYWSAIFLSWYTIRLLFQPSTQFMVVNFEEVYLVTPVVIFLCTNFRYLRDSVSDIIFLLSSTYVFLGFITYLLLSSSGGLVGYNNNIFELLNLDFEFAIYQNVGFWISLSAIYMFNFIFINSKINRTNKFLLFGLYFTFLLSIIMLLLSGARGAFIGCILSIIYLSRNIRDEKFIYLSAIGFVLLLLFTLLNQEFLFTINRLFALFGDNDESMRIYLFSQSINLWTQDIYTMLFGAGVKSFPIFIYQNDFGVYPHNVFLEILSELGLVGLIIFLKILSLFYKNRGSNELINAFSIFTIFVFCVTGSFDSFYKAFFFLCLGLKNFNNEI
MFKSLKTFTRRTSGVLLVLTLAACGSLSKVSEHGTTDNPVWPDAGKATFDVGAYPTLHNLRLVAPGMTKDQLYNLLGRPHFAEGLVGVREWDYLFHFRTPQGDVTCQYKILFDNDKRAQSFFWQPESCASMLGGAQPEPQTFSLNGDVLFAFGSATLTTAGQSEVARVASALGNTGNSSVDVVGHTDYIGSDTSNMTLSQRRAQSVRDALIGNGVPANVITARGAGETQPVVHCNEGPRAELIACLQPNRRVDITATARR
MSRTKKILATAALVLGATAAAVSPALANTHATITTPDNTHATIVSPDNTHATGSHS
MFNLLKKVFSDKPVATKQVAPITTNYDVKEGTIVDMFKAAIKQNGDDFLVLSLNRVNFSDLDKQRVYEIGNLLVSAYTSLTTKSLDDVLNRSGLTMEDGNFVDSKGNTAPIQSVVNQVFTPDNLCEMAVDFFRHDYRFGTMYAKFFETNFKAEMVAGEALLLNYFSVKGIEPSHAALKGQNTWSLFKAIEPRINHLISVDVKDKHQYKDDEVFSPNEFILSVFYENEFKSLSSVNAYINSNSFNSRHILNDKEAMTRINAQLKEGYTPDDLVIETVSKIPKSRMSYR
MARWRPCLAGILILLAGCREDTYIFPAASVVDKDAPSLESIDKKRWAEMNAFALATTRAVANDNSGNVALCPLGLWSAGAVLLNAANGTSFEAASSFLGLKDPSLDDLNAAQRAWHASLPADGPLKEGLGVFMIWPVLVAHDFQDEMARDYRADVMKIGSAGDGARNSINAWASRRTGGAIENPVQELSKQTIFTILHVASFKGKWTQPFKPDFTEPGTFHLRDGSVQTPMMRGEKSVRSLTGDQWVGAAIPFKDDRFAFVAAMPKVGDLDTALASAKTLPSLGQQNKTVHILLPKCRFSNQHDLLPILQTRGAGRLLEPPNDLRRMSVELDDEACIRRAYQVVDFELDEQGVVVKAVTGVDAAKADVELPDELVFDKPFFWGVIDVQTGVYVFTGVVRDPSKG
MEKLATPDTTVADLLGDMDPIKAARRGTGMADLESIHYGLLPRANRGIFAVNELADLAPKVQVALFNILEEGDVQIRGYPLRLPLDVWLVFTANPQDYTARGRIVTPLKDRIGSEIRTHYPRSLEEGARISAQEAYVPEGVLVPEWVRLSVEAVAFVAREDRRVDQTAGVSQRLAISLLEVVAASAERRALLHGGRPVARPLDLYQGLPAITGKLELEYEGELQGAERVAREIVQRAFGLVLPRYRLRTEPIVAHFEEGNLLTLPEGDVEEALKAMAGVPGASGGGPGPGRGGSP
MLKRSVIKNTFLYVLATVSSIVTINAQATQNEGSWKETIQFLKDNPHYKKHRADDFFEITSDSISIKSMSDLGSKMALMDIKEIDFSTTGEFKEIQIFAICECVRFIKREKVYSSVLKILLRDDITNSFTEKILTQYKNLASLAESKRAEMYLKKNPIEENIVGARGYDGKMINGKREGKWTFYWKDGSVQQEVVYKNNRPTTSFKIFFDEDWEKTRDKSEFEYYRVLNFDESGVWKNNVTDHFKNGDIQMEVSYISEDEARSQNSSKYMDDIPVKWYYKNNQLKRVAHFRDSKLHGKNIKYYENGVVKFGAVYKNGMPYTVMESFTPTGESRDYGTLKEGSGIIYHYSDDGTQYIIDGFKNGSYVSEAGNNDTLGYYIIFYGNKGTDKYNKRASVIQQYYDSSYSKPKSYSVLTKTNQGTILKQSFQLSKGGQVDNFYVYNNEQTPTLTLEKGDIDYWFDNNGNVSKWTGVKYAHIEVQEFYDNGMIKKIKAYTSNPDKYRYTYNFNKNGEINKKSVRNKNLWEVFNFDDNGNTKTRLVYKKNGRLLGKVIYR
MDEELKSRENPVVLRLDSSEFQNIFTPEVITLKNIFDKYKYEIRIAGGAVRDLLIGLNPKDLDFATTATPQQMKEMFTSENIRMINANGERHDLKKRRIVFVGDPDRRVKEDYLRIMRYFRFYGKIADKPDNHEENTLRVLKDNVIGLQNISGERIWVELKKMLQGNFAGNLLKTMIDVGVGKYIGLPVSPNVEELDRLVKRTEHLSLHPISYLAALLRDLDDVTVLYNRLKFSGYDRDLAYFLVEHRGDKEGSRPLLPYEKLVLNSKIKQKDAIEYVKEVLKYRGDKLFDQFNEWVVPRFPISGKVLKEAGVPPGKMYGPIISKIKDIWIESNYKLAEEELIKYIPGIIEEFDKNKVKA
MRGVDRLRGRLGDLLAPIPAEQAMPVDFRARRWAPSRRAIGAVAAAAALTLLALAAWWARTPTAALPDPAPAPPVAVTGATPGAGEPTETPAAAPAELVVAVVGLVHAPGLVRLPPGARVADAISAAGGMLPEADPASVNLAAKVADGQQILVGDAPAPAAAPGGADGGAGAGAVVDINAADAAALETLPGVGPATAAKIIAHREANGPFRDPAELQEVPGIGPATYAGLRERVRT
MRSERESDEPAGVLDAPGEPEEFATWPRDWSSGGSRDDEQALRELREGLIRGRVPGGARWEVPVRAAVAAGVAALAVVAVVVVLALRPSDDGTAIGETSSLVSTSEAVPEDSPTPVPQATPQAGSSSAQPAVADGAAQARVHVVGQVRSPGVVALGLDARVQDAIEAAGGATPKADLARINLARKVVDGERILVPKPGQKIPDEVAVGPDPGTGSAGGGASGAGSGAPVDLNTATVAQLDELPGVGPVLAGRIVEWRESNGRFTTVDDLNEVSGIGDTTMEKLRPLVRV
MRPRNTTGPTGDDHAEAVSRRLATLSAELAAVRGDPPVQHRHTQLRELPRPASVATPAPAPATAVPVPGRHACRGRLGGAVDDLSSRLPLRAAHVAAVSALIAVAVGLAAWFAVRSEPEPVPELVPASEPLASVSPVQPGASAVGPPTGEDAGSGEVTVDVAGKVRRPGIAVLAAGSRVVDALEAAGGARRGVDLSSLNLARPLIDGEQVLVGVPTQPGAIGELGSAGAAGEVPGAGGPLVNLNTADLTTLETLPGVGPVTAEAIIGWRTEHGGFTAVAELLEVDGIGDATLADIAPHVTL
MRTRPRPEHQEAVARRLALLTAELDAARAAGGEQPWWDGHTRVAGEQPPLRLVPAPTQGREPGPGRWPGAAPPADGAAAPPAAADVDAAVDGAVVVPVPGRHAARRSGVGVGRSWAARLPAVPLQPGHVAALALMVAAALALTCWWVVRSDPVVEAAPAAAAGPGAAPTPLVPTAPEAFGAAPTGAVGTEASGEASGQASGEAATVTVDVTGKVRRPGIAVLEAGSRVVDALEAAGGARPGVDLAGLNLARVLVDGEQVVVGVAPAAAPAPAVAPGAVPGPEAGAPVATVSLNTATQAELEALPEVGPVTAQAILAWREEHGGFTSVQELLEVDGIGDATLAQIAPHVTL
MSDKGQDKPKSGKKITKEMKSNVSESLPSAHPPAIENSEKSLNNAEGMEPTTEGLTSSWDTPAQHNAKDVHTDKDDMIEENEENITIPIDDSEIIADLNRLCIGLQAYDNDEGQVTSSPIQDCLAEMEKDVKEMKSDLDKNYEKLMSAAQRLESIDFQSIQQRFLDNINITEPLSKPSVDELKNLFELSYVNNTLSGLIDQVTKEESYQKKFDIDIPGIPELADVCKTLNESFSMIKDQHRKCDEIKFELHEATEDTRARIEEIKKILKTEAPK
MIDSPGDIISPGDIISPGKKNLYTRNYGSMEVKRRQVKRIEEPYARNYSAIKKESEEKVNCFFFFNKKNNLHPYDCPHVHFAIPPISWEEEAIYPQLQFQRSKERRQVRKNFIVIPRFYGKKKPGTRNYSSTEIRKEGKLGKKNKLYITITIPQKLGKKASEEKRRNQVPAITAPQK
MTASSIKGWRWKKGQARCESDEVEEGDEAEEEGKGETRHGGRWAERSHGVAACGMRIVYGSKGSTQLGSGRDVKQSKYSDNQVVESRGWNGHSAATYPVGSRKGGI
SSSGNITKMKEKETTEFDLHECASSTYCQAYQYA
MNRGRGERVCGEREVVVGARAPATVRASMTRTHLSRLLAVAAALVTVGCGEEPRVQDLRTEIAQATDSALAAAKEARGNLAGYVADGRKLAEELGARADRPARAISPNATVLRVIDGDTIEASVRAAQPTPRTLTARVRILGIDTPEVHGRTECAGQAASQALRALLPAGTPIRLEADEGHEPADRYGRQLAYLATENGADIGAAQLRAGLATTLFVGERLDRAALYTAIADEARVQRRGPVHQDCQGDFHRPLTGE
TQAGGFLLGLPVPPAELEYELIARRVPGRCDPGALAAREVGIAELKLPLGRYLRD
MSRVAVIGDVGGHADQLDDLLARLGARTSGGHLALPDDLIVVQVGDLVHKGPHSRRVLRAVDAFLRRQPGRWIQLLGNHESLYLDGAPAFTWPGQDTLDGEDAALLRRWWDRGLLHAAAAVHGRDGQDALITHAGLTQMFWWNHLRGTASAATAARRLNAMARRGEPALWRYGLMMGRPLTPDAGPIWAEAGHEVILPWTVLLEEEDVAPPFHQVFGHSCPYDWHTGRWRLPGDIRHYVSADERRRRVRALIGGKSLYAIDPGHGRRPAPAWEPLIIPGAAVTC
MLQXYGKDNKCFYGGPMISDVLPAIEKSNAIVWICPNYNDAIAANLTAVMNRLIVLYNKKPFHDKVIYGIVVSGNSGGDSVAKQLIGALNLNKGFMLPSKAFLLATANDPKSIFNYKDVDKLAKEFSEEIKRIINK
MGRLMNHSDTRRNAQPVSVSVVGCGDSGPIAPQCTPAPANLAPVQICYKDVAPEMLQKVQPCEVICWLCCADYDVYDIAERLSAARYSGRVTICAPDLLRPEHVLWELRRDFPTLDLKLMTEAPLTDTTATYLDGIAAPTASEPTGSEGRSDDPDQPI
MTHLTFVSEFNSYHRMRSEALDDAENTRIFGKCANPSGHGHRYRIEVTLAAKVTEKRPYVLPRTEISRLVEEILAPKLRHRNVDEVFGPGFVSSGENLAKAIWNLIETELTTEVSLISVKVVETRKNAFVYREESKNSSRQLLI
MDLSARDTYRGQPALCPTCGTLMEEIDVGDALVDVCRACRGLWLDWLDGDPRHLAHRTEQTLAEQRPSLAGSPDDRATLESAGVVGVVHGCPRCRGALTAEDYEMRPASPAEPAASPPLPAAPAVSVEVHRCGSCFGLFLTRASAERAVSLEVYELPPSDAPDALPWQKILAVLRRILGLG
MTQEPQSNKPDSSPWKAVGLVSAIGVDLALCTLAGFWFGSWLDGMWNHSGLGIGLGVLAGLVAGMVSIVIIIQKITGERND
MHIPTDKLLNKLEENEPELASSPDEECVICVNAKATMQTSPCGHRVVCRRCFVKTIQMAVSQRLLPLRCVICRAKILRLRQAPRLVTSKSWQVSSGSAKSWGVPGSVSSYSVGARSVPASASLYSVTSGESSLSGVSSVSSNSGGNVANSCSMKLCGGAKCGGACLGAVPRTSVPPRPRQPASNSLRRSQHHSMKARLQDYQVHSYTGGPAAGEPSGRLPPIREFQREFREGRRESAAAASASTRIRCAQKIVTQLETSPQKDKQHFFRPLKPSNKDDPPDSRDHSKETERKKDNPKAKPKKDDKKKKDDENTKQEDKSKKDESSDNRKNEIAEKKKEEKMRLKAEKEAKKEAKLQAREEERQAKLLAKEEEKQAKLKAKEEKKKAKKEAKLAAQAEKEKNK
SPAILLTMVIAIILNVFGHVTRPWCNAVLGLLNLLLETTLGKSTGPTIPCDIRTVQKKFDLDPVTQIFAACTRCSCTYPP
MMAKQGFIRPLPQALVLLQYLLAAGVVCMIGVRKGVGALTLTRGGPATPDEFYNVFMGGTLNLTCYVSNHLWEPVRWAKCHNSELSWLTANSTVLQDYARLSISVFRYNNSYRAYSIQIMNITRFDLGFYKCCAWWWRIWWYDVCGYAHVHVDEQTESFPRENPTCSKTLESPTNITVWCETVQDVPGVNISWTIKETGEKITARGYHSRTEQQQRVGASFHLEQRLWNQVLVCTVTSSAFPGLNRKCSIGPFDRPTSTAETQNSYGPITLHGHKDRPTTLPRSLQTAVFMNNSVDSQLQTVPTPPDPTKGYNNSGAIAAGVIIPALFGLSFLILIIRHKWPRKNWVPNDGASGRTATNAGSNDLELHDVVVIPYAVTTLPTPDSETTKRYYRSAHEAASTSDAKTLASPYERNKDTLCMTEVQEQDGEQG
MAVILGLIGDIFAAIAGSFSQAIDKEKEKNNESDPLE
MKKILSAVIATAFVMTLGVVSTSTANAVNTSACNEGTFNAFHQQEAKGKGLLMIGEVCHSIG
MAIGADETRTRSALPFAGTFAAAALLYIATNSASALYPLYQDIYSLRPFAITTIYAVYVGTLIPALIFTGSLAHVIGLRAVMATAWFLAAGGAAVFAAAQDPVMLYAARLLQGASVGLATGALAAALVALDRDHDPRRASVGSTLAISVGSGLGPIIGGVFVDFLPMPDRLVFIVLSVLLAVCGLGFLMLPRRLGITGIRWQPRLPRIPAENRAPFLRACSNAFLIWAVTAIFLALVPSYFHEATGNTSIILSSATAGLMLISAGIAQLSLQRVDSLGAQRWGLAVVCLGLGLLVVGGILGSAVLILLSPIIAGAGQGVAFLGGTREVNEMSATRPDHASVFAAFAVSAYCGSGIPIIGVGLLGNAVGTTDAVLAFAIAIVILSAAWLLTSRRLTSGRPPTST
MDVDNFPPGSSSNMRIVRRRRRSPDGPPTAQQLAQRRRRGCERAEQENHVDVDNAHVLSFLLAVIVLSSFSLALSSEIAFNIVESACGAVSYITSTTKWSIDAACDPAANADSQCRTSWVHLIVCFCEDTRPDTRQGARHDNPTANIAVNITIIDLEKVMFLSPTPPAPKAEEINQGTPARLKFPGFFGSQESSDAKPEEPNRRKKTEESEDKGEGPEGSSTGPDVPWRSTGILLVE
MDITVDTTDQLIIEGRPWKTCAALTLGAIAMFAMTLISWNVMPNLFAILFFFAALHLTAMATTRMHRTQAIFAIDRKDALLRWRSVWGYVQHRVPVGTILHADTQTVINSRRPFERPVLYIDVDGAPCTVPLHPTYMSDHSARRIADRVNSWLAQADILRATGSLDSNAGHA
MARSGFSFLDWQFIAAIPYETLTHDVQQVTLLIAVIGGICFLFALLGAAILNRLIAIPIVRMARSMKMVKEGNLDMRIGVRTNDELGLLASGFNTMIARIQDLLQHVKIEQREKREYELALIQSQIKPHFLYNTLDVIYTLSQMDRPKDVQRTTKALADYYRIVLSKGSEQITLEEELNGLRDYLSIQKIRYSDVFDYQIYVDKSLLPCPMLKLTLQPLVENAIYHGLKTKSGFGHLIVRGRREGERMVLTVEDNGTGMSEARIRDALGGVDDKKRPVSYGLRSVHERVRLYFGEMHGVRIESEPGKGTRVTILLPCMLEPDDQSFYPDGGDNP
MKEPVGRKGKALESIKRYLFSSFQSTILLLYLPIIILCLALTGWISYHLSSKQIEENAYQNVRDTVFQTKNYLDNRLHDIFEQLVSLSNNSSILSIMSRDPFYTEPDDYIQVNKQISQIYSTYNSLLDSVFVNFHNGDFVLLKCDVSPKKIHFSYEEYRGRFKGNREGYYWRNLHYDDVLNSNEVVSVFKLIGQNTSSTHGILLFNLRKDFFEKVLSKSLLGENGYLLLISPDGQMLSKQVDAKYQLTSEWIDHLRNIEEKSGRMEFKKHDKETMIVVYDTLSINNWKVVAVFSEGDMLKNVNYIKYTTVVVIISLIIAASLLAKVFSEYAARPISLLARDMKRFYKDRLGMPADISTLREIHILQKGIQELMQHIDNLMEQIKKEQEKKKKLEFAVMHAQIHPHFLYNTLYSIKSLCDMGMNREASSMISALSNFFRISISKGQEMISIEEEIEHIKSYLFIQEMRYGDDFSYEIDVDQHILSFRIIKLTLQPLVENAIYHGVKQKRGKGTILVKGYCINDTIYFEVIDNGVGMDVNKIKEIMDALNNKEENAALGIGLRSVHERIQLHYGSSYGMHIESELGKGTKVTVTVPAEKV
MMKKKLKTCKRNLSIQKRITISCLMAGIIPLLIIGSVWIVNTLRASKESYLTLSESALHHIDIRLQSLVEMAESTALEIANDPIIHHALTHEAVDDAISNVYDIDTYLHHKQTYNDHLSGIYILSQNLTAYGSHHEERTHDDVTQAWWYQRIKKTTEPTWFSTPTHALAIETNDNIAISLGVPIVHKENSELLGIVYMDMDMKVMDDILQYPMDNMEQIIVLDDHHTMMMGSNGIHDRDARTIKNALDLAKDYEPITLTINDQKKQLLRKPLPIHHWSIIGIVSPNPMDTNNTLAIIFLICLVVLIIVLTYYVSKRIAGTITTPIHHMLHQMKAVESGHLDVHAKVYYNDEMGALTKGFNTMTKHLTKSMKTVVQEQQQLRKYEFKALQSQINPHFLYNTLDSVVWLARMQQYKDIIDVVTSMTRLFRISLSRGKHIITIEEEIDHVTSYLMIQKYRYRTHFDYDIRVSEDIYQYKTLKLLLQPLVENAIYHGIKLKRDGGHIAIEGYASQDKIIFKVSDTGLGMTKETLHAIHQSFETNTDSGVTMYGIKNVHDRIKLYFGNNYSLTYESELGKGTTAIVTLPKYMGDEKDAKNSYH
MNKRRFFRFKSIHTSIAVAFSCLIIGTTLILSYNTYRQSSDSVTENSVQYTNELINQVGTTIETYIDNMKSISSLLYNNGQIRQYLTMADPQSDVGLSLVNAIESSLHVIVQSRNDISAILFIGSNGAVISDREQGALKSYPELIAQDWYTKAKNDAIYISSSEVEHVYRNEYPWVISMSQQFRGNDRLDKTGVLLIDLNYTIINDLCSHIRLGKRGYVFIVNSEGDLVYHPQQQIIHTNLKSEPIEAILASEDGQLSLKENQHEKILSIRTTNFGWKIVGVTYPEELVANKKKMQLSTVLWGSICLIIALGISIVLSFTLSRPIKKLEANMKQVEQGNFDIRADITQADEIGKLARTFNVMIIKIKDLMKQIVHEEALLRTSEIKALQSQINPHFLYNTLESIIWMAETNKMREVVKMTMALSKMLRYSIGKGEQEVSVSMEMEHLANYLTVQSMRYTNKFKYEIEVEPELRGCRILRIVLQPLVENAIYHGIRRMEEQGHIRIQGRLVEGVIQITVSDNGLGMDKAKLQGLLGPSVDQGLGVWNVHHRIQLFYGTAYGLSFESEPEEGTTVTLRIPCSELEVKDA
MSEVNRLFSSSISSSFFVPYIIPIDGDEPLTRGFVPDEYRIGLYGGWGLLGELSRRMPPPGDTVIYGQPHPDSGLSIPLAAGAVVRAEGRAVGYVIVDVDRRLFTDRLGSAAASGGALTDLMLLNDAGCILYNMGDSRSEGSFFSDSSGPDVFRTRVTVIPGFFVCGSYPVSAARSYSARITKAAVLIAFCSLAVSLLMAVLLSRSIARPVHELTVTMKRVSQGQLDARAVERTGRHSGDELSFLVHRFNVTLDQVNALVDNLVAQERDLRRAETQALQAQINPHFLYNTLNSIRSMAKLSGSPEIASMTTSLARILREGALPGGSFSTVEESLSIARDYFSIEALRWPGRFTMEECIDPAILHAKIPRLILQPLVENALVHGLEHKPGPGSLSVHGTLSGGDVFIRVRDSGSGIAPERLAHIRERLREAGERPVESSLNDSSIPVRPEGAGIALVNTHRRLCLMFGKPYGIEIVSEIGQGTTVMVCFPYQETEVPAYAQDNRS
MNVTAALSAPSGKPPFFATLKWKLILTSVLCTAAVSLFGNLFLYYSMNAMLTQKAESINALHLATLSNQINDALEDLSDLGNLCASDLQVVAALEWRGSSATAMKQALEAQNRLNAYLATSPVGEEIYQITAFNTDGRFISGSGLTYGMVQDYALIAAQPVFQQMLTQSAPGGVVMYTTRSIHKYGGKCPYVVALLCPVRGLGSTVGHSFIYMELGLELFNAVLTPYDSWSSLYLADEGNLLLTPAGLDTDHTPDVAALAAAAQNERELKLAGREYRVTAVPLNAAGLSLYSCAELNVLDDDGAQTRMVVLTVLLSSLVLTVVLAFLLATYLSEPIRLLNARLHRIAENDFSYDPVIEQADGELGQIGRTVNEMTMSIENLLRTQQQDYEQRRRIEIQLLQSQVNPHFLYNTLDSIRWMAVIQKSPGIEKMTRSLSNLLKNIAKGTQDKITVQEELALLHDYVEIQSVRYMEAFSFDDRVPQELYCYRVIKLTLQPLVENAIFHGIEPTGEGGTITVSGHEEGSDLLLYVTDDGAGIPADTLAGLLTAERPRSHASLNGIGVYNVHRRLQMLYGPGYGLTIESEPGCGTCVTVRIPKEE
MQKLSEKVLKSMRGAILGAMVVLLVLTFGVTALVLRPKIDTLLETVARTKAGEIDYFLGRRLNEMTLLRKAYRPDATWEENLAAFQTYSEMLGVYASLGLVDETGVVHTTAGNHFSIRERSYYREACQGGQPYVLSQSIFSREDNQPVVIVLMPLQNGQFFSGAIGVEYVQEVIRGDEIPASQIRIVNTQGETEMETGAFAAHARIYEAEIPTHPGWSLQIGVSPLYVDGIFYLLGAFLLVVFWLLYRRLNKVLARRVAAGLLPLEAMARDMASTDLTNPPPLQIQADSQETQAILTGYESLRGRIGTLIEEVKAEQKSRMDSDYQALLEQIKPHFLYNTLETIQVMALDYEDDRVGDALGLLARYFRLSLADGAQTVTLQQELALVRTYVELQKLRYGDRLALRIETDLPAERYQIVKFILQPLVENALYHGIKLLDKGGCITVRAREEADWLVLQVENPCPQPDPARLEELAACLRENRKPAGSNGLYNVNQRLRLRCGRQCLFLTWGGDWVQAEIRLKQEECYEDPDRG
MNVFKKYRINSIFFGSFFLFTLLILIIIILFSYRYSANQIVETTTEHQKNNLYRLSEELGSNLKTFQDYSVILSRQQMFREVISGRQSSDTTSLTNDFSNVIYSVPAIHSVEIYMNSPPVDNVQYPVRYFELDEVYEAEWYKDLENISYSWLGTRDVETMAGQHSVISLGRKINSSRGELQSMIVINLDPVTVESWLRKYHEDSHMVLLDEKGSIVSSTGNNDIGDEQYQELIDFSHTEETFFDSPSQDQVQIDNDIIVTTSIPAVNWTVMEVTPYEDIIQGSKEMARTLIVIGFLATIIVLFGTMFLTKKFTDPILELTKVMKSYQLTKSRVSLPSGYKNEFGELFNGFDELTNRVEELYASLDEQHQHQRKAEIKALQANINPHFLYNTLDQINWVAIEKGNIDISRMIELLGNMLRIGLSKGESIISVGDELKYLEYYMKLQEIQLEDRFSYSIDSPREVLDYFIPKLTLQPFVENAIIHGFRDRREGVVKLAITEEDFQIKIEISDNGIGFPTNINLQKENDLGGYGIKNVMERLHIYYGEKATMSIDSNDKGTSIFILIPKVNDKKLLSG
MRISPFSSSSLLNRTMLFLLAFLFTLVLQLGIARYQTRYIILPQQSRTEQIRLVSQFLNDVEDSLQTLARFRWDYGDVEELVELVGANQQSSAQLLDRMDMQLGSVSEEQYLLASASSTTFDTFSVLIGQILELLETGRNDEAAALYYDRAEPCGGYLRLYTQQLLECSIRDSQSTYLQLSARSEQLGKIQAVVVGICILSGALMMASLLTLVHSIREMAAASLSISAGNLDIPDVDPSRPDEIGQMARAFNEMKRSMKRQVQTLEEKNEMQRALYKKENEALELQNLMEQEKMQKLRSQINPHFLFNTLNVILYTAQQEGAVRTQSLLTSLSHLFRYALGSNSTLTPLAREVKIVDEFYNLYKVRFGDRVNLRWDLPPEIDLTETLAPSFLIQPLVENAFRHGLAPKEAGGTVWVRLRAEGELLCIDVEDDGAGMSAEALDALQKSLGRAPDTGSHIGLHNVCARVRLLGPEYGASVQSGPGQGTRVSLRLPLLQQTEEDSDD
MGWSYKIKQTDGYHSSQYIIAVARFSAYKSDIVVLSNFMFLIKRVESEQLLVKEAEIKALQQQINPHFIYNILETIMGLASEGMDYEVIEVSTCLSEMLRYNTRFENVTVIAKELEQIKNYITVIKIRFQDRFDVYYDVDKECLDCRILKFTLQPLLENAISHGLSETESDGMLRIRIKKEENQVSIMIYDNGTGIEPEKLKELNERLEVTGEHPLEYIEQYKSLGILNVHLRSKLFYGEGYSIEIFSKETKGTCIAIKIPFVRGNTGTEEGKTTQGEIVHVQSDDR
MKIRTKIFMANMLVVTILLGVLTNAMVEYVSGILLDKAKENADYSVSQLALNVDNTLKVYEQLVDAFYINNDLQAALLKKYDSAAEAQAVYFDTVLPYMNVIRSTTDTLHLMIYTNNDTFQFSDVKLIGDEVRDTDWYQAASETKKRLSRDWMYMGRSDIYKADVLRLVGKLYNIFNKSEIFITVDVEQRIIENLTSAENKNQRTIIALSNGQVVVDHGSSNLNGLRLENYGFYDKIAGHQKSSQMYTENGRKYLLVSATLNARSSITGFKVVLLVPIDEYISKVNKLKWLALILYAASLGISFIFMYFISAGFTKRLTVLVMKIKEMNTDNLHSFIDVKGNDEITQVSHKFNQMMMRMDMLIKQVYEAEIQRKELQLKKRESELYALQTQINPHYLFNTLNAIRGNLLENGDRKNADIVKWFAQSFRNLLSRKGDIVPLGDELEMIDTYMRVQMFRYGKRLEYRCEVPAPLLGYPMLRLALHTIVENAIIHALEHNEGITVICISAEAAGGHSYRLTIADNGPGMTEERLKEIEAMIAQCDEEQQGTEHLGLLNTQQRIKLTFGEAYGLAIRSRQGEGTEVVMNMPSTWERQEE
MHPLLRDRLRLALYLAVWLGVGVLLAALLVLLQPRPLAHALAFVGPLTLVYAFVSLSAWWVCRSLPLATTPPARLAAGLVGAAVQAGAVWVVLGALWAVVLSRVAGVGPDRAGILRDLAVLFPAGMVLYGQSLAIHYVVLTIEVARAAERRLLESEVTAREAELRALRAQLNPHFLFNSLNSISALTGADPEAARRMCQLLGDFLRSSLSLGARGRVALSEELALAEHYLSIEQVRFGSRLQVERQVEDAAARCQVPPLLIQPLIENAVKHGVADRVEGGTVWITACRRGTALEVAIENPRDPEAPPRRGHGLGLENVRRRLEALDPRATRMDVVREPERFRVMLTLPAVEDGAGGGDGR
MLTFLRQHRVLLLHLSFWALYFSWYFYQFQAQYSVVAAVSYTLLSIALYLPLVYGNYFWLLPRWLRHQRSGRYLLEFAAAFLLSVTVRVYVLWQFAPSDVPQDYLYSTQYVLGLGVSTLFIVVFVSMLRFAVGWFELEAKTKALENAQLTAELQLLKAQINPHFLFNTLNNLYYLAYTQSPNTPEIIAKLGQMMRYMIYDSNHPEVALSEELEYMQNYISLEKLRLNADNTVTLEVIGDPEGAQIAPLILVSFLENAFKHGLANHEHGWVQVRVQLEGAACTYQVANSRLPGSAQPTRYSGAGLQNVRRRLDLSYPGRHWLKIEELPDEYRVHLSLALS
MPNIRFVQFEPWFIRLQLLSWGGITLLTLLTLTLWYVRDGWPIYEPWQTIAQNLFGAALSFLIKPVFDEAWEDSMVTRVLTYLPVILAVACVWTLAKISMHAHLTDQPELWNEFGGWNYASLFVLLFWSALYCGARYYVELEEEHKLVLKAEAASIEEHIKRISAESVAKESQLEMLRYQLNPHFLFNTLNSVNALVQMGENEDAQKMVVKLSEMLRYTLSLKTNELVPIEQELQMLAYYFEIEQTRFSDRLTVSLKVTKPARDCLVPSMILQPLAENAIKYAIAPSEDTGWINIDVRTQKNQLIMEILDSGAPEDIANSLDTTSTGVGHNNINDRLAWHFPDEYDFKSKRRKDGCYIATISIPITRQNTYDQA
MTPEAPLPRLTWRGVGFVAAVYVALAVVYAATIAGSYLTVRPLAETLATFAVLVPRSLLDYAIKGALTLPVWWLVVRGMDRRGSGAQLAAHAFLGPLWVAAWFWTYRPLAAALGYSILTGGGEVWDIYIPALIYAGQFAAFHAVRTVAKERYRADREHLLRDAARQAELSALKAQLNPHFLFNTLNSISASVPPQAEHTRELVSRLAHLMRYALDASRRETVALDAELQFTRAYLDLEQERIGDRLRVEWDVDSAARGLSLPPMLVQPLVENAVRHGIAPSIEGGTVRVSARLRGSTLAIEVADTGRGLASGESVDLIASRTRTDGGVGLGNTHARLLSLGASGVEIDATPGEPGFAVRFALEVERPESPLAPEAGVRRDARFATPSP
MRQQLIASHSNAKTAIFVPSRPARNLSGEPRVLNGKIARASLFWILQCAGWATFGAVMFAWGLQYWDFQDALANKAILILVGFAFTLLGRHLYRLARLHAIPHAASIFLIVAFSFAGATLWIEAENVLFHMYYSRQITFQPTAIPMGTLLYYGFVLLVWSLLYFGVNGWFELENQRGRAARAESLAHTARLQALQSQLEPHFLFNTLNAISTLVTEGNNSAATRMISCLSDFLRQTLDSAARPEISIAEELEFVKRYLEIQQVRFGERLKVTINASSEAMAGLVPTLLLQPLVENAVKHGVLPRELGGSVTLNISKQDDLLHISITDDGPGIAVAAAPVRGVGLSITATRLAELYGEKSRFSLGVPGNGGVVATIEIPFRTAQIPRVSAPEENE
MFSHKYRYIFILILSAYTFLNTLLCEVYFYFGIDVEWYYALATICFVTFLTWEGSRLVQPLAERMISPAKQKIKFLIVFFLTGGFIACLAALVSVLLVGSVIHDNTWAENINPLKLNIIYAILINLFFHLLHAIFFFFSAYQKQWTEAESLRRSSIQAQLLLIRSQINPHFLFNNLNVLSGMVIKENPAANQFIEEFAKVYRYVLACQDKELVELEAELGFVEPYLFLLHKRFNEGLHVHIDIPQAARSMYIIPVALQMLIENAIKHNIVSRSKPLRIDIHVSDGQVLVVRNNIQPRQEVEHSSQLGLKNIFQRYELITDRDVIVRKGSEEFEVLLPLLNLN
MHNHEPSETPLPLSPKEQAVTGMACYGFICFAYLVIAHHLGWVKLSLISTFGLIVVSALQASLIFALYQWVATRQFRGTYQLVLLLAVALILSLLGDALFSQNFSEVRVIDIWSPRLLFSWMLLSLAVAYIYTLGKRRKLDRRMRALTTQAHMRILAEQLSPHFVFNALNSLRFMLAIDPTRAATMAHDISDIITDLDSFSQRDMVPLDQDLTLLEKYLRLVKVQLGSQFSLYIRRHSSCHEQFVLPLILQLLAENCVKHCLNKLVRGELVIQVRQYRDQLIYRVYSTNPSNSEDAHTDTPASKLRALGLNVGIRNIRNRLNLSYQKQYRYRFKTRERYVSTLIISPCRFVKTDGDEV
MPPQSSQYTRLSPAAPYFLPDFCVPQTLFLFILSIELLALVLALVPGQGWSRFWIDLNNISFVCQSIGIISAVTLCQIRPRLIALSTPLATLAVLVLTQAAIMVVTGLTLWATDYSMTWVHFVENIPVLGRNLAIGAIVTLVALRYLYVQHQWQQSVEARTHARIQALQARIHPHFLFNTFNTIASLIQTRPDQAEQAVLDLADLLRSALACQEQVPLGDELELTRRYLAIEQLRLGERLQVKWQLDDELPMTMPFPSLLLQPLVENAVRHGIQTLPDGGCLGIKITKTDAQQGSLQFTISNPRQVKAGDSPPTGQGYAQANIRQRLALIFGQSCRLEVVDAADHYRVMFIVPVQSRS
MGKRSHSGYGGSARESFLPDFCEPKSAVGALVIIELLAIMLTIPSLGTPLYWNKLLFTSIYIQWITLICLIIFCLLRNRLKSVSQNFQASISYAIIIFVTLLMSEAAFEITRYTNPQLLPFPFGHAEFITISLVISAITGLVALRYFYLRHETSSTLEAESNARLIALQARIKPHFLFNCMNTILSLIRADPKLAEKMLENLAELMRTGLSDVNMLVPFSEELALTNRYIEMEKLRFGDRLKVECNVSEIPENCPVPSLSLQPLIENAIYHGIEPIPRGGTISIDGRFDKNLIHLQITNPVADDSEITYRRKGHHIAMENVTQRLLKHFGKMAALKSYKKDQQYIVELYIPFIQEAER
MITVHADSPEDVVYRLAIPLANTGQQEVSRKLVEAALPKPTMRADRVSAAPSGEGALAEAEILVVDDDSAGWTGGYANSGKQDENTLNSIASLSRSEPDRMASLLNEFGQYLRESFRFESSEPLIPFERELALVRSYLHIEKVRFEDWLSYQIEISTTTDFLIPPLTLQTLVENAIRHGIMQQAEGGHVLIRVYRTDEHVCVAVEDDGVGIAADVLEHLFTDSLSGGIGLKNIERRLRQLFGQGLRINSAQGTGTEILIRLPLEKVGISYESNHRG
MKNFTFTQQSNLSLREKVVVEIRNAILRGNLSAGERIKENDIAIQMGVSRGPVREAIQQLELEGFVVSYPYRETVVAEINVSEIKDYLTPIRYHLESTVIQKNLDAINDVFLGQLQEIIDVMDSHLADTDVHFWVEQDLLFHETIIRLAPERTVQLIWESVSNRIKLHFNQRTGSYDKQQFVHDHQHLLDIMRTRDIDLIKQTLLEHMNLY
MSEAFTFSKTPKQSLKNLAYESIKTAIVKGDLPPGQRLLETEIASQMGISRGPVREAIRQLDQEGLTYSHPHRGTVVLEMDPEETEKVFVPTRRIIEEYVAEKASFKLDDEDYQNLEELIQQMEWADNEDNLYQLTDLDIKFHSYLVEHCGSPTIYALWNSVIARIHSRLLLQGIIKESLHIVPDEHREYLQYIKTHDIEKIKAHLQSHIY
ALEISSRFVTGGMSLGALSREAHEVIALGMNRVGGMSNSGEGGEDHLRFKPIEDVDENGHSASFPHLQGLRNGDSAASATKQLASGRFGVTPAYLTSAKQLEIKLAQGAKPGEGGQLPGPKIDE
MLSQTYLSISFSILPDVCSHVKVLTTGKSVVLSRIIKSTRRTL
MAPKQYTWIALWFMCTAPLILWDASYVLMRPRSMEGGDLRWFWSGFELYERIDNVYSVQGYHNKAGFAPAAAVSNLIETSLNAAYLYTVYISPRNIAPLFGFSGAGLTLSKTTLWVLQEHFCGRCSHLGNPNFAEIFKFWIAPNVVWFAFCSLIVVTLGRDIAASLNKPPINKAHYEDKANLPPQSNLKDKADELLAPQNNNSNDVGENIAMSKGKTSMITSERPTTLSKLR
MDVFVTDSARDFWDWVTVGGGVLFGLASVVVGILAVILARKANMAAERANAEASKARAAVAIERRRTFELEILRDLLEALDQPTWLGEVIEFRRSFEGTFGARLSLLPVDELPAWRAVVAIRKMEGLVDLVGRTAEDEEALEQNRTLGVRFAPPSLRGRLRSRLRLDVEEAVERRMVERDD
MPRLAEGLELIGEYEGSGFKETPYLARRVDGQVLQLTRLLYLVAAAVDGERDFDRIAEEVTGKFGRTVSAENIEFLVKKKLRPLGVLAAADGTSPRLKKAAPMLSLSFRTKLVPERVVHAITTFFYPLFHWPVVVLVLGGLTALDAWLFFVHGVAQSAREIAYQPALFLMVFGLVVLSAAFHECGHATACRYGGAKPGVLGAGLYIVYPAFFSDVTDVYRLGKWGRVRTDLGGIYFNMIFSLLTAGAYFLTGFEPLLAIIVLQHLEMLHQLLPFLRLDGYYVVSDITGVPDLFARIKPILRSVLAPWREPDAAVTALKPWVRVVVTLWVLSVVPILLYLLATIFVAVPRLLATAWDSLLVQYDNATSALGEGDLLATAAGAIQMIFLVLPLAGLTYTFGLLGKRLGVAAWTRTEGKPVLRTGLASATLVSFGLLTFAWWPSEDHQPIQPGERGTVQDSLKAIEEQIPAPIRPSLADDVQENLEAVDDTVRASLEPTEEYVPAVSRLSFAPEPREEEPDDGPATSEQPGSPTGTDASEPARTPDEPAQSAAPSGDPDEPAPAGEEQPSSAQQIEQDAGAQPSSQPPDDPDYAAPAPALPEPKVAPALPEPEVAPAPLELEESEPSPDSSGYYDRGSSDYGSSDDDSGYDRGGDDGSDDGAGSGYDRDDDRDDDGYYDGYGR
MTLPALVAGTELVGEFEDSGYREPPHLVCRPDGQIVRLPPLLYQVARALDDGRPVAGVAEQLSRETGRQFTADHVVFLLDKKLAPLGITTYSDGSPPPQVRKSDPFLAFRFRLAVVPEHVTWILSGVFAWLFRPALVAGTLAAFVCGEAWLWTTQDTGTALQSVLSSPADVLLVVALAIASCVFHELGHGAACRYGGVRPGRMGCGIYLVWPAFYTDITNSYRLGRRGRIRTDLGGVYFNALFVLGLLALYGVNGSPLLLVAVLSVHLEMIQQLLPTLRFDGYYIIADLVGIPDLFKYIAPILKRVVLRRPEDERLRALKRRPQIVVAAWVLFLVPALTLQLGWVLVNLPDLLRADWQTIGLLLANAGSSQYQILGTVTACLQILLLTLPVAGLALALWRPVRSLVRAARSR
MNLTSVETCDDNVIDEVADVGNHDQHINVDLSQFNNMVYEGMVCDSEEVAFKKYNEFARKVGFSVRKDKIYKRADGSIKSRMFVCFKQGLRKEDKRCKNTTKVSNESRTDCKARMIIKNEEDEWTVSKIVYEHNHVLATPSKAYMLRSQRKVKEILTVAQGCERGRPPGTRLKSGLELSQKRKKSKTTRTDSNNSNARKHHTSPQQNEDLRQCDTMLIEATCSEKNVHLSKSNARKHPTSPHQNEVLSKCDTMPIEATCSEKDVHSMLDPYFLSVRGPGVIVDCVCHVYNTIMDEEAAEADNDYYQMWQFHHVIMSGEQRRVCFHLLAEDVINEADEEVGVHPRKYCHYLVSDWTL
MRISYTSERKFSETPGEPDRSNIISSSIIEIDTTKRMDTDGGNCGRRPHESTFGFGEGQYTRGRGRLDEPVLSIDSVILVMHTAHVHGHE
MSRQEELNRLINNIASDLEGFMGASVVDVETGMSLASVSRINDFDLDVASAYNSEMVKAKLKTIRALNLQVNLVDMLLTLENQLHLVRLLDSQLFLYVAVSSDNCNLALLRSTVARRVAELG
ADWWSLGILIYEMLTGLPPFYNEDAKLAYHKLLTCPIEFPEGVSEHAQELIRQLLHTDPEKRLGARLKTEEQQNQHKLIAAGWGDGMPIKRHAWFQGLHWDEVLLQRKVPRVLVNVSSATDVSNFDPCFTEDKTWKTNPMLRESSVDHAPGDDDDFGMDFNFVMSHKRDPGTSLPVGMRKKHVNGSSKDQEPFPDNSSGRA
MATPPKRWALDTVGEKVLRYETFISDALQRDLQKVLDHRDKVYEQLSVYLQLRHVIERLQETNHSELYMQVDLGCNFFVDTVVPDTSHIYVALGYVFFLELTLAEALKFIDRKSSLLTDLGSVYVVLFLQGLKELQGLQNFPEPSPH
MPKHHWLFVALLATLPALLLPLAALPGTEVTPASNQRLLVFYPPHHPAEQAFAGLAAADARPIAAGRAGAWLVAGDAALTNKLYHNGAWLVLDARAWLAGCLGLTGNQIS
MSATINIKLFADYFSEEEARIIEVPGRLFPIKLHYMPQLQDVPVGSAGGSSGKRTHQSDRLSPEPYIQILQLIDQKYPPTEKGDVLIFLSGLNEITTIVDAAREYNEKNKNWIILPLHSTLSIAEQDKVFDYAPDGMRKCIVSTNIAETSVTIDGIRFVVDSGKVKEMSYDPITKMQRLKEFWISKASAEQRKGRAGRTGPGICYRLYADKQFYDFDAYSTAEILKVPLESLLLQMISMGLPNARLFPFVEPPPMDNVENAIVSLKEAEALTEDEKLTPLGKALAKIPVDIGIGKMLLMGCVFQQLQPVLTLAAALSVQSPFTNRAYRDPECERARKSLESDHGDPITLLNAYKEWLEIKQHRSNYGRHEDGDRRSENSKVWCRRRGLEEQRFYEITKLRNQFQDLLQDCGLMETQNNDQLSSAERAIRNGELRQLKELRKAHRMEAPRKRKLLKSDPWGLGEDGDAEADDGKVDIRDVEFRLSHDSSKLQHLVSGATACSYRDLMTLKLILVSGLYPQVAIADEFNYCKSLSEQFFHTRAKPYVSLHPMSFFGNNAQLLQLTDAEIEEKPATYKSRQPLSSRHQIVCYLTLLETNKSYLTNTLRMPAAQTILLFAHTIETNCTFSRIVCDAWLCLDFPAPESGQALLLKATKLRRLWNRLLAEKLKALTTTADGELAKVERNVSIEQMNLELWSSLAQYMNTEVCYTLKKLLPADLKSLYVGPPMAEDDDEDGAQRMELPNPNPFAEDFQPLYNETKGGLFLTENITFGCVVETDWSIQMQEQILEQDWECSHCRGTYRLTGLQKLQHAVICKPAKDDETNEPMPMASEMSSSKKLNVARYDCPNCGEQLVLSAIDVLKHKKSCSKHVKQEPQD
MNKQSYIYIGVTIALSVLWIFFIYNPYSTEFEKLSQEIQANEAKLSDFELTIQKIPEFLKHREELKKKREFLNSRLYTKEEVINLFNLLHDEALKLNLKITEITPPIEELLYLNDIIPDSTKPQFLNIGVNLVGDYKNFGEFVKEIESESFFRGINNCKVSGSKEINNSLDLHIGFKALLGRIGEAS
MNRKPFIYFLATVVLATVWILLVWLPYHRQHTLLKVQINDAKQQLKDYKNTLEQLPKIIAERNQYENIKSILDEKLYTKRDMLKLFDKLYEIASKNQLHIVEITPPIEELLLLNRNISDSTMPLFLNITLSITGDYVNFGKFTEKVEKSLFFRGTNICQIMGDSKPIDELKFLFGFKALLGNLEIKS
MNRSLDEAREALRQRQGDGARYDAAAAPARDLDWARRGTAYFARLLNGLGDADLDGPSSLEGRLRREIVAHVGYHARTLSEIVSSAREAQPNAEPVPMRVDIAEVERRATQPARALRNLFAHSKVHLNVEWRDLDDAQWRAVVANGADQEIAVRDTPWLRARAIWLHAIDLGAGGRLTDAPPDFVEALVADLTAERARDDDVAADEGRRFVIRAAETALWLSGRRIRRPDGVSSEMSPSPVGLMNL
QDPVLGNLQRVLHIALTACFIWLLVRAVAAGERAILRSHPIDVSDNLEARRIQTQTRVLSRVLMGGIIVLGASLVLLTFPMVQKIGTALLASAGLIGQVAGIAAKPVFGNLIAGLQIAVTQPIRLDDVVIVEG
MPSDPFADNSWKQPLVFLLSSLAAGGILHGFFLHIASRKMEKWTDVTGKHPLNRIRWPTLFLSMELVMLVIHPALPFSGRTETVLLRVAVLLTILTVAWTLIALVRITGDILSSRYPSSSTDDLESRKTRTRIVLVERLLIIAIVILSASSALMTVPRIRSFGESLLASAGLAGLVIGLAARPLLTNVIAGVQIALTQPIRIDDVVIAENEWGWIEEIGI
MLEKIEIHGLRHFEKYCVEADGLTVFFGKNGTGKSSIVTAFRLLAAVAGRSLADWTEVFSNTGALFHFGPEETKEITLSVKRGRESYTAALALDPKDASRLILRREKLIVADHKDPTQFETTEVKTCERESIFGVPMDGKESEVYPLMREMNRWWIGSLLPSAIRSHEINDTGRVLYPTGKNLEACLIYLAENYPDAFEKIRQALSEVEPEFIRFVVIKEGAEKSLRWESQKRPMLIPVQYLSEGTLRLLCYAVLFVSEDLPEVIVIDDIETALDEDHISALMRLAADAGRRTNIILTTRSEAVAGYAGSALRQI
MRRLDIWPGGAVSGPDLGGVPSGRYSTDQGHTLNLNAFRPKLPNCKVIGPKSGGLGHCNAGGEDCSTETLCVGLTKKRRLNRNRKYLVAVMQPFPMVPMLRTGEREVGGLLTSNLAAPPSFSIAAIGQETSQTVPLKDDERYPATQTEIYGHLDTVASCNGLKLCDSTGENMVRERGHVLTLENTTTHLHQAHYAKPVLAASKWGIVFSVSVHTQGIHGHGQPQGHPERAAQDCSHRTARRKHPEGTTIKTLRSQGPRYCPEKCLRSEMPVNKCGPSCLKPMGFHPPSYPTNGIPPPSCPSNEIPSFTVERQTGNAEEKERERERERGGHAARGPGRTQAQGRCSKTPAPCGLSGLGYERATAAGMESLQPSPRSRHPNFSLLKVVCDLQRKASVLWGSTESLSRGRVELLLAISTLHFPLQSWGDAKLDMAFTDGEAPLSYAVIRRRNCDRSEMNSAQDQTLNPHHPLA
MEFHIFAREGCKLCSKAQQVLARLGLPYQVRYVDGPNATPENLADFAYYDWTDSPPLVVAVEGNRVLARWDGEAIGDETKSWHLTLERWLAEQRSAA
MRLDVKIQGDLVRLVPYAAHHVHKYHNWMKDPFLLEMTASEPLSLEEEQQMQVTWREDPTKATFIVFATTDTETEDEMAGDVNLFFNDDEDTANCEIDIMVAEARYRGKGVGREAVLLMMSYAVTHLHVHRFYCKINETNEPSLGLFRKLGFVQCNYVAAFQEIELEFHITDANKEAAKAELAAVEAQDEDDDDESDTNEEDTGLTENQNRLLYLISLYTKPAILSTDKEEWIRKPALLVLLYEAIVSQAVDYDYAPASELIENKRKYFNISQEGKSDLDFLREEELLNGLKLASKSYQPVTCYQISEKGQELVAKLGKADKSPIHDMVTIIPPRSVLLTTMAISSSSLSLHHSCLLGRGYETFVVL
MSGRERRFDRLYASDPDPWGFRDRPYEREKYRASLAALPARRWRVAIEAGCSIGELTRLLSGSADRVIGIDVSGVALEIAARRCADRPNVVFLRAELPEGWPPLAADLIILSEVLYFLTAAEIDRLAARIAGLWPADGYCLLVNWLGPTEEALQGEEAARLFLARLAAHAGPLDHAAGGGTGYRIDLACRAGWFSRERSPCGDSAEIPDAAGDPGYPAPPGAGRDG
DIFMHTSERYFTNILGNHLTDEMAEGLFRDIIKYGPVGVENPADYEAMSEIMWCGSVSHIGLTGLGAKGDTPRDGDWSCHQLGMAISALFDSTHGATLSAVWASWANYVKNENISRFASFARKVYGVAETDDKKAADIGIEKTVEFFKSVGMPVSLHELLNREVSEKDCEDLAVNCSYNKSRSIGSFKSLDYNDMYNIYMAAR
MSVPAYSRFGPWAELSRRLDKATVVSGNQDRDGLAYPVARAGLRDGDAEVARDITPFRPCKAPHPGFVLAMTSPLAPLGRCRNYWADSLRDLRDGNAARGLPNLANGVLCSRNDHIVYRAMYDLGVPPALYDATLSLVRPTVMLPRQDMLDDAAKRFGVQCTGVEKKDILRSMICAASRLAAPGADTHLAKGDVAPRDTSVVDNLTGMVRHLVGARLSHRFATRESPDGPAYQTHDDGHSHDDGQAGAQPAGLGFSALQDRPNDRAAPAFPAELPAELPAELPADGAVGRMPMGWAGAHGEARRATNGAAPVAPVLRSVDEARLIDALESMSISLNVIAQAATKILVGLVVQPAQAEANHDA
MTSEHTNLFQRATKNFGKVLTGKAVGAIISLIYLALAARGLGTNGFGIIILIHSYMLMCTRVVSFKSYDIIVKYGAEFLATNERREFQDLIKFTFLLDLFAAILGIFLGAFIVYLWGHNFGIPSEAISLAVIYCILIISNVTDTTTGILRICDRFDIVAFLAIVEPIIRLLGVTIAFYSDSPWQAYLMAWFAARISYFCISLIVAGYELSRKNLLQSFSWKMPRPTLRNPKIWSFSWSSNFYGSTNSIGIQFTTLAVGSLIGASGAALFKVAQELAEASAKAAVVFSSALYPELARLATSSQGNSQIRSVVRKTAKSSVFIGFIFTGLLVIAGELILQTFFGQQFSDAYGTMIILSIATSVLLITYPYEAALYSIGKPHIALVIKLSVTLTQLIVLIIMLQSVGIVGAGYAAVLSSLLSAVALIYMTNRRIN
MVKLQKLVKDGAIEVMTSRVEIYLPSTINREGIVKKQTEKEFREGTFKTISLFSSLFGGATYDAAIGAWIMQNGRLVTEKVNIVYSFCRPIDLKQHFKQILKEAGRIKSELGQEAVTIVVNGQAMFV
VPFSTVSVDLSHHQDKPYLTEGTILF
MKTELNVVKQWFTNVFLRAVFFASCTKSLQTNILIVVKYYIDKQSSNRKKWCSIVYINVSIILSYPRLQPQFI
EQYTQEAIARLGDYFHLTPETIVHVEAATPRTFEHFTGRDRGVVGGIGQRVPTFGPFGFANRTPMDNLWLVGDSTHPGEGTAGVSYSALTVVRQIEAQQ
MRINNFIISFCCSGQTTLEGTWLCYRYMGIDKSQVINMQYRGNGWPSGIQIELFDGKKIYKNNYTNPWRLMHQSKLFRPKRCLMCKEDISYKADVSLADPWLGKYKISDKIGHTMFLINTEKGLAFIEEMKNKSLLRLIDSSVEDYIEAQGHTIMAKDKASLEKKFNNILSKMGNNILYKKIMTLSPFMLRFHMLIIRIVYKIVK
MNKGMLIKVVTVAAVVTVGGITPINGQASATYHRGKITKIKHRAYYTTKSGKSYRFKGKAKHLKLTANHKLKSYQKTTWVATRKVTLTKHGHKTVYYDVTNARNHARGWVRRSYLKAGRNFQMTNPKKMTTKRYVRAKKGKIYQLKGNRNYVKFTKGRALVAGKTYKATKRRTVYKRGKAVTYYYVTSSHGVKGWVWNHSLKAKRKAATKTPVKVTTTITAKPATQPQTKVTPSQPAKQVTQPTTTPKVTPTTPKTPTTTPSQQGQYSKHIQLSTSWIQNFKTYTTTNDANQKAQAKKTLDGLAQSEAQLNQFSAGGLSTAHQYNTANLPDSELLKLNEYFMQLVNGARTDLGYRDTAYVNTNDIAFAKDIAQHYGNYQWSKYGHDLPVINAAAKARGLRTHPTLNFYENLGVGATSFTKNYLYSEAELYKMIYDQVTNFITGDANSNYGHAQGILISANNYGLALAVAERDEAQTGIPMTTYETDVHVVSVTDTVNTQLILNHADFEPTYGITSKATIKPNAQQAAAQYAYNVDLRALEVAQNRNQAAEVAKLQAKLAVDRTTLDKANEAM
MTRKFYNLIMPRQNQTDERFIYGTSKIRKRVSSSSSASSSLLQSYRLKRAIMVSEKGASTTAPPKRRMNPKSPSASSKNFESSRRNGPVSARKLASALWELNKIPSPRFSESLSTRRSRKMVRSRPHRLSDPSHSPGSERRDRSRSGSYRRIMPIVSQRVRCKGRNHRALDWLSSSSLMEADAHSRGLTPKRSTMGTKTGLKNLKNILTSSKELLKLLDRIWGLEDHHSYALSLFSALHAELDQARSQVEHLIQEQKVNQNEISCLKKQFKQEKSSWKIKEQERIKTFIEQLESEKKSRRRAERLNKSLGLELAKGVRELESERDSHCIELNMENNKGYVEKLDQHTERYISVKKLRDQMLAGNRVVLPRGLFSPSREFAKD
MKKLMMIEGMSCGHCVNHVKNALEEIEGVSSVVVDLQGKNALVELSKDVACEILKGAVEEAGYDVVDIKTI
MQTITLEIEGMTCEHCANTLSNVLGLDGVNEKAVSYESANAIITFDNDKINLNQIIDTIQNETNFKVKQKQL
MNESTKTLNVEGMTCKNCVKHVEEALNAVEGVQRALVDLEKKQVTVDFLSEVVNVAALEEAVRRAGYEVVEPQAEKPRTGCCCK
MSESKVLKAILRVEGMSCSHCASAVEKALRKIEGVVGVRVDLTENKAEVEYLGRDIKDIIDSFNRGSHYRVSLFNNGKEGQSFL
MVDRVTIKVDGMTCEHCVKAIENSVTAISGVDYVHVHLETGTVNVEFNKDVAEVQQFTNTIEEQGYTIGQ
MYKIKLSGMTCDHCVKTVTRTIQSFDPTSKPSVDLSSQTAHFETAKDITTLSSKLEEEGYPVLSINKE
MKIKVSGMNCMHCSKRVSAALKELGLKKVKVDLDSGIVTFKENKNVSSQLIEQTIIDIGYQIG
MVSTHPGEVLGATSRGAPVPISETITVTGMTCDHCVRSVRDELTALDGVDGVDVDLASGLVTLHTEAPVDEAAVRAAVQEAGYEVSS
MAKKTFQISGLHCQHCVSKVKNAIQQFEGVNKVLISNENDMVTVEAENIPDIAVLNDLLEDLGSYKLSESS
MAEAKIKIEGMMCGHCQKSVTEAISSLKGVSGVEVNLKDKQATVTYDPKKTNTDAIKAAIVKAGYKA
MERPDKERKMNMELTMKIEGMMCPHCEASVKKALEAIPTVDSASASNEKGEAVVKLNAETDFAVLKKAVEDLDYKVIV
MPCFEPFDKLTEQDMSHPMNPFDFVPFPTEKPVVKSVDEWFGVGDLKTGYFDVTLKTLTPLHIVGLQDTETDGKRITKSHFLRRGDKAVIPGSTIRGMLRGFMEAACNGWASQMTTHYEKDKGTDDKTGRQIGFHSHYFPDLKELQNNEDETIDRTLKAAL
MCVQMRTSSCVQMRTSSCVQMRTSSCVQMRTSSCVQMRTSSCVQMRTSSCVQMRTSSCVQMPPPQPGTAPSPLSAHLGTPQTPQSPIMGCIGTQSSLSRGFGEPQLCHK
GVPGGSLRFRPRPGGRRLQLRVGAGTRPGTLTSVFGALRGRLEPDCYIIVGAQRDSLGPGAVASGMGTALLLELARFFAAIGREGFQLRRTLLFVSWDGAEFGHLGATEWLEGYPDLLHTKVAAYVSLDRPVLG
MLKGSEVRSVQRLLTALPPVRRPPPLTVGHIVKGACPGSELDPETTGPVVDEPDTIASTPDCRTTPDANGDEEEEEEEEAEDVGDESVAADGDPKELTATVLLIPLLLDEEVEEEEDDDDDDDAEEDEDDDGVEDLVALPVDVTGTDTDTSGHGGTVRRDPTRGLILYRNIANGLIRWGFYLTVRDEILVIVTAQLACFGELRSNRRARAYAFPDVGADVKAYRGTPLTTGNASPFGVIRDGSVLVHMT
MGLKQTLGMDGVELVIHLTATGFLAGMAAEIVGPALEDPLVLGIFAASTFFFAWRRRRGLREREALPASVDEVADLQLRVAELEEVQGRVLELEERLDFTERMLLQQREPEPGRLAPGKEG
METPPCPISGKTRGEPFMTVPDRFTGKEARQWQLVRDPQSKLIYLSPRPDEEEIKAYYPPSVYDPHLTVKSHRTLRDKIYLALRTLSLKRKASIIEKSGPRLSSRSKILEIGCSTGELLKTLLDRNKIAAALCLGFEKESQSATYARKTFGVRIQTADFCDSPPAETFDRIIFWHALEHIHRINETLDKAAQCLSPNGVIVIALPNAGSSDAALYGRHWVAWDAPRHLYHFTPNTLEKLLHKHGLHITAMRPFTPDTIYNCLQSENLADPPSGGLKLLFQARGLLRALRSIISGSMDINNSSTLVYFVKP
MEGQYRFNYVSECFWEHEAEGWMGALMKIKELVHKSKSKVSDCVGISMRFAYQGMSRIQDSKKAMSKEFSMGDLSSTQEVRVAQV
MLLCSDHKDKDAQHTQGAALMLSKEAHKALIGWTFHGLRIIKAPLTTKKEEITMNVIQCCAITNDSNEETFTSEGKHGIRWAACMQLDDLDFEDDLALLSHTQQQMQVKTASVAVASATKKAIKASERGAVGLPSLPLQLSWLSISNTNVVSDSNNVKDKQVEKDKFIYEPTLNNKINFPGVNLLLSRFSHRYITIILCKPLTTSDLEYTQSLKVANNKRLLKPK
MARNKWHADVAYAAFFYNIYTRNGEKLSRGPGYVGVPLGCQQVKPAPYMIGATIRKDRDSQEVYLQVTDCSVVANWSVEIEDSFRKIFVPNGAEW
YQYRKEDCLIPHCPIPGNEKTSIEVGQDLLLLENQQPFFILEDLFNCTFENHTGRFPMLAYIILRSYSPLTGEGYLPEEQVMQRTLQGKVNHLLHLLRICGLDVPT
MESMFMSQPSLRGSLWRHYGQTRPFPPLAGPARADVLIVGAGITGLLTAARLADAGLDVLLVDAGPVGGRNTAQSTGNLYAPVSRMADLVSRWGSGVARRVVQWRGQSLRSIEALVHRYDLECGFSRVPMQYGIQQRDAEATLRFDRELQAYQRAGLRCAHHPFGLPFALGHSFTIPDQAQLDPLAFCQGLASRLAGRVRIHAGTRVATIDAGAGVARTGTGDTIRAAHFVLATHSPSGFNLVQAEMEVHREYAMAAPVAVAPAPGIHWIADRHRSLRGGTGADGRSWLVLVGETHRAGETPVADPGLRLVEDARRHFQLQGEPIIWSAQQFRAADRLPYIGNSAHDNVWVATGFGPDGLGWAGVAASVIEQGIQGVHEEAARQLSPMRFTPLRSAGGWLRTNATVMKHFVGDRLPRSSEGAAESLPAGEGALLDIGGKRTAAYRDDAGDLHVLSPLCPHLKCLVQWNGHERTWDCPCHGSRFSATGQLLEGPASEGLSPLAP
MSSDSTSTNLGTFVVRSETVKRWARGGRPDESSVDRQPNNRRRPRGDGESHAGTPRGARPDRIVPASGRDCDKRGERVLNTVSRSRRTYVVVGVLLLAPAAAFAQGISGTVSDNTGGVLPGVTVTAASPALIEGQRVAISDSQGLYSIVDLRPGVYTVTFSLPGFSTIIREGIELTTGFTANVDSAMAVGGIEETITVTGAAPV
NSNNNNNNDYLTCSSVVLISGASTPLGSALAVALYHTYNITSLLLVDEMNARHVHIQMDGSNIDTNAENFNLGGIGMGGQGAEEQFVVEIEADGSASADIDGSGNGGGKRGTGASAGAVSTEDIIQRSQDSMTNFEIKRQRIFHAMQSVTADYNNDNGNGNNNGNSNGNSNGNDPNANANDVCHGPQYRPKFFRTDLRPKITAYSEASLTTKFAPLLQRIMNQYNVTHMVHLDNGNNLMGRNSSSYAAHAHAHGQSHGQSHAYTQIVPRTQGLEEDDRMGFMEGFLEQLRVLKLQDRHVPHFVYASTGNVYNTSSVNGDEPKDEEWNVTFESESKSSSSSSSPRSKT
MPSGKTCTLTAAACPDDEMGNSFWTPIPKDDCHYKRYTVLFEGRATLLQNNSTTVSRYNKEIYTLNQEDFTFALAKRGERKICGYTLIETEHPKLFIVDSRADGTFAKKTSIDTTNMDIFTLQQVMESALVLAVVAPDEFAKTIMVHSGYMVVAAGELIHIIKCIPVEVKIRHTTTCYQQLSVFRGNSSYFVTPRTHMLLSTGTEIDCNDIVPPMYLINDICQQPLAMDPQEIEANEFRNVKVPSFWKHKPKLWFVQLESQFTLYRVRSDEVKYSTVVSHLGDEIMSVIEDILESPSDQDKYQHLKKVLIQRFTESQEVQLRKLFSGLELDNVQKLLAVVEDVELNKLAEVANKAMERANTPFVTVVDDNKDPAPSYVADLSKRIDDLTLLVTKLADQSGNRPNSGNSTPSEVDKLSEIKDVIEAFTKRQRTNQSTNPHNKQRSRSRSRDNTEGLCYYHRKFGLLPRHLAGRTSVKPTKTQLYAANSSPIATHGTRTLSLNLGLRRKLSWVFIIANVTKPILGADFIHHYGLLIDLKGTISASADLTVRTIASHIPFNDLLREFKAVTRPVQFTGDTKHGVQHHIDTKGPPVTERARRLTGEKVKAAKADFEFMLKQGICRPSKSPWASPFHLARKKSGEWRSCGDYRRLNAVTLPDKYPISHLHDFSHRLRGCRIFTTLDLTRAYHQIPVVEIDRPKTAVITPFGLFEFNVMTFGLCNAAQSFQRFTDAVLRGIDCCFCYVDDIIIASKDEQEHRQHLRQVFKRLQQYGLSINIAKCVFGATSVQYLGFMVDQHGTRPLDERVAAIKQYKKPTTVSELRRFLGIVNFSRRFVRNAAETQAPLNAYLVGAKKKDKLSIEWNPTTERAFEECKNQMAHTTLLAHPTEDAMLALHTDASDTAMGAVLEQLVDDTWEPLGFFSKKLSNA
METVAISVKLPPFWPHSPRLWFAQAEAQFALRHVSASLTKYYHVIASLPDSVAPDVDDLLEPAGDAPYETLKRRLLERYGESDDDRFNALMNSARAGDTKPSQLLREMRRNCGKDLDPNTCFFKKLFLQRLPLNIQMILRANTYSNIEEMANKADELIALSNNGSGSICAVKKEFEPRETAYIASMLLPSEIRYTRPQMPFSLPVPGKRTARDLMAVGSSGKRVRCLFFVQKRSYGMRFLVDTGSDVSVVLYNAALRSQLHTADIPQLTAANGTRIDVVGSRELTVDLGFTRPMKWKFIVARIAQPILGADFLRHFNLLVDLKHQRLVDMTSWTFSNGLVKTSNTKVVSCLRHGSDHNLKVLKKYPSLTSCFRTSKPPTHSIQHHILTHGPPVFARPRRLPPDRLELARKEFDILLDLGIIRPSSSSWASPLHMVPKKQPNTWRPCGDYRRLNNVTKPDRYPIPNINDFVTQLGGRTIFSKVDLICAYQQIPVAEEDIPKTAITTPFGLFEYARMPFGLRNAGYCRPTTTVARIFLPVYGNRSLHPLAGGSALNQHVHRYCMSGVPVNVGSEIWNSDHRHHRPRPAVPERSVARTHHCAPYQVGTGVSISPTDQRYGGKVPSTLEDRPAHANHSHRWIDALPLVLLGIRSSVKEDLRHAPAELVYGSPLRLPGVFFTKTLPSNAQLSATTYGSFSTPFDRHRQEPHGRGNGSCRKS
MLGQRCFEHSTRSRKIDVLEGLTFVEGAQLALNLAAVIGGFSVWTLYVGNLKAALASKDAEISTLKTIRDDLKGTVETLEKRSPEYMERILSERIDTREAEIKRLAKDKESDGEKVQILKQEKAALKNNLKKIQRSTRGFMEVLSLEQEDIGNIDFEDVAQIEVIKLGEVGVDSGQLLITDPCYLENEWTPDALEEAEIYIDSLQNAVYQADKDFQSYEEELPEYGRTIQELIDSGILVKRRLEDSELSQYSYEGVCKATLDAGYGELAYRLGHKGAGLAFSTALGDGLYPIYGEKHDGRIVRVYVNVS
MSCGGFGGVRFENFIVHLEVERTEMVTPAVVTGDGGRALAFDDRPAGVTVLQVLDLPPLGNDISALPRLWIAAAGVSPAWRRAAIAISGDDGASYAVIGVADGATVQGATASALRAGDTSGWDRHGHVDVELLSDAMWIEGRTPAAVLAGGNLALVGDEIIQFSKALAIGPRRFRLSGLLRGRRGTEGEVGNHAIGDRFVMLDPGGMLPFDPPAEALGRMFRVRAAGVGDAGSASLAVAAGGQALRPLSPVHLKLTRSDVAITAKWVRRSRTGFGWSDFVDAPLGEATESYQVVFSTGEHAPRRFVVAEPQCSLPLADWLADGGGEVSVTVTQLSAMAGPGRAATRHFESGAR
MAFVSVGPWGGEGESEWNYKFSGGLKRIIVHYGSCIHSIMFQDSTDGYSPRFGTNGGDRIQDVSIDCPYEYLVSFGGRYGPYDRIVTTVKYLMLETNVRSYSFGYLHEDDTYFSIPVKSGEFVGFHRRCSRLLDSIGLHLTPCSTTPAEASGSTAIARVQFDLPREFVVGLRRSYGKLGASGPVVVKYLVIQTNLRTHEPFSSLNQNDRKEVEFTTPMVTSGRFVRFHGRCGLDLDSIGLLVMA
GAMFSGCSSLTAIDLSTFDTNKVTSMNNMFNGFGSFLKLTLGSKFKLNSAMQLRDLTVCESWHGASSNNRLETTDELIVYHNGLNETNTYTLFNHEYQDGGLWEIEDGILTLAGGTLSESIGNNTWLKYLDKGDIREIHVKSATGSADLTRMFSGYENVEKILFNEFDTSKSTNMWGMFMGNKNLVEVDISTLNTSNVTNMAYMFHNNNKLSQVNLDNLDTSKVTDMTMMFANCEELETLDLSYFSYSSSTGNMFLNTNKLKKLILGGSFKLSNSSLRTIDNTEFWYEERSQKILNTARDLENYHKEQNETSIYVINSSAYKDEGIWRFNDGILTLTGGTLSADIGNNSWLKYLDKADVQEIQVVDAVGAENLSNLFADYSNVQKINFDGFDTSKVLRMNSMFSGCRGLIELDLSSFDTSRVTTMSDMFNGCRALRKVNLNGFDTNRVTNMGAMFNDCRALTELDISSFNTSNVSNMSYMFDGCRILTELDLSSFDTSGVTNMYSMFQDANRLTELKFGQHFEIDSNAGLKELESSESWKGELENNHLDSTDKLLEYHNSLSKTNSYLIVNPIEEFLTLSFDTVGGSQIDSIETKFGDVWLEPSAPVKEGYKFVGWYVDAEYTEAFDFSTSAVESLTIYAKWEEEKANIVFEVDDYYIGSYNIIGRFNAPIVTAQLRINGAISNLGGTFNHNEGTFYYYTGAGRIQMGQEVMLEGIDSTGNIVETVKIEPKVAEGSLDEVRYVLGENTLSGEYSGDARKARLVINGTIVSVGGEFENGKFSYYVKPNQIKETDKVQIQGYDVDENPVGDLKEIDLERPTGKITEASYQVGDSTIVGTYEGSVKKARLIVDGKPLSWGGTFDNGTFTYYVPSSLIKETSIVELEAYSAGDVLLSDEKFPVVKIIK
MLYEFRMTDTGEEEAEVVGWDVREGERVAPDQVVCRVLAGKVEHGIRCPQAGVLLKQVARVGDSVRLSQLMAIVRPEPVQAQAATPAPDQAPAAQALPPLPVLKKPEPPVPPAPPVIRPEPASASAERIPFVGPRLRSAQGAGASWAAIPHGYGQHEADATALRNLVKELSAEALRRGCELTETAFVLRALAKALHEHPEFNAHADADGRGMVLKRSCDLGVAMTVGHTIIVPVVREVHKKDLWTVALELGRLPARARDHSIPEAELRGASFTATFVGNAASSLVLPVIHAPETAALGVTRPTERAVVIGGGIHARWRMSLGLSYDRRCHDPARAAAFLSGLARRLEAPRSLA
MTIETQKTNRPQKKNDGHEKVFEIQDQNGTICKPYVLHLDVDQNRNVPNQGPQERSEKTPVKSEESQEKNGEGVQERPAMTIEPQKTNKIQDQNGTGYKSHVLHSGVAQNRNIPNQEPQERSEKTPVKSVKGEQDIEKLQIKIDDLQKKMECSLDKTEVISATMGDLLKKFDDLQKKFGTEYKSHMLHSGVNQNRNISNQDILQNKFDDLQKNIDKGKNDEYDLQEQIEQLKKEIEKKKAQFKSAWREIPLYADWRKEEFQTVNLTLDAATAHPALFLSEEGKRVTWQEPCQDLPRCPERFVSLPCVLGQLHVSSGRYFWEVEVQNAHSWDLGVCRDNVARSGRVTMSPQNGFWAIRLYSGEYWGLTSPETSLTLKEKPLHVGVFLDYEARDVSFYNMTDGSHIYTFSKQTFYGSLRPLFRLWSSDSGPLTIVQVE
MEEHGITVKTVTIPSLINIAIKHGVSAEELLYQVGINAETVSDPDSVISLEQLDALYEATARVTKNDAFGLYIGALARFDSLNLIGSLIATAPTIRVALDQYHRFRELQHPFMDFRLEETSTETSIIYAPVNPTPVMMKPIYSEMFLSAILKIATSLTEKGIALRRVQVLHSEPSYSSIYRKLFGENIEYNQKCSALVVNSDLLDKPLLGSFPPLFDSLQKQAEKLLNQLQSHRSIEKQVTSYLYQNMGRKVVSMQDVAGKLDVTSRTLQRRLKKEDTSYVALREQVRFELAQRYLEDSHLTMDKIANRLGFSEPTNFYHAFKRWTSISPGEYRKRHNQQSLHTQQSLKA
MPKHAAVDAQPGPDKASCGASSQTREKPPEDEMGEFEDARKDEIEGDDNDDVAQSDGVRVIFCSQVHYTCAGGVCAPRGRMTADALTSRSDWPSILSTCSVMTTAISDTERQGFPASAYIVAGTQADAATKNQLTVWFSFFTLWWPGRLSAESAEPLKVVEPKS
MLSKGRLFIHGERRKTSRCVASSHLCAPSSCQLRSSVAEAWEKMDPVQKAVINHTFGVTLPPKKKQVISCNVCHLRFNSENQAEAHYKGHKHARKLKALEALKNKQKAAAAKEDDMTQAIHNPDDTMSDAEKTEDCPTTPMGEKTKGNSTSMVHVSKVFLVENAGTKTSAMFSCSEATNGRSENDIATSVMHTAEIIRSEGTDSVSSAPESEKEGEKMKQQLYCAVCKVTVNSASQLEAHNNGSKHKSMLQGQNSHSRRSRLRLFPRTLYKTKRIGNKGSIGLQSKAFHCNICEIYVNSETQLKQHMTSRRHKERLTGKPSKPKYSPYTKLQQTAVLATKMAFQKHLTKPLATGFLPNPLTAAAVCAMPNLALRPTTLFQTPFLGPAFFRATPGPLRATHTPFLFAPY
ICERIGVPVEPLPHLRRARDRHEYRDYYTDELRDIVAEAYRPDIETFGYSF
MDPAAARFPAVPLARGHYESFYLKAGDAAGRQAVWLRYTVHKRPGAAPVGSLWVTLFDDDGPHAGKLTAPPEALRAGGASGADWLHVGDARIGAGGAHATVPGLAEWELRFDGGEAPFPYLPSGWMYTAPLPKTKALSLLPAVRVSGSVTVRGRTVELDGWPGMVGHNWGAEHAERWIWLHGTAFEQQPGAWIDMIVGRIRIGRWTTPWVANGCLSLDGRRHRLGGLGRTRSTRIDELPERATFWVTGEGVALRGQVGAPPGDVVGWVYSDPDGPQHHTAHCAIADMRLRVARRDLPPLELTVSGGATYELGMREHDHGIPLQPFGDP
MHIQSFLAAASSALMVALQCAPVLAGGSFKLAPARGAPLTIEYTTDQPNDKNWIGLWRAGDGPVDQKKPTPGSLVWKYTPGRSGKINLPTPGIPAGKHWLFFLVNDGYEWVSGPIDYSKPGGMRLIEDGADPVTIEFSTMLHQQTNWLGLYRASGGGPVNEEKDQGSLTWAYTNGAVSTANGVTTGRLRLATRDLDPGAYKVFYLAVDGYRWMTDPIDVSVDGRVQSVSGEPLTFRYTTNKPNSKNWIGLYKPGDGPSGGSRNGNKESVKWEYVTGSSGTVTLSTDDVPSGNYQVYFCANDGYKWLGEYIEISYTAPNTPLRFYAGSMTTHNARIGSRFSYTARGLLEGGTSGVTFSRADGGSGSSWLSVSADGVVSGTPPAGSPSTWVQMRATKESSSATIRLVVPVRPSGSALVGNLRVMTLNMWHGGTRIKNHLAKEVSFIASSNVDIIGFQEVNNGGTNHAKRIADALGWHSRTDHGKVAIISRYRIAENYDSSTSTATGVRVQFDDGAVNVWVAHLGYNPYGPYDFCFDKMSVDRVLQREAQSGRTGEITDALNSMKGLGHIADADNVPVLLMGDFNAPSHLDWTDALKSKNCGYSDVPWPSSVRPTEAGLIDSFRIANPDPVAVQGITWSPVNPKNDNGKAEPQDRIDFIYHKGRKLRVSSSRTVLVGQPKPVSDPSYVNNEWTSDHAAVITEYELRA
MLKTMGLCFLSLRKGHITRNCPSGYKCMKCNGMHHVSLCYGNRGRPPGTQGAPSGARGAYGGALRAHGGARGALSGAQGIQGDQRSIRAPYEGTATFYNKTVIYVITIQGERLQSRFVTSKTCVAPTNTPTTPRLELIGALLLSRLIAMVTRSLSEVISLKDPVCYTYSLYWIYGLDRDWKPFIQNRVEEIRKFVPPSRWKHFVGADNLSDIPSRGISMMELQGKDTWFRGPDWLTNSDTQPYQITEMPEECALELKANERRQTLGLLTTLNENSGISSIIPIQK
MVGHSFVGEPGWHIYASADNGLRLWDALFQAGKQHGAVAVGRAAYAALRMEAGFRTYGVDVTTEHGPLEAGLLAAAVDPGKLGYVGCEAVVETATLAAGVRPENMLRCLVVEDAASVVLGKEPVFVAGEAVGYVTSAAFGYTIGKPIAYAWLPGHVDVGATVEIEYFGRRVAATVAAEPLPTGLEVGTMR
GSIDANRGDYQNGWDTDQFPINIQETTEAMLVILRSGGLMGGGINFDAKLRRNSTDREDLFLAHIGGADTFARALLIADKLINESKIPDLLKKRYSSFDFGNGKKYEEGKLSLEDLYNLSKGKKSYKLISGKQELLENIIFNHIR
MKKVATIAAMHGDEVYGIELYEKFIQRLPELKNYIQLIIGNEGAYNQQTRYVDTDMNRQYQTDGDSHESSEIKRVDRLINDFNPDYIIDIHTTRRDSGVFFISDTVNTKRQRIYDMLDIDVCIMHDRVIKQSLIGNYTSAVSLEYSLTSISDTTTTAFINSLKNLIIGQNSRIHNQRLYKVSQLITSEEWKKYSKLANYEVKSEGMALMVPRDASEMDAEYFGFWCEKSLRS
MYNTVEGQGSCQVFNVFPLMHFAEKTCPAGWKMFRCSCYLFSTQSGSWEEGREKCRQSGADLIIIDSFEEQVMRVSPYLNWQPRKSKWINGATLCKLQV
RGKLFSMRNAQQNTPMCLPGWRRFKSGCYQLSSTSNTWEFARQDCEGSGAHLVILTDKAEENFVSSFGGAEKFWIGLKAEDTSDTCVCTWTWVDGSSLCYRCAHVAQNHAWLVGPCDESYYWICEKEL
RGRVIAFVFFIVGVGIVLRNIPGAADLVGLNNPEVYPWFQQVPSIWFLVFVPLNFALIGKGVYFTVVDDRFHRVTRNAYLKVDLLHPDKLAPFTRMALRRSLLWIVGSSICLLLFLNEAVSPTALLPFVFAIMVVASLALIAPLTGIHRKISAAKKEELRAVRTSIASFRDEVLHGTNPTTTNNAAHRLTGLVAYEARL
MNLNADQLAELLAGIVRAHNAIIDAVDRAQPGFRNTHLIPVLNIAANIRAAEPRMIDLPSRMLLRMQGRAAVDFATIKQDLARLTGGASVAPAAAAAPPAAAAPSAAAPAPRAGGGDLDFIKS
MVNTLYFLAIFLVFLRMSTFFMVIPSFFAKGIPNIFKIFFVMILSYILINTVDYNSVSLINNTYMLVMYCINEVVTGLTLGFVTSIIFYMMSLAGSLMDIHIGLAMLSMYDPNTQSTNTLFAKLINWIAIIMFFITDTHHVVIGQLVQSYSVVKMGKSIIYQETISAVFNDFAQYFIIGIKIALPITFIILMTDIVLGLISRTVPQIQVMILGMPIKILVGVISVIVGLPVIINFIVSAFNNIPDAFKGIYNAMPMVMPLFFIFASDDKTEEATPKKLSEARKKGQVARSKDLGLAITLAACTVVILVLGGAIVKILRNTLVYYLSKSFKTDFSEFFISHEIMMAMLQIAKAFLPMALIIMVSGIISSIIQTGFMFTKESLKPSFSKLNPINGLKNMFSMRILVDLVKNLAVVCVVSFVGYSFINEHYYTILQFGNVYMPD
MYTEEEEDYYFGSGGSGKYFEWQLLIKNQWMRIDNDHVIETHYCQPGAKGMTINTTVGKVFIDFDTLETSNEDFRVQRLIVLPPDQTEDVSWYFRDDHLWCEYGSRGPSTSVSSKDIERHFTLYPEGSLRFTVGSNGYSIDFPSMTQTNLKTGLQRKIRRRPKYANSAGFYSPPVLAGSTSLSSEEPKWEFMNEYGKWTEYQKHSSISSADIEVQYQRNPYSQLTFTTKKFKYELDFAAMTQRNLSTNTISAMNNKCFEWQMLVENQWLRIDNDHVIETHYCQPGAKGMTINTNMGQIFIDFDTLETSQEGRQVQRLSLLPPDQTEEVGWYFKDDRLWCEYGSKGSSTLNSSVSSYSIDFSTMTQTNLTTGLQRNIRRRPKLSSSTAGGYSTTVLPGPSQQSVPGFKWEFMGDRGQWTEYQAPICSCDSSAIEREYMLNPQGQFHFTTHKYLYTLDFSRMCQINNIFGTTRAVRRTAGSGVQPGISSGTGLRWQFQDEGIWKDYTQGNQCSVSSQDIELQYQRNPSGTLIFYTRDFSYELNFSAMTQRNLSTNTVRAVRRIQQ
MNMASNYLDSDEGSYQQSSESPPEFSDSDTDSEAASDSGSGSGSGSDTEDDVQTSSKNVCMHYNRGHCRFGDKCRDEHVCKDFLKGSCKFGAGCRLNHNRQSLSSGQGRRRSRPSSEKLTAHESQKSRKDSEAASNSGSDTEDDVQTSSKNVCMHYNRGHCQFGNKCRDEHVCKDFLKGSCKFGAGCRLNHNRQSLSSGQGRQRSRPSSEKTSEEFDGPYKWQLNFRSGWENISNDHILEAQFSLPSTKGIKIYNTQAGAISIDFTKMRVLQKTNIKVRRMSSKDTEWLWYYRADHSWCQYGDKGKASPIQSSDLETAYQNNRRGSVKFTIDSIQYEISFKEMCQKNLSTDRKRRIRRRPKYEPSQSGGFHGITSQLIKNFKNLSPSTNKTPEWQFKGRNQWHTFKNMGGCSVSSADIEKCYQQNQTSMNFTVNGDGYTLDFAKMSQVNQKTKAERKLFNGQQWVSIFNDFVIEAHYCQPGANGITLNLNKGPAFIDFDEMTVDGVAFTCVRRNTLLSPNQKEVIGWYYEDNRHWCEYGSHGSGGRSSSIRSDFIEQQYNRNPRGSVQFTVGRMTYKVDFTAMTQTNLSTYMLRKVRRRPKFNEVVMDNSSNQNSLPTLASPPANPSNSSSHYIWEFMGDEGIWTEYQKPGCSLDSAEIERLYQLNPQCQVSFTVRRFSYTLYFSGMYQVNNKYGTNRAVRRIASGIQPTNSTSSQARWQFKDMDGQWKDYIKGGSRGCCSVSSQDIEAEYQQDSTGTMSFRAGRFNYEIDFSDMTQTNQSTNTTRSVRRL
MSNRHSAIRTARTRDRQARRETLLGLLGRLDRLSETEAALLREYMDAELAASDALRSTLVGLERALQEEQARNRAAEAAIVEIEAERDRAQELRRHADARAARQYEDIERLGVYLIAAKRASGASTYADVPEAVRALAARARETARDHPEEP
MMVERERQGVLVSVIGAGNYKEVEYILAGRGSSRSSFATWALKELLQDEETFDEVLIFGVKGAAWHLVDSHLPEARKAYLPEVKTQQEVDEGFLLIEKTLREFQSPSLTLDLTHGYRHHVMLILLTTFYLSMLEHLSLEGIYYAMLPYREEQAQFLDLMPFFSLLKTVVRVKTFQETMHATGISDLREELQRVRNAFGRRKEVDRASAVGKVE
MASAYASSLFAASMKQLSNMSELAGGTPFIRQMGQMATSVTGRSRSPAREEEFESLEMPLVYKDISFLIKNDENGLQVKEGSVYVNLPTAPDAPLKIFEARRITILTYGNTLGNLKQLAFQSVTELDVSKQGDASSLLKTLRECCGASKLTKLTVTTSSSCRQLVSFAEVFKLFPHLEELTLSLGLVFNKIGNDWMSELVNSAPMGRLKKVELISDKQGLMHFKHEDLVDLMAKANIGFRFELKLQAKKVDATYEDLRTNMLAMQGGKWTIDLEEGPEYIHASFLKIEDDQNPADWLDNMVLADQSVRKVFITTSFEFMKMLRKADLFALFKKVKPGAVLELQLHLPGNHTTAIQRIQKRIDAMKDLPFSIQTEVTNFLTVRYRLNQIVSV
MGLLVALAACAGRIPFLVPPSGGVEAVEGFGSASVQGEDAAVKGKFAFLFRRPGFGRVEAVDPIGRTAFLMIFRVDRAYFVVPGKKAYAEEAPEAMMKRFLGISLPPDEVLRLLSGTWTDSGAGSGWNVEQDELGRVWSGGRNGFAFQVREFFSGGGVPRDIGLSGPATTGRIKVLKLGFNPPSREAAFDVTFLRGYVLKTWPEILEIPDR
MSHFAAQFLHPVSFQFAVCVRDLARNELIVVLSVISNKYDTKLYRQSWSRVMLSSTSLLVVLILACLTGSTPQCWMHRWPPMSSCTYTRWRCSDDSSRQRSPLWKAMSSMSNMKVTDVQANGGDIFPNKQTHDRAPADGHHRNNAMTGRPCLPRRDRGQDIGTVTGVDVVEADVEAKIGVPQHDWVRSGGEEEEFGSRSHLLPCPYEWEANPWLERVGRERGIARRDVATDREGES
MFGFLRRKKAPETPAELMMEPEVTQAAGPEAAPAAETVATPTSPAPVDTEQSAALAPLIHAEIRSTDRVAPLTEPANREKPKSRFWQQLSRTRSSVTEGLGDLFLGKKAIDDELLEEIETRLLLADVGQTTTQALIKELQQLISRKQLNDAEALFEKLGNLMVER
MDISPDHKETGLRGIVTARKCSHCGHHEIGLVTLQGVFHPLLPGMLVQLLEPAAPTPTAPEPQPRHEPPRAETVPETPVTEVEKRPWAPEPVLGIASLRLKYGVFLPEQDEGSTLDGPRYIAAYIEKIAVLAAKEEHPWTAVLLDQYLKAAYLAYDDPRQTAQALWSQIEEIRRPAEQVADWLESPDEAKRRSLLGVIAPERDAVMQPVSTVDFAAELESLTLERFLELL
MHFLVEELGQELYNEDSSCSEGTSKAIEGGICFTGDTLIPTKYVYKEIKDIKVGDEVYSENPETGEKGLKKVRDVFINETKELIHVFVGQEEIKATSTHPFWVEGKGWVAAGELQAGDKVRLYNGSIVAVDKTIVEKLDEAIKIYNFEVEDWHTYYVSESKILVHNTCEMNVYRGGNDFTIKPGEVKIDKSTGLVTPQRGVSLNTDAGKMSKFGGAYKIDSMPEGLQIKQVGSDPGHFEIVPKYPMTQAEFQGKLNQIQTSPVK
MEGFHVGKYATKGVIAAEKQLERVFMGPWMKKAENTAKKLVNGCNCFTAGTKVLTDKGEKNIEDIEVGDKVLAKDDETGDMAYKEVEWLFQRDVEETYNITVGGEIITTTDEHPFWIIGRGWVESKNLVVGDVLTTSDGKELAIEKVEVKKEHKTVYNFKVKDFHTYFVSNLGIWTHNACSIPNTTTKWDIKSNAKAELSYNFHGQKIKAYQDSHGYWWAKDTTSHAGSAYKVFEKKSKELHWVSDADEYGNWIKDKHKSQTGAVIKLN
MRRIDGGKDNALTWGDLYGTRSNLRNLTCESRQNVQKSAEAIVRRCCNTSGRAEHEIGFVHRGVQGKQ
MTRILFGQRAIKREKKETKDVIYPHGAHVLGAFYMERRDSIRFKLPNGKLGIVLKRGLENDIEGQNTTLPRIMVKKFRKDIYVELLVQGTNESGYECTIFTQKMLKPNSIVTGIIEGKEEIGYSVALGIPGKSALLKTSKTYEEGELGTFQVTAVTSSTYMLGDTIDREIYIGEKSEISPGIIVRTNVTGRPRYVLGDIRPRYTRMHYSYTAEGMTVSNLIVESKEELVEEDQQNSIIVFVSEDKSLIHAVPVEEYANDIHRNLPSPDLVGQVLNGEVELVKKTGLSTVKLENGLLSVLYEEHYSDISRKGSMPSFSEGDTIQVCVYMINGYSVVVTAKESFIKAAQTDYAPKIGSCVIAMVKSNTPVSRICETIGGIPIIVKRVDDGTDSEIGAIKYVKVKKYTEETGYFLGTIIKKPRILSLEDKENVEHKQKKQKKDKKEKKEKKQMSEEEWANHLLKNQAIRLETIKQFKNGDVVTGTISSIYQYGAFIRITKYITARIQIGEISSRFVQDWATLIHLGQKVKVVLFDIDYDTGKLEASIKKYEILNSIEAAPAESTQSELANTIETEAQPLVYGIEDSEETEEDSSDEDEDLKMELFNSKDSITPWTKRMQNMQPDGLIKIFNKAINYLQSPDSKKQICLVYVTLLGDIKCPIKPEYLVPLEEGIKREGSVFLKKVIDTIRTGKNRDLYRDVCIRYIKERSDSPFGYKELINYARVQKSLDQIRTVPDLLSRADMKHADRKSVEIAYIEALYSLSKIEGRSVIEQQISKIENKSKEEWIIKYITLEMGSIDKAADIAYTRNVLHKSVNSTGIAEEAIKSLFKMYLKFEKEYGTKEREEKVLELAKEYVAKLDK
PAQALPSPAHSCQEDWPAVMQGHEDAAGIPASEAPLAARSMGMTHSELLNMGAGTPSGSNAGQSATSYALEEGEEREDENDLETGSSFNISHQESLMHEVRKRARSLQSYLEAPSRQLSKPMSTRCPSTAARVAVASSGFSISGDSRRLRKQVTRRRISLTAVNLSASLLVFFIVCGLAMLEIHAWEVEKTFLEAISTSRFDGAPPGAGVELTAAKATAGAVLRQMGASRVEVEKHERFSVRAGRVPELLQECDLKRTLGCMQVHALSVKSDNRTHPSVVLVVSTGTTDIYTDLSGRLRSLRLGILGGLGMLFAALVWSTHMLVLRPLGCLSSAVDHLGGLDFQWGSGELRELRRGCRSRIKEICALEDALDRVMLALETFGRFIPLTVVRNIVNGDERSMRLHVSACDVTIMFTDIQGFTSLCEELEQRDLLFLVTRYLSVMTRVVEMYDGIVAEVLGDGLLVFWNTPDEVTDHAAKACSAALAMQHALALLNGQFRDIGLPEVSIRIGLHTGPVLSGTIGSEMRMKFGCMGDSVNLASRLEGLCKHYGVNVICSRATCDALPPTYGFVLRRLDLVQVKGKTKATSIYELLGMQQDEDRPSLQALRGAGGDQAEDGGTGSAPELTPKVAADLALSPMLVQTRGSLGDSEGTPGGQATEAVEESRVLCAEWYEKALDAYQNGQFLLARDLALGLLQVHPDDVAAARLFERANRYISADGSEVVGLTESELAGWTGVVATDK
MYLSLLTLNSRSKRALTESSRPYELHRSLLKAFPDAADGGPGRVLFRLDVNEQTGSISTLIQSEKKPLWTRINGYASFVTECKCKEFKPALSLDQIFRFRLRANPTKRMKSTGKREGILNTTEQVEWLRKKGMNNGFEIIDVIALDEGFVKDKLTDTDNTGHNTNMFSVRFDGLLKVTDVDVFYSTLRSGIGSAKGFGFGLLSIAPIKE
RFNDVVSGCSAARSQEFNHTFGSYFGGVV
QEFPVPEERPQFPGASAEFADRLEFIQPNVISGIPVYRVMDRQGHIVNPAEDPQLPKELVLKLYKTMTLLNTMDRILYESQRQGRISFYMTNYGEEGTHVGSAAALHDSDLVFGQYREAGVLMYRGYPLELFMAQCYGNASDPGKGRQMPVHYGCRQRHFVTISSPLATQIPQAVGAAYALKRGDGSRAVVCYFGEGAASEGDAHAGFNFAATLEYPSKGSAGSSQNIPGSPFPPKPPRIPWPQLPRFPRRIGHHSTSDDSSAYRSVDEVNYWDKQDHPISRLRHHMLGRGWWDDEQEKSWRKSSRRMVMEAFEQAERKPKPNPQLLFSDVYREMPPHLRRQRAALERHLQLYGEHYPLELFEK
SPEERPQFPGASAEFAERLEFIQPNVLAGIPVYRVMDRQGHVLRPSEDPQLPKEQVLKLYKTMTLLNTMDRILYESQRQGRISFYMTNYGEEGTHVGSAAALDASDLVFGQYREAGVLLYRGYPLELFMAQCYGNASDPGKGRQMPVHYGCPERHFVTISSPLATQIPQAVGAAYAIKRADASRAVVCYFGEGAASEGDAHAGFNFAATLECPIVFFCRNNGYAISTPTSEQYRGDGIAARGPGYGLLSIRVDGNDVFAVYNATREARRRAVAENQPFLIEAMTYRCGPRALPRARGSGTPGSPKKTSRCPSGFCSIGHHSTSDDSSAYRSVDEVSYWDKQDHPISRLRHYMANRGWWDEQEEKAWRKSSRKMVLEAFEQAEREPKPPPRLLFSDVYLEMPPRLRRQRAELERHLETYGEHYPLQQFQK
MKKDEIVVSDALVTHYLNKGYKTVTYSEPSQDPPDIILQIDQNSYPIEITKIDENSLNRRTNFAHSYGTFIKQIIAQKQSEVPKNVSYYISVRHSGTKVGKIRKKFILFFDKKILAQGFSNDEYIFLEGSVKITFKKLNTSKGGQHFPMSFSTVPVRQSNDIKDFLNSMIEPLDVGFQSIVRNSICVKSKKCSAVKPTIWLALYDFYANKFNSDDNEQILMYQNAIANITEKDNFDRILVVLESGAVVNLFDKHT
MIEKFENHPEQYEKHHEGAMQFSQIK
MSQVSDALVRPVQEHKPTTHKGLVYPFGGIEPEAGTAMPVAPGVRWVRLHVPGPLRHVNCWLLDDSDARGDGVAMIDTGMNTDAARDGWKALWRSDLAGVRVTRMIGTHFHPDHI
MEQKLTHVRWNFAGTDAPDLPGLQDAEGRIWIRPSWTDLIVAALAGEEETIAELHEARQDSARRGRFPLPADWDAITVNGPAPAHLREFPDYDNGPAFEAIERALAPYGFEGSSWHNDANPSLMIEIGEERRIRVFVEYADPELREFSPVGIYLEDDSDVTEISREIQSFEPDAVIAALHELLTEKGVTLPEISEELGTAELSDRIAAFSQENAIAWRSADEMLFEIPEGHPHRAEIRDWLVRFVEIWETVQAAEDEAEDEARYRAWESRSVFRDPTDEDREIYPQADEILEHPDGGRIGVRYIATSGSGVAYDVVADRFDGTFENITEARRALWNHHSRAETRAK
APPLPILVLPAPAALCEPAHHGNMERAYERACVPLAPYTCAPVYSLNPAFFLSSSPSRLCPISYFQLVSFVATYHLSVPLFPFLLSFTEKPKEEDRCNTETM
GLRLGEYEWTEKFIYEYERFIPEEYRKGIFHYNLAHLYFYQMRYGEALLLLQGADFLVLFYQLGYKCFNSNLYEQVEVESFLNPG
VFNAYKDETRHFMSSLKEDVKGDAELLRGITPWL
MDNKNITQSSEYDNICQYLIQTYKERMDSILDNQETPLFLREFYSESPNWYTNQNIYDFIDTLSWLSYTDQEKEERLNEEIEEYLKEYLKD
MFKQVDSTGLQDLHFWHDSESGLQAIIAGSANNQRADEHSGEALYRRGIRYVSDYRLNTGGLIFVTRNHSGQKNTAIQQKIPQPDDALSERYLHADADKQPSVISDIRAKMIIRQACLVKQQPA
WVLASSIFIAFLLIIPKMEVTSPGKGIMAVKDGIVEDVTKTHIVVSGEDYSLQKHQ
MRTAFPRGLAAVVLAAGLTATATPATAAPAATDRHGWALHSAGRLPGSYFSAIASSRPWDAWAAGHQVDGTGRARGVLLHWQGRQWNRVPAAGLPKVSYWHSVATSSPRNVWVYGWSQTRQSMAHFDGRRWREVAMPDDMVTHGFAKLAVAPGATWLASERWAARRVRGGWRSTSFPQGVTVTSIDARSAREVWVAGYRHAPGEPVQPYAARWNGRTFVPVATPDNGLVVRDLYVDRRNNVWLVGQIPQGWEDHRPAVLHWDGRRWRDLGLPDQNQWPEAVSVARDGTVWVTGDPEGFEGPATFWGHDGRRWRTVTAELPRGAFAPRIAGLAPIPGTNRMWAVGGYEVVVGEGSSQSYESFHVYR
MVLSRFLALCLFIASTIAQPVDGNAPSSAIPIAVGGKDPEIAKAVIALMLPEYDALSELIPLLAGENTIPASMLGSNSKSAMPQVPKAIFVGGGFSESEIISMYNGTSLQMVPWVYPLTQKRVEGTIPPTPEMIVARVKQVFAENGFVAGNEDNVKPGVWAF
MAIRDVLNDVVPREWVDRSYPSLKSLSLWVLDLVQRVQQLQAWTQDFSLLRVTWLPGLFAPRAFLAAIMQSAARINNWKLEDVSIMVEVTKKEPEDVTIAARDGAYIHGFFLEGARWDRRTKSLEEVRGRLAISLMPVILIKAVQRLDYEGQELYLCPVYRTQRRQAAELIFFAHLPSMRFPASQWTLAGVAMTAEAIDDEIFAERKI
HPPLAKYIEKALRREVDTLHEAETDYLQSNSVSVQLKSDRFYTESDTYFDVLEKALLTRRAVRASYLSGGKTESIEHILHPYAIFFRKEDWYLEARSDLSENTSLTFKILRFQKVELTSERFEPPADFSLSEDLASRWELFSGEPMNVTLKIAAHKAYLVTEKERHPSQEIVERCADGAMIVRYKVPKEEFTFWVLSLGDAAEVLDPPEFRAEFQEIVQRMSEVYSADLEVS
MSGLIIDYRAARLNLMAGEFAELAKRFSLFLPPYFQVAYLTNRRNAPQAALMIKLLIENGLFVRGFAEWEVMAKWLGCPYAVDPIPSTVWL
MKTTIEHLQEQSEVLFDENTVIAIRYRYYNRENEGYQAEIYSFAEEPYGSMWSSDCRDRIECELRLEKCCDEYFKTNLETMRWAMKNA
MSEIEPGVIIAFIVGSVFLISLLSDFLFGKKDGPFESYYRSGQLKEKGTYKDGELEGLSELYYKNGQLSEKGTYKDGEPHGPFEGYSKNGQLEWKGTYNMGEECGEWIEDGETVTYDPCPPDLEDAV
MKFYPFSFLALLAALFFVSGCNTFESRSREKAQVFENLDPSTQERLKEKHINVGDTEDMVYIALGDPSEKQESLTADTGRKTMWIYNSYWQEYRGTVFVGYRREVIPDGRGGYRVLFTPVERPVYEQRMEERFRVTFVDGKVTVIEQVKPSR
MQQEQTQKQIFSSSSKHQGCGSVISWEQIEEGIKGSFQRGFFRITFSNEAIARIQISQSESFEENPYSVIKSDPFYAFDLEEEENYLHLKTETLKVQLNKVNGVIKFYDSQGHLLNEDDTLGTAWIGTEVNCYKKVQEGERFIGLGEKTGGLDRSGKAFTNWNTDYFAYGVGDDPLYMSIPFYVGIHSQGQYGIFFDNTHKSVFNFGASTNRFMYFGAEDGDMDYYFIHQASVSGIISEFTNLTGRMELPPIWSLGFQQCRYSYYPDSEVLTLAKTFRDKDMPADVIYLDIHHMEAYKVFTFDGEKFPDPKSLIKHLKSLGFRVIVIMDPGIKAEENYLPYQEGQSKDLFVKYPDGAEYQGQVWPGWCAFPDFTKSETREWWAEKMAFYTDAGVDGFWTDMNEPASWGQFTPNLIEFDFEGEGASHRKARNIYGMQMARSAQEGSKFNSPEERPFVLT
MKKFLSILLLIIIMITNMNAQFQFAGDVKNHRQFENRIEFDLSNSKFSLYVYQSNIVRFRFTNKNEFDTSPSYAVIYELPQKTNFTFKDEENYFLISTDELNVKINKTPCRVSIYDKNMNLINADEESFGVSFDGDEVRVHKKLFEGENFYGLGEKSDNLKKNGSQYTMWNTDYPGYTSRKDPLYVSIPFFIGVKDFTAYGIFFDNTYKSYFNMGASNDRFYWFGADKGEMAYYFIYVPEIKKVVSDYTLLTGRMELPPKWALGYQQSRWSYYPESKVREIAKNFRDYDIPCDVIYLDIDYMDGYRVFTWDKDRFPEPEKMINDLKKEGFKLITIIDPGVKADENYHAAKEGVEKDLFAKYPDGKYYKGEVWPSWAYFPDFTKKETREWWGNKLSVLMMQGIAGFWNDMNEPAVWGQNFPDIVQFHDNGFKASHKKIHNVYGLAMAQATREALANYSPNSRRFVLTRAGFAGIQRYSAVWTGDNEATNEH
MKVIPAIDLMDGKCVRLTEGNKELKTTYDCDPVEAARAYAEEGAELIHVVDLDGAFSGQPVNLATLKKIVQAVQVPIEFGGGIRDLSSLEKVFNCGVNRVVLGTTAITDPDFFLRACHQYRERIWVGLDAKDGMIAIKGWEETTPLSVEKLLTQVSRLPIGGVIYTDVGRDGKLAGPNLA
MEKFKIIPAIDLIDGKCVRLIQGDYAQKTIYNADPKSTAEQFLDAGFDLVHVVDLDGAKDGEPKNLKSIEDIASCGITIELGGGLRTEDHLTSAINAGAQDLILGTKLLNFGSVIDEWVSMFPNQLVAGIDAKNGLVAVEGWEETSTVSAIDLIKQLGEKGFKRTIYTDIQRDGMLSGPNLEQLKSFAEYSPIPTIASGGVGSITDIQNIKSLSMNNINGVILGKAIYDGKITLKELSEC
MELIPSIDLMGGQVVRLHRGRFDDAVFYSMDALELARTWSGLGARSLHVVDLDGARSGRRENADTIARVVENTTLAVQVGGGIRDDESLEAVYASGAERAVSGSALAEDPLRAGRWVKQYGPGRLVAALDVRSGSEPEVLTRGWVRESGRSLWELLDLLLDQGYRWFLCTDVDRDGMLSGPNTDLYAECVRRAPQASFIASGGVSRADDLEALADTGVAAAVSGKALLEGRISAEEAERCWRGE
MEIIPAVDIMNGEVVRLVRGDPKAKISYSHLGDPVSLAVKWSKEGADLIHIIDLDAALEIGSNRGTILRILRTVNIPVQVGGGIRTLKTAQDLLEEGVERVILGSLAFKESRAVEKLIEEYGKNRVVIALDHLKGEVMIKGWKESTDLTVIKAIQKFLDYGANLFLVTSVLRDGTMIGSDIKTLKKTMERYPNVRLIAAGGIRSIDDIVSLNRIGIHGVVIGRALYDRIFTLREIRKYLAEGE
MIIFPAIDIKDNKCVRLTQGDFDKVNVYGEDPSLMAKKWASYGAEFIHVVNLNGSRDEVGINDATLAKVAKAVDVPIQVGGGIRDENRVKELLDLGINRVIVGTMAIENKVLLKELIDKYGSEKIVVSI
IVDLDGAFDGQPANTEIVKNIIYNSSVDIQVGGGIRKLKTIETYVNAGAYRVILGTIAQEDPEFVAKACKEFPGKIVAGIDAHNGNVAIKGWVEVSNQRATDLAQSLESCGVSGYIFTDISRDGMLQGPNLKSIKEFSESANLPVIASGGVSQLKDIEDLLALEPNGVEGIIIGKALYNKNFTYPQTLELLDRYVG
MGLFVRIFRKLMSEMFVNHVTVVLLSVICILTANLSHARSISYKPYALKEFSKINEKDYSFSEKSPYVLEDKFQKNISEFPLEFTADLENIYSIEYFSNDSSRHEKSAKINYSLDPQINWIEFQSRLNQSNRLLAKLISCSSKEDYKKYYFEYEFLNCPDLKDKINNFRHEIASFLINTQFTSFNLREFYSLNIFNRKNILSDSESEVLLNSISQKDIEYKERNKDNKKLVSSFFRSTSDSNLEKIDINPLLVQILIELSSLLVVGENSEGFYIPAFSTYEKNFDFFVSSFSFPKYYELIAFYDQEINPAATYVFTGLTESNFEFIESSYRKAMRKRGSKDRESALREADVRNQIYLLRPEKPDLVSGSPMRLLGQEFKQVARLGIFSLRFLAELSMVLKKEDFGFLPTAYHEHFAYLALDNRAFWLRSKFISKNHVRLRLNDELPVPSLAKWIAGISGEYTNNNRLSAFCKSASFSSSCQDDYEVLGLLNHLRFYVEKSFPDIRNIREDLL
MKIRISVLSLLVLMTSALMPSAAHSAAAPHLTFAESYDSVTVGGGGKYLLFHFESSRELVVFDVVEGKITNTLPNIGVGSLIAAGGEYFVVVQADKRLLMRWKYDSDKRDKLARFDVDGTVKVALLGSNADGRGPLLIGGKVAKLWDLETLKPLNMQGQVLGGSSRFGVSIRVSADGQTFGAIPTGYGPVAYSRMVVLEKSTVIQKFGSTSHATRFAQPTADGSLMLLPGNTIYGPDLKAVQADALNGMTCLPTVDPRFLLAIRFVPSRNEQLAELHVCTTGERQIIHRSLGYLEMAPKGNTNSRRGFANALARSEYRYHYLPSAKKIVTLPIGNQELFYRPLDVEGDLKGQGKPYLFVISNPRVHVLVGEAFQYKLETLASAKQLKFTLEDAPDRMRISRDGNIRWKAGSSDAGTASVLLTVTGDDGAESIHSFDLHVTRR
STRYKAMTATVSPLQREDAQKRRAELSQELLSLRGELVSAVTAYEKLQQEKEELRVVFEGILQKLQEQHQRDLADLEERLRAFYQAEWEKVHEAFQEQADKYRTDMQQQVDDLRSKHEVSMRELEASHLEKVENVKQQYEASFEGYSQNTDAFTFFQWPLMIFWEVLFLFILLYSLLQKDAHALYLEQELESLKVVLDIKNKQLHQQDQKLVQMDKLMERNMQLDERLQKVQQENEDLKERMDKHAALSRQLSTEQAVLQQSLQKESKVNKRLSMENEELLWKLYNGDLSSPRKLSPSSVSPSLQSPRSSGIFSSAPVSPR
MSTRTIHPPYLPKPNTKFYNYSEAYPRSPSHPKISQSPKTHSEHVPIIYVTFFSAVVNTTFTYLFWSNSAITQPSANKQPASFWKIHMKLGCVALHRLSSNDLMLIDAG
MAQDAPKSLHESDLPTEILHAIMFRSLDVLSRVNWRFRRILSLDLLRRTIVCNDTAEFQRIANPLRPGSGDDTGLTTEEARLVKLHTASLVR
MISGLPAGQTPLNDTSHPSSSIVAQSDTPIPSVSTQDIDTIVQISTQGQRLAQLDAAVATLNNPKEWKQQKKPKTLLDYIEESEVRGRGPRAEEAERRRQQLGNWMPMIAAGDDTGMSINVLAKSLAATAVSDATDAADVGGAALPLPTVYVTSGKQGEALVTTTLKKDATAGDKKSGYLLMLQRLLSVSDPNLRNALYRSQGNDLQTQLLLAMSDVVVGTGFIKRQLEMLHVAIRRHHCHCNCVGVAHINTTQINARFLDRNGNPDLSKMLGAVFGEQIKDVSSG
MKIKAITVNRFRGYSSPVTVDVDDLLVLVGRNDIGKSTILEALDIFFNEGKGCVKIDKDDINKDCLAQGQDCIEIGVEFTDLPANIVIDATNQTTLQEEYLLTSAGTLYVVKRYPNAGKEKVFIRANHPANAECADLLLKKNADLKRILDAGGFDCSDKTKNAELRKAIWLGQANLQLGDCEIEVAKIDAKSIWEQLKAYMPLYTLFQSDRKNSDSDDEVQDPMRIAVREILGDPQIQADLTQVTDTVKARLDQVAQRTLQKLGEMNPAIANSLDPQIPDFASLKWPDVFKNVSITGDDEIPINKRGSGVKRLVLISFFRAEAERRQNEANLPDIVYAIEEPETSQHPEHQRALTDALIALSEAQNTQVLLTTHSPEIVKRLKFENIILVSDQTPDRVSPVLEHELPYPSLNEVNFSAFGEASYEYHNELYGFIEAEGRLADYKVGKLQRQYIRLRKDGSTVPQQVILTEYIRHQIHHPENEHNALFTADELTESIEAMRQFLQV
MPANRYQNLPKSLTKSLKKSLTSVLFLIEFMNNYSRMTFLFRAKVFLKLYSATAMVNTVSFVLSM
MDMKNIYRIILIIILCTGVLPVCAQGNYYAAMPDVTPPSPTSRVFQKFLGYPISHATGTIDISIPLYTVEAYGLSLPLTLKYHSSGVRVQDPVGVVGRNWALFPGFKISRTIMGKPDEVYPVADVSGNLTRDDYIYMSSPYSNDCDCWNERGHIYPCMDGQYDVFQINMPGMNASFILQRVNGVDVVKQISDTPLKITPKLDNSTNFISTRLYGFEILDDKGIRYAFGEKNPVHGLSSPQKYVEYQPYGSCFCGWMLREIIFPGNEKISFTYQSVREDVPTFNHTLSVLDNGKSMWLAGCFHNDMIDSSTGSDSSFWRILGGDGYQINEGRDSPYSYINRSLVPDSITIPNGLIDLNYSAGKLASLNVKQSSGIQVRNVQFTYDTTTGNLLKKVNINGEGNYLFTYKNESSFSYLRSGFDWWGFYNEADFGDADLPNINLEIRKTTGSLNVPVNRTIGNRANRKPNAAYMDTYSLTEMLSPTKGKLKISYEPHRFTVKRKEEIGGGLRVKSTELYDPVSGKTIVKNYTYEDAHFIGTDYPDEKSLITTRYICPLDDGGCRVRQRTLSVFSGFPNVRGNTNPVWYGKITEVASDWKKVYQYDFRPDEYDNVIFDDLSPDYSLNNECLLSAMNRMLYPIPWLLSENFYRKSGNIYEKVQSLTNMYEKSEMKMPAAIVTPYQFGLNGYSSCQFMEKLIACPSYNYCDVYGSPVKSFPYYIATGYHRLKSTCKTTYQSSDSIVEKSVFAYDTERPYNIISKSSLCSGGAEQVERTYYSNNTIPDKESLTTSQRSAIQLLTSRNYLTTPVQQTMEKKDKRLYSVLRGYSSSLSSGMVVEDQYYCKGTDKYEKRISYNKYDIYGNPVYINKDGAEKVVYLWGYKGQYLLAEIKGATYEEVKKALKMDPASMSSCILPSIPLYLDMIDNLYKALPSALITSYTYHPLIGISGIISPNKAKTTFEYNSDSQLIRIKDTEGKTMEEYQYHFRP
MKTLLLRLTLGLLAVSLTACTQHSATSGYVVDTDKVKSVETAARLSNQRVDVIWINPPLKRKNTKKND
MSRHLEKELMSLRHRAKQLSDALSKININDPNQHWKVFLDQFKVICQQLAFLQRELAPQTNQISKFVIQPTIDHFDCTQLGIKKIPQIEIALQQRMESFTQMCINLQTFNYQIKSQKQESQNDTTTNEDNPTTAMTGVE
MIIKELFDKYLKRAEGLFSSDNVVYSMNGSVLTRTDLAEFDLKTILLTEVEIYCKEVGYEVEKVFFLSYFDTNIKEDVRGVNLHSDSRLIILKEGNGYIALVFSGSYIEIK
MLGGWKFSNIKDGVFATRFHVNDADGNLAHLPDEIDPAEACMLSDMIPTGLHASEMAGVTFGDAVAVIGIGPVGLMALRGAVLHGAGRVFAVGSREKTVEVAKKYGATNIIDYHNGRITDQILEATGGQGVDKVLIAGGNAKDTFEEAVRMLKREWQITCSAGRMTKLGAERIVLRPA
MAVGLDGSSNGSLLPLSRGKMTTKYGGHAAASSCLFQMPVHYPRYSKSDYENMPEWQLDRLLSQYALPCTGSLDQKKRFAMGAFLWD
DGPSRDVLPSRSENGRGFGSVFKEQPIQQRMRIPELLPKVVNDNVVQFSGGQVLWAAASACWTIT
MKLRFVHNSLRLRLRKSELKKLQETSQIDESVTFGPGQTLVYQLQSLNTTNQPEISYTNGNIVVQLPKPLVTQWANSDQVSIEYHLSVNKTDQLHLLIEKDFPCQHQEEENFEDTFFELSDFSYSNEQKA
MAAVSKLEARRRAWEAHCRAIESRAQRDRANTADATAVRTLIGRLQDVDEWQARRVAQSRQQVEAEAQLRRSRYYADACASIKDMRERGATLAAVAELVGVDVREIRALLRSKAAKTVKAQPEPPRV
MNAYDPLDHIIHLRDGTLQESDLRRIVGRALQARSPSGIVIHVHGGRVSQKRGRRIAETLHDPYVRAQAYPLFFVWETGDIEAPLNNLGEILGAVLAKLLFRKVQDKILEKLGGEQAFTPGMKILNAAPPDEESLSADLAQEFAEDPEVNQALAEAREDYREATLTPVNKMLAHEPPAEHAAIVNERTAMELFGTPSPNKAVALNWIALAIKVARLVIRVWQRQHSGRGRPGAVSAVIVEEVLREFYLDDVGRIGWWNPMKKDAQDSFDGPASGGVRFLRELHRQLQSEASVPRITLVGHSAGSIFLCELLDQAAQILPDLTFDLILEAPAVTCRRFAHAISKHENRIGRFRQLAMRDADEASERSLFFPGSLLYIVSNIFEDAADEPLLGMERFFTRESVYSNSAAWADISACQAFFARKGESAVSWWTLDDLNADQREGWGHGYFDDGPFILGKVTALISETPHTP
MIQPGTFGKKHAVLGRKDVKKWEASLGGTDALERCGMHPGEALKLTRAAIYDDIKTIRKKINEILDKIEKTTLQDLDGMIAELEKTLKKDIETCNKLTIELQNMIAAFQTKAKSSESKSYIAYRKSQDKISQSNDQLRGIAAFGCYNVTFQANNFIEELLFPIKTFGAIEEQSVMTKQPENHLSDPNHIFSVAEYKEYNVEMSDDEGECAIRGVCELPSGEFVIADWNNCKVKLLDKEYRMLDHCNFLVSPWDVCHIDGNDVAVCVGNELYFINATKGKFKTTRKLSFSHRCFAAAHHCGQLYISSKNALYVYTMSGKKVKKLYEDFSGIITVEKCAISNDGETIYITNSSKHKLITLDNKGNMLSSLTDPDMKSPTGVHVTPAGHVFVCCCDSDTVLQVDKDGKKKLATLAREEDGVKGPHGLFFSSSTSSLIVGGVKDTLLVIKLN
MIIQDEEAIELNKRSHRRPPRQLPVRPPRLSFCAYIFWTVCSFVGSLLIGIGYWLPYWIRGTLHQVIDVSFGSFRRCNYPTLDEQGRIQIVFECGRYRTFEDIPSFAWKVSTILIGLGAASSLLVAFILFFAFCMPHSLRSTNARVLVNFQLVSFLLVLSGCALYPLGWGNQEVRDVCGTKTMAYEIGTCSLSDSAYMMGIGLLFLLFCLILGLISVRKIRRQSEKQYNNLVILDR
MPDDKQTKFFLPEAELPTRWYNLNADLEALGVEMAPVLNPKTREPAGPADFEAIFPDALVAQEMGTERHVPIPQPV
SLGILLLELCFGRRLEDHPLRRKHPTGDEQSKQAFDFMAALQWSKSVKGEGGDDYTSAVKWCFIEGSNANQSWRSEIIKNVIRPLELSQEHFKTAAVVWPDYMH
MLAGAEPVEHVGDQQFRIGFEDLDEQRVFPRGRGGAHDPVDAVLARPVAGVGGQQRDEFAGEQIRGQGSHVRPPPVDRRAAHPGSAGDLRERGATHADREHALLRRVEDRIVVTT
MMHRFRSAGVKAKAVMPWTGMVALGCATTATAAYSTPALAKAAPPLTPIDRSTPEVTLYQYEPCPYCCKTKAVLDFLKVPYNVVEVNPVTKKELKAITDYNKVPVAVVDGQVVPNSSDIISRLQSTSTFDPVTKDWNEWIDMKLVVLMPPNIYRTVPEALQAFQYCLTEGNFTAWERRVSLYTGAAAMYVISKRLKKKYGFDDERLALYEVTNGWVAAMDGKPFLGGDHPNLTDVSVFGVFRSIEGLDTFQDVMANTQLEPWFNRMANLVGASSRVETPKVTA
MFAGSLRQTLLKISGLTTAGSLGYLAWNYSSGFGRVNCEAMEVDTTRTPRPTKSISGVLKDNQDFHLTLYQYQTCPFCSKVRAYMDYYGLSYDVVEVNPLFRGETKFSPYRRVPFLLFNDNKDPRKHELLLPDSSLIVSLLQTSLLRSQTTKPELQRMLDFYPEQKLVVNGKEKQDYANKYYVMYEEEQGKQASEKIKTEAQWRKWVDETLVHTLSPNIYQSPGEAMRTFKYLSEAGEWDKNFPAWQIPIVIYVGAISMYVIGKRLKKRHHLKDDVRISLYEACDEWVTAVGNKKFLGGDQPNLADLASYGVLSAIEGCDAFGDVKMHTRILPWFNRMKNVVNDHQGASQLNIISKKAVAAA
MTTNDTMNEFNSINQPSNVVREGEMTGASEKPVWIRVLFFPLVMLTSLAIFWASLQYDVPIGLAALGATIVNIIAVAITELVIPLRPGHSAIHDWQTFNNIVHGLTASEVGGRAARALIDVGLVSLYGSLTAGEVPFVEGLNIQFWPKSAPFWFQFALGFLVIEFLFYWQHRMFHSARFFWRFHALHHNADKMHVMSSARLHFFEIFVRHALIFGPIVLLGAPQEIIFFYLAFNNSLGNVVHSNVDVLVPRWLHGLMVTPGVHHLHHSRKREQSDSNFGASITFFDRIFGTFKHPEEESIAAFGIEDDPFGRSIPSQLLVPLNPWKRYP
MLVSYFLSESGKTKESITFTENRESREDVFGVEQAVNLRAKWT
MSHTLATLKRLLSDYYNQLETLALGLKNDGLESIDPKSDEENTFSTYGTNNCFQRWEDVVNSIEKATIGTKQQLKVYSKELGRLAQPSLKMAEEFDEHLREVIRLISIASDYMLLLQVHIDSFKSLRDSQSNPRESDESKPNKALMANSAVEHLPTLPIPIFSGDIDEWDTFWEFFSSNVHSQPLPEIYKLNYLLCALKGEALESIKMFQITRENYQKVVDFLRKKYGNAEELIFRLTEKLENCSLRSTTMSDKRKQLEQLVTITERPNQKGKNLGTQRLVEQFLLKFPHDTQRKVLAKKQNVVANSHFRMQALLQFLEEVIPNEGMLVHYARREPSPTNNSNLARQNLEKGHAISVSGGITHRAASQNRKA
YVIRTEGSMALFKGLTLSLLGSMPTRAIYFTLYSNFKLFFKNFMTHNSNQIHFISAMSAGIMTSTITSPIWVVKTQLQLDN
MDTQRDDLYRHSSVKGVQCDSILQVVRSKVRIGHCLLNACMPENLLQGNNISPVHHEMACESVAQNMCCLIGWNVRFHVA
PGRQRRRPAHGLRDPRGHGQGRHHRDPAGLGPDPQGRGLRRQRRHQLHRVPRGSPGQEGVHAGGRLLGCLQALRPRRRRQDLPRRAADRPGARRSPGGDGGPVDRRADRRDRHQRRRRDRLP
MNKKVLVITLALVLVFATSAMAAVDFSGKFTFKAEQNSFKLFKDGYSLTPGLELNIKASSSSKTDLVVGQEDILVKDEVVVNEDGLEVLQTGWKTVDIVEEWVNWEFEADIKSDDIPKFGAYRLTINDQLFSAHMWGKGKEFEDKGTLFDMIKSDKKVDDVRLRLEIPVSVADVTLDFDPADNMRAYLNAELEGVNLGLAYARLGWKDENAVKNIIVGQADTKVDAGGVDLGLEGAVGASLGGDDTGFALGAKVDADLTAEINANVAVKYANAKWDGGGPAAGETKIEAGTSYTEDLYKVALGGSYTMYAEENIKASNTIGLDAWY
MPLFNNITVIGLGLIGSSIAQAAKKYHAAKTITAFDLNEESINKALELGIIDNGYNNLSDSVKDSQMVIICTPISTYDAIINEIAPKLDKDTIITDVGSVKKSVIDIISPKLKYPENFVPAHPIAGSEKSGLLAGNADLFLDKEFIITPAEKSSSSSITKVDRFWQTLGSNIEIIPPEEHDEIYAKSSHIPHLAAFCYARYIMKNQNISLAKLVENEGDEFAAFVRLAFSNPQMWTDIFLYNKEPIIKNTEKYLLDPSNIIKNNDEIKVLYERIENAANIRANFQKKGHFTKALNKNDISTGIIPLLISCLLIESVEGHPKVGGGFLGMTQVILNPNDAIKQTIQIQIDDYNDKYNQLLCEYEKLLNYIRNADKKNIYSYLSEIK
NKRGKSWLVSNIFFFGFLDRQKKMGDCLKMDECLLRQSMISIDKKCFKHETAPSPLDRMLELKCTTIVRWKLPILQIRIFSCPIFSFFTSLGSYNNHQCTHKRH
MDEVGVRTSVTEDSALIEAHSKTEEEVGASTTSVKRYSIAELKAIGDDCERSCSSAFNHIRSTAEETFQEIKAMVFRRGFQQQSELVGVAEGMACSAGGRQNQHRRGRHRGSRSLPARDGHVVGDNECRTQAALSMGVGFDYADADQCDAIDGGEPASSLAARCSEDRTPSAKDASASVPGPSPHGVAVHDDGDGVVNNATGHGPAREVSMAKKRRQSVPTAADDVIDSMDRDGSDRRQLSFGIDVPGEQASNVRVHDVKDEGCDVGGTSNRERDDGRHGYDEYDDDNEEEEGEKMGSLQARAFAVHGEPNFEAGPPLDGAEYLRRVRWEASRCPGVKTVRVDPKKLKASQTAYMPKIPDVPVCPQHLCPSKEWQSAFLADFSSLRQSLQNLERPQPGRPGLRLPKAKDEAGWKAFCLGRCQSAANAATSELTMTRGTSSGDESAGTGATGTSSIGEVAGQETSSMGESAGAGTSLRGESVRQRTDSGVCYDGQQMPAECAGQDRSSSSIKEEVSDGADATSTATSSATTDRICSGGRKEGDDNNSAQSALQPTLDVMVKLDDVTTASLLCRQIDWLENAANISEHRFAWLFALLAQVGKPLDADTTAAIRSLLRCCCRLRSTKQSVDDPEMPRLNILIAIAGKYFGQAEGGL
MEEVEAMLAPAEKAQVKTAADGSVGSFKIEVIDDTALMILPGNGRGKELGCAQRNGNKNAKQEMNGKKAKAAARKEKVANRLVEARSLSLEKEKGTKIIYSRKELEAMRFVDVGEKGKVAHCLVEASSLSFEKGKGTKISYSRKELEAMRYVNVVEQKKLWKDIYSGLGPVVAKEYDDMESAKQQKNTHNNFEHRKRFEKMEAGPGILGESFSENVDIELENLVNNETQDASVLDPFCSYSAADEDGHPFQEKECTEDYDSDEDYASIQRPAFAVDGEPNFESGSPEDGLEYLRRVRWEAAQIPKVKIAKVDRSKFNKEQSAYMPKIPEIAKCPEQFMPLKQWEDAFLAEFSELRLALSGLEGSHASMSRGPQSDIILHGKFEKLLNVESQEVDFHWLQDRSIVGSSTDQPSLSINSGSNASLPAENSSPKPRVTQSSSDTPLLSVILKMDSVARVSMLRKRIKAVEDMTTLSRNDCLWLFALCAVVDTPLDADTSASLRSLLRKCAALRAAKSTLDDEAVMLNILATISGRYFGQSEN
MSLPIWMPAALSSEARRISGRFWRLVEAQHRVSTMKLVDTVEEQALLENLLEETKPSYPPECEGLDYLLATPFRYGAAYPHGSRFRRAGRTLGVFYAAAAVTTAIAEMAFYRLLFFAESPATPFPANAAEYTAFAVPAETEAGIDLTLPPLDRDRAHWEHRTDYSACQALADAARGAGIEAILYRSVRDPEGGMNVALLTARTFAAREPVERQTWRIRLSGAGVQAIRDFPVVRLGFSRADFEADPRLRPPI
MGNPADFSIDQADQARLGCTPGKRTLACWYNPAAFAIPALAPEQTFAHNFGNSYAGSIVGPSQLNFDLGLMKSFRIVEGQQLTFRAEIFNVANHAQFQIPSTAPDVPGGASISSTLPDNQREVQFSLKYMF
MARSSRPNASSGSALPSKVCTIVLLPSNFNTKSPRQRSHLEQLLREAGKYVFPGQIVARDGYAEAVAERLPVWKLKRRSAQEAGREIRDVLAKIVAQMIENIQRDNLNAPEIAAFIADRLEEGDNQADISRKLGKPRDWVSRYASVQSMPEFLRSKLAGSSIRAVYELYQAWRERPNAVETLCAAQESFTDAHARQLARKIRSEARGSHDGGEGAPAAQPARSPMAPQAPLRPIERDRVTATAKRTPSPSADRNERMSPSLTIRVRHQDRMGCLLVDRSASQGSRHAVLLIDGADEAEEVPASTITIEEILVV
SLAIPVMPFDRFWSYLPTWQEWGIALAVIGYGFLVFSASYRYLPVFPKERELNPETM
MKLTEGFDVPSNETIKRLKKKVLFYHLLAMIIASIIFLFFFF
MSSSTSPALLARSASVTSSNSSGTTASSDGATTLMTLSMLSSANTSPGTATSPGRGAPQYSFSGIDGLPRHSEQPTDVLKRTHVHRQRHVADDYFARLPAATSYRAVRVASGEPPSASSWLTQHDGLPSPSKLPAPRVVRPRTAQNRPPIPFFSRQNGNAGAHRKSFSSGAEEVTAQRAELGHKRAATEVQPFVAEPQQQQPQLHRVVICRDAPEPMSYAQMDARKLADELEHRRRPRPGLASIERWKRETGRHEDARRAAIDLLTAVQAGSGQQLQCDGRWRTPYPSRGNTATFDDAALSDDNDEDDEHEPGTAL
MEDPVNISCETTIGGRRHGGHSIAISAIKSTDATTVDEMNHNNVAWRSQAQMLTDPARHATMAAKQLKERAFSRALSIRFRELLASFCTSIEVGAQDDKLPD
MHQNVIQPVGYCERGFLTLVTAPRPVLEKTLAGLLLHKSGDALVITCGRARDGTFVTAADGYPDTSVIRVGSQSQVVNGVRICNASLIVVVHDPEIYENDEEGAARVAAMLREYACLSANRIVILARPKDAYLDVMWQYAGRYLFIE
MWQVGDCLGCPGARVGAANGQGFNMRPVVRMRSIVNAHSHQSTVDSPIEQSKCPVAFPRVSIQPATQVALSNDANSELCSDHDDILLRSHSRQPTSHNNIPTAWPPLFQQNTNVSRRNAGTLQRDPSQRTHGWWPRWTKRRWSWIMGDIVYIYASVRPELVSQLGLVHLGIVSLKCFGDSVHRAGSMARESMGNGEAAPLSGGKVWVVGGNSYGVLGGLGVERILAGGVLLAMRNTGFSIVFSPTPWGGIPNGEVCWLGCGLEVFGFCDAWWCFGGLFWGLFMAGVWAEESAMGRSTAGWFAAADICNSYLASSTSTVSGGHRSVGAICTVKFTHLQPHVTASLAPPDRSPSSTSPFDQPSSPPRRNPLQTYFPPWKMQSPNSNVLRTASVTGKQMKTRSWKSNRGIGVGGCQQCRARASHS
MVDNNMTTLNHLSDDELIRHCRTQPLDDLSDELHRRLENLVALVEELDENLHRLAEKYHIEEDDLWYCDGPPITPPPGVTPPHKPYVNQ
MSLILVFCEETAFRLSLPEMHKTWRIGMNRIKAFGVLLAFVVLGAAAPLAAKTDEPPVLSGTEATIAPLKDENGLYHQSWSAESFLDLREDLENANAEGKRLVVVFEQRGCIYCRKFHEKVLAIKQVNDFVRNNFVMIQLNL
MNSEHKVTEKRRTASWLAGAILMLLGVSLGGGQSTHPSPLDGGAVGPPPTPNMQDETDPLVKTREATQARTYATDRQRKLLADADKLVELSNDLKTEVNKSTKNDLSLTVIKKASEIEKLAHEMKDRERY
MDTEFYLVFSLDKRRYALPASDVVRVSLAAELTDPPAELRTVRGVPNVGGEAAPVADLRPRRDALFPEMKLSDRFVFFRDKGILWGVIAEKVEGVMALSPALFSAESGEKGSGGAETFEMAFPDPEKPGILVRNPENMLLLTEEELVLLDPILSGER
MIRRIALVSGCVALSALAFGGKAHAQSVDVDFNGVVTPTCMAANVVNGVTKVPTLPTLNLPVLSSDPADDPGASFGRFDLSCNGDADITINPPTVLPSSVATASTANYGASLKDGTIDIATAVKGGAPGTATVVGPLVNKTFDVHQWVNNGAVPLPSGNYDYRVNLNIVAK
MDMDALKEASRKVAAVKNRGPRALNGDIIANGVVDQWLQQKKIRNRRTKFMILEKTDQRCEVYRANLVAVLRDVEELVFTPL
MLSSHLCLLLLFCAEAEEVGSALDFVVHGFVVACVPFLLTKPASSALMLRKYVVATLVL
MADNTRMKTMEADIKRLYQMIEAATEEGRAKRARATEAADLKLEAIQSSLTQLLSDPTRGHSIVCDSPKGSYSGVDHHQRHLQPYRRVSFDLPKFDGTNALNWIFSVDQYFDFFRVPVEEQVGLAAMHMTGMAVPWFQMAQRSAPFRSWAQLKRDIEIEFGPSLFESPRELLFKLQQHGSVYDYYAEFVSLANRSGIEPQDALRDCFISGLRVDIHREVKAQCPPSLMRAVSLARLYEDKFSSTTRNTHGPSSYRPLPALSQHMTLSRPPPRSTLPPLLPTPPQQLISSKSPIKHLTPTEIQSRREKGLCYWCDERFSATHKCPNKHFMLYQVEPEPDASPGVSIEEVPSDGELLQQLDQQVIEHHLSYNAMHGTSGPASIRIKAQIGGLDIQALIDGGGDLVIGTPWLRTLRAHIVDYDAAFLRFWHEGQFVTVQGETSSALSQAQFHHIRRLVNTDAIAEAFTVQLQQSDEIAETLLQLPEGLNPELTILLYTYGSVFAQPKGLPPQWAHDHSIPLTKSAEPVKVRPYRYPHSQKNQIEVMVKQMLEDGIIQPNSFPIPTVDELLDELFGATVFSKLDLRSGYHQILVTPEDRHKTAFRTYQGLYEWLVMPFGLTNAPVTFQHLMNDVFRPHLRKFVLVFFDDILVYSSSWALHLQHLEMVLQILQRECLFAKLSKCLFGMAEIDYLGHTISGNGVHMEQAKVKAVSEWQQPQNLKQLREFLGLTGYYCRFIKGYATLAAPLTDLLKKDAFLWSTQASAAFQRLKDAITSQPVLALPNFELPFELETDASGIGIGAILKQGKHRIAYFSKKLSATMQQQSAYVREFYAIIEAVAKFRHYLLGRHFIILSDQQSLKALVDQTLHTPKQQKWLHKLLGFDFEIQYKPGRENVAADALSRCFFAAFYTPQLDWVTTLKEDLQADDSLKALLLQCRQNPQGEGNYSSKNGLLLWRNRVVIPLGSSLIKLILKEYHDSVIGGHSGIAKTTERIASNFYWPKCSGTSGVMY
MDGKDYLIILGAPKCGTTSLTAWMAGWPDTAVARGKETLFFTDYTERRWTGPGADHASHDGGTEAGFRGRFDHAPDAGLRVEASTDNLSCPQTPGRIADFAARSDVGRVRLVAVLRDPVERIVSEFEHTLRYGWQRPNLLRSLKLEDRRRRAMAHPLFWHVYRTRYHSQLAPFRAAFGADLLILDYHRLTEPDELQRLARFAGRDSAGMSTKLERRNARRVHARPQMEAVLREGALVTAARRLFPAGLRQRLRALALGQPQDRYTPNRRERAFILDRLATEIDGCVKDPEIRTDRWTSLHEE
MSPTTTQLVIAYPSSDPTTGSPLTFNMAYYTGHHVALATKIWGPSGLKSWFVVEFANPNPFSGETPPYLFQSTLTWDVPPEEVLKAVMNSGEELGPDVVNFTNVEPVLWVAKAGPSGVVGE
MTVLSTFRCTSACNGQQPTGSEPMEDSKSESTDRFYGHPASSTIYPQTSQFSCYPNPDGTGFLEGMFTNTTTTTSVVAPSEGGMLPTTVGYDTVGQYGFDPTTGHFLAPVSFFPGSNQSEGITSHPLNSLDPQAVATSHSSKIHHQHHSHHNHNQQHQHHHQQHRSQQLEQHRSLEETASTVNAVLRRSVMRAPLTRKTPDRNEEWCKLK
MSALGDYATFAGALVAAVAVGVASWAAYSAKNQAKYAQRHAEAAEAQIALMRQDRQDRRTLQFALTAVHAAGEIGSWAARLALTMVSGPPLETVVLTASGP
MFDLTFTAPISLFVSLAALTGVTVHDTKLDKLATSFAGIPSMMTTAESGNKGLSGDPHTHVERVSVREMNSSQPRLAPRTEHKKHMMQKNMPKGANRYDGYTLPIVSSQL
MGLPVPAKSAKVGRIESLHVLAKAGGTQSAGALEQTLLQLAEVVSNDNSKLILADELEAITEPGAGARIIAGMLEAAESHAGTCMLLVTHLAPSIIEAAGKEMRTDGIEARGLDENLELIVDRTPRRNHLARSTPELIVRRLVERSQGDARNVFNSILGRF
MTNKTKRITSINEWKTFQNESRKLLDRFITGEISDVYYKSQSLDSLFISTEVQELYLDLECYIPINTIYISSAVIDLISIEKLNGVDNLLFHNCLLGELEISNSDIPNISFNNCTIERLNIVSLQCKNFRLFEGQIDDLNIKRAKFKRLKIVNTAIELGNIDLCTFFKIIIKNCDLKRIHIIEALMPKVKVDHQTKLETTLNFLTYLKSKDIHKNINKYFKLGGMVCEQTKYHLQLKESSILVFDDTPSGVMAVFLGAFPQFLKNFGIDPSTMGIKLFYPSXNKAAIEISNNIDKKEFYELFHAYTLFLLKSHGRSIFLDTILQDKIKQENLDENELLKNLCIQFKMFDSRFSDVKTLWEIKSIILRSFEKNQLFLEEIEGLKLDISKMKVNFAKLDQSFLKLTGLSNNEIDCRDFRVLRKVN
MLHNLKHLKILLITVLLIFALSGSGCLGNKTPEPINLESGHPSGYELDSPPDDVTNLTTFFLFANKTIKAVTIITNTPSLDIFITADMSRSTTKKEPDIGDIVVLGSTSPYADHSNPGAFSNVSFNSTYTSSQGKNTIHFDFAQPVTGFVAYSYALEQGQFYHFLSRNETVIVVLPEGYDTGNFILGPLRPKPDSRSIDIDGRVKLRWDATSPIHNYIKVTYYKSSLPTIMGIMVIILGAAGLATAIYFNSQIRKLRQMRESMESDVKK
MEILQSRFNQKYIFFVTAGFLTTFLISLALLQLFAALLFFGWLFEKNKSKGIDLLIFIVLAFGIIRIISIIFSEYFDSSIIAVQKELLFYTTLVALSFYVKTFSRKDIIRLIEIFIHSGALVALIGIILFSMGKVHRAQSFGSGYATFSTYLVVVLMFILTFHSYFTSRKKLILWIAETSLIISGIILSMGRADIAIAIFLGLIIIFFRKVTIVKLSITFFAAFVITFAALQLNNEESQKRVADPTTLSDRDILYEGFFDLAFEHPLFGFGPRTFHDIFPHKDKLADKKVGSWHNDFVQTYLESGIFALILLLFLIYTVFAKANWVIFKPKRMITIEPEKFAVIFGFIAVLLSGLTGVFLYSPIISLLFAFLISLFSYFFYLKEAF
MPLSQVVGGALLPGLAVLTGWRTAATVAALILAPTLVMSYFFVPCTSVRASRLPGSARRPVPANVWWMTGYAFLLGCALQPTIVYLPLFGHEDLGLSVGMAGLTVAVMGAIGLVARVAWGRLFERVRRPQLLLAALPVVATMAVGVLVTASVVEAPGLVWMAAVLHGASAVAATAVIMLAVVATTDAAVVGSATGLVATGQFTGFAVGPIAFGALVDGTGAYGPGWLVVGGVYLLAALVMVLAAWQQRRPAGRG
MEKSGLQRSTTSFRRQGSSGLIWDDKFLSGDLNKMKLNNQRSRSENGDGNMYRTTMKVAPPSFDPPSPKVSGCGFCGIFGKPEAAPTKRRSKKR
MTTLQRSNVSFRRQGSSGLIWDDRLKVLEQKGGVMNNSNTSTTQKREQEHVQGKKRKQVAYSNPPNPSSSRPGKKAKGCSFSALFGRCIGSPTA
MARPRSVEIYLQEGVPEDDYIHDISRMLVSQGRGRRQDIFRRALMIGLTTLLGPEGHSHVEPRRTLPAVRRRKRVVVSDARVESKPSADPVGDFLEAELTDLLQAEEHTTTEPTSVGVRPADPAQAATPAPEESTRDYVPTPVPEQTPGEQTSGEQTSGGQTSGEQISGEQAPPPQGRPSRRLGRLM
MKWKAWSSLPLTQREQLPTKPGIYVVVDTEEQVWYVGQSVNLNARWKARGHHRYQQLSRTNNKRLYKIYWQFFPSEQLNEKEQLYIDLFKPHLNYSRVKTYARKATQPDEELSRLLKVLNKKTMLFPDVRSVVIGYYTEIDEDEEGKLKEYTCIVTAVNVNDHDGLILNSYSKSHSKKGKNLKGYWYTYESTCGSAHPDIKPALRELQENKVPINRGQS
MTMRRECGSTTRGFTLIELLVVVAIILLLIGLLVPFIAGAIRKSRETETRHQLEAIGNAIDAYQVVFNAYPGPVAERDLPVNNVIGTQNMIIGLMGNIATSAISADSGQQTPYMTGSVALGGSVNATVRFGDANGPRDLSDKIADPAGKLMNAFYVPKRGELAVISSVTNVDATIPVIYDRFGDPLPVLYFRTVTVISDVTAANASTTERRVAYADATGLPSVSGAPVTGNTRLYWRAFLQSPNNVILQSTTLQSLGGTVYSQATSGFATANQTTALPVVRQIATLYNTHFESAVDNDSVLVLPPGTAAPTTAQINAARASKQPGGGYVLMSAGSDRIYGPVISGSGASAKRKDADDIVRFGP
ATERDLQAGRRRVVGSETPSSIFRNQEEYRISKGEEEKWLYTIEQKTKIFQSSEKSDSEDSLMQSKRRLFRRKYALCQSRNNIEQSDSSSEDIGDCFGIIDHETEGSGGLSLTSHEEEWKSDKKSNSYSSSDSSSQYSDWIADAGINLHPPLRMSQRKIIRYCSSSEDEVSI
MRDQGLKVLPENITERERELTIKIVARCMLGVFSLLDGIVGINREKFFSKDKNDERAEFVSVKLSIFKSLNDYHNNRPIEEFDIVEPNNTSELGKFYLDDWFDDNNFYGLEKVKG
MTTPLTAHDLDALPVGKRVYDATGRPWTKLSDQRWVSSDLAERPLFHHWAQTSASLTGIDASQDGYGWARAFTLAPDPARLTITDGVEAVMSAAGQLPGTPTIPTEQTLAGKLRRTFALLCDLLNACGLDVIDMLDADESIDPATTLADQLSPATFNTVGVVNANLGFITTATGDLLQVAGPAATREVMSEVVRAIVATINHDGTVTVGDDGTIILPEGWEAADITGVLTRYGAKVARCHNVRQEENA
MAVASMKLLNIAGPMSMFGTVARLTGGSGQFQPEDALSLYKANDNISPMSRENPWEAAKERLISALPAGAELDPAAEPVLTDDKDFLIREADGFARVMGELSGMIEDHKKSLAEINVKAEKLSHFVGLSLNMKELLALEYTSVRFGRMPKTCFSMFEERDREGKMFAFKCTEAEGFTYCVIFSPKSYSKEMDRILSVLHFERLFVPESDMTVETRYEILKNDIVKTEKAIADAENEANRLWSEKSAVISSLFAALELESDIADMQKYAATYDDKFVLAGWVPSNESKKLIRELSALEGVDVSADSASSAKDANPPVKLKNSWLTRPFEMFVDMYGMPSYKDVDPTPFVAFTYIILFGVMFGDLGQGLLVSAAGFVMWKFMGMAIGPILMRCGISSAIFGCVFGSVFGFEHVLDPVYEKLFGWKEKPIEVMEPATTNMIIYAAVGIGLVLVATAMLINIFVCIKRRDPENLFFGANGLPGLVFYVSLASGLVCDLFLGMSIMSTAYILLLIVLPLILIFLKEPLGMLVCGEREHLRRFEWGNYIAQSFFELFETCLSYVTNTMSFLRVGAFVLVHAGMMMVVFTLAEMTSGVGYIAIVIIGNVIVSALEGLLVAIQVLRLEFYEMFSRFFEGGGRAFTPVGKK
MADELYLKHNERRKNQLLKEFQDVANWITEKNFENSYKVEKFYEYKPDEESFSVDLAIPGLDLSDEIIQMESDCFSVTVLPEEIQSLSRDMGKLGGKMSIYQRKNGGTHFKFKFSTDEKFVGLVEQDWKNGDFCILGKR
NNKKKKIKRNQPPNPQKRDAKKKKKKKKKKKQAKKQKQKQPKTTTKNNNKKKKKKKKQKEKKRTNQQNT
MPKNYVRLKALFGLAKDFEAKEGHLPESIEQLASWCKGDDVRRAIFNEATNLSLKPEAGIYEWIIPPAGYPGDDTGFYIRSGGAYFQNHLPYELGVDREGRIVFKHP
MLGWGLYVATVVSLLVGLVLVVRDAMLPQNHFLSLDVEKFGGFTPVGIAEGSAVQTSCLLRATTCDTALDCKSECGSDGFVCEEVKSDSVVLKNGTAIPPGGKYCVPTTKLTDNVGDINQFTTRVIWTETGCPDGRGCWRKECKFPQLYDNVDKRCSTRRACDSVRGGSDPRNRLRQNKCLGAQLVQAADNGNCFIATSSKPLKSDCGKYFAHNKSKGAAEFCHLNNDVCQLSGTAADCVGAEWNPLGFDVETLQSNPMDVDQRTLVPTFHCDCDGNLPVGGHNDPAALPFVRLKSDPYHCHIDPCFAANATPDIKGQQTVLDLNTMQCDCSAEGLDVIVYGQKHEHVGQCVVLTGSLCGIDGTWATKGKGCGCGTLNHDNAICNSSKVTHNHNPDGSFGIYGPRSLSQTNPITAGFCDYALDPLSKGINKILCRGSSCDPNNVCRCSNPDNPFGTACVDLCDKNLDICGGVGKCLNSHGDGKWQCDCRPTDQDKIEDVNGNDEYHTKGLQAGPPAPKTYNYRCASKPVAREIYDIFGVEPDPNQGINTACDCSRRLLIENTAVDQPVLAYVYTYHSQCEAEHTDEDGGKYCVDNVAPLVKLPNPCYDGSNLAETIKKDKRVTDKKCSTLYKVRQYTQVPQVVYWKAGIKTGSNDGTLTATQIAKKFGPLYCDSGHAEADEPEINPACTVCTARTAIKCAAKP
MSADDMVLAWLREFAAEALVPGTLDGLVARFDAVIVAEVPEVSADRELRRDLEASTRDQGRALLVWLTGESAEVDLPPAAHGLARTIARRGLHLRVLMQIYRVGQKALLRFAAETASERITDPVLEPKVLIRLLERANHWLNVSLEVLADTYSEERERGLSGAFARQAETVQAIIRGEIADTVAASNRLNYPLLVHNTALVLWLEDTQSNQAEDEIGVLDSAARTVAAKIGARQMLTVPSGSRGLWAWLAAEVEPDLTALDIGPGIPAGIRIAIGNPGKGIQGFRQSHIEAIAAQRISESRPAETQLICYADVEIVHLLDGHPDAARALVSRELRGLDGTDAASAMLRRTLRGYLTVNRSPDAAARALGVHKNTVRYRIQRAEELLGRPVGPNRLKLELALEYADTYGPVVLKE
MEIKNQKSLVLIGLLCGVSFAYASPINEAEILKHHRGIQNVKHTTCIKISTYEVTQRHRGMNSIRRCDNILVKEEIDENTPRHRGEQQV
MENKLHKHIGETSNTMC
MRKQGKILKKTFQIFLRSGGRIIFSPRNLPFFQQYFSLLGTSSPAISRSRVDFPHPMVQEWKGIRVPPNEAEVTDGFQYAVRKFWGDFYNLIMGLKKLVFSVRLPQLGFRKITGNKPTMTKVRHQREASLRVLLWHNRKPWLQVY
MLRVLLAPRWLALHALLVVAVTTCAVLGWWQWRAFRAESVAQPVAPAAARPIAEVLGPATPLDGTTAGQRVAVEGTYDPAEQLVVPGRELDGRVGVHVVTPLRTADGVVVVRRGWAPSAQDPAAAVPRGEVRLTGVLHPSEDRALDATRGARPGPGEVPVLTTTELHVALPYAPRELYDGFLELTTQDPPPRLALVTSEPQFASGGAGRWQNLSYVGQWWVFGLAAVGFWLAFVRSALRTRREELEDAAYEEWSRRPDGGRAATG
MFLLLCKRHQFTKSLSGNILFNIHFSLIVFGVLGIAVMSLPKKINPNSAFMKFGFIITKNNESIP
MFDNGKSGPQFFIPYSDADVADEGRRPIRPPAISYICDGIEHSDYVPGEDLEVRVRVANFAEFGRALVDVWLIRPSTGLSMAGRTPDLADLTVDIGPPGDPNTPKTIKARAKLTRLDYIAVDPLADRHQCLIVRVRSELDEPLFLEQAQPGVYRHWAQENLSYQPTPANEIQSIGFDAGNPIPEYLDFEIKASPPDDGVIERLIRRLDRGSYVDLDAEVSLGEFPKELTGKNALVVSLKEQEIRPLFLNFMLHSELKPNTFGVLQVLQRRMPTEKEKPDDPALIIGGIAVVVLPVK
MMGDVLVSSNARLIAQMLSEKPEWATRLPETCMQALMPFTKNEHGICASIKKESRSPLNLNRQMGTSSSLRMRVELIANPEHSWSLLLEDNEPFWPLALQAMTLPVFDTEHNEAILAMSYAPYCRASALQALKQDAGPFLPLETIDHHSWACKSNVLGCRFFASTVIDFSSHQNRLQDTQMLLHAFQASLDLYRLPEHQRKAALISILARHSSPSRQLQWNKEKNHITFPLYYARHQAPMNIPITLSHP
MQRRQRSRAQRASPRALQSAAAAASTCSSRRTHRFCGAQQASAAPAIFTPAVFPKPIVLIVCDL
MALQLVSKRLNIKEPNDPAASFVIERLLVPDAIEQISFAFIPYQEAYVIDSFIVYDSNYNVRVDIQNICGSRKIILSRDKLASSTGTRVGPIPAGEWIVVFKLALPKPNWEWLIEYEVQAQPLITSQMSQ
MNRSISMSSTPKEETAFRVSAALIVVVALSLSVAPLFRDFQAAEAHGPARRESSASLNTQPPARNVELISRLDGTIRAVAVQGNYAYIGDGKRLVILDVSDPTTPAVVGETDPLPGVVQDVAVVGNYAYIADDWEGLRIIDVSNPASPMEAGSYTGIGEAEEVAVAGNYVYVAADWSGLRIIDVSDPANPREAGFYITPGLARGVAVAGDTAYVADDGRGLRVVDVSDRGNPAEVGFYEEMPGWAYGVAVTGNHAYVAAFGAGLRIIDISDLTNLREAGFYDTPGRAEAVAVAGSHAYVADGDAGLRVVDISNPVAPREAGYYEMPGYAVRVAVAGDIVYVADWNGGLFILRFTVASPTLTATPTSTASPTPTATVTPTATATPTPAGPSYRTYLPLTLRNR
MGDSELADCGSDDEDLPRYRRGSPVVWSRRWPRSQGRRQRRERERREPVADFCQSCRSRSLRGERATITETERGKRVERKVEEER
MEQTGVCIVMHTKSNDTVSAMPNRLRIIYSHMFTTKWEHSKLLGPTPTCPLPPRHSLFNFPSSLTFFQTPSFLLRPISTTPFLFLIALSLSLIIISFQRREVYAASWVDIDASFLLPENLTLHSHTKKIQEKTRFHELHLLQQRDKVIQMVKLLSDP
MAENTASAAPSPRGLADGEDAGSPEERMAETARDGQEQFECQELLECQVHLGASEEEEDAGLAAEAEAVAAGWMLDFLCLSLCRAFRDGRSDDFHRTRDSAEAIIHGLSSLTAHQLRTIYICQFLTRIAAGKTLVSSGGQ
MSFSNLKMCDEDSISLYTNLQDVMLSDECQYSQSRQQSVMLTKNGPMAPPLVQNILRAQENSPSPKRPAASQATARTNSTSRITAPSNAKRFAGPGS
MGIKAVQEISAAKLHYGANEAWVVTNSFFTKAASELATSNHVKLVNRDQLMKILLEKKTE
MGILQDDVVLVRPSEKDDEPTVITVNCPDKTGLGCDLCRIILFFGLNIVRGDVTTDGKWCYIVFWVTGNSSTRWGLLKQRLMEVCPSCSSASMLSYFRPEPQSSKPPDMFLLKFCCHDRRGLLHASMFCDFLS
MHADAAPFARRQDRTSREHRARSLAAGSAGGGRLHRARRRRQRTPGRAGRILPRQPARRSDRERPRRRDRRSGRRRRAAAHVRRHAATSEKNGNQPCNQSPDRAEPASTTERGTTGRTGTSVAGESAQLSSHLKPQPSLSRARIGVPRLWHLKDEKTGPKTGIMSGFPLRPLASVGLAGLPEANLVADPDGGSSTRTYWSLWLAAVRRHAGHVGPSTLLTGRLPAPQATRRSEDIHGRQGQVEGYTQAG
MATSVYSWGTGDDGQLAQPSIEKSGMMNTYRESAPRLVEALEGQDVESVACGPSHAAATTKDGRVLTWGKAEAEGGVLGLFSMGASTPLLGHAGGDGKHCLFPHWVSEGLEGVRAKQVSCGANHTGVLSDKGDVFTWGAGGSMGSASALGLGSSDAASRPEQVLFGDSDEVKIAQIASGSKHMVALGVDGEVWTWGSGENGRLGNGGTTDQLEPYPVEYFLEVGIKIVSIATGNSFSLALSDDGKVYGWGKNDQAQLGLGGSMSMDVYAMEEMPRQIDLLANETIVSIAAGGSHAMAVNSKGEVFHWGMRLWVEPHKMTALQQHHVVQAGCGANFNAVLTDIGQVFTWGKGRTGALGHGDNSRYAQPTLVEGLVARDVTQIACGPNYTLAVAK
MNALSAQPAVTMICSASASARSHGLKKVLALGKRSAKVLKRSLSSNGSANTNSVARPGMSVYSWGTGTEGQLGHGEVDISGLLSTTYTEMSPKRIDALESLNGGIVDIACGTSHAAAVTADGQVLTWGNADNGKLGHNKATGKVCLLPQPVEGELSERKVVQVECGKHYTAALTEEGDLYTWGRGETMTGGPGPLGHGDGKARKIPEKVFIGGKEDLKLKSIALGEKHMISLGLDGEVWSWGKGEFGRLGNFANTDQATPFPIEYFLDMDIVVKQIACGQKFCIALTEKGDVYSWGANDKCQLGLGGGLAMDYASMETLPTHVENIPERISHISAGFGHGVAVSESGGLYFWGSSLWFEPHLMTALKDHRIVQATCGNSFTVALSDAGQLFSWGKGFGFTLGKTGALGQGHANKVVQPELIQSVANYPMQKVEAGDKFCLALSGLPN
MNALKSSRRRRQGNSGLKRTVHELLIFSPSHHARVFGEIPKTSMINHANVETCIITWRQRDVESMEPQRTHNDRDPCVRGWKPSLALEPNSPPSYKQGKYSGREPN
MAKQIIDNPLNEANFLNIIRSPETIVQNLDYLTTLLYSKKISNDAVNKGVIMLVSLPNKINNMFLHFILKFKLADMNATDEFGKSALNVAISAHNNEAVKVLLEHEASIECHGTIENYNSPLRVAIACHNNVAYDLLTQKGAPIDFNNAANYFKVALGLSNEYVLQNLFQNHISKLKEPIDDLMINQFCTLNKNILELLINQGVKKKSLEKTFIKVISINDPNNLKDIFINKGVDIARAFDNEPDINWESSLVRKYALDINHKYSELIKVLDNKDTTIDQYKEYFYKAKEIKKACKDDFNIDQIMHQIFLQAGKELISAIIKETPQTEHKDLVKWLNVFGAGFSFYNSEKILLTSQAACNESKSYQKDLKDNFINFKERSQVEIRDGKLHNAHTGDIINGSNDKEFLYVIDKKGQLYINVNDINVNNHSAFHHSFILNGYNKNHTLEGISMFGYGKPAACAGHLKIQDGIIIYINNGSGHYKPDLNMFMQACHKLLNEKVLDTNFILTDYQNNPVSFNEFNVDEVLATGEYFFLDY
MMKIYKKESKVYMVAQNRLDATDYDNLISQLKNHIQANHKVYWYIEMENFEGWTASAYWKGIELKLPNEERVKKVALVGITKWQEQFTEVLIPFTKAHIKFFSPEEKHLAKEWMEKGNH
MNWILPETKEAFVAIKVSGRLDKNDYDTIVPTLKNRIEQYEYISLYWEMENFDGWTFGGLWTDLKFDVKYAKNFKKIAIVGEKKTHDLMESFLKPFTSSKTKYFDRDDKEVALHWAKSPISSKLS
MNYKLKLLINPDAGYVMMEINQGEILPFEPAYLISTLTSHFPHPEDIQQISIQDPEEGYYPDDEITFNIQFNNLSQEQIAQTIKKLSANLKQKSEIFQITP
MNKRKARRIKKKILNNQGKYKKLREKARFELTVLEEYFGKSLLDELTIYYEIKKHLEANQKEISYFGLRGVIVGLATAIFVYLFNSQIIPNLGQLNLGKENQIFSYILNQIGAIFAIIIFLVLYFITTADFFIADWKRRNQIYINEYMIKLVEEKIVEINDIKK
MRELPARRIASVALCVSLLVGIAAPAAVAADSARERTRTSSRSPVPGADELLAQVKKLGDLGGVLTPVARLLNAVLKADGGQLSVAEAQKLADSVKDAIAKVTAERTKEPKGDALTALKKAVDALLAAVTSGDDDKVAPAVTGMVTTLGKVLGAATTAASPAPAAGHPGEKAAGHTAGRR
MKVCTLSFISTQLIAGSFLTLLSFISFRTLATSSHMPAEA
MLLNCQQIQCVFCHQKSSLKSSGGFRLSANKEEMLHLIILNDLKFGDKWGYKGCPVMSLFHNSVTEAVDLGYPIEDDRYDLRIEGCCNGSSFIWDARHSQGSNSDCDKLYFVATPYLVHLTVG
MNILIVHAHPEPKSFTSSLKNTAKEKLEKQGHYVEISDLYEMNFNPNAFTIMAE
ETWAYKKTSGRRAGQNALSPSDLENPGEGHAESRRIINVVKGKDSLKDKLRILLSQLPQKNWSLTKKGTSMLEICQRKNGAEAIKHHKKGPLQERGRPQDNELKDVATSASSEINVHLLREKLELCNKDGAHATVVHLEIHRDMRGV
MKKLVSFLLLACSLFSYSFSSTAVPEKTITDSVLLFSKAEKETVTSPSKPALLASSIETNVVFLSDSRTGPSPLGFKNSTYIQNKAFSLHHALTSTVQFKHYNKTIVPFRAIDIIFPFHTHW
MPFKFFKFPVLIQEKILKSLDEVDILSLSICSKRAKYAAIHTKTRAKTEISKLWFTLFEDKVYSARNPFRGEKLKPFIKIEENSKKCVEGKSTEWMEKLDNNLTKALQDHLNQLFRPTQPISLLVNINTLFERLPTLTNVKDCGLTGEGVLTAEDLDRFLRMYPNLNSLSIGPEITGDLTDTSEILKVERVNLKNSGEFGLSFLKKFPGKHINLENLNITHLDLLEMIRGWMANEAFQNLESIGIVFHRDFETRIDLLVESLETERFDPAIRPPPVYHLDTKIIDIYSKEISLEYHECYNVVRTSDGKRASINFPWFGVNFLVWN
ALLALRPYYSKSKTIKTACPAKLYVYSHRTETNPVIDKAADFSILLASLKLTDIKIDRKSNIARLLLKVLDKKQQKIYNSLSKTSQDAFEEHIFITQNDPTNFETTLHSSGVLSKTLFEELALLTTIPKDKKQFLSDFFYKIGRLIPLSDALTDWAEDAAKGAYNPILSRVKNENISFEEAYYFYKQEFQRLLYQLKYELKTLQNLDFEDWLNNAFERLERQIIKNGIQKSCKTTITEPILMKNDCDCDCDCDGDSDCCCSCDGCCSCDNCCSCDSCCSCNGCGDNDDEASACSCCFASEDFDSDDVGMEETTSKKKKKKKGENDTTGEEE
MPRTTYSIMRHPSIFVRIRGTEGDYHPPFAQKSSTGPVSRGHRKDNNPLTLTATSPDMKDIATLRDRGVMVSY
MKVEFHRGEGAEYSVRIHRPDGVVVTLPGAGGRWPIPHDLAHLATERALGMTGGVFGGAAAGGCSTG
MGGPKQRGIVQYGISSNQQAPMHGALRQYVFYGYKRLMTKLPYWGPILAATYGVIAWGSHRNHYLNSKAGHLEFAEHDE
MQNEQSGGQVLIKALESLGAKRVFCVPGESYLPALQALSDSTIETIVCRQEGGAAMMAEATGKLSGAPGIAFVTRGPGATNALSGIHVAQQDSTPVLLFIGQIATEWKYRDAFQEVDYQQLLGGMCKWVTEIDHVSRIEELVSRAWHTACAGRPGPVAVVLPENTLYGTTDQIPLPLAYCKPEAYPHPVHIEKVKQLLSTAARPLAILGGSGWTESAVLQFQEFALNLSLPVATSFRRQTLIDNTHPCYVGDVGLGLNPALKQRILEADVLLLLGGRFSEVPSQDYALLDIPLPKQKLIHVHPGAEELGKVNLPMLAINCSPESFCASIVPETPQFNKCDPELVESGHRVYLEWSSLEHTVDEADLMKQIVEYLQSMLDIPNTIVTTGAGNYSMWIQRFWKFQKFNTQVAPTSGSMGYGFPAAVATKLQHPEKTVIALAGDGCFQMTHQEFATAAQLGLAFTTLIIDNGMYGTIKMHQVQQYPDSNCGTELKNPDFALWAQSYDAFGARVESFDDFKIAFSEAQNYNGPSILHIIVNPNAITPVKAI
MAKSSSRQPASSDAAPRTGAVGALLRSTRERSGQDLRSVADVLRIRYVYLLAIEDGRYHDLPGATYAVGFVRAYAEHLGLDGLEIVRRFKDEENHIPSQSDLSFPVPAAEGGIPSGALLAIALLLAGLIYGAWYWSSSADRPLAELIQDVPERLNGMVKGSPSSSDSTPHTASTTDAQGMRTAEIAKTPEPAVLASLPSTPDGKSPEHKTPESQPAPKAEPVAPTPAPIAEPAHAPAATPEASKTEPTPVTPVPATPATTTTTTAPAPAPVPPVATNVATNVATPATEAPVSATRVELYAQADAWIQIRKGNDLVVSRLFRRGETYPIPDGSNMILRTGNAGGTVVRLDGETLPPLGKSGEVVSGVSLNPDNLVMRSR
MKIFTRTAAAAMLVSMPIALSACGGSGGGSKPSKDEVKAGYVKAIKKEGGSMASSVPDATFNKLADCIFDKSYDKVSAKTLNAIKSGNTSEKIDSKDEKTLTDATDSCKSTVTAR
MRRDQISLQLYTVREHTARDLPDTLRRLAGMGYAAVEFAGFGGLSTRDLRPVLDDLGLRASGAHVPLEDWETDPGGVISDLHTLNCAHAVVPILPPDRRDGAEVSRLAESFNRWGGACRAEGITLSYHNHDFEFARSGDATLWEALVSETDPDLVHLELDLYWIKYGGTDPEAVLRDVGHRVSLVHLKDMAPDATRSDLPVGEGTMPWPELLRTADELGVEWYVAEQDNPRDAMADVEVSLRSLEGLAEG
MVRSWLLTALSALLLAGCYVEVDPYATYGTTPVSEYSTTFLTRTALMPYALGASSIDMLANPDDYLSPSSRMATRAVPIETTSTYLFDSDSCSFGGYTATEAFGDTETYSGGMTWVELGLTATATQCGTSSWLGLSTLNSRLIYDVRGWYDDAFAEIVSLDAQLTGYLRLSNSFQSVKLSGLDVRVAELDATDFRLLADASLYLNDARYSGSARLDTLEGVHFYRGASFPHAGRVRISSGYDWVELRFLSDGVSRRDSDGYYTYLPWWEFS
MTVDPCRPLPWPSLPDLVTAAQGPTAPGRTRPTQGAAITGKFQRQNTISFQGRLAAPIVMKHGADGQAYACVKVIENVRAPISGEARALSHVPEPFYGMVGRVVMLAALLELRILDLLTELDQQVKPITVDDDWLRKLIGEFVALPSELDALRERAPAARNPAS
MANGDQNHEEVLEKMRKQLSVVVRSIHWSYAIFWSLSTTDEGVLEWREGYYNGDIKTRKAVQAMELTTDKIGLKRSEQLRELYKCLLEGETHQQAKRTSSPSSSVLSPEDLTDAEWYYLVCMSFVFSLGQSLPGQALANGKTIWLCNAQHADSKVFNRSLLAKSASIQTVVCFPHLDGVIEIGVTDLVLKDHNLLQHIKAALLDFSKPFCTTKSCYRTDNDDDDNDDDDKDQMSTKVDHEKDDPLNFENLSSPAEDIRCDQRGTDELNGNHEDFNMDSPDECSNGCDHNHQTEDSFMLEGTNGGASQVQSWHFMDDDIGNGVQHSMNSSDCKSEAFANHEKVVTCPGHEDLNRNCLRELQNFNHTKLRSLDLGADDDLHYKKTVTAILGGPTQLIELYCSQNCDRASSFAAWKKGLVDSYRPRIQQKMLKKILFSVPFMYQSSSLKSHKKVLGKDWLENLHNDCMGRHVKYKKSRESENLFALSSTIPSISKNDKAVILKDTNKYLKELEERVEELESCKHSVNNETKARKEYLEVVEQTSDNYDNKMNDNGRNSWMHKRKARDIDETDADLNRVVHNDGHPLDLKVSIKDQEVQIDIRCPYKEYILLDIMDAINDLHLNSYSVQSSNLDGVFTLTLKSKFRGTAIASVGMIKQALWKVAGKC
MMVLDEPRGELTWTGSSPGTYKGVQVCGQVCVVEEAGGNDQDHDADADGLHTQETDEVRGTTTKI
MQFETPRAGGRVLETGDEKLVQLAHTQTIPLVIVFTQYDKLVKTKIKQLERKNNKMTPEAAEQQGKVDAQEALKVSVKSLERSMKKLKVPTMPPSAAVSIDPGYKDNLSTLSLITRNVVRGQLENDAWLMWAIAQRVSLPVKIDACIDKGVSCRSYYYLALSGTVPGVGKTLLRECLAHVHKDIVDCWNLRNGHQILNGAEFKQLMLYLVQDVQGESSSTAPPDLDRISQFVTLATAASAPVAPPIAILGLSYFFVKWVSDAALDKM
MTSARLAGASRLLAASGLLLGLTGGCSVGPLGESGRAAPGTSPATASPSPSALPATSAGPASSDPLRPPSTPTPGPAVASDLLFTGKLSGRVQNAQPLGSCGRGPVGFAVALHFTLGGAPYVLSLDVLDYRGPGRYDIPPERVSIRSDVQSGTPAFLPATSGTVEVAAGETSGKLDAQLGGDGASHLQGSWACR
MHFLILLRRALRFIVLTSDFFELLSNLKQIAEHDIEPFFRRDATQIRDRVRHLASGILPVA
MDGPLPDLDEIEEDEWVEPVEHNRGEKDDGALKLLIEEPMPEMAAMIREFLLDCGIGSVVQSGPIGYPHRLPLSRARVFVREKDWAEARQLIDQFFRAP
MRSWTLFGLIVLCLPALSVTVRAQGFGKIVGTVSDPSGAVIPNAKVTAIEAGKGYSRTASTDSDGYYILDSLRPAQYDFTIEATGFHTFVQKAVTLLTDQTLTLNVNLQVGSVTATVSVVAGNNQVDTSTPTMKQVIELSRIAELPSNGRNVAELTLSIPGSSGFANAPNPTSGTAGGALQGATKTFPGAVAIAVNGSRQNQISYQLDGGNYVDEYTNVNQPFPMPDALQEFSVQTSNYSAEYGQNAGAVVNIITKSGANKLHGSAFEFVRTPAVNARRWDQPTKDEIHRKQFGGTIGGPIRHDRTFFFAAYQGTVFHNLLPTTSQPVPTAAQRASATDPAVIKLLSFIPVGDASGRASFARPDLQNFQELTARVDHAFSKKTLMAVRYFYDRFTRNAVFDPNNILTYSDGSTIRSQNLLVHLTYVFRPTLINDFRFSFAPETAQRGPAANAISVQDLGVNIPFQPAPKAIQQIRVNGGFSFGDNPTASFGRKNFTVSDDVSWIEGKHDLHLGGVIERSRIDLNNLFFQPAEFSFPSLTDFLAGQLGDFAGNPAFRQGAGEFKNNRNTFAGLYIQDNYHLKRRLMLNFGLRWEPAFPWKEIKGRVEQFRLAGLISGTRSTQFPNAPPGVYFPGDAGVPKDGVKSSLDNFAPRVGFAYDVFGDSRTSLRGGFGIFYDTRIPGIINNRFVDVTPFSPQLLLLSAASGPAGKPGTFSDPFCTLAATQLARGCAAAANLFPAPFPPPSTTTFAPNLLVVSWDPNNNYQAPTLYNWSLAIERQLPSNVLARAAYVGSHGSHIKETIAFNVSPVGGGTPRLNAIAGPKEH
MTTGDDADELVLVGRGPVATVYAGVRDDAGFALKVYPGVLDRRTLRAVRTELSTLASLRGHAPVLVADSVESLPGGRTGLRMELCAQSLAEFVEGAGRRPAEETLTMGEALVEALAAAHGRGVVHGGVSPGNVLFQGSGATLLSDFGTTLRRRFAPDTSPHETAAPETLRDGSLDERSDLYGLGATLYFALTGRSPHPRYRGEPDDAYVLRVLSEPVAAIDRPDVPPALADLVLRLLAKNPADRPGNAAEVGARLRAVRAGAERPAPPLLPDLGEPILVSGPARRRRRRRLGPGPVVVGLMAVAMLVVGAVLLLAYRPQDVAVSPAPAEIRGPATPSPPPARTPHVELAEPLDHGHYVELSWHSEARLHYAVLIAVEGGGLDTVYVRDRTSHRVEVDPALGYCFRVHGTDGARVYTSEVRSLRDATCSL
MDETSSDCRFEPLSSLFNRVSEACERFRSRWEAGERPALEQYLHLADEPERRALFSNLLDLELELRRSAGERPSVADYQDRFPDLLDLILLLFGDGSSSTGPEPTPATTAGNLPWADASPADFDQFELIEEIGRGGMGVVYRARQSGLDREVAVKLLLPAVGADPARLKRFINEARIASRLTDSLILPVYDVREIGGNPALIMPLVDGSDLGRLVSGTASEVENGGLPLPRLLAILDQVVDAVATLHDRGVLHRDLKPSNVLVDRRDRVLLSDFGLARLGLDSDLTSPGMSLGTPGYMSPEQWDGSPDLDGRSDVFGLAATLYASLTGKLPFGRQRPSGDGPFPPSPSSIAPRVPEALDPVLLKALDPDRSRRHESARAFREDWRRARAGLPPADPPPAPSPASAPSDGRDGRRRERRRWHRALRTAIVAVGLVVLVVAAFWIVDRLNRPTTVAESPLPAPDPAPAWTIEVRLQTDPPADRAVFVPIDPETGVIRVDRAVWDEPRGGAPARAALTPGEYIVEVAAGDRFHEVRRRVPNRQEFEDRIVRPYRIDWWGKNDDGSIFWQPVVIPGPEVTDGMARFDGSDRFRMGQDGLGTFSTPHEVAVGPFFLDTTEVTLEDVRAVLRFHRLSDRCVPDGLREVDPVCCINLYQAMQYAEIVG
MDVAQVPEVAGYDIGRLLGRGGSADVWLARDQRTGREFALKCFRTPGSTTAGGAVISDAVISEEDVRREIRILSVLDHQHLIRAHDAVRVAGSGSGAALTMDYAAGGSLAQLVAVRGRLSVGETVTVLTPIAQALGYLHGKGFTHSDVSPGNVLFTGQGKPMLSDLGVARMLGDPDGAGTAGTGGFLDPSPVDAVRAGLQPGRDVYSAAALGWFCLTGEAPGRTSDRPPLSLLVPGVPKELAAALEAGLNEDRRLRPDALALARSRLSQRGTAARGPLRCRPSHRHARAVDAASRSRCVERQRRQDETPKPAPPGGNVPLVPAAGTSVEKNPAASRNHGGVPRETRGRACCYLREEGAASCRPAGRCRSRSRNLVALGGPRAGAVARERCNRVAAGFHRPIGGRCRRWDGRGGSC
MPCTPFREAVSARIDGEALEMPSRELDDHLAACPDCAAWAGEAARVSRRVRLVPAPPVPDLTAAVLSALPRELPGAAAAARARLADSALRLALVAVGVAQAALAWPGRCWRPGRGR
MGYFLMYYIGIITGMAALSHVGWPSYVRQLYEMLEPAWGNFILDMVSSSIDTNLLEAAAITGC
MTSGEGRFAQMRRELRWLAMTVGVLVWVVTIFVPYYVVHKPISVPLGAVWNINRGLFQSGWALGGILDSMANLSAGVLVAAVSIGLGWELLRLWPDRLAGQARDPRYSPLLTRLCFSAGLGLGVLGLAVFVLAASGQLYWWSIDLLLGGAAAWCLSGLRRGWPAFPDGRQILGPGRLTGFEWTLILGVGVALALSLVSALVPPTAWDSLVYHLTAPQLLLEEHRFVGGVDVAHFYFPELMEMLFVVALSIRGPIAAQLIQVAFLALMLLAIFAFAQMHFNRRVGLLACAIYVSAQSVLILASQAYVDVALSFFLFLAFWTLYDAAGNASESGGRGQPSARSLLLLSAVFAGFGMSVKYTSAPFVAALAILVAWAALRSEEGPWMHRLRGAVWPAAVWCGVAAIVASPWYLKNLGLTGNPVYPFVFGGWAWDDWRAAWFLRAGSGLWNDPLRLVLAPWEMTVLGVEGREGYQATIGPLFLLALPLVLLVRRHRSVRWALFVCGVVYGIWLAGVAESRFLQQTRLLLPIFGLLALVGARALEELRIWDRPFFRLQTVVSGVLIMALGLSLVSQAVSVGAVAPWAYALGAEDRDSFLVRQLGENYQVTRSLDQKLPPGAKVWFLWEPRSYYTSQSVQPDVLLDNWVRALYMRGSAKGVRDYLVGRGYSHVLIYRWGLEFSLNPPNREVTDGDLEELRSFESSYLKRVWGDPLGAIGPGVDPETIGTRYAIYELR
MAVKFITSHKNFIGLSTDTKPTSVPVGSKFIEYDRTKTFITYDGTNWIRES
MNFLDLEKLSLKVLESPDDFISDDFIAIYNFVYQHCTQKSDVFIVQGIEVYDTLKKVITLYSDSLKPITSLKSFNILLLRFEHSLKILSNAFSYLERYYIKSCINIRDGHTQDITSLGYTILYSNYLSNYSQRIKDLLLYEINFQRKVNKPKYEIIRTATDQLRNILLYNDLEKEYEDLMGKYYRGFYRIVMDKDILKVILLTKREIKHSRNFFGRVDLQKLTICVSKRFKEVIELFCKGYSDGKGIKEYCDNGKGIKDNEAIERVMVDDNRIKVNKGVVNDVKVVNEGATNEKVITDGAFNDNEANIPDFNNKIFLPLFFSSLIVHMKEDHISLFLSSLNRLISEDLKCINSIEDLVLFCINTSVMLKSIPLGTSEIQNSIKQHVEKVLLNTDHVLKLSSIIEEIRTNSNPKINSMMRSKEFKDISKEFILEIVMKIIKISYLHEEILKKIILNIQQRLLDFCDYEAKGDYKGEGGYKNNIKAEDSESIWATIENIKKNNYNKHDHNKDYASSFDKKIIDSIEKIFGVSYISWLKIAIDRFLKPFSQSFGLKNQNEDLKTEIRLLTKGFWDVPFTSLNLHPTLKEIELILIKQNLLLYPRSEISPMYRVSPVTFTLNNIDIRVSTDLLSLILYFTESDSLDLVKEKSKDVNFDENLQKLIKNEIILEKDGKLILNYDFKSQKPFLNLFNVEFETLTPNLIQSPSNHIETAIEAFCIRKLKKLKRIPERNCVEK
MLGLRYYQRIRNCSKQWSKFRLFKFGSSCGNKYFWTAKRFHGNSNSQSLCIPEQPLEFCELRNGMKVVCAYKSSDVTTVGFFVPAGSMHEKCKERGASLFMEHLIFRETRCRDQLQIEQALEEIGGKLGALAMHDMFLFWGTVPSCDIEKLFNLLGDIINNGIIVNDEVDKERFTILHELQEIDMDGERIVMDYLKNIAYQDTELSKSVYPETCAIKCLSAETISSFRDRFFKFNLMTIVCTGGTSLATVNKCAEAYIICCDEDSAPSPDSCETQDHVPLPLSYRFSAIDMRHRDDDEKLAHVALAVETPGFGQINDQYALTVAKDIVGSWDVTCGKFSTPSGGGDNNAQSVAHYAFNYNLCHVYKSFNIAWANTGLWGCYFACDRMLLQDTLWVIQNEWFRLCTSITEKEVQRAVNQCKTRELAKLNSSIDRFFDIAVSLFRQGRYEQISQRLCKYDEIKANAIRDIASKYIYDQCPAVAAFGPVENLPDYTRIRSSMYRLRY
MDAGSVAAGVAESAHSAGSGIAGELKQIGKSAFSQLLGHSENGDLKHGDIKELAKADDKFSQVAEEEQKAKVKAIYEEYYLRKKKQEQMEAQREKQEEEAEKLEELNEVRVAATQRTTSPQIAKTRAEIGRNYGQE
MKTRSVTHNAIMNTLLTASNLIVALITIPYVSRMLSVERLGDVSFAQSVSTWASALCLLGVNAYGMRECARVRDDACELARVVKELLVIITATTSVVVIGFAVAIFAVPSFASVAPLMWMFLVGTLMLSYGVEWFYQGIEQCSYITVRSLAFKMLAFAATLLFVRRPGDYLVYGAILALVTCGNNLFNLIRLHKLLDFKSAGKLDVRRHVRPLMVFGAQPIVQSVYLSFNSTMLGVLSHGNYQVGLHQLAVKIEHVQCSVVGAVTGGS
MFAALLGVQFSPGSVVVQRVGHCLHLPLAGVEAHPLHQLVEALHHVGPLQRAERDDLRRLPDGVPAGELLHQLPAELGQPARGPRGQAAADRLHDPVLAQLADEDVDGAGVLGAQQAPDEAQELRRRQLHLVEEEDRDPLGPAGFGKGGRDPGGQSGVLAGMSCQLLVPHGVLEDDPRPQLEPEVLADQSPQVALANTSGSIDGQEQGLLMNVGGKVIPQQFGELIQCQRLTEKLPVQGLLQLINVIEQEVVVQILVGVVDLEPHDQTK
MEFCLFLGDIIRDPNNVGHMSVECDAKILDDKDFKIKLDNQIGFLKMFNRSLDNARVSNRVAAFSNQIYEAGQLAG
MRGEGPRAALDRLRAVDQDDWERAYAHRWSRALLMREHMRRAALWADAYGVPERWPFFDVAELARPGLRLGAGLAAEADAFAATLAPRGLGVVCRAAVRWAAVGSRGLPELPHPYEPVVTLFGRGGGYSVGQMIDLDGISVPYGSFAGSLALEPFVALDARVLDMLDATAGERVTYYADAAGPGALVLARLRTASGAGGEVHEEVLVPGTGSWVPADFLRGGRTRAVGKITTCQAASVMRSLLPR
MHVLVAYDVVDDRRRVRLAQRLTELLHRVQKSVFQGEVDARTLEQIYERIHQETNLSEDSVLVLRLCGHCLASIDYLGVAKHFAGGSNEDEVI
MSRDDTRRTLICYDIINDRRRTRVADALSEFGDRVQYSVFVVDISPARLLQVKSRLSTIIEPGEDSILFCDLGRVAELSETKFGYLGKSREVTDNDALIL
MIQETPQIGFDRFIHRDWISAALRVRAGLSEIQKLNELLEESHFGVAAKKKTRTVLNRLWLEPRPELVDFAD
IEQVFGWAKTVAGFRRTRYRGQRRTQFAAHLVGAAYNLLRVALLRLAAAT
MARNKTLYIHYTSPTDVRCCVTASSASQAAKLLATTPTTLKLRGKVYSNAEQVPEELHPAMALAVEHPERVIAFRDGTWKPLSGDPANVLLSSRQRALRGTSNAQIGSEPMQIRSLTCDDQTWAEFLELGGSKWFRETVKRSYKQREKNASRKA
MNLKQSVAIFSVIPILGCVLHTLFNVFCDTENSKPKDEMELKEEENDAASTSNVAAQKHFRQLQHFQGA
MSPEKSSSLKKTGGKAAPEKAGENHPGETGYQTEHREEHYKEYEKGEQRMSLVYAFLADGLEEVECLAAADVLVRAGIEVKFISVSEKKEVTGTHGFRITADACIGDADLSAPDCYLLPGGQPGTEHLAECRALCDALLSAEKNGKRIAAICAAPTVPGRLGLLRGKRCTCYPGAANEEKLLGAEYTGLGVVTDGYVTTAKSVGFALDFGLEVASLLAGQAKADEVQDRMIYEKRIG
MYHLSSHVMITLSIFYILLIVVNTDDTSTAATATGQSGTSNSSTAATATSQSGTSNSSTAATATSQSGTSTNSTVRSMGSSIQKQSIIKPFSMIGIVYLSLKYIN
MKQTPYDNASNFEYKDRVGHQVDVTIRNPQRYQRLPEVTPSIQSSNIVSDGISGRNNSSKLSNSLPFNKSGNASQGMSPVPEWTRKSGETEGHMQNVSWNGEGDGYSNSSYQEGPSNYFRGSSYGRPGESQTQYNEFNVPMRFEYLERDRAELLRKLSELEDQLVGSCSVNERGKRRQFLERSKYHHESYGNFGNRLQSNSSMSDSASIMADKRVEFSHHPENPRYTERHKEAVHGSYFSSSSSNDVIKHGNYFESTILRRGVTLTHGQYQEFSSYPTHAEAADPHTSGSHDFCNTPLHHPDCSCFQCYSVKLNSVPQVQSRSSMNTRSTGISDNLNIRHQSGVKLGGRLGYDCPNSKSSLDMGGQITHGRWPSELDSSMYKLGRANPQSKVPAKAKSIVRPIAGGAPFLNCHNCFEVLRIRQEIIIRNNRQQKIQCGACFSFIYFVPKGPKLVVSIHEETMKKSREMDCGSNLEDVEKNSKGLKEVSDEAVEEMLHTRGPSQTIKSFQSDDFHGSIFGEERDLEQVEDGSHIAVEETEEERELEHSSTVVVEEILSNHCPRNEANMSPQCDDLNCYGDESSLMVKAPYLSVQSSASNSNKSLDAQSLLSADSSEDNKPEECASVQGELADASDDFNLPPLSAISAGWEQHGYSPTKHAVYWFGTHSQIKRASEEKVPKETTPCINVVRDISAETEIEAETEVSYNGTLDTNIAPDTKCSSETRDPPSIRNRGFFTGDIKDRVLELARSIQTVEDTQCAVRINEKPIPDHLVKRAEDFAGPILPGNYWYDFRAGFWGVAGGPCLGIIPPKIKEFDYPISKNCSCGTTGVIVNGRELHKKDLDLLHSRGLPTERGKTYILEISGRVLDKDSGEELDSLGQLAPTIERLGRGFGMRVSRH
MKSFYQNWKLDPELPMNIFRSQNLSFYPHFHAEIEIIYVESGSLLIGVNEEKRQVKQGDIVICSSSDIHSFDSRDQPSQVLILLFKPEWISPVKGWPQDFRFTSPFISDKDPRFQPIKPCLDRILQERAAGRPGYQMLMKAGVLEVCGTLLRHLQTRRLDTGAKEKLESRRARIQQILSYIEEHYHEELNVSFMSQQFHMEPSHFSRTFKSAIGMNFKTYLNSIRVLTAEQKLLTSDLSIMEIALDCGFTSIRTFNRVFKELRGYIPSTLRNSGP
MATMRMFRALLVPILSSSLTLPVTAIAAPAEEAGGEEAEASADEEAKLEEAKGLYKEAEAKAKKGKWQEAQDLYEQAYYLVPGKHGFALKVGFAAYEAGNCDKSVQYLVHFLTYATEDKYDDDRKDARKLLNEMLKKGCVEESALGGMHLSDEQRVERARELYEQGEEHAAAGRWSEATVAYEQAYQLVPSKVGFAHKVGLAAKEAGECDKAHQYLVHFVDYADPGKYEEQRAEATTMIRELEASGCVSGEELDTHQLENPFEITERSRDEGKSKGGGGKGLLIGGAVLAGLGLGGVGVGVAGFVLAGRSQGQLDALATTATASGYPEGDYACRDVAADQCPPTLEDQIAKRQLMGLVGVAAGGALLLGGAALITVHVLRSRKSGATAEAHLQGLGPMLVRDGAGATATLAF
MVALGTLVSTFWILASNSWMQTPQGFIIENGHLIPQDWLAIIFNPSFSVSLVPYGDSRVSQ
MLRRCGRLPFAAIVVVVAVVRLLLLGTVVILEGAPIGTIGQLDTAALSTPLDLRNGLRKVDVYAPIVDQHVVHLEVGRFAGLFILELDERVLQRIARHLIADHLTADDLAEAAEYDLQIIVRRYRVELAHEQHVLGWRNVRIGDIADDLQNGGPRFRLPLGNHFRNLLLGLAVQIVNLFVGRNPATLQPFGGW
MDTCRFVVLVLTLLCLSEGDIVFKSLFFRMPKLRMPTLPMKTLRNSSVEECAKSCAQETSFDCRSFDVDNILLTCRLHNHSLEDHTLSLIVSKNTDHYRTYFERLFNRLPNHILTFDHKSQIANVSVEQCARRCVLEMTFRCLGFDYELSSRKCWLTDLSPSGSHGLIPQAGVDYYERQSNGPLEYFLNFGYGSLRQLEGEQIYQKTVVGVSLVACAHLCLAENSFKCTSFDYLYEDESCYMSMYIAANVYGLMTSRADDKKIIHYEFIDRYLRSFYPTPYSAVLGHNDKTIVAVTPSACARKCLEETEFICRSFDYQVSEGTCLLSTKTGSDVGGLLSQGTSQVHHFEMKPHLGKNIDYK
MQEEIATTLEATSPTIARTVRADTTSVSPIEAAALGDWQVVDVLPSGGGHPQRWFMGIKDSGREVVVLSGFPERWEQVIEGARVTSADEAEELAAVHADATRDMTKGYARLSSVDDIRFVPTPSEEETARIEAVRRDHADDISAATVTGDGPWIVELWTVTDGDLVRHDVTVGTDGAITDATEVVEADLPVPETV
MLPTNPPAHTSSHFPLMHTTQPLALINRLPEEVFATIFVLASFPPLPYRPPNLAHIPTPHTPTVISHVCRTWRVVAFSTPRLWTDIRVMPLKSDKAAFIDPATRCAPHTFALSVRTTHSHLGWVSAVLPTYLPRCHSLRITGQIDEHPNVKLSFFPGHVPIRSLELIHVELPSMRVLIDMLEYCPSLERLTMRDLHMESEAPGSVRQRRKLKSLKRLALQVDENFDIIQGFFPRVITPNLQVLELAYGPEGPHVVGPFIIYDDCMASVTSLIMRGEVLATDFVLSMMQKAIPLRSLTLLGCGVTDEFLQVLAKRQSNPDYMSIGGGGYTAPKLERVILRSCDYVTGAALVQLVKERRASSDPEIKQEDGSCVVMYDAGRHSAEMDAHCRPSRIRWVEATNCEGVGREDVMWLEENATETMPDWDDDTDDGALYISQ
MVADIGALVRCESPSRDREALLRSADVTAELGERVLGIAPERLVIDGTPHLRWRFGTPRVLLLGHHDTVWPLGSLERLPFGVEDGVLRGPGCFDMKTGVVMALHAVAALEDREGVSILITGDEELGSPSSRDLIEDEARGCAAALVLEASADGGAVKVARKGVSVYRVHVTGRAAHAGLEPERGVNASVEAAHLILAISALGDPDAGTTVTPTLLRAGSASNTVPAEAEFSVDVRAVTRAEQERVHAALHGLGATLPGAGVRIED
MPTPTLDAATVADLTAVRDWLVAHRADLVADLRTYVNLETPSNDKALLDKGLAWISDWIVSHLGPPAGTTRIGGGDRGDVLLLDYAGEGERPVLLLCHYDTVWNAGTLNEWAFHDDGTKITGPGAFDMKAGLVQLVWALHALRELGLPRPPVRLLLNGDEELGSTTSRSVIEEAAHDVAAVLVLEPSADAAVKTSRKGVGIFELDVTGVEAHAGLDPTKGASAIHELARLIVALREFEDLEAGTSVSVGVVAGGTRTNVIAGHARATIDVRVSSQAEAARVEAGLRGLTSSDPRISVVLGGGWNRPVMERTDEIAQMYQLARQVADRIGFELPETAAGGGSDGNFVAGWALPLLDGLGPVGAGAHSRGEWVDVAAMPLRAALVAGLLHVLRDDGRGKGTAQHSGVPADRLVAPDGATR
MSSLLLLALIYHAQTPGCQLSSDALSPHVTLADEALFFAPASDNNEESESESQAYLSVARIVALCKAHNVTLLHPGYGFLSENAAFAQTMALKHLAREVAMEADVMYVPGSTGLVTDEKAAQLITARIGFPVMLKASAGSWRNFPDG
QFLSHLLELNELLRDIRFDKIDSNYLHNVINSALLHSRRKIVGQFSTPENLAYFLSAITIKDRTANIIDACCGSGTIPKAIYQIKRECKISPTDALNQVWASDKFSYPLQLCSIALSDPQALGGLVQVFKKDVFELLPNTEISFIDPFIEQTVTKRLPIMHSVVSNLPFVRFETATIPNKPELNKLKLSKKSDLYAYIIFYLSHLVEENGRIGVVISNSWLANEWGKKFKEELLRHFKVLKIICSSKGKWFDNADVVATLLVLEKSDPNTKYGIDFITTNTLIKDWNRSILTEMITATISPKTQSSHISKSTYSIDEIHRLQKLGISWNALFSDLGWVTQVSEKLTSVSNYIDIARGERRGWDKMFYPENTHKIESCYIKPVLLSSKDLPDYLIGEANKEAFCCSEPLEVLRSENHTGALEWISKFEKVTNGNGIPLSKVLNLKNHFWYEMKPNSLADMVVSMNPDKKLCVYRLKERSFVNQRLIRLTVKDTKQLDILHALLNSCISLFYIESIGFGRGLGALDLNSTTMAKNLKILDPKLLSTEQASKIIAKFKSLLNRRIVNIPDELLLEDRLDFDKEILKAFNINLCPTIIYQSLLRIYNIRRSVKD
MTPPKRRRAVIPSTITRTSHAQALSEVEYLHLVLDNRAIERDDLARQLSTRSAQLAEVLASTSWQLTKGVRSLSAIVKRDVVPRLAAEARRNPKLPSLLDRIRPGLGGRLIDQPPSQHGSTEEEKLDIESYLAWVREYDNEIDEPAIRSYLRGLTYQPVVSIVMPTFNSPPEYVREAIESVRSQIYPNWQLCIVDDASTEPMVRQIIDEYTQVDQRIISHMRVETGNIAAATNDGFAMATGEYVGLLDHDDLLRPHSLAWVVATLNQHPDTAILYSDEDKLTPDGRRYSPYFKPDFDPLLLLAQNYMTHFFVVRKVELDQVGGQRLGFDGSQDWDLALRLTEIVDESQIQHIPAILYHWRMATGSTAIKVQLKPQAMANGMRAVAEAIERRRLPATIEPVAEDAYHLIHFRPVGNPKVSIIIPTRNHADLLSQCLESLAITDYPNYEIVIINNESDEQETLTLLEEVKSRPRHRVLDYPHPFNYAAMHNWAISQVEADYICMLNNDTEAINPSWLTEMVGLCQQDKMAAVGALLLYPDNTVQHCGITLNVIGEPGHRYKYSERGATGPNGQIVLTQRLSAVTGAAMVVSRTAFQAINGFDEQFAISLNDIDLCLRFIQAGYHVGYSPAAQLIHHESASRGTDAEPSKRQRHGTEVIRYWQKWAPQILNDPFHNPNISISDVDGGLAWPPRVRIPWLDSCRSIELPCPDPARYFPSTPILLEPDGDLECRLSIPEGTVTEIDSVTIWVMETEPKANWVHQFSINGESAKSTPSWLHLDPVTIKAHEGVLKAPLNLRHNGPTPVLVEALSIDTRSSLRVTLRQHHSGFGGALA
MRRHLRYAWRDRQKLAWVARRSLSIIMKGELRGVLARHAVESEVYADYPAWAARSEAAIDHSSIRSRTVGHPDAPRISIVMPVFDPPPAYLEEAIASICRQSYPDWELLLVDDGSARAGVAEILDRAVTRETRIRLFRQHHGGIVAASNAGIANASGDFVAFVDHDDCIAEHALLLVADAIVADRGLDLLYSDEDRIDEHARRHAPLFKSGWNPELLRVTNSVLHLTVVRVDRLRAIGGLREGFDGVQDWDCVLRVAENLPRSGIRHLPHVLYHWREHAGSTAAAPYEKAGMPAKQRAVIASLLDRRREQAAIEMTDGGWHLRYAAPEPKPLVTLVIPTRDRADLLRRCLDSVAQRTAWPSWDVVVVDNESSDPAAKELFAELVAQGRTAIVSYRGEFNYAAECNLGVREAKGEFVVLLNNDVEIISPDWIDELVGLAARRDVGIVGAMLYYPDDTIQHAGVILGLNGVADRPYIGYPRGFRGVDGHLGVVQEVGALVTACAALRRDRYLELGGMDEEFPVSCNDVDLCLRLRAQGLSVLWTPFAELYHHESASRGYDDSVSARRRESEDQRRLRERWGEKALIDPYYNPNFTRRGRAYELAGSSGAPST
MKEKIKKIDSYIKAYGIRKTVGLLYEKATHKDQKDYERWLERHKITETIREAQKETVFNKQPCFSIVVPLYKTQEKYLKELLESIKGQTYSNWELCLSDGSGLDSPLKEVLKELEYSDSRIKVISSKEPLKIAENTNAAIEIATGDYIVFADHDDILSIDALYECAKCINENSKVDMIYSDEDKVSMDGQTYFEPHFKPDLNMDLLCSVNYFCHLVVVKRQLLEQAGKLNGEYNGAQDYDFVLRCVENTDAVYHIPKILYHWRAHMDSTAENPESKRYAFEAGRRAIQKHYERIGLKDAYVEETAYPGTYRTRYKYADKPKVSIIIDEITKKELLGKTLQSIKANDYPDYEVIIVDCTEKKEIEKFVEKYQDKRIRVKRGEKIWTAAKRKNEGAGCAEGEYLIFLAGNAEYADKEGISELVSVAMRSDVGVVGTRSYYKNGTVEHAGCVIGMNGTAGSLFEHTLRGENGYFSHIVTQMQYSAVAGACMMVKKDIFEKVNGFDEDYKGELGKVDLCLKIRNKHMSVVYNPYVKVMRWSNELRNNENDEKLFKKQWAEILEKDDPYYNKNLSLSVSGCNIR
MIIYSKHNLNRKKEFQLLTTIERENSFLFSAKTSLRKESDIFLNSFFSKYENLLSANFSIIPVKPQRINDTKIKFEYKEDIFLEGLLLKSVIKGDREKFLKLSDNYLSFIKKNKIIEQPLSEEFKKIFGNYTKEKILCLHVGCIDLNLDNIIYDRKKNEYALIDYEWTFNFPIPYDYIIYRSFFYFYFKNRAYNPNRIISFDELLKFLGVQKKDYFGDFEYNFQKYVQGNNFTQSKDLYKKYIASIEKNNFSKINQGIYDVSLLIEQDKQKLKEALFQRENIINKLNREIRQKEEEIKKKVDSCKYEIAEALVPLYEKIKEKEKEIVLMRSSKFWILRNRYIKLQRFRSRHFVQLASKALETLSAGRYQKFWWAAGKYILHGWDYFKSRSRNEKPKKDYEIWIDKNEKWDRVEIKKEIGEFKYKPKISIITPVYSVDPKWLDKCIESVKNQFYENWELCLYNDASVKETTRACLRRWKGSDSRIKIKFGTKNQHISGASNEALKMATGEFVALLDNDDELAPIALFENVKLLNKHPEADFIYSDEDKIDEKGERVEPYFKPDWSPDLFLSQMYTCHLGVYRKTIIDEIGGFRKGYEGSQDYDLVLRFIEKTNREKIFHIPKILYHYRKKTNPITGNEKTEDHFSIKAKKALSEHLQRNKIEGTVLNGKFSKTYRIKRKIIGSPKISIVIPFRDKIEILEKCFESIFEKTKCRNFEIILVNNRSIEEKTLEFVSSISKREGIKIINYDKDFNFSAINNYAVSFCEGEYVLFLNNDTEIISPNWLDSMVEHIQRREIGAVGAKLLYSDNSIQHAGVIIGLGVADHAFNGFPSYKPGYFFQTDVIRNYSSVTAACMLTKKDLFLKMGGFNEKELPVAFNDVDYCLRLREDGYNIVYTPYALLYHHESMSRGDDNECDIKYKYPEKYNRIISERKYMEKKWKKYIVNDPFYSPNLTRGKKDFSIRI
MKARVICTCRGDGRGYMSVQVTRFSEDARLKLAGFTDENDHAPTQLYVIDEDDTSKTAVLVFPLAPVTIKLKLDETAPNGDATNSCTFTIQPSALKWQSRINYRLKDRECARIRDIDGTDFFRHATIILRECIPSDNANILRGTITVPTVDDIPYSIKLLDGRFRPIDSSFIVMSDSVEPESSMVPMPVRRIDFSVRIPKPIATYVVSFEDPSGSVRTNFATLEPQLYETLLAKRHEEALDATHDTRYPQWLDRHRADDAVIKEQRNAFLKSKPLISIVTPLFRTPLKFFREMASSVLEQTYGNFELILVNADPDNDDLAKAIKNLANRDDRVKEVRLEKNLGISLNSAQGIAAAQGDYIAFLDHDDLLEPNALFEYASAINVNENIDLLYCDEDKLFPDGTYGDPYFKPDFSPHLLREVNYVCHLLMVRNNLLARLAPADPIFDGAQDHRMILQAVEKGARIHHVPSVLYHWRISENSTASGTGEKPYADKAGKLAIEEHLQAMAIPGKAHHTEEACRYHIEYHVTGKPLVSIIIPNKDNAEVLDTCLSSILDKSTYDHFEIIVVENNSTEASTFDYYENAKRRDPRIKIATWKHEFNFSKLINFGAQHARGEFLLLLNNDTEVISPFWIESMLGISQEPTVGAVGAKLYYRDGTIQHAGVYVQGTGAGHLNSSLDRNEKGYYHTASSTREVSAVTAACVLTKRKAFEEVGGFSEEFAVAFNDVDFCLKLRAKGYSIVFAPEAELYHYESLSRGYETTVAKQMRFHREASLLNATWPEYFVIGDPYMNRNLHPSCGYFRLDDRDLN
MAQQIVRIRAALLINEDRESGVQKQLSLPVFSGRMCKRLVYLPSAARVSLTLPDAKVTIRHLRLALVTRKFAHSRIIQRLKSDHPRYIYSAEAANHASSVYAASSRTLNALWTDYCRLFEDEERELFSYEDWVQRFDTLSSVDLDALRSDAHSMPIKPLISVFLDGDEQRAPAIERTIESVLAQTYHEWELLIDGNRLRAEDKKQLLDRSDRRIRILDVPTEIGRSAHKRDVLSLANGDWVAFVDCGDMLSADALFRVANVIARQHSVHVIYSDEDRMDGQGIRFNPYFKSNWDRYFFYTTNYVSNFFCCAITLAREVAVPACDDPQFWRYDLIVRCIELIQPDQIHHIQKVLYHKNISRDNACTGAACEPCKHEIGRKILGAHFERIEIPARIEKAGGSYRVIFDQQKKLPSVSLIIPTRNGIAHLRNCISSILERTDYPLYRILILDNGSDDVTTLDYLRDIQTHERIQVIRDDRPFNYSALNNHAVSLTTCDIIGLINDDVEVISRDWLSEMVSYAARPDIGAVGAKLLYADDTIQHAGIVLGIHGIAGHIHRFLPRDGVGYFGRTQAVRAVSAVTGACLLVRREVYQQVGGLNETELQIACNDVDLCLRIREAGYINIWTPFAELYHHESVSRGFDITPEKIRRSEKEIEYMKKRWGSVLERDPAYNLNLGLYDENSRMAWPPRGN
MLTPPNDESEEWIRRFDTLTTRERPTTNDSYLLVSQEHDDAFRTDYQEWIRRFDNVTETDRKAIQDYLDTLDLANSPEDPNISLIMVVTDPDPARLRDAIGSLLGQIWHGWQLIVVNNGSTDPEVQRTLDDYRTSDSRMRIIDLDTREVYSDALNRGLAQADGTYVGTFGQEDQLRPHTLAWMSIELHHHPKTVVLYSDHDRIDESGSRYDHHFKPDWSPELIRCQDYIGKSVLFATHHVIHLDGFSPSLTNFPEWDMTLRLALAHPGDNFRHIPSVLYHQRRSDGHESQPTGTEASTIVTAYLENLGIPCELKPAVNGRNLIPTFAIQGSPKVSIIIPTRNALEDLQACLTSLKTTSYDNYEVIVVNNASDDPQAIDYLQQLTTLPRYRVIDYPYPFDYAALHNTVIADLDTDYLCLLNNDTEILTPDWLTNMLGYAQLPGVGAVGAKLLYPDDTVQHGGVIIGIGGIAAHAHLNLKADEEGYHQRAILPQNLSAVTAACVLMRSEHYRLLDGMDPELPIAFNDVDLCLRLREAGLRNIYQPLAILRHHESKSRGKDTLASHQERALGEHTHMRWSWGTDLLTDPYYNLNLALDDESGYSLGPSRVIPPWSRGVNWYTLPDCHNFGHSQPLPLFNGDSLEAHCRLPQRIDGTISLIQVMIGAPSGMLDGSIHLTAELGNQKATSTESLNGHRGEFPFTFRLDPKQKLKATPGALLSFHLTLKDATEPLYLILYTTADGWGHQIAGLRNKALRVTLALEV
MNKSDIEKLKYEEEAYTRWRMVHCNEIEDYIECPYEPLISIVVPVYNVKSVQLIECIESVLNQTYQNFELILVDDNSSWESVRNDLSKYEDNSKVRIIYRSENGHISKATNDGIFVASGEFLAFMDCDDTIELNALAEMVYYLNDNPDTDFLYSDEDKVTEDGIYYHTPFFKPDWSPDTFLSMMYTNHLALYRREIVCSLGGLRSEFNGSQDYDMTLRFMELSDNKRVGHIPKVLYHWRERRESAASNLDAKPYAIEAAKRALLEALTRRGIEGNLSLITEFHQYRIDYITVGNPLVSIIIPSKDNYSLLTQCINSIYEHTLYSNYEIIVVDNGSEEYNREIISEYLNKRGIKYIYKKMEFNFSKMCNIGVSHSKGEYILLLNDDIEVIRGEWVDRMLGNAMQDHVGAVGAKLLFPQNDTIQHIGVCNLPIGPSHMFVGFPDSITANYGRNRLTFNWLAVTGACLMVSKDKYDEVGGLDEKLTVAYNDVDLCFKLFEAGYYNVSRMDAVLYHHESFSRGYDSTSESKMNRLRIERERLYYKHKDLFGIDPFYNVNFAKYLGDYSIDMYGVDTHNYDVKLSKEAYSPIPTDLRLGIDGVGLDDNVRIKGWAFSDDTDEDFNATRYLLLKNKANQIFVVPTERTLREDLKKNLGLDNIAEGFICNIDRKLLVTDFFDYNLGLLQINTNGDEKYIWSDEKVPCDKIEEPTYLFYSRRLKACDINRSGNICYAIDSVRRDTMVSTRCGAYDDMCSIRGWAYIPGGISADNRIEIGIADDNGDIVLYDTIREIRLDVADASPEESAYLSGFKSEIPRKIGKEDKCFVVLTDMKSNESYMTEILLESKKQTDE
MMESKIINDPIWGPIKLHPLCVKIIDTPQFQRLRHIKQLGGCSFVYPGACHSRFEHSIGTAHLARMIGEELQKKHADKITNEEILCLEVAGLCHDLGHGPFSHVFDQQFQAKCKDIKKWTHEDLSQKMLKEIFDTLSQRGVNKEERKIILYKREFIQELIKPPKDLQKEKPYLYEIISNEVNRIDVDKWDYFSRDCHMLGLHHNFQCQRSIKLARIVENHISFPKSATISFQTS
DQEAEVRAYVERARTLTEVDRLAEGEKTGVFTGRHAVNPVNGREVPIFVADYVLMGYGTGAIMAVPGQDQRDWDFAERYGLDIVPTVARPEGWEGQAYTGDGVMINSGFLDGMTKXXTGAARSR
MAFHQTLKPGDQTNLGLVIEIKRKDGLVLVQAEEAGLFGIPRYNYQQQRSGVDYGLAPQVVQRWVKLSEIFPPTSHVRPEQNSMPYR
MKMILSPNKYLLVICVVLCCINFASGQLIPKTPEEKKAVELVKAYQAELGMTVEQAASMYNSINDNLVLSKEIMASAASEEEKKKSLAKLREQETAFMGSILEPKQFKEYKKLRKTLQ
MDRFERDVELMAERLKKHYGQGIWSRIDEMKDRLTTLHKLNRVKINHSIMELVMGAYLIEKGYKVSLEHPLENDLVADIMAWKDGRSMIVEVETGFTSPENALDPQSYLTARVISKIARYSAFADKFSLATSPHNILQIPIILLKSRRRRDDVK
MKKTALAATLGLAFGAAVPAANAALTLTFVDGTAATAMTGNCSAIAWTAGNEFRMCNTTNVALGGGFPLQKDTLVGGESYTFNDGGDMTGVNTNTLTFPLDNNLAMDNPGATGFAGSAAPGANSNDSWQQPAVFFGSDFNFLAPVVGSLADTAYGNATYVGGVPTNGSMTSFIFAPVLEAQWAGTWFPLGQASGGITFYANITNAVTVGTITTFDFEMFANEVIDVSEDPGSAGFAGWTAQWHQQGTGVYTAAVPVPAAVWLFGSGLLGLVGIARRKKKA
MKISREKLASQAEATGFRPDILEKVAHLLGSHGILHMGNLDQDRLRIAFVVYGAMNRKDWRTVSVKITASVFPCTELS
MVSPKISLPMLIAGCCIVIGAGIAGIISLWIPLKSFPAKYTASPFPSVAYAYNNNQNTAQCCAYEKDVYRLVYLCNGRGDDPCSEGRVATSTSPITTSTALPTSSPPPRFYLYRYSGDDADFTPSSYYSERYPTSTAAPSPTTVTVTVGAGSSTITSVSNAAPTSRFASATGYASRTGYLDSYSASKSAVSSYLEGYVVSSSAVAPFQTTFNIHIPRPVYPARIYMTVYQGIAIAFVLAMLLQLIINLAIAWRTLDPSRSVPENDLNAAEEENKAESPPPYTAQQIPSRFIFSIRSNEFEEEEEAQRQKEESQSRLMRACYLLTPASLGGLWLWLIIPLWIMSM
MSIKFSNFSFGIIGAGGITSAQGENTTFTVTPDSTSGYLPATGEFIIIIYLSTSDAPHMSSAREGIRIKSRSVNTLTIKTRNVAGGGGQQAWDAGSKYLLSITAENVESFLDFLGCQVFS
MVVRIMRHLLNRGADPNLGRLLWRESGSVSYPILLKLLPPFFEAGMDVRPAPSTRRRTRRQSSVKYGVDDLLGHTSPFDKSQNDEGAAAQLSVICVLLQHGAPIGGATRGDALDSYLVGFDEQLHGRARWSYKLCQVLLAHCRSVPEDERGEDINVFLDRFSKVQRRGGSKLVAGL
MYYENTAKQVYYLPTRVVHSESVQLLTLPMTLEYRYFQSTPQESADISHFWRRTCMLNKQFNTEQLARTLDFEYDQVPSRQHEMRSEGLRSDGRSQDLRNDGLRSQNLGNNGLRSDGLRSQNSGNNGLRSEDLRNN
MKCFIHANNVQLTRGFRAQIANRIKSALCRVEAKVKFVVVSFQDINGLRGGKDKQCKLKIVVDGVSNVQIVDAQSTPQAAFGLALGRSKRALTERIKKPMRHYKKARSKVREDMTELTAEPA
ARPAPAAAPARPAPARPQTRPTGRLSGLLTGLSDSASASRSAAAPAATASPAVRSSLAAEVKRQLKPKWQQVVPSGADMDSLRTDLAVTLARDGSVTHVELVRTTGVTPSNRPQVPLHQERARKAVMLASPFHLPAEYYEAWKQVTVTLDLRLSQ
MDRAEQTGLGVAVVAHAMLFAALSLNLLKPPELPKYDAEPIEVSLVDPVALESTAPQPSPAPAPATASTETAPTTSPEELAPAPLPTIAQSKPAPKPVAKLAPTKPTPNGPQPRRKPGLSRDILVGIGDSPAKATPTTSSANSNAESVLVKRSLSQEIGRQLKPKWKSPTGADVDRLVTVLSWDLAKDGSLIGEPRFVDQTGVTPSNGPQAKLHRENAVKAVRAAAPFTLPSEYYGYWKSVVSFRFDKRLSQ
MDRREGTALGVAVVGHIVLFGLLSVGFLATPNPLKLEPTPIEISLTDEASLQSEAPQIQKEAPAEKLAEEPGPVEPETPPPAPQPDPQPVARPEPTPPQPVAQPEPRPQPKPVPKAQPQPKPPAPKAQSKAQPQPKAAPAQPKAQPKTASQSKSPAPSTNRVARPTGRLTGIVDGLTDQPSKGKATTPPATAIGAQVKSALAAEVIRQLRPHWKAPTGADVELLRTQVEIRLNKDGTLAAPPRVIGQTGVTDSNRPQADLHKERAISAAKLAAPFKLPAEYYEAWKLITPTFDRRL
MSTKSTLSTPSTAAGSKYQSVTDYADSKYFFLKIALTRPCSNVTICMLRYFLSP
MITFMLNHKKKLIFILVISFFTLWGSRSQLDFSVYSPDGGYRLEYYSPSKFDWIMNINMITPGRVKLYRATDNTLLGSSPVVELSGIGPAFWEIARDNEIHVFSQPGVFFKNIPLIVQGKIMPLNNGSNAEPMMEIVK
SIKVTWTAPKGTDVILGHYKAECYRPGQTYADCARLVNAQSLSVEIGYLLPNTLYECAVIAYPQENSKALGGAWTPSQRSSPIRTWPGIPIPPETVKMEEF
MTFGLEGGGRLEEEDKSTGAGRCFSTGSSGLYREGRSHDDTRGETSRAGMKKVGWRVERIVEEWRGQVKADGVEEGEWKGGREGRKEGQTTPRYVFSSLQGWAESAYGTGDGGDAT
MIHQRRSLRQQASWINAELFELSPDGTKWLMVDSRMMYISVYRNEADRVRIAAVSQTGRTVLDTVLGKGALFANETVVALLHKIENS
MRVTKPDSLERRTGIRSLPQRGLCVLLAGFMAFQNVVPSLGTMSAYAVSTQKPVNPDGTTPNGNKPQDTIHTEEVYVNDTPLRLQVSKVKTAQGAHEGLNPKTVESTLADTITYKVSGRIEGAENA
VYILLFVTLPQLMLLGYLILSPGGLDIASIALSGAVTLLLMVIFSIYALIKRRESSADTWIFTAIAIVYVLFTAGMLLFNEDLFYRTGFVSSRLVLIVLCMLPVLYGLYGMAFNTISAAKSNDTAGHLAGFIAVPLIWFVAMNMINGVNLNTILLIFIIAGVYTIMLLSVKIVLAWKRENPDCAGNSPSAKRYYIITTFVSLLLPLGGLAINQGKAGLWSSADSVGMFGDFSSPAFYIMAALNGFLMLLPAVKDQKLRLLVFYLKAVCYTYILYFFIVFLPILPLGAVGLIFYGLGIFILAPTLAAIWQGWHIIKEWAGLSKAWGTPRIIAVFCAGIITLPVCMISTFWSDKGNFEAAVRYLEQNSVEVVQTIDLARLHRTLKNVQGSYDVTRSIVGFDSG
MSANNSTTTEMEAAPALVDDVPSTKDIFLNRARSYPLVQSTRSAIYSIPCTTQVKNAIKPTLKIIRSTEPIKTIMDNGDLIGIYSLDQLDRIFPTLKTLEAHDLTDPVTRPFRSTSTFVNHCIEGTNQNFQSVVVQPTNKAMNDLRTSLFSVIYDANGKGVISSRADPIFKPINNQLETAISRYLHDIKTVPEEGSTSEMSRTWRILLNAMMGVHQVAEVSVQPEVGSVVPEQSTEGIAIV
MERLLPRVASAVVMLIFAAVTSPSVVGSYAWMVIALTGVQAVFDAAVRQVAVGAIRDHAGIRFLRRYSVVFATSGPVLLLLALLAVSASATNPHHVFWPLAPIVLTPTCIAVATTALARMQADGRWRAIALIQTVAVTTSLAITLPLVITTQSALGPALQALIVEALNASMLIIVARRVIVSPAEVGEGVSPRREFFAAAWYSGLGWAQSQADRMLVGALGGQRVLGLYSFSWSLSRNVGDALSYASVNVLRPQLLRGDEIDDERAHQVFHTSLKKVCLLLAAVTVATFLGATWILPLILDERWEPVFTAVPVMSLSSFALVTAWSLTPMLVRAGRLRTALVAKVIGVLLAIPVGIVAAFDLTAAAWVALAREIIVTACLAFAARRTVHARAYLAPASATLGFGLLIAAYQYIS
MMATKSGIFAEPTSCAALAGLLRLREKGKKEADDAVVIPITGSGFKDPGTKPPPVHMERADSEL
MKKAILTFGLLSLMMVLTSFTQPGEIDPPTAPGQTAPPAEQIIPIGGQSAPRSISEIDPPTAPGQTAPVEQIIPIGGQSAPRSISEIDPPTAPGQTAPVEQIIPIGGQSAPRSLAIGGQSAPRSSSRIGGQSAPRSLAIGGQSAPRSSSRIGGQSAPRSVA
MRINISQNFIKIPFILRNTKINNYSQVITELKKIQKKNKKYFTPIKSQFTKVLKERISDFDIHQIVDGFVHQKLKEIQFSQEKQIEKSFFKDLRVCLENIKKENELLSLTTNKIIGNVKEFETWFKEGPNDLLFNNIMLFDLFTFLSNTKPTEDFDQEIAYLGIEYYKFIERYLKENHSKNNFNIHNLEDKISLFVRLKFNREELHLDVFEDQYIFAEIYVYLRCGFTAPVYKIFDKYRAFFQSVDLDFKNHFTSWLVSKTKPPVYSTSDNEDQFKKFLISLMQGNVPDNSSIISSVEDFLWTNLMCLEQSSINNLISKFEGYNSPKGLLLVYILTKQYYKAMVYLLKMDINVYPTYYLMRELAKKSGNNGNNGGCSGKVDAMNVSKLDNININALLSPKKPFVDFVFLIASKMSDTQNKSLLISSLADVVDDYHEIVPQMIVKTKQYDLLGEMYLDSRINSNVVNLLMKSNDRKQLLHVHHVIEDQNLLMGILVDVLVEGILTNTNVDEYLEISEKMKVKTKDNKLEVLKMFYLFTKTPDLVTLKRTSLFSLNFKLIEVKFVVEKILRMACEIIKKANDHEMAKKLFKIVGDLELSDGCIKQKEIGKPSLNLSLEQKNLISKSLLACTDLNWLNVLISRFWLELSESQAQAYRLKSYMSKWFEMANLNIIKNIEIVDVDIDREAPMVESIRVVTPEEVEEMGLKRDGNDTNGDEKIDCEKKDCDLKNDNMTLKNNINHNSSNYLNQTSSNDPTTLGPLI
MVENKRWETFNLIIDSKGLDIKEKGLVLVIFRYINHKTGYANPSRTLIKILTGISDNRTLDKIFDSLIQKGFLVRESGKGKRSKYFIKVGGEITPSVKNEPSVKITPIVGGEITPIVGGEITPQKEKEKKIKENNIYSSEKDEYRLSLLLKNLILNRDSKARAKKCDLQKWCIHINKLIRLDNRTPEDIERVIKWCQNDIFWSSNILSTEKLRKQFDMLYQQMLTKQTMYNQYKNNKEVLEHDGATRKSHRKSL
MVTGVPLHEIANNSFVFNGGDSEVAMVREELNKCENREDALKRRLKSVLGCIQTLKQDLEESKEESHALQRALYEAQTAQAEQIANDCTLHEVLSVRMDLGMQIQHLEDALVASHLSQEEQAETIKGLQRALESANDEQTNLSREHRETIGNYKRESEDRLAEAYAVRTAQEQQIQSLQSALDVVRSERDIALQELRQVLAKQAEEQEISAQALQQGENIIQAQAREIDTLKYTVETLKTEQVHREQEFLEVDAKRKILEKLHNELSASHSEDGRLSYQLYVANVALSVEKNALEALQTDMALGKVAQTRMDEELRDATDLQATSKQTVEALQKQLSASHSDKAQLSRDLTAVSHALTKQQTDMQTNILYPKGGFGLGSSRLRGASDTNLAVAQAERDRELTSGEPSVPDMISKFQVQLERSLRAQIMSRKQGKDVTTIDEIPQTQESDTTPEWSMAAEGAGGPSNSSGPVPIASSPLRAAKRALGDPLDMSAQSQIKRFKHDQSVPSCPTCRNKDCECNLRASLDTQLPIANNLGLSQDPHLVPMQLSQAQAPAAAPASVSAKAPVFCTKCNIHLDVSLSQHQKTTHQQSCLVKFPNPDGSLDLRVVQRGSDQYFACIYCKYKSRDSGQMKSCWSSCPDFHRRLDKLAAKPAKFVAPSCFDPSIMVQ
LELSELEGVQILIPMHDAALFQHTNQVEPHLVVKIFEDVMTRELSGKVVGKASIEPFYKISN
MFVFEPSVSTCEALLSTLKVTPPTAFAEQDFLNMFFRDIYKPIPLVYNLVLAMLWRHPENVQLDKVKVVHYCAAGSKPWRYTGKEENMDREDIKALVEKWWDIYNDATLRLQRWVPAGRNLRGGGGSLRLAERRRCRRRKVFRVNVLRDRSVSL
MPRDLHVYHATQGSSDKLWAYPVDTTSASLALAVYYGRRGSALRLALTPAAQCHDQNAHREAVRRTQEKLGKGYVYQGQYTLADNRRELTAVVPAELAAPALAHTDNDSPTSCWYWRLSEQALHEQPFCDACQQTAQKLAHMDWALPVCKADSQGVEIFTLYSQGEFQGVLPLCENNTPQLVFWLLLARAEVGLTLANEAGELVSAWPDAVPLADETLLELGLKPRNLRQLLAAVTDYAESWYFE
MASPIYQQDEDQSDHCDVPFGRIVSSRIIHSVLKLEKAVESFKKTNNHIHFEQVIGDGVGASLCSAIVGLSGQRRNRTVEISIQPSPYASDENLKSRVVTVPANDIPVIEHARDYYLNNYSAMNYELSGKVTKLDRDRDIDPDNGVVTITETISGSKSKTRKVEVVLNDKMYDEAIKAHEKSEVMHFIGTLIVSGRKVRLSNIQKVTINSKIL
MPGSPTLLAPDIALKFPGIRPWSCTTPNATRTFSFGYQQKQQRHGQSKFFHFKQLSTAQRRMLRQHSIIVRVGAAGSNSSAEIKGLASSGLSDGKRTIVIGRRTGVAQPGSLAWLHKAQ
MDKAEGLILFMYQDNWVDFCIDSAYNIDMIVNFVGSNIYDYRQNK
MALSGNIEKVIRTGYNLGIDWKVNSQSASSNSSNVTATVYLRSTGSSYTISSSAAKDVSITIDGVKYLSTCTVGISGNAKKNLFSKTVTVKHNSDGSKTCDFACGLDINVTLGGTYYGKQTASGSGAFNKINLNSPPVLGGSISVSPNGIISENQGSLSLNWNAASDTNNNLQGYKINRYVNGSLNGSYTTSSNYYTDSIGNFGQGTTFQYKIYAYDTQNANSGEISSPVVTKNKFTGAKLTSNINKITWGTNELVLSIGGASNTNGNSDFTYQVYSDDITIYNQRQIKITGETLKIWDGIGDTPSTPYIKKSDLINKFRGDVFVGSIKLILRTTNAYSTQTTNNLILNVDLKTEPVKPSSVEIVGGSALKTIATTGNQYYIPNGKDTIEVNWVGGYDKLGGAHTYRIIYIVDGVEKEVGSVDANTTSCELILPKLLSTKQLSVRVCTTTTFGVESYRDSMAVTLHYYNDPTIEVGEIERTDTTATANLKLSANTSIPNVNFPTRSFSGVSTGTLLNTQETQKITATGLKGGDKYLWNINIMDDTGLQNNVVIETIEISAYTPLFSVREQGVGVNVIPGGEYAFEVKGDTNINGNLLVNGKPFGTGDYIEKSEIATKDAIYGKIPKIGADGVMEVGKYLDFHDGSGSTVDYDARVICNGNWLETTAMKVGSVRLTGQDIKIHDKRALVGFTDGNLEINYNQDFGVRTKVNGRLDIEDSANTLNVKGGSSNHCFIGIYPRSDKNIRGSFIGYGSSGTNTLSIQNSLGNIDLSTSSNVTCGKLDVSQWVQTKDFWLLRDGRIISSYNNDYFIGDHNNGNITVSAAGGQLFLGYRNTSSFNISYCDTWDVGASSGTQLKINKAWSGSSGTEISIYQQKGKGWGFLGNTNNSWFRVYGAGGSVSARESKYDIQKYDTETLYNYVKELNVYGYRSISDSRDENDNVIEIHKRSDLCLGTMVDELPLETTFYDGEGGNGKAVDMYSYTTMILGATKVLQQKVELLEKENEILIERLNGMEEKINGSI
MTTPSESERLKNELNRLKTRKKDFVESPQQQITTRLGELDESIASVDPRPVTQQGNRSMYEHLVKLKEGIEHDPNAWVNYRIEQLNMKIRNVESELESL
MSMDSTPAAGPAPPIGPEPPVIQAMTVIYLAGGAFCLALIMLVAVFYDPPTEAMSRSAVLMGVFVFAMAGAGRVSMDVALKFLTDVVIKRMVP
MSKAKISLFACLFLTAALILFYANGSIELKVYEKSVKNVEKVVEPEIIEDFELKTWNRSKSIGFLHCGKCGGTSMDRTLSPIVRSLSERKDDKYIGNMHYDWSYFELFEKDSVEPIILLRDPISRSVSNYHFKKTIPGVANSKQPTYVKFMASSFDTFLEQPEVMVDFAHLWIDSFAGLSMIDGLMSDDYYGTSTRKIYKDDRAKMQQIFWERELMRLNATWSLNLAADRLEKMGWFGILEKLEDSEILLSKYIGKTIKLSHANKTPDRTGHENLASEQVLHKLNALKPMNLWLYEFANRLFDARVSFLKTGIYQRPEKKPMPEMSCTCTRLIIYCPGGELDFWVSKEAPAGYEESFWNYLQQLGVKIPNKTGSTFQLEFPTF
MSRLECPAVFKFQIACLAAAPPPTGGPSPAAAAYIDPPPRQNQRTPPSSPPTQIHQSHTREKQAENLPEASRSRSPSARQGKAAPSPSPMEKQTKPAPDAAAHIAALRCARASLLLASLRQPRALPPTQDRRRSSTSSSSAAAALQECALRSEGLLHHGGVTAARREAAGHARIAGSELLLVLAVAPAFLLLLLLLLPLL
MKSNAKCAARIATVQTILFPETDAARPFRNNIRRKPFAGAPGYRSVSQKELVISWIRGLCNAVAIFELDYNAAKYQLDLYIILAQQEANGFKDLEPFIRTATLGQWTVQFSFCQLTVFNERRKQGSFFHMIKCNETALLYRAAAIQWEYVGTSALAALMAARETDFRKGCRRGRLFFDSALVFEDCNENAIACFALHQAIEITLRSFVAAFSGISPRLHSLKQLFLLCNRICGGFTSFFQSASELNALACLQEAYTGGRYNNDFVIADAMLELLKSKADRILAFADCICKELLGKYVLLLRSN
MHVTVIGSAGSVGSTLAYTLAVTVPDVRVRMVDVEDDAVAGHATDIEHATNHDAHAVGRAIAPDVEAGRGPVETAAPGPEAVRNTDCVVVVYNVSRPDEAVGRGGRDVYFEQNSAVADELGAWMRKADPRPVVVVTNPVDRITERLWRASGWPRRSFVGYSLSETARAAAEIGRLHDAAASDVRCPTMGEHGERVVPVFSKTTVEGEPTDFTDRERERVVDYVRDVPYEVMRQRGADKSSRWVSGRGAAAVAHAILTGGTEETVCLSVPLAGEYGFDDVCMSVPVTLSASGWDRIERWELSEWERDRLDAAYEHLAGT
MKKFILLYITALPLISLAQSGVMLPNSIDLPKVTSLATCTNPEKGRMVFNTTDNKAYYCNGTTWQEMTGGGFSIPYSATQNSNSSLLRIVNEGNGRAISGESMGTSLAIGVQAITGNTNPDFPTYALFAQNYSTNEKGAGVYARHDGTGIGVWGASVGGAGVKGEAFPVGLGGTGNGVGVWAESTSGSALYAKSTSGTGANAISTSGYGVRGRSESNVGVRGESLENYGVHGLSTSGYGVLGSSQQQVGVLGQSNGSHGVYGNANNTTGYGVFGIGTNGRAGYFAGNVIVSNELLVSTNKGLLQNTSSTQLKYDDGKVVFGDTLDAFSTSISPVIYLSTYPSNPVVYIANVENTTGAYYKVIVSVVGVTTNSFRLRFYNASNATIEFTGTWNFVVIGPK
MRITSKTSSVRLCHVRLITLLALAITCVGSLSAASEERAVTIAAKEYVAANSRVSGFHVRVEKIEKDYARVKVIPKDPSETDAAWVFLKREKGVWRGLMMGTYFTREDYNEFHIPGGIQL
MNPSRDHRDRAGYEAEIMRRSAAAPVDGAVFYSGRGPLAHQDNRQIAREYCAAQPPGRAAVLEDTPLGRYLASQSLDPAIEASSPLTREDAASLYRSAAIRFAAEARGRATCFVDGARDQHVFRSSELPALLGNEGVSHVNDIPREKLLETHREHPGLAWRAIEDSARRLGTSRSATLRAQETIPVARPLSREAAGAAPPRKEGHERERSR
MATYVDMINNNVFFRSLGGGTSVIVDCGFMSAFRSGGFLYCFSALAAILAVIRYTRADEDTGRTELLRAGVVSRFAALSSALLVAGSVVLVGGGLTTVAMIAVDLEPFGSIAYGAAITAAGWSLGSIAAVVVQLVQNASTAKQSRCRSTQSPTSCGTQRSLVADVHVPDGLGSRRLAVPVRSVVDTIGGVIAMMVTTAFLLADRRDLGERLIPARRGRGAASNLRDPISLSWRLNRGLFTKWAIVLGVFALGTAGIGTIVPDIDILPAGSFGKLQKGFGGAGDSADYVDYFQLAGLLIFAHAITMYPVVMI
MFTKVDNTVIQTVNDPNLEGLRGVEGVTHITTGTKFNSFDVYYMGALRLEIRGTLNHDPDREQLVFDESIDGINLLVIGTYNYGREIEFSGYTHYSTGLGLIIPRKDNSNFDSPTFDVLSGAFLNWFGGTINMGNTTYYRQGSNIDIRDGVVNIQNTAQGNLIRSFTTNLKVDGLTKIGGAVILYVAPTKFERFKPIHSDLRPQPSSRRDYALLFYGVQQDTSLSDNPPIIIRNYAGFGNPSDIGYIDNGNGSIINPLAGTETTTNGWVFGSRSDTYILFIKEVEFNFKDLVGDVINPKVYTIDNRNNYRKNQNNRNDLASKVYNKTAVGGKVEFDVIIGIQNAVNSQTQPLDVRFSNDLAAFRFIMYEKGLAETIVNLKGNGVVNTDWTLFSDRSITESDISVVNSYSSLDDSFKFYDFAKAYLFNNFAGESDTIVLRSGILINAGDLNIIIDATADQVFLYNGFTITIKSSRFVDDLLTTGKITFVNGATRGGTTTDEDGTIAPTRQLTISGVIDGAEVRIYDNDASLNSLGTELDGIEINSGTEFAFLHEGVVNEILVQMIASGFEEVLVATTIGAIDQNLKLVPTQDNNE
MPISSTHRRDTFCWNYTRSCQYTVKSGYWVAQNLLTKEEEKEILEPSITKLQAFAWKLKTPRKMWHLIWQLITGQVAVTRNLESRNISLASMVFIGNSYKSRYIPSGKRLHKHGLSFLEEK
MKRNQPELSKRLIKYQDKCADVLASVFIDNQTTSTLDTKLLAETISTVITTALQPINERLYQLEYSQKNRYLLENKYPSVWYRKIAPKYKMLMEYFGCTRSELYSSIYKELEDTYDIDINQIHEDYCYENHLLKNECYAMDAIEHNKQLRDAL
MAVTEIANDLLPQGLEGYEHAELLKNVAGEEKSMKENDAPQNKVAKHTGKKRLKDDDGIHEDGLVETSKMEKASTSKSILEKIQPAGHASQEKFKKAKKAKKASSVDMASLDVAGEKHQCGYGEDAAKSGRFATQGEIVNVPLEQQISSNVQPENSNIMENPCGGGRQGKKKKSHSKSSKVADPTHDVTKPSGFITNEISIQNTNTAPLGPKPIIPATIGEGTGSDQKKFDESLDVAADNVIVEVLADLRSKDHVSKDLDEHMSTEQSNRDSNQDVLGLRGNTGNKGVISAALPPKYLAALHSDAPIRSPSRNKAKGKQLKVLPTMHDSSYFSGGVSEENANSELRDSVSLRYSYNISDILTENVVGQDDDKNKAINHQRKKKSLKHVPTENGKAIPSLDGQVNQVAIEDLKGANATKADLVQGGSVIDTPADTVENALKKTKRSSKTRTPKVQQINHFTHGEDSNSTKGSKGKYLSYIGDIETHSNETAVGVPTQSPAVQEDATTLRTSTSNARKGRKKSSKTELQSRDSALDRDSDADLMNYGAECITVSSKRSADAVEPNERISFIDHFSPNATNDPYVYADNKKSNEEGTVREVEDNKKKIKMDMQSQHVDNVEPNDLLDTHHIEKTRLTNYFPGDVGVPSYSAENMNRVDGSVKKIKEKKKRKMDLLKSVPQQANPSGDQDINNGLKSLLFSDAQEGRTEQDTKDNNNNVIQKNSMLTHDPKNATCDSTLGNVKQSNIESDSQSNFPIDKDYARTKKEQKKSTSLIKHHSESKAKNYDGSINERTDQNSKSVRNLVKSFSMSPPASGDSTQRTPQNVRRRLAVRKVPRKRYEQINDKSKKASGTGAIFNDAISESSDDELDTATEKATIETSSDDSSISADSGISSSANDGSGVPDDDGNVSLSQKSLKEGLHIGSILRGSCSYKKARKKQAEQLDDTEVPDSQPMDSL
MPPILPPPDEQEYDQPLTIDGLPGRDLAAGNNLFFYFTSSPWFDPEDCINIAIFTNLNLQDPANAQTIMNDPKLWNQRIKETPKGIQYVIAGEGQGDGHPWLLQRQHKAEVTKDDGSKEIGMFVEGNWYTHGTKVLMAPSLLDVVQSRLLTVATRMEQMAEISKNMTHWTPATGYSYFPPSHETSKAATTASRIGSPTLAPTDPDVAGSQSQGAGAGATSQTTDPLASTTEFSDALFMHSLNLTNAYGDEYMDENPLKGEPGAFVFEGTKTAVSARNKAQEQAAQASLSAPPAGLKIETQPPSVAPSAVGTPRGVATPTTAETQGKKGAIGAAPKKKKDRRKSQGGLTSPTTPSVSHLAPGLQEKMVLRKVVTTCSQPNTSHHEAQGTVIDNPNHEVNPRKQPQDFLPVPNPMTSYWLKQPHPYAKLRTTPHLPVSCDIAIIGSGMAGILAAYHILQTSHTNFLQTGTPMPRIVLLDARDLCSGATARNGGHSKVKTATLTSLADGVARTALQDYVHRTHVELKALVDAEGLAAKCEFELRRSFDVFQDKEEFEGVKMAYDEDARVGQGWTKRTSVVPGEWVERITGVRGSVGAFSVESASFWPYKLVCGVLERMVEKFGEVLNVQMNTVVTRLASSPSTASVERTSREVSTTTTTRVVNILETPRGTLTAKKVVLATNAYTASLLPSFSKTIIPYKGMNSHHAPSPSASSSSPHSNHTSHATQSHLNNTYNIHFSPLSPKHPTGCDYLNPRPDGSIVVGGGSWFYQNKHNEKHDSDDDDPYAWLNTVDDSTETGHFPADVYAHWTHYMSNTFFSHPPPSSSSSHFEPDSIWTGIQGKTNNGMPHIGRVPPPAEKRDKQQQQQQQWMLAGFNGGGMALIPLAAKAVAKMVLRDLDFEHVQDEFGLLEGMGTGDARMVS
MTPRQMRQILAETGTSLANGDSAKVGTQPDMERAVARIMALKDGSTPVAPAPTAVAGADYALVSPTTGVITYALDGSKSLNAKSYNWRVTKGAETFSLEATLNGALVKSVDSAHAYAVIPANSEGEATFTLTTTGADGRTATDSMTITVSKPAVPAKDDTPAKDDTPVNPTAPAYNAKIAYPTRCTKVSYNGKVWLNQWYVNPGQETPGTGGQWGAWREQGSSNNSCK
MKKLCKSYQDNGFVVIPNLFNESKINELLAETLRLCREEGSKLPKTHLLTNKSHPPSNIDEMSDDELLSHFLALHFPHKMSPSFRSMLDAPELVPYLAMLIGPNVKCMQSMLFVKKSGKPGQAWHQDEHFIPTRDRSLTGVWIALDDATIENGCLWIIPGSHKAGVLYPVRSPVSKEYDGAPEAFNYPYSPDEALPVEVSKGSVVFFHGYLLHSSLKNRSKEQYRRALVHHYMSAESLLPWDCGGQIPTTRDNRDIVMVCGRDPYNWKGIEDVLKPYIRGEREPTQIIENLG
MVSRRQRYDPSDPMKGASECPHCGAFGVVLNDDELRYVCGVCGGPRISIEAADVELSGHESELLAKAQAGRKHRFLWRMGGVFGGLVGAFGLVTTAIMALLFEPGLIGAGLGIAAALPFLLLAFTAVGKSRARTEDIKRGLDQAWKSAARDIVLATPDGLTAKQLSEKLPVSENAAETILAELSVDDMLQSRITDEGRLRFTATPAARMRVEEAAAQSSKAVDVARLGAAKTQLADPQAALQADGEDDLEERFEALEEAMAAEQQAAAEASQSREKKATK
GQPCFRASPLTKRYGWGVHSNENGKVAIYGADTKEYQNFVADETIRKVKAMKSKR
MLFSKCKKKLSNPDQSKVDFNEKGIAIGKNAGNFMSWIGVECKRKIPYDKRARKVNPKKYEDIWEYAKQIWDIPNDLGKKKTLEKGKKIMRQFRYELRKKYIYKEESSNKEKGETPFEDYDYLDGEPWKSFVASVDTEEWKKISEKAKKSVKENINPACVGRCGFVGLEPHIESRWNQLVSSYPHLDAIEHPSSIRNTVSKARLNPVTKLYELRENLISNGDLTGLLELLDKKEKQKQPKDDGSYSTKKNKSEAVETTPGEILGSPGMGLAAGSSSEILSIKKCELLHLDDQGALKVVAIGRVHPTDDRVVHGCPIIDGFVKVQIDRVVEGCGSTRLLPESCIYGEIELLKDAKGSFIQWPVKLIKILNKDSSQTVEPCHHFMSTPYRPQFEATPADQQQAIPNERNEYQIYSYALQDDETFNQLFSENTTAEHVSFRKPTEPVHAYQHTKPVIANQPTKIVHGNQSTEPIRDCQHTKPIVAASKPSIEKIKVSKEKIKVLELLEMARSRPQNIYRLAQKVALHSEKALFTVFTSPTGMYEERVQETVEFEAVIQLCVNGWADVCFVHWFTMYLYAAGEREGLNNTAYFHPRYIEGELVSDDGDFVIDHIKKVISFHKDKQWFIAPYIAGKHWVLIILQHHPVYKTWKGYIFDSRKGKDDDDLSCYEITTLFEQAIEENMTWAKVKCRLQPNGWECGYCVMLAMYDFVIRNREHMLANKTKMVRQGEIDEFVERTLKVFISSFGDWQRNSG
MQLGHGLLTYRSRIEDLASARITQSRMGHYAAHTDADIGLGHPFVDMHLDSTGCRSEMDQQGWVAEFGVHAGKALKQSRSDFLLDVAVGHLAVTAQGHHDHDVAIAHPDAIQARYDRFDQHIGAGEAAVVL
MLERSSPAVEGCRWSLALLSAALGLVFPTPAFAHASDRGHVLLFPTGYYLIGGAFAVAASFL
MVGVMYGVYVKMKVKPIMIKQCLLSLIFLFNFILVTGQTDTKIKVPELDDSYSKTVQILENGKTDIDYKSFRESFTESKQFKIASEKRTEFRELTKAMYRQMSESKYDSIITTTKKLLSIDYTSMNAHKILRQTYKYIGDTMNAEKHKTIQFGLLKSIVNNGDGKSCENGWSVIQISEAYFILDMLGVELDNQRIAGGICDRMEVVDENGEKKIYYFEISNVFKGKEKLKNK
ADAGSTDQALQGAQENLLQSSEAVVGAVNALKGLADGSVSPIEAALDIKTAIDVVQGLSRQLSESLIMPLMTHLAAFKGEAFLPVAKQLDPVMGIDVHFVTVPPGTPVPLPHPYISVLFRTKDWVSCMVNMVKAEAMSAVQEAQPNANELHTEAEQAAQAKTDKLINQADGLVSTALGKAGLSATVLIGGVLPRAITGTPSRVIPHIPMGAGFHPSFDTTIAKDNGKVYLGSLFVTADGDPMAGMMHLNYDCWDIGIVDLFKSQRNSTKKSPDPENPKTELFVPSGSILPIPWSRPVLVNTIPTPINPLAIGDRLFKAGLGKLKLGQRFRKLAEKGISKLPFSCATKTKLSKHFGTGQSHPVEVAEGYFYTDNEDFSLSGVIPLVWERTWYSYSPYEGPLGYGWHHSYDMAIGFDWEARVATIRMNDGRGVDIELPNSPDKPTFHRLEKLYLCVDESGRYYVKDTSGLCYYFTETAYPMKGSERKQQLLEKIVDRNGHQIQLSYKANGALTQLIDSAERTLTFETDNEGRITTIYAPHPKRENECFAIAQYSYSEEGDLLTHTDALGQPMHFAYQNHLMVKEIWRNGTVWTFTYDGKGTGAKCVEVRGTDDLLHYTFDYSDPHCTLVRDSLGYTKSFYHHNGRVIKYVDPEKG
MTKLKNEQKYTKIITGGLMYYYFNKGVENLNINIDIKPDYIVINAGGRVKLSSSDLSKLEILKNPMRLPEFENYYDVLIDYNDSSNEISNIDGLSSIIDASEVDYDGEFLSIKLRRNF
MPRLEESIFRSCPVQRSGMVLPVKNTFIHFDHPTDLAEFPATTGSEAGLSAASGRCSSPEDSDDFHFWLPSLSSSSGSQRRPSSLGASPSPSDIVCQAGGGQWPAALPRQAARRKEGQKDAAASALQGQPVPSPALTCSGPRPLVQPPKAAGGTQATAGPIAAAATQEVAAATAAVAKLPEEDREPRGLTAGSDWGKGLMDIFHEIWRGSGGSSQPDAGGDSGASGSTPGAAAPGGSGAAALQPARLEELATAAAADGLDTDPAQGDLLARIPRNENGELTSIGSICHEVGGCNPCPHWFKGVCANGLACKHCHFLHDGQRPRRLRPSKQARARLRKRAQDCSADDMPEQKPSSGLPGYEPSQFAQQLALAADQAGLAVASALDLRQVQKHQISL
MVVGAYLRSLRRSRDMTLRDAGRVIRGSAAKLSRLETGISPQRDPDVAGLLGHYKLNPSVIRATCGMVRAPRRDQWADFAPGWPARLAACEQEASAVRVYTMYLIPDILQIPAYTALIPDPEGTRSVPRALPPGQADITLLLDESVLQRPFGAPAVVAAQIAHLQDRIATGELTVRVVPLAAGIYGYSTLMSELTLHGRKLVVDDLGTPLYSAGAPGRTRCKVLDSALDAAISAERSAEILEETRNHFEQLAAEWTQPQWPPT
MSRESERITVVELHKTGMRTADIVRTTGFKQRTVYKIVRRYKETGGTSDRPRSGRPTTATTPENINKVRCRIRRNPEVSMNKMATDLGISRERVQHIARRKLGLRSYKVARIHFLNDAMKAERLRKCRRVRQLVGDGRLSKVLFTDEKIFTVQPVHNHQNRRQLLKKGQQKTSAARTISRRHFPAKSTIAVCEELFPGFWSRDI
MLAPSSKRPIIIILHQTGHQTKDIVKLLKISRTMVQKTVKRFKEIGSTADRPGRGRKRSARTEQNKKKLREMVRRNPRRSMRKMTKKLKIDEKSVRTIIRKDLGLNSYRIQKKSTNSRTK
MAAKRAAIIELYKNGTRVNEIARLVNIHHQNVTRTISRYKELGTLEDRPRTGRPPVQRVQKAKVLIEAKISRIPKRSQRKLAKQHGISRATVTNMVKEELGSYPYKLRKAIALTDEEKEKGWNDAKHCLSDSQKITTERSFFLMKVIFNWKKDSTDKIPGLLQ
MAFCTYCGQEFQRNEHLERHLATHANIKRFKCNICHLSFSRRDVLQRHVAVHGETSADGQSSSQPMAGVRHRTQEACRNCARTKTKCDSNTPCNRCRVKQIPCDRRPRKVPVRASLSGASQDLTTPVSEVNAGRDATSSTINIDTEHARPNQNPPSSSENSEGPDNSTHSSAPSPTKQLHIHETIIESVTTSGFNAFINNVPEQSMGNGAIPPSDGSLVTGPNIENWAGQSQTLIPTRNSDGQDEQPTGALGPSQYEVICNTGLTSHSPTELQNDGTTSLWNEDRQTYDIQWQYDTDAVEHQVIIQAYNYWSSFRCNPFEDMSKCPRTAGVLLESLGHMPELDKLWGWLGLDFASPNPFEPLASLPLRESVRDKLAAISQSLLQKALRVHQLDPATAATSYPSPSPDGNSRGFLILPPSATMEHFLREYMRIFEPFYLLIPGGVLDANILLNGSNDTSSTLLVLLMIGQGAISDPTKEARRLSGGLTEVCRISLFDTVEKDVSVCHQPLLLHCALVFIIQAAWSGDKWLMDIGLGQRGIYIAIVRNSRLFDAQVSHTESLELDDDSRHLAWLERERLIRLTYTWVILDLELSLFLDSTPHIQIADLNLVLPSPAELYLAGDTDSWKRGMSGAPLVQPSLRALFEWFLTDQLTGDRRLCLTPSAFRLLLHPIYSLVNSFRQVLSCFSEGPNSRLFSPVTKRSTDVRMEEMQVLLHRWFSWYCSRLRETEQSEATYNPFRSPLITATLITYHIIYLNTVTGFSDIESLARRRLSDSRLQALSSSHVEQYIHCPDEALLHVGQILYLLRTLDKKVRPPWWPAALYRVTLTLWAISVFCGARWKLSSAGLVLIDVESRGDDDAVYRHFYSSVGASVAGSNSAATSDPSTNNQVCIPAITDQRGGAEPVALDDPIRTLETCLFAFGGGIATRFSEGIHTKLKQFLASRYSLRNV
MFLNHKFGFFYVYPFCDWRIIFDIFDNVVYQNIIKRIVFFTINDGYFLPLLTILLYFWGKMGKDTSKPQIKQLHDVMIQIITHQL
MNGTDLSFRPSLRHPEMDDVEETNLNGNSMQTELLPSFFEAEFRYYVPKACNRLKDAAIKPQGYKFPAQFGMVELSCINWQKIIDSLRGGKDLETIVHPDAFFTSVPEVKYGRDIDSDASKVLPYPKKAGVLYIRDARSTLQRHPALQRRHDQAASLFDVLYKVEHLRLFLAFFAHKRGLDVDGTIPLEFEDYLLYKRSFEENVITIRQVWKEYKKKRRDLEDT
GSNDAHTSGANEDDPDHNTSKETQGEQCKQRECESNQSQVEEFSPSQVKILRHFMEEIFESRLREEGVDNFATFLWNASMKEFPVLWKRRTVLHAFQRKVA
MNNNTETLTITTTTTTIYLNLAWSEILLVLLMAVISAVTVVGNLVVLLSFLLDRQIRQPSNFFIFSLAVSDLIIGLEGIPVYTYFLINGQRWPFGAFLCDLWLSVDYACCLASIYTVLGITADRYLSVKYPAAYRNWRTKGRVLLIIAAIWVLPSVLFSISILDMDGFRVKDVF
MSKLKSAVTVFLNLLVIISISQLKQLHTPTNLLILSLAVSDLLVGLFVIPSMTAAIMDGNSLSTFICFLPLINSFINPIIYAFFYPWFKVTAKHILTMKLWHL
MSEYIGGALWITVYCIIICAAIAGNVLTIFAIIASRQLSSTVSNQFIFSLGVSDLLVGLSIPYHMCFHMLDSFGHSKTTCLVRFVLITFACASSILNFLFIAADRYSAVVHPLRYNR
LAMADYMDLIYMSFEIWIAFTCCLGNALVIWAVKLNHSLREPTFCFIVSLAVADFLVGAVAIPSAIFVNSEVETSFHGCLFICCIVMILTESSILSLLAIAVDRYLHIKMPFRYRRIAAQKQSWLGVAVCWLISGILGLVPIFGWHNKGSMNSTGNSAINARAI
MAPSGQGYDCTGNCLNGGRYYPTNISLTTTTPAIADAILTMSGVNKGGQGNNNSVERFNPDLGNDGTWDIISKNIPIDRLDTNQQYPRLHLFPDGYVFYSGSDPQTQLFNPNLPSTDPLQWQLKAMNRCERVHGISVLLPFVLAPNGLLPNGSNDPGAQVLVAGGHNHHPGNASLCPLLPPNNAPTVQKTADVYNYKTDTWDAVADMTMPRDMHQAVLLPDGKVVILGGEDKVCVDIVNDCPGTTACEPVYTGDLYNPITKTWTQIPAPPISQQRPRQYHSGAILLPDASVLVDGGETDYHCYSGMTAQIYKPGYFFRPTARPVFHPTNPFPNGNQMIYGQAFTINAPNADQIAKVVLIRSSSVTHSFNPDQRYLELYITSRPQGNSSLVVMPPANQNLAPLGYYMLFLVKETTPGNIDTRISSVAKFVQLVTPTFQQSLRTRPRR
MIRSKRQARAYQEWKAQQLKNPKFKQAFEDGLDWLRLGASVAILRQSMKLSQAQLASLARTSQPVISRLERGENVQLETVHKVAQALKARVKIELVSQKKRAKKHPVVLSSSGTKPT
MKQVKKEWKPIITNHFLNGETNHDMTGVVIPEGHSFYEIGHLFYEKQVKGA
MVYYSCMAERTHSRLCYIPAGARFADDLVAGFMTLVDDPAIMASATILLPNRRLTQAVRLAFLRHAKGRAQLLPRLVPIGDIEEDASDLVLAGWDSGHLPPVIDPIDRHFQLAHLVHLFHQNAGGDHITQAEAFGLARALGDFLDQMQTNGLSFDALADLVPDELAHHWQNIVSFLTILTEKWPDILVEKQKSDAAVWRDAAIRARAKAWTEQAPDELIIVAGSTGSVPATQELMKAVIGLPNGYIVLPGFDPVMDADEWDDLTSRPDQTVSAHPQFQMARLLKLLAVERGAVLPWPQAPDLDTADSKGRIRLLREVMRLSNQTHRWYDLRANPVPASAVDGMQMMVCRDRRVEARAIALAMREVLEVPAKTATLITADQELGDLVSAELSRWDVHVPSSAGTRLSDTRAAGFLRLLAEAWADQFAPVSLLALTQNPLCCGGMEKSQFRDMMRKIECKVIRRREDGYQGGGLYQLQKCAEQIDTSLGQFVADYLITPCRALIDISNDTGTGISTGKARLSDIADAHAQAAELLSHDSITPYQVWQGRAGHRLANLFHGISEYADNMLLDIADYAVLLH
MRRILITSLALCTAALSAACSDSACDSDLVAGGMAMVRAVPQSVTAEAAYAHRVCLIREGVVAQSVSAASAAALAPFRVEPGTYGMLAVAAADEDNLTFPAAEGIALSEYGVRITDMTAPIPDLLIGCNSRFEAVAGSVSAPVGMKRAVAHLTVTVVGLEALSCESITVSIPRMYDRIASDGTPGNSGAEFSEKAIVLARNSAGRYVGQAVVLPTDTASATLEFRFTINGKNYVSVQETRIEANRKYALSVAAKFKDDTDLKLTPVISYLPWDAPTTIPDDGLPAMDDRPANDDFTVEIFRNGCWEEIFVYNAEVSDYAANPAAGYVQHDMGFAMFTDAFAAPLKVRVTRRAGTFSKVEIRPLSYGIVPNVQTPNSVEFELDDPAQKVSVEFDDNRMENLFILPDLPDTAIPTGANVTYFGPGIHNMGRKEILYKDNQTIYLDEGALVYGSIYAKRCRNLTIRGRGILCSSKENHGDGRQPQIETFDCNGFKVEGILLRDTPNWTLKIVGSTGVHIDNIKEIGWIMNSDGMDFICCRNVLVENTFQRNYDDNVTIKAFNGKTDYVTAHTASDGSFTDASIWTVYYLAQNKFDVYDYEIRNCVFWADKAHNMLVGPEARGIAFRNIRFHDNIVLENRQNDGIYPGAMAVMIADNGTFEDIAFENIIVEDIDGGKVFCAHFTNAWAFDGLYGQWARNITLRNIAYTGTRATPSWIRGRSDAQSIDGVTIGNFTVNGAPVTDGSGPHLEINGYVRNVTFE
MMAVFVSSILSLASLSAQHDPAKGAPTPPAEAESAAMRTFTVGEGDRRFDSSTARGRYLAIHFLLGDECPFCTRMMREYEEKLPTVAGVRQIFVQNIPPEAFDAAVRANPEGTRNLYRDADGRLAELFKIPGGYRFHGMTMAYPALVVLDGEGREVFRHIGASNADRLPFEQFAARIADLSRDPAVSHANAEKGLALAGFDPVAYVDQSAATPGDPKIVSMHRGLTYRFSSARHRALFNDAPEKYAPAYGGWCATAMAEGRKVEVNPRSFKIVGGRLMLFYKGAWGDALKDWNKDEPGLTRKADEAWSRTIAGR
MKKSTIKVKINSNGEITRVIGNVGQTRMNRAAAALWAGNIEPTRGYKLRRFIKRLVWTFNAKMDEASHSARSTDTPAAEVPFIGLIGEHV
MAYHPQHTSPEASTGLPKQPSFGPPTLPPAYHAANLQLRQKQMMPRIMGNPLQPVSEADFARLRAQHTSSLDTVQLGREAMDDDDDEIDCRSPIDLRISTALHVSGNNNTVLLSASPADQAKPPHRSLCRRSASVVT
MSWVDEHLELHSLTEKAPVFVDFVGGAAAHRRQFGGGRGQPVAKAVGIKSGYLPRVLDATAGLGRDGFVLASLGCEVTLIERTPVAYLLLKDGLSRAQQDTHVAEIANRMQLHFGDGRAWLAERAAGTGP
MRAWEAPLLDSGQVELITDQPVAFIGDVHGHLDRLRWVLAQPAVAEAEQLVFLGDLIDRGPDSSAVVGMVRGLIEEGRAQAIIGNHEYALVRGLGLPAAGIPANLELFTAWCERYGGDETCASYGIDWRRADGQEVLREALGEDLDFIAALPWFLWGVTGDKPWLAVHAGLDERGLVEQLSECAPQQRWLRQDLPRFLYAKDRRQSRAHDLGDEVCLVSGHTPVEAAQVSPQRILADTSGGRPGRSLSAVLWPSGQVIASPAAGPSGRLRVISPE
MLDVLSKEGCLYQQDVVDYLVKQHNEQHLKENADGNLALSTKVINKFRIDSGEGVVWVKPDKYWRYRTSEDEDGREARG
MGGHGCIEDDTSPFLSLPEALQLLIVEKVDPYSLGRLSCSCQQLRALTSLEKHLAVAQLWERHCRSLLDPSIIATHLAWGRIISKPAGYDASRVTSFWRCLWKDMLELKTVRWWPGGGMALLGSLHKQEVKDAAQEPGLEPVMLSLSDSLARTGHTATSVGTWQVIVGGLSREGGPLMDVIILDLVNFTITRPVVRKGVADLPLGRFRHSAVPLPPVQDNPRLLLFGGYDMEGREFGMDECHTATISRDGSEVLWNVVKTSGQVPSPRFHHTANVYDRGRKLIVFGGEGSAVDDRLHWTGNEAVSLEGVEDDQITVAAYVLDLQTMVWEKKTTRGEIPGVRSLHLTTVHEDLITGRERLILVGGFKNAEQRLADMTPYALDLRTFEWEHPKLSPEDLPPPRHRSAISKVGNDKVMIVGGGTIIDGTPRLLDDCQALDLLSLSWNCSIAGPIAREGVPEEKLKSNPEVYDRATRAVSKTAGHTVESLLVFGGCIKGVLGVIPVEQVEMLLISTWQGSPEHHHPALEAPAAAGAAYEQATLALGGSTSGFGRREPEISVPETKQALSLLESKDVSAAAAFGPSHGGLVIPMSGEREEPAKAPVSYAPVADLARTWGATKLQMNLQVDRVPTQKRGRASRPVLDLNLPPPLSEEEEQDFLRDAARVEQQALFSASQAGGMQVEVGEDKEQGGLPVPQPNPKPRSAGGLAREPHPQGVPLGIAPSRSTPGLDLTMEEHGLRSHAWATEAVLVRHGHEAEKPSQPPSDFPRFVELRYSMEQKVSSDGVGPSRESEPTPGMLKRGLVDPPKEMEGSGHSSGNGLTFRGEYGPGNMLAKRTRLG
MERTRVDEVSVYMEERGAGETHSLRLENNLSEEIDTARVTNYQSSTVPAIHFLAASKQKQKRCEWSRKERSRTVMEQNGIKEGWKRTDEFDLYGFDDLERNSYLAEHFRFSSSISLLVLFGAVVTN
MSAALTDLGHLIEDLLLDNEPAFVGLIAAYAVALLALAGPSLALCAVVGVALVADQVEAEDDWARLALRTEDRCQ
MRMLSPTGIPGFDDVFKGLPKAGLVILAGGPGVGKTIFSASYLYHGATRYQEPGLYVSLAESREEFYENMTVLGMDFERLETYGLFSFMELPTLMESSGMMFSRIMEEAEKVGAKRLVIDSMTALAQSVKSTEELRTFLHTLLLNFVKKVSCTAILIEEIPRGIETLGRGVEEFVASAVIELEQQIHNERSIRVIKIPKLRGGRLERKQALFTLDRGFKVFSYKPVRELKPVERYRPLPQPAKGFSTGMAYLNELLGGYPEGATIFFEMNPDIEHREAVPIFANVLADFIHKGKPAIIMPLTGFTSDELKTILKLYGFSEDEYASLLRIFVNKELAQECKLPYVLSFDPDNVAESIGITEEELRKESGYFPVKLINLDQIALAQEPSETLHLINHEIGKTKACGGLLVIVSRRLFEQLAEDVGSLADMHFKIERLLNVPVIYGLKPPTPILAMEIDYSKGYPQAVLTPII
MTSASNSPKSPVEDRVATGVEGFDNLIEGGFPRGDLILLAGHPGSGKTMFSSQFLYTGAIQYGEPGIYASFAENREAFLRNMRRANMDFESLEQKNLFRFIDFVTVKEQAIDAALATIMAEIDSLKASRLVIDSFSAMAQAFSEKIDARIVLHTVLGRMTRLSKVTTLLIAEKQLGSESLGGGMEEFVSDAVLVLTQSMERGHMRRHFDIVKMRGTGASRARLTYDITEHGIVVHPSLEAHPAARVYSERVSTGVEGLDRMLSGGPYKGSTILVMGEAGTGKTTTALQFMMRGAANKERTLYVSYEESQEELIRQALGFGWRINDHIENGLVRIASFFPEPYNIDNIFADTRKLIDEYQPTRFVLDSLTSLERAMSDDEFFRVVRRMESRFKGEGVTALVTARNTDGGLPSDFWVSSLMDVIVSLRQVESESALRRALVIFKARGLPHDTSIKEFEIGPKGIVVEEKFADIEQILGGSARRPARVQAWSDAFSGAPRQSRSGAKG
MSENAAGDLNESPDGIGQGAMERKEKRRVSLLGAAAQTWVWLPVTIALAETAGTGPLPHRLAVAAGALLLAAVLAVVRFLPPWAVYFGLFGAVIAVLAGAVLYANAALLPPALFIGVIAWQHRRPPGWLAIGGTGVAVNAVALIAAKWTAELEPYRLFFTLSGIFWFVVLIAAGHSRQLEAAGLQQHIVTRAVAAANRNYLAGLIAAVLVVFFLTSGLSLWHEIVRYLNRLIPEPGGSAPPEAAQPAMPPLPEGLFPEEGSASPEWWHKLLDIVSYAVAGAAGAFLLWLLLRHFFLNRSWYRAALGRLKALLARLFARREQPPAEGYSEERESLLDIRKAFRELRLAGRGNRGGKRLSRTEWEKLAAADRARRLYEDAVLSGIRAGYRHRASYTPAETLDHLSAWLEASSLEAPDAGGRRLRDWLRGSKRRLLAAYELVRYGGREPSAEELRAIGGDYPWERNRQRNGAQK
MLTNYKKQANRTVLYLLVGLLLCLLLVPSEWERLQFLLLLLLPIIFSIKYFNLDREQLKTSIRYLGLYIFFSLFTFVIHVLQLQWELSNQNGLFGFKFYIDPLLSMIPYNDGVWLWQLSTPLLDRFFVYIYIHGFVFCILGLCLYYLVTGQPRKILLAMFAGHFLQYLLILPFHFWVDGHQVWWIQNLWHGTNYTDPLLGYRTVSEPVIPSLNHVFPSMHTSIATVTILLALRESSKPIKYFYTFLNIGIIFSTVYLGIHWVIDLVGGALFGFLTLKLADKIMSIDWQQKWDHLEEKCLILLEKDQLTSPNRFIRFLWWDDKQ
MKVLITNGPEGVQDNSDKDAGFLAALRSTDPSTDRTRIQQEKGGLLEDSYSWILDHADFRRWRDDEQIRLLWIKGDPGKGKTMLLCGITQELEKLPADTHCLSYFFCQATDERLNNGNVRAPRPPLSPPRSGAVANIARTEEVYSRRQRPLRGRECLLKIYDDKARDAVQHYLSSNSSDTFFWVALVCVSSWAKILYTLKLTDVQSRDFCSWLERTNPSRRHNDSCAIHEDNTGQWVLRASEWKRWVHGPKEFLWIHGIPGAGKTVLLSLLIQEVEAACKGRRQALVYYYCYFVNKQDETVPLLGWLISQLCRLSGKISDEMLQLFLRNHEPNIGQMLLSLEAILQEFDTVYLMIDAVDESLPRARLIQVIQDLATDQRFDKVRLLATSRNYTDIETVFSPISIQISMMNSLVEQDIRVYVHSSLRSNKKFARWSEDLLLEIEDTLSKGARGMFRWAACQISALQRLQLEHNVRIALKSLPKTLDEAYERVFLGILEEGQEIAQVAITLICGINNALI
MKNKTFKGFLILSAIVALASCKTPSKTTSEGKSSDSKESFVEFNYSEHVKKLNVSGASLILIQVYGSKAFQLTASSYSQTVLVEEGVLVLQKVSLGTIIKFKDKIKGKIVNVSNSSLMVQFHDGNDGKIGPPIQFSAVGNGLYKIVTKNGVISYQGVNYTPSDQDVVLLIKYKERTSTDQDSFSASGIEVTGSGNSNGNDSNDGGNQQQNNFVPDANQQNQNQQPANPEPRNPPAQQGNPFNVEPRAPR
MWRASSAMGLQYALLFACVIATMVWPTTERWAPTFHWTRNMTIKNPENRPTFNYADLGPLQDAWQVLEETSNNAYFLMFRTQFPL
YWPPQFVIMDGDTLNPLKIVSTRGSTVDTQEYHPEPRVASIVGSHYNPEFIVNAKETGKIMAVNYSDLKNLKITIIDAARFLHDGGFDSTGRYFMVAANASNKIAVVDTKEDKLAALVDVGKIPHPGRGANFVHPEFGPVWATSDLGDEFISLIGTDPIKHKAQAWKVVQTLTGQGSGSLFIKTHPKSTNLWVDTPLNPEAKISQSVAVFDIRNLDKGFEVLPIGDWADLGDDGARRIVQPEYNRAGDEVWFSVWSAKDKNSALVVVDDKTRKLKAVIKDPELITPTGKFN
MSFRKVVRQSKFRHVFGQPVKNDQCYEDIRVSHGTWDSTFGTVNPKFLAVIVEASGGGAFLVLPLNKTGHIDKAYLMVCGHRGPVLDIDWSPHTDDVIASGSEDCTVMVWQIPENEYAGCDNVVLIWDVGTAEELYHLDSLHPDLIYNVSWNRNGSLFYSASRTRA
MADSTRFRCAAASRPFPERTPVVEAKRTGLRAALAAGFFLASNCALLLPILVVLAADVALTATVLPDFALGLREAPPRVAEAAAFVPAAVLRVPLEAALALRDAPALPLTPAEGFWAGAA
MNRRIAYFIFASVLFSCSGAQESKVITAKSTNSKISDKNIVVQAKKVDESNLSFSIYSNGKIVSKNNYTIYSPIDGVIVRSELKNGKHVQENSLLLQLRNDELDYSLRKTKASLFNNSLTYKSDSISQSRLNNIDERQFTDTVLKRLEIESGVAISQIELDELKSKQKKLAIVSPVTGIIYDTKIGNKSVIKEGDELFKIYSTANLKCSFRIMESEIKLIRIGDNIKIVPQAFNGTFQAKISEINPIVDENGTIEIIADILNPNHLYLGMNVDVEVLVNPQRSLWVPKNALVKRSGKDIVFTYESGKAIWNEVKIGVINGDQVEIKNGLLPGSSVIVSNNNYLSHNSNVVLN
MIYISEITINSDIDSGEVLAALRLEKVNHQVFFNCDFPLNKLKEEDVWNAILIGFLPIAFENSWNLKLNGPLDEKLLHSIKSELLPIMKMAYKTNFIPKIQCTATYKYNGQVEGEAATGLSCGVDSFATIKSKRSHLRYVSFFNVGSHGPHKTNKSLVIANHRRENVLNAAKKIKLPLLTINSNLSDFTNQKFQDNHAFLQLACAHLLSGYCDTYYYSSGQHNDYLDKHILDFIYINNFLVDKLSTSRLEVKSTLTNLTRAERTKSISDFKISYNHLDVCTDSYSAFRNQAINCSKCDKCMRTQLTLDIQKKYYLYKNVFDEDIYRDHINLFLAKLMITQKVDVLNAELYRLSIVDGLYTSDVYKKYLYLRWLYFKKTAKKLFKKRQ
MFATRRNVAIRNGLFRSEIPTSVLRYVISRERNVDATVNILLLSLFNVLSFCSLQLD
MDRRTGQGVTADPRVTVIGATRNARPVTRLTYASFRRHTPEPVRVLVADNGSTDGTLDDLRRLDWVTVFSLDERKRRAREEALEARRTLRKLKKKMDALVAECPAGQRFLLEKLRTGVEIVLPTDSELCQHSTALDWLAPQVDTPYFLLLDSDVEFLAPGWLSDLVDLAEAEGLAAVGEFEPGRFPCQDRLATYLLLLRTDAFRGLGVPFRQVLRFGDPREEERWYARARHHVLDPSAFDGFPSAAFYDTAAALFEEMQRQEVPWKPFPPGVAAKFRHLGQMSWSADAHDDYVGVELLRAHVRVAGEYARTRLRDQYDGGLPGWQPC
MRTASAKRPIAAVVFLASLFLSSISCQQYDYGSPLPGVLEVRLAVKNNRTDLIPFGQLNIFLLKLTSLEVREPEGTRLAILSDLQAIRRNPDGHFFNCLDTLARDSAFVLGMTYAPPKTFTKLNVIAEPVGAGGEPTPYMVLTSGPIQ
MHKVSFNFTCQRPVAFYAQLCNQYIADTRFDFSAGFNNNRYFLEVEATEPQLAALADEIAAKFLYSIWLCSADVGVIKTRKGSQKPLAHAELNISYCSECQPQMVNAADTNFLKLDLVCPHCHGHERISTLEQSMAPQDLIAIAARLKNDTKISLSSSGSTYLSSELSSVSSSDPSSNFSLSLQPFAKNAGQQHILICNPQHLSKYFAVQQYHTLALSSLEKPRLKLMSADAAVFSGVTTAKHQAKCKVQPTLTDPYYQVSFADSRLLMALADQLNTIGIDWVYIDTNDSVSADTHNDLHKPLTKSIAKPSVTRINNTWVEIASNHQFSINGASPLHDVAQYKHYEASWNNKQIHCRMSAADNTAVTELTVTGIDAASCAMHAGLLNLSEAVISQIANRMLSNQTLAATDKQSITSATLYFSHDNPSVVITQNKQQKIAQFLRLPTFEQHGADIIAQLQHEESKVLAKFTLAFPQQIHQLALTTLDKASDNIQHFIGLAAMLILDDEALNQLTSIDAKADAFHALAQQYHGNNAPRIDFPLCSENGYRSINWRRTFASLMSFKLAGANPAILAFGFFDSLADYLSNWLDHLEQQQGIDAVVLAGNDLVYSVLAERLCMRLQKNYPLVVNHQLDLDGANIAIGGLYLAKRRGLR
MGVVDMNFSEKNEIITKDEVVSYKAYVAFIKRSEEIVTLREWYDTSPESGLDIERYMLEFKNLGRLGKELHNRNVPRVTERYGDTIRTHVEATYKRNVLDYAAPLCAAICVENKKTC
MFTRSIATRLMFLCTVAAAPVLFAPAALYAQAPANTSQRGTVKAISGTSITVTTEANSEITVTPADNAKILQLAPGSTDLKSAAPITLTDIAPGDRILISGHAGDAPTSIVAVRVILMKSTDIASLHASQSADWQRRGTGGLVRAIDASTITVAAGAKTLNVNVTPNTTYRRYAGDSVKFEDAKPGTLSDIHTGDQLRVRGAKSEDGSSITAEEIVSGSFENLAGAISAVDQKMGTVTLKDLATKKTVVVTVTPNSVVKHLPEQAAAAYARSHAPGAAVPAGTTPSPEGGGRRAGMDLSQMVGRLPAQSLTEIKAGDAVLIVASRTGSSATAITMLSGVEQLLSAPGAAAPTLSPWSLGGGGAEAGGGPQ
MIKSIDHILVAVEELDKAVEDYSLVFGFGPTWQGSHPSLGTKNALFPLENMYLELISKEDVGPLGEYIDEHIKKNGESVFGLALETDDIVKAKKKLSASFEVDLEILDGKGLDNISKKERHWKNILMPMDVSRGVFTLLIEHTGGTLPEPEDLDESNISRMDHVVINSNDPDGLVDLYKEKYGIRLALDQFVEKWGGRMLFFRTNHTTIEAIGIKKDGSPEDSLWGLAWTTKNIKKTHKRLLDAGINITDIKDGRKPNTLVATIKSHCSNVPTLLIEHL
MIGILLALALLALYGAAIIAVFRVPFRAFGVLVGGMAVHNILLMALLRLDTPGVVIRVLQSWMRAGRLPRPALMDWVAGAFALLLVGYVAMPPSLLHNGATYTQRVVEFRQLALLPLLYLYGRVFWPARRDDLVWVFKLILGAAGVVGLFGIIELWFVPTVTWLSWGVTLLSGWLHYAYNGPQHFPDYFFQTTSNGLFLRRMVSTYVSPLGIAYTGLLIVPPAVSLFDARRAGLPPWFRGTTIGLLVIAILLSVTRLAIGLLVVEVAILLVLLRRRWLLVGVPVIAILALLTLFEYVHVGPLLNRDLTPVGVRPEQLTVVPLENSTSDPSLRGHLLALNTDIGFAVAHPFGMGLGNSIHQYSLDPPVQ
MKRNLLFIFVLMIVPFTVKAQIKGVVCDENQNPVEFANVILMGADSVYIAGTVTDANGKFDFFTDYAKAKFLQISALGYKTIIKTLDEKNDIGTLVLVSDNILLGEVTVTAQRPSQHLTKGGIITTVNGSILSLLGNAMDVIGQLPGVMREDDKFTVFGKGAPIIYVNGRKLTDNSELYRMSSKDIASVEVISNLGAKYGAEVRSVLLVRTIKKQGDGLSGSIQAVVRAAHSWSNSDNLSLNFRKNNLDIFGAFAFDHSRRYQQQKNVTMINNTGHNLYDLNSDIIILPVSTTYNANLGLNWQINPKNVLGVKYEFRGTPYNHSDWTTNETIELNGILDDKIDYYTYWKRKNMPTNILNMYYIGEYGDWTLTVNNDYYSSRNKAEQEIDEISLSEGESTISSLNHINSSMFASKSVLEYDFGKNTLEAGYEYTYTNRTDRYDNYNDFLPDADDNIKEHNIAGFISATFPIGVYELSGGLRYEHTISDYYENGFLISEQSRKYDRLFPNIDFTFPIKKAKFTLSYTAKTKRPLYSQLNSNIQYDDRFTYETGNPLLKPEMNHDVSMAGIYKWVFFSASYQYVKDAIVGIVEAYKEGEPVNLMTYMNYDHISKYTAMVSLSPKISIWSPRLQFNLMGQNLKIPFMGTELRMNNPLLFINFYNSISVGKGFTITGDMLYHTSGDMDVVTLKPSWQINLGVAKTIGNCFFQLSATDIFKTARNSMITYGTQMKLDKWNYSDSQAVRFTIRYAFNSTMSKYKGRGAGQSEKNRL
MDQGPARNPAAIAVLRRALARRAVRRLLVLAGLVAAGWLIGGVGQAFADTAGTAAPKGVAVSGPGALLRAPGGIVRDTSAALGRRAAVPAALAVVPHAGSIVPHAGSIVPPAGSIVPHAGSIVPQAGTILPHAGTVVPLPVPVVRPVPNVVAPRPPASPLHQTPGRVRTGAKASDAVRPSVVAALDRHARGASAARPITRWTTASAVGAAVKGHGPVRSGASRTVLHDTNAPAGPVPAPVQAAGSSVPAAGPALFGGFGGMTLRRSWTPRRPGPVLLRAPGEVPPAVRGATDEPSFAPD
MFNFVGTPNAIVTYDVTGGLNLTNQTIQLNASGTAILTLPGISSTTTVTATYVTEPPVPLTGNAISVAGGANPNNATGPILPAGSAASVVNSTTINGTAANQNIILTLGHVIPAGTPVTISIARNNSTASVNIVDGATTQNFNTGALNILQHITFIKGTTSNTIRINRVNGTTYLDGVSYVFNQQGCDRNLNLTATQIANAIPVITVTPATCTSDGVATITNYAASNTYVFDPIGPTLNSSGVISNMIYGQTYTVVSTSSVCPNGNSLPFSIDAMLPTPATPIVDVTAPTCFADGFSTITNYDPTLTYTFNPVGPTIDASGLIQSMVLGTSYTVTSTNSSLCTSIVSSAFVNQPMLITPVAPIVNVTAPTCTSDGVATITNYVAGETYDFNPTGPTVDASGVISNLTFGTSYVVTAFNGSCTSVASNAFTVSEILPKPVLVSIVSNSSICEGTAGSVTITATPNSVVTYNIDGGLNQTVNIDATGSATIATPILFINSTYSVVYVQSLIAPFCGQAQSGSATVVVKPLPNVIITPALTTICSGTSTSISLTSSVPGTTFTWVVSGQSNVTGAFDSNGDFISQVLTATSATNSYVDYLVTPTADGCVGISQSIRINVSPSPEVVATNLNPVFCSGGSTNIQLTSNVPGAVFSWTVTGGDVLGASGSTGTSINQTLTVNPGTTSTVEVVYSIVAEANGCLGPVQIVRVYVNPIPDVSIASSTVPLCSGETTNISFSGTVPGTIFNWVVTSSTGVTGASNGSGTSIQQMLTATSLSQGSVTYEVTPTFSVCVGTPQTVTVIVNPRPEMFASPSHRDLCSNESTYITASTFNTNTIFDWVVFPVGVSGASSGSFSGTNLVIAQLLHTTGNTQGYVDYVITPRLDSCKGNSMTVRVYVNPLPEPKLVDGSICVDSSGVPFQTYTLNSGLDNATYDFVWYFNGNPIPNSNNATYTATAVGTYGVIATNSV
MTQPPPVGWQQPGPYPGPQPPMVPRKTGAVAWGLSFLAYIPLPFFNIVITGIVQLCVGLAQRKHGGLAAQNGIRAANWGLTQLTWFVLLALTLAVQFITDPDGTEELTPLAVVAIVLVCVWMLLSILNLVYGIVGLVMSQRGKVAKCPAIPYLRLPRT
MSNTYALITPQGLEFREGSAFSLDKIVDPNYGATSGFSLATPTQQALGLRGMGADVALLMPEEYEPNPHAERIVPALGGQVQRWRGNVALYAVDAEGWPHGLTDAQREMIRALAGAES
MEPIDKAILNRIQSDFPITKRPFRSIGKDVNLPEEEVLKRIIRLKENGIIRRIGGNFVPEKLGFVSTLCAARVPEDRIKAFAEWVNRYPGVTHNYLRDNPYNMWFTFIAPSVEEIQERLKEISQATGITDILNLPATRVFKIRAHFDV
MNDTLYGIARTIDRITVPVGRATALVLPILMLVIILNVVLRYGFGLGLVELEELQWHLNALIVLGCMAFAYRDDVHVRVDLFHAKFSPLRKAVVEFFGGLVLLMPFVIGIGWFAWGTFTYSLSIGEGSPMPSGLPARYVIKFCMFAGFALLGLQGIAQMCRALLTMRGAGSSYPPVSR
MTSTIDELLADAVIPTSSPAAFDVGAALRRLAADAAQAGPPPDMARAAQAGQRLAVVSRWILNGPGAAAHVDRLADGPQATTVDEAQLDEEGAAVFACLLYLTGHPESAQFWWQLAAGAGHRAAAYCLHLHHLALGEMREAKHWRHQVTSSVIDADALDENFLTMLEVVARYVRQNGSTASPPTGGLEMEIDRLAEDGKNSCIIVRRPDRRLADRLHDFTRR
WISLCGYFLEDGIPRGAQSVYRSDSAPQGRRRPALTMNWAAGQSFSRCHAERNVPADKHLRWVFDGEEVSRAVRLWTHGYDLYNPRVSVVLHNYSSASQAFWSFAPPIGSRDGRDDG
MFTVTRFLVVVALAFGVVGTATAGKERSNNLMVTATAYNSIPGQTDGTPDIAAWGDRLRPGMKAIAVSKDLLKHYGLSRGDTIKIKGLEGEYTVLDKMHPRWRKKIDIYMGTDRRAAKRWGRRNVAIHW
MTKQTLRNTVLFIIVFLSAAISLNASATIKKKTMTVDATAYNSVPGQTDSNPWIAAWNNRLRPGDKIIAVSRDLEKHGLTNGAKVKIEGLPGIYTVRDRMNKRFRRRIDVWMGKDVKKARRWGKKKLKITWHPAK
MQNKIQAIQTMVDCINQQKSTFPDLVTESIIGYGFNEVEQSIAEGINESDVDSHIMSLMHICDVIDEEVVMPISFYSAMDEIQNYLAS
MRSWTAFYFFLISFFYSIFFSLSSFFFSFLFTCNFFLSHLHYLAIFLSLFIYSLSIRFPFSLSFFLSLLSFCFSFPLFSIYLSFFLGPVSAFHPLFPSLLSIRFHSPFLSFFLCFFLSFFAQYQIFIPPPPSFLPLFVSLILSFFLCTISDFNSPSFLPFFSLFVCLSLSFFLSFFLSLLSLFYLSCFLFFYSSLCLAEIFLLSSIYLSIYLSIYLSLTLSLSLSLSLYIYIYIYIYSMYVCMYVYTYLSDLFISIYLSIYLSIYLSISLCLCLSNFVHISFNAI
HERWLEKGFVLIKDDNNRLIKNVKSIKKNEDILIYFKDGKANAKVVNVFKKI
MRNAVVALILSLGAAAHVSAQERISVAEALSFLVTSQAVDTGDAARDRAAAEATSRALSRSLLSALATLPLTSSSSGFTYRFNPVLGTLERGSDSFGPFFVERAATAGAGRASLAVNWQYASFTKLDGMALRDGTLVTTANAFEDEAQPFDVETLALRIRTNSLTVSGVVGITDRLDLSAALPIVSLSLEGSRTDTYRSARYQQASASASITRFADLLLRGKFNVARGEWGGVSLGGDLRLPTGAEDDLVGAGELGTRGFVVFTGGTGAVSTHFTAGISRGGVSDGVDFGTAVSVNPAAHVTIAAELFGRRLDLGRLEQVIAPHPALPGVETLRLVEAADHTTQTLGAVGFKWNLADAWLLSATLTLPLNQSGLTARFMPSASVEYNFGR
MAEQQPTQDHKGYRPTFADAMGAVDAEMRYCAYGDTSDLFGKHFGSNPKVVNAAHRIYDALVKKKAYSLSQNKGRGKWKDLPTNPTSESQLYRPLTTLLNAITALCEPEECTFDITWQDEHAQTPYDELMADTRPDIAAVVKGGNVWRGLHTLVQVKKKSDFYPAVFQLLGYV
MATASISETINAPVETVFGVITNYESYPEFLSETKDARVIEGSGDTKLVEFEIDLVRKISYRLKMSETPNSKIRWKLDSGSIFKKNSGGWDLVDEGGKTRVKYFVDIEFKFLVPSLITKRLVGANLPSMIKSFKKRAEALNSQGGKS
MEYPFFADDVVRAGVLTDLQIAFPGGKRGSLATHVILHCRHIYVSGLAEAKG
MPTDYRDTYQYLGTWAVADEKVAGSKEMHIVYASPGAAAGHRANGKFADGTRMYLAASDAGQRAKHVA
MADDVDEAFDFDSEEFSREELTETLNDMVAEYKSLAERLLKVEAENLILTKKTELPTPTTNVSSYEIRVLRKENDLLKEEN
MGGWIPHITQAQLNILATAGALLAPLIALTIYYHRRYTRRKRIAGALIQEIQQNRYWANGQQPHYDQHGIVGLSETFQYPNQQFSSEEEWKESLGEELPTPKVVVPNYEAGDIPPSARASSTVYESTASEISRFNQDLAEDLVSYYHQLDYIKELNDIIHEGQELPPAAYSILSDNLDIYIIENEDLERELEIEMKRFPQTYRYGTEAKEHISNAADEISTRVSEVTES
MQTTLKQDLLTAYGTCDFLSGLLGWDMRRRMGSALCRDLYAKTGKRSDVSALCDLFLDLPEPMSLS
MHRLIPNTSWFGWRVLPEERTRRVWRLHLLTCENFCELLRADPLGGVRQRYRLSSGCP
MAYLVYGLGFGHGPDHPLTRTVSHNSRFVPAVPANSDTFHLLGRADVSVREGGRRGLHEPVLATVDLDYEILHYAYIHWQLRRPDPPMSVDDVIWRLRQFVLKAKWERGVVFAVKLWAAFVHMALREASEGSPGWRHLSGQERLVKSGRIEQVIVRNVPEFITQHNLPDSPADAPWLHDFHLLGTATPIPILAAAEISAPASAGADSESNLDLPVDLNELATQAIPALSLAPLASPVSLSEQVGSGSGSGVGVGGDDAVGGVEMGGQESQQQTQQQQQQLPAGNQTPTPTPPSNHPLSMDALPLPSNGICNVCFQSHTHDDFAFAKFTEGGDFQPRPFHFEDYAGRVYILKEWDVDYLIKKMITAGNVMITKFLMQDEDGAGLTRYLNAARRADQTTQLYACGTQWGLANFWTAFHEVWAKAYKPNGAPAPESVIYQIGTAISSLKRLEDDRPIRNSSNAARNPHGYIDAEDLWSAMLFLRMIHRHLRDSVRVRMWGKELNRLSNTLRRYEQASINEADRQRDAIIDNARRKRERDAIEVDVNEEEQPTRGLFNWGILGL
MSFKGFTKAVSRAPQSFRQKFKMGEQTEDPVYEDAERRFQELEQETKKLSEESKRYSTAVNGMLTHQIGFAKSMEEIFKPISGKMSDPNATIPEDNPQGIEASEQYRAIVAELQETLKPDLALVEEKIVTPCQELLKIITYIRKMATKRNHKKLDLDRHLNTYNKHEKKKELTAKDEERLYKAQAQVEVAQQEYDYYNDLLKTQLPILFSLEAEFVKPLFVSFYFMQLNIFYTLYNRLQDMKIPYFDLNSDIVESYIAKKGNVEEQTDALTITHFKLGYSKAKLEMTRRKYGVATAEGSPVSG
MMVQTTSDRVLGFDFDCAQTLLAHERKQIHVDWVEIEMHLVDDFTKGLAEDAKIGRIDATWTYYYQQKADLCEGNFQYLTNNFVASGEQT
MNQVHTDPLDPQRAPPTPFRISPQDQKVIQECNREAFYKRCLPISAGFGGLTFLAARAGFLKSHATWGPTPKVMAAVFLGYFVGKISYQQICAEKLMAIPDSPLGAALRARKQQGGGGFQDSINPGLDAALRTKEWGAGGFRKSLTLDMPGYSLPEEGSPDVYRDDSAPRTFTDLDTDRPYNQGLDDTFRLNVDSLQRPEDFVLPPQATIPKSYDELRRQNREEYDKKSRSYRPAEDTPRQYQPPAPQPPPSSGGEPFDRYKPTNIYGDIFEK
MENELSWFDRVITPKTKAYKDFAKEVENTSPEIFYRDGERKFSEQEKKFMQIHKSTANKIIQGSAYTVNTIFNVDTDTSDKTNYKSSAEVVRFINKQKTDGKILIIQDNTAFRELTINNEVAKQKYLSDSWAKELEVRTDLSFGMNASEIDERQADHYRRYEIEVKKLDIEINPAIDEGNGVFESMMPFDGDENVPEFDDFYIEENIEGNLTPATNLSEGWIWRDYDDGSVH
MVKKWHSNSLNQRLLTSLKENEIPLFEKENNNDDENNEEENIENILEETECDVENQYDIIYQQWLNYNNI
MNDSKKPWPSLPNDKAAEDFVERSDLSEFDWSLAEPAPYEFEEKSARVTLRMPESQLAQIKA
MKWKENKDLCKFLLRKLCEKFLAIVFVIILPIIFVITLSWFFKISINDLFNWIFGIYSLIITIILFDSVIVNKEKEANFMNDTDQVLLLKRSLEFFNEHMLSSKDISLKIWQKNRSTFNNYLRTIEKNDKIYLAQEKELFREIDNCLLKLENEIDYQKGSVNFDVINKHLSAVCISKVESLIFEISKSEGMN
MLESISWISSLGELKPLSNIPESIGILFTEKDQISVHTAASIILYALRYNIKRVSFYDPWNLITLYEYEITTLAAQMTTLYYLNSGLDERIVKVFFLQPKESLKILGVISNRMIAQQITIDKRNITNELNKEYIFNIDLLVKIGSIPTICGYPAWALHLAEIVSIPRFKRKNHVDEDEFVGLLKSYNSRNRRPCSADAKFKCPPNVCLSGCKCVGYFRKTSSGKCVLRKDCGGIPKKCKTNEVFMQCGKCQDYCDFPPTDYCTAHCKKPGCYCPKGFFVKSNNECVRKENCGPVPTQVPTPCPMLCQANEVYTDCGFFEKTCKKRIVDTFMGCLPPGCYCCDPFVRREDTGCCIYPNKC
MAKLSDLMAASKATLSASADKGGLPFSEVFCTFEPKECRPEAFDTSFVLTLRELSAGKELEALRAAKGDPLIAGFMQAKVSIYGFNGERIKREELEWLWEALGARGRQKVLSAFAILMGSDEKSDNGADVSRVEDAEGKDE
MGLVLAFQTAPRRSSSSASSSRRPASRAADILLFTGVRYERHDDGQGRSFPPPAPVEGSAPAS
MYCLMHYIVFYIKDYETTADEVIREIDINILQNGETRAQLSIKRINPLQTIKFGVTTTGTSIPSGIACTYDDFKEPTAFQTIGKDGNIYGIYEQRGFGISIEVSMAATSDDMEFEIDISSNAGLMKGSSEEDEVAVCPTVKVNGNFDGRPVKLIISHCAELSPAALKRNVKMFVYTKLDIDSEGEGKVSRRQLAPPECEITIDTLSFCIDGPGLYTASLKEDMCEGKRVALLAFLPLVMPKNRKPILHVNFYHPFGEIGKRIREQWEEKDNVCVKDETTFILRNIGQDAEVTLEMLSLKGKHGRLISELEPQTLDNVPYECVDFKLDYNREESNELTIKLRVIQEGCSSEIDIRQTLAAPEPEPVIRIPKPVTDQMIDAISGHEITKYQLFKLANALGFSNKDFGNLVLDNASSVLSKKGYTGIKTLLTKWRNRTDEEHQRPKLKEAFKTSNLVDLTKMYLNVKDDFLN
MNVSIIIDNLLHPPALFFSLGMIASFCKSDIKIPEPLPKLFSLYLLFAIGFHGGVELNKTGVNHAVIMSLALRYSWRRLFRCIT
MFLEKPYCSDKLSPAQNIVNVIFFHRCRHLNSPSLLHGQEFQENEKYKIGTINISQEMNGVNCVKNTVV
MGQVFKYSSGILDCWTEEGLSYVASAVGKPLYADSLNETTKRISSDENRGSKMKQEWVKVNHGAAPFSIPSGDLNLGKVSSVGTKVEEASNNGGGQSTPLSNCISEDVPITGISILPLPILRSEVHIIAANSKKEVVDTSDKFLALIEEEEEAYSNEDSPAIASPDISLWHSKIKNIDEIPIVGLSSTSEASRHKKKKWNSKASKSSKVGDVTVKLNEAKEALEECQHLLDAHPTDNTLRLQENDIINSYTMALQAEAGTLQTIIHNHISNDQADLISKGVTNEEIRDVCVSLNPNKAPGPDGFHAHFFKKTWDIVGGDILNEVHEFFRTCYLLKELNTTILALVPKVPNPSRMMDFRPISCCNTLYIIIAKIIRNRIKIILTNIISPPQLAFVAGRRIGDNILLVQELMRNYHKDDSSPKCSLKVDLMKAFDTVDKRGLRQGDTMSPYLFVIAKEVLTKLLAKHIQDSQHYKYHWKFDKIKLSHLCFADDLIMLCHGSTPFATILKMSLDDFSSLSGLKANLAKGNIFLSSVPNDSRQQLNNIFGYNVGSLPISSLDQGARPRAKATARVVHGSSTRAHTHAHCANLKGNEDCTGNLPVLYGIPSSSHQHFGPVFEGSLNSFQI
MGMMLDSISVPKLSIPESFMKDVESTSIQTILLRLEQNKQSPLSITGISKHFNVKRNKLSEYINVFSAVGLIKLVSLDQIQWAGRENITFVMKELIKSRRLNDSSQMMTDLFPLGMIDNIQKITLNILSLFYSLRVLTLKIDKIILFFSRESPRNSSILFKMYRIKHILKLIGIVSVSSDITNITLNSEYSFEETFHDSDPLPISFLMNRTSFIIDRRNEFEAIIEKAQKKTHIFP
MSFSLTNVKQINNIEKNINLQQIYKNLLKKKYISKLKKLNFNSSNPSINEQNSEEHTFVEEQHFVEQAIVEEPFIETFVEEPIVEHIVEEPFIEPFIEPFVEEPIVEPIIEEPIVEPIIEEPFINEAILEHIIEEPFIEEPIVEDSIIEEQHFVEEPFINEAIIEPFVEEQHFVERPFVEPIIDEPVIEPFVEEPNILINIKEIINDFDENNYKTLLDNLTENISENNNNIINESLNKENLDNILPKKKNLINMNKIKEIKKELELEYNLLNNDVMFAKINNIIKNTKKKIQTELAKEIFNINELIEDKEDNININKFFFYILNKIEKIVK
MPRCSRCGGACRRVHELLPARRWADLSWAGHPVDIEYAGCRVKCRACGGHAVEMVAWADPYQRQSRRLQHHLTVEAASMPVMHVAALHGLSWLTVRRAEERAIERWEATRPALPLRHVGVDEKWLGRRHDLEHKFVTVVSNLETGEPVWIGRGRSEKALRRWLESLSREQKATVKLFAMDLHRPFWNAVDNTRGLEHAPIVHDPFHIMKLAGKMVDELRREVFFRAGPELRAVGKGRRWLLLRAWERVSAEDRVALRELLGHNRTLARGYQIKEELREVVLAAPIALRWSRGSTASFDARVATSPARSAGCTTP
MMQQVLGSTGDEADEEGNVKYEMLVDAYNIDLAVTSLPLPLPFGCSNFHENALIVNFAMPLHDRPDMPESGSEWSRISRSRMRALVRLRRLV
MTEEREQARKDKNWELADEIRNKIREEGFEIEDTKLGPKIKKL
MSTSEIPDAAPGAEARTQPAHTDPADTAATAVLPPPYVDTRPPAWEAPVATAPTAAAPTAASVDLTGPRIRWAGIVWGLVLAAIAAAALWILLDPARQAVLTSWLVGLNPATAIAIAVLLLGMFALITGIVGIARRAQRGLERRRAASAPART
MVLLRKRFCALIFAGRSGPGATTADRIHAAGPAFAIATAVHDAAPCDKGRQGVRAAAAARASRFRTPPEAAASMSPNDGSITLVVGEAPPSLGSGAL
DPATLSGDKIIFGATVSLLDENDKPIKYQIVGQTEADANKGRISYSSPLARALIGKQVGDEIEVTVPSGEKFYLVDKIEFI
MRQSLLSAQIETITWASSSRRNDTSQPSIIIDAISLQGSKIEIKPLCQIPEQADRRFAFAGDTFDLVSCPRHDWDKAYPRITGVV
MKLLVGHAYLNQKKIDVEIKKLEGKCVVLQKQADSWSKMAENFNNTLKELGDIENFNLAIENDIEIVLNTLKNAHHDLTNIRMD
MSKQEQLTPFKNFMLPKARRFIALLSFAAVRFRFHAYEDIQANFGLRGDLYGNLCYLLNQLHFNLCRWPLEADEWTVLEEAEIINMRHVLLYLWDQASKDFYKKSTSSEDTSNVILVTTVNPKRLGDVQPTINYNWLSSNPKIAKRLNADEVTRAETMTIGQILAYIKQEYAKEGSFDCIATIDDVERDSAWYYIVIACIGCQSKTIKGPYSLMCAKCGNTNSLTFCFFIRMYCAKISPSTTITTGFVILGDAGHELTGKNAVELVNNYFEVTIHQLNPLPVH
MKLKLTFILTFILLSVASMQAQTTIGSDSKPEEGALLQLQHTSNTKGMMLPRVKILKITATGGDLRETVDDANAIPGDPWDLDAHKGLMVYCMEPPLCYSQGVYVWMGDRWEGVPSSKYGGTNGSYASDKAALDQFIADNSELGSIWPNWTTNNNSTPSLYEVKRGTKPVSQAEWEADGKEGERRSRTRYSTYAGSGSMPELITIEEKWTVICGEWRLTGLRVSHKLITKTSQIKNMYALQVLALPANPLTDLDVSTLKDLESINLYGCYKLKTLTLGNLPKLETIRISGNGEKDYGFDPVDIDHAGDPAQVVYQGTYQNILAKGELSTIDVSGCPALATLTLINQPIAHLDLSKNTKLEILNMNYTSSTSVGPFTGFVFPLSNNPELKDLDLSRQSLSSIDVSGCPKLSSLICRDNASLTSITVSTIPSIKTFTASYCNLSTLSLGAQAQTITDLNINYNKLTQIVINNLCKEFKDAGQCDRWNGFTTNNIIKQNGSTLSKPTCTP
MHFQSLNQFLIILLPFTALAVAQSEHIKHHRKKLEYHHHHNSTLNNGTYCHHCNSTPTVTGIPCCATNATILTNGAGSSFEVKREVVMVVGTVVVGAVVMGLGL
MNYEAMSKIQKDIHNGDFDYVLATMTEKQKKENAHLAHILFDYIDYSQGIKDFSKEGKDYREANNFYLFKGQNFRQNLVRIPKGHSMIIELEQKNIKVMDYRTYYRQTLLFSLFLGIVGVFMFFVVIIPSIYYIGMLAYVTLGMLACILAIVILAGGGGSSGSGSDSSTLGKALLGGMGLAALGSSW
MAEANNIYLGPDNVKPSIGIFSVGTALMTLPPGKYSFVLATSGFVNRNSGDITPDGKIYCYETKFLVGPSYSAPSPVTVMLLKLLDTSTLQIEVENGSSCGSGPWTFGTSYVIFSR
MPGTYSLPHIYTAGDDALRAVRVLDSSNHIVLFTPTSPRTHEYYYQPLAEAISRTHGKNVFAMDYTPPTNKNRYLPFDHRHEAALMDNSCAAVIVVIRDDSTKTRGCQMDFARKVWDVACAEQDIPGILVEIGGSRRLVGGWKTILYSNIMSEASMQELAQLIFGC
MGELGEPLQVDNVTKNKDRLQYPRISILVTLSQDFPDKIAFIDEFNHEVELDVKFEWIPLVCYNCSGMGHSSTDCKKKKEKKEPGKQVWVPKKTVIEKQKQIDAEGFQKKTRQLSKLRIKGLLPKKVKEKDTDWILRM
MATIQRFLALLMPGCLLGGAVGDALGAPIEFMSRSDILHQLGSDGITQYAPAYGGLGTITDDTQMTLFTAEGLMRGWIQEYMKGHGEYSKNTALAYLRWLVTQGIRPECIMETPDTGGLIQCIALHHRRAPGNTCLSALQTMSFPGEYAIDNSKGCGGVMRFAPVGLFTWGRRQHMSLQSAFQLGADLAALTHGYPTGFLTEGVLAVMIHQLVEGTTMVNAVNAEETLAISVYCALVARDFRESVILAVNHDGDSDSTGAIAGNLLGTLHGVASIPNAWLEPLELRDVITAMADDLHSSPNWHVSDG
MFIVSFRYLFSPIGRTLGLKEEKHIRIIHHEALEKAFKLHKTPCNGLVQGLAKQTDLSIRQVERWFRGRRKLEKPSVLKKFVESGWRCTFYSAIFIYGMVMLLDKVWLWKPVNCWIGYPHHHVSNDIFWFYIIEIGYYLSSLVLHFTDVRRKDFWELFIHHIITLLLLTFSWIQNMIRVGTLVLVIHDTVDPLVESAKMAKYCGKLVMCDILFVVFASTWFITRLVIFPWRIVYSTLFLAHPIVGFCPGWYIYNGLLLTLQFLHIKWFLIICRVAVYAIRTGKTEDLRSETEENVSDDDNHVPVTQKSG
MGLWSERFWLPYNETWENVPSKYGFAYPGSRDFLPAIPIAVAFLAVRFLLERFVFTPIGRLGGLKGIARWRVQPGSVDGGPSSPQPSPQPSPQPNPRSEDFAVCRHTKLAKFNECCVKCVFYVSLFFAGTWVMWGKKWLWEPSLCWDQYPFHEIPKDVRWYYLVEMGLYVSLLVAQGFDTKRKDFWIEFTHHILTLGLLSLSFLCGATRCGAIVILLHDAADGPLLAGKAWKYLEKERMALVSFVLFVLIWISSRLILYPALIIVPAMVAYWEHMDLTATGHGGIIFFISLLWGLLFIQLYWTYCIGAVIHRMLSSSEAKDVRSDTEEETDDEDGGQEKKEL
LSVSSYRAIALPLGRAMGVRDPFRIKATPNPILESFFWNQNRNPKEDELNHLASQCGLSVRQTQYWFRYRRNQERPLISKKFSEACWKFSFYSSTFFGGFFVFYNVSIGSFDPQPLQPTIYLWYLMELSFYFSLIFTLTFDVKRTDYRGQVIHHFVSVTLMSFSYCSNFVYMGALVLLLHDASDIFVESCKMLIYAQWKQAQNIVFILFALVFFVNRLILFPIKAIYTSYLVFLTKNQFFFGYYFANALLIVIECLNIFWSLLLAKAFYKFLSEGQIKNDIRSDIEEQDMNDEKSAVKQQNKERLQFNDITDTRARKPIYH
MTVVTIPHNVSAESQLDILVRITENTKQYIKNDMNKIGNISNEIQQLYDKGLKEIDLLTDAAENEDAVSAKQHFHAAMTTFKKIRIAISGLESETVPLQPSIIQSQTIKKYEWNIKKLKDV
MNFTPPQMIDLVNTLPGLLKNLPSTDAFRKAVIFAVWKSAAGGELSKRAKPVDFSDGRLTVAVPSNAWRRNLESLSGQIIHKLNAAIGEPSVRFIEFKFDESAAAHSDVSDESTEASQIEFERATLKLVPRDVKKAACSIEDKALKRAFLVAAGSCMARNDAAARKALT
MKFSRNNSCMGITWAFNALVKHHTEINNMYWSFVPAWSFTNYMARKNSDATPEALFHASGPDVHRLDHSIPNFIRNSELLANWVRLSVLLSALSYFEIYMKKVVTLSLMSDPAVRLGRSGVIEGVSWIKSGLQDDLDSLVTPCLKGEWNSRIQAYKRLFKVAPASLEAEKDKLDAMRVLRNKVGHSYGRNLKVEILETTIAPMSSLSEAGLKECLELVFNVARDIDAHLLSNHIGCFEEALFFHRLRGQLRGIQCLDEAKYLRKAMARELDGRTPGSSYCRGLVSFYHSC
MKEKGEITVFLSLILVCVISLLLGILESARTAGARLYLQMAADSAAASLFSQYNRNLWDMYRLLFLEADTDEAVMESYDRYIDFYMEQENLYPMKNDGSRIIEKRMLEDDAAAAFEEEILAYIMYRLPDVASNLAGITEAANEAAKAGDFKSLLETCRQAGRKTRKLEKARNSIENSLQKMEELRENGSTAIGKEQESKFRSNAGKLKAEMEKFSGYVDQYEDELEELKAYGESMEKQETLDDTEAAGWMGQEKTAYAGIIRPASEALADYRDMCDAIDGQMGCIEEALTVLDTDIGGEDEEEEYDWGEIESLWNLLEIPEGTAQGEEDKEKSEALDRLEKLLDADLLSLVLPAGTEISGKEADLSGIPSERLKDKGTISFSPEVLLINEYAFLYFNSFLEETKEMGLQGEHILSYEQEYLLGGKASDRDNLKAAAEQMLAVRGAMNLLYLLASPDKKAEADSLAAAVSAGAVPVQFVVSFFILTLWAFGEAVMDVRCLLSGGKVPVWKDERSWKLGMEELLTLGFLEQENPGQSESGYGYEEYIRVLLLLQNRTEKNYRMLDIIQWNMRTIQPDFEVSACIYQLKIETTAVQKHVFLLKSEYQTVVSAEKKY
MLLANPILNVNGHTKGALAEQGAAKARWTASLTIANRRATAMFFVLERLDDHEKARIEKSIRAVVERSLGDAVKDVRIDWDQMREDEARIEVFISIEAATDPKQWRSGFAGLTSRIRSAMGEKLENVFPILMAKAA
YYSLAAVSKEVAQKVSALARERMGGLQSITAEPQQPRVTLVGHRWDTACGELRRFLARNQISFNWLLPDTADAEAFWAGRPAFDGPVARLADGEIMENPAVRDLAIRLGLQTRPRGTEYDVAIIGGGPAGLAAAVYGASEGLRTIVVEREAPGGQAGTSSRIENYLGFPSGISGDELASRALQQARRLGAEILVTRAVAGIDTATHDLHLDGTDVVRARSLILATGVTWRRLGIDGFDRLIGKGVYYGAARSEASATHGQDVYLIGAGNSAGQAAMYFSGHARSVTLLVRGPSLGDSMSHYLIEQLRMRSNVKVRLRAEVQAVHGETHLTAIDILDKTTNEVSRHECGGLFVFIGANAETEWLPADVVRDQRGYVLTGDDVKKAGGWSRERDPYLLETSAPGIFACGDVRLSPVKRVASAVGEGSMAIAFVHQYLAHEKARR
MTETTHGSTARTATVLLVGPDPVQRDELVETLRRHLGVNSRVIGAGSVREASDVLNYLTAEGTAISLVISAHRLPDGSATELFGHLGLASPSTRRVLLTTMDQADSALQAINQSQLDRYLVLAAEPAWDRLLPIVDDLLTDWFAQHCAAQVGVTVLGHRFAPKSHVVRDYLARSLVPFAWFDLSDNPEAHALARDLNLPNPAPTTVLFDDGRALYDPTVAELASALGLTQEAARDRYDLVVVGGGPAGLAAAVYGACEGMSVVVVEDDCPGGQAGVTSRVEDYLGFPAGLSGADFAHRALAQAKRLGVEWCSAKVATGLLPLRGAHRVLLDDGKAVVGRAVLIATGMSWKRLEVPGAKELLNAGVYYGASASEARAAADEDVIVVGSGNSAAVAALRFAECARRVTLVVRESSLRQAALSRKYARAIEAHERVSVRCGAEVGELRGYGRLEKVVLLDTHSGASEVRPASSLYVLIGMVPLSEWAAGVVARDEFGFVLTDTEVTLRPERLPMSWPLERLPLLSETSVPGVFAAGDVRAGSVKRIASAVGQGAVAVAAVNQYLDTLWGVDAEGDGDMDEDEANVDVDEDVEEG
MNRIKLVAYNKTIDNQETNQALHPVCPECGTTDTEVLENEGVAICPYCWLEWPCVTE
MPITAANYKDLVFGYGGRPDSSQVTFFVKSKLAGSTGSAQSRIDNFVKSVAPSGRSLLEKRGE
NRYGTEVTDAGLDHLKGLTSLKHLYLWQTKVSEEAAKSFQTAMEAAGNDALEINLGWEKELLSKARLTALKAQRAALEASEAEGTTEVTLVEDPDFKTHILPIFQERCVDCHGEDKQKEDLRLDSYEAVMKGSHHGAVVVAGDLIGSSLFQLITLPADDEDIMPPKGDPLTEIQVGLIRNWINQGAKPEAVVEVAQKEAPKEDKEGGVFAEFIQPILMERCADCHGEDKQKAKLRLDSLAATLKGAGDDAIVVPGKPDESTLYTRVVLPADHDDRMPPKGDPLTKAQTDLIKLWIASGAE
METVYFDSQIFRELKKEENKSLLDKILKLKNDKLEYVYFDAHIYDLQNDKTKMIKRIRKLKI
MPPGDQTRPAHSAFSRNPAPFPWFLAPDQWYPPVGPDGLLSKRLLVVWQAQTVPFAEEPATGRESCCFH
HSNVSLPIVTKTSSFTIELVASTLLARIVQDGPVSRLVGEIDGRWPIDRLLPSMVRIPNPLNPGHALCASDSGLELYGFIKKNACEGVDLATDPARDRKGARCD
MDLATDEETVSPKEDRGTYNNVVDDPLKRALEHYHQAMSRLDDEEAVLVGTIMQEETVSRSSFLDAMREFLQPWPDQDEDHLSAVNSDDAGLYRMFINVSP
MSLLSFEGSLSTGMLNYFQFFKLYINSKTTIMKLLYFLLITILLNVGCSPTPVRLIQRASVQTKAFKQTIPCETSKRLLIVNMKLPNNRTYRFIWDTGADATVISQKLANELGLKAATQMIVVGARKKEQKQNIVNLKQVEIGSVKFKNIAAFVIDFPEESAISCVADGGIIGANLISKCNWIIDYEKQQLTLTSTPFNLHKNRQAIPFKTNFAGRIFFDAELAGLPFKNILLDSGSGGSLDLKTNEGIKSGILKKFPSNKVIDGTTQGIYGSNLDTTYRALVDSFKVGNYTLPRIPVEFGELESTKIGNVILQNFKIQFDFAHKYMLWQPRNTSIAPSITLGVLFTRAQNGKVRIGSLYQPSDAALQGLKIQDEIVAINDQPVETLFKDKCHFYQWMFRMKELKQMKLTLKNKPPVLLKPKPLARKFWWGTQD
MSAFNANFLLNRQSRQSRKDEERESSSSWIREMTTDTLRYSGLYSTTLDASPNGSGVQIDIEENYDTIGTRVWDCSVLMSHQFLHHHRRLERQSSPNPNLLSFSSRTILEIGSGCGLLPIAMSKALPTGSITATEYLPGIIEHLQNQVSKNGCESDVKVAKLDWFCPEDINLVSRACDTLIMSDCTLNSRESPQILSTFEDILVTSYRHKFKEGGRVKHTDAIVGLCYQREGSSRFLKLARTRFDLTKIEDYHPKYSNFFKGKERYAVYHMVLKGEGLIGAVCADGTDVEAFRNFYESIEGGYSLPNRNVKTLSPSSSFSSTSLGPPPITAMKHMFPKVTYAIWLEFLDTSNAVNKIMDKLNPRYWEGFNPHITLSVGFKNKRADCGDGEDSDDTDRFSGVESENILSEILEKYHKVNIREPEVTIRTSKNKQGNGGKFFNVFRYDAYKATIPFLLIDSSDSLEILNEFCNDIVEGERDRSEFQPHVALAYYDSGEVGVEGFEEVGREVEEHGIEVLKGNKVAISFYEITGEGTSEWRCMCRREIGVSGEFEGVFKLFEGALFECEVRVSEGKGLGVFSKTKIPEGTFLGVYEGEIKDEEDDKMSNYGDFGNGQYLFSVPGTTKFFDAGEGDKGNWTRYINHSKEGCNVITKVKALEGWEKWSEEEEVILEGGEFWSIDKGEDVWGGGLGWVDVEGGARKRIEFYSSKVIEIGEELSFDYGAGADLGRHPFKITT
MQPRTARIEHTNNNFVLNLLSEVPAYPTTSINMSLMIVESKFDDYILILGEMFEEFTREPYGYRGRHTPYIPV
MSSDTQPFNSLGQILSQGGEQALAKAIFAGFTSERIILLFARLFEPMLPADRVALRALSNNMVNAGAAIGQLEPPDEVGPAMIPVNQYLYGDEPGGRRVRVAFDVQLEGFERLVSIVEDFPDIPTLEDLREAARREVERRAFDTPGAFGVQPGAMPNMLALIIPFTERRF
MASLLFRPLRRPQFAFKQLPWITSPRPVPIAFAPEQKRIELPHQIQQRRWAANQSMDLPRGRAQPSMRLQAGDESEATLRDIGLLPDADTFVPLSRKNRPSLFKEPKVRFQLFKKRVIYKIKNLINLAVFRYYGKVPIEYSMPKKIAPRLYEQMYTAFAEGNTPLLRQVCCDGLYDSLKSKIIARGQKKMIWQMKGLVSAPKLVSSNAIYITYLGMGFRQTVVKIKSLQVVYRYDSKGKLLAMSGETVPVVEYLVLQKRKTKSGDGNWMIWGTTEETTR
MTKKIEKDSFYSTANKNRESEILEHIEDLDKFKEYLQIEKNIEGKNKKERKQQKKLQQFFELKKVVPHPELLKHEDVSCKYPIIYNTLKGMGTVSVPDHWESSKKYLKGRKYQKPSFVLPENIKKLQLYKLREEIREKDIKKKVKEKLYPKMEQKIKNEDLINVFKEKLVVNYTFDIRQKIKKGEISQQLKEALGLLPNELPPWITTEENPPTKIEYIEVETSDSGEMEEAVEKSKEPERKRKRRKINF
MKQVALSLFARRKKWIILTSVLTLALFLPVAYLMSKEPPRYRTSTMIFLESRAPSSLFHEFSPGRPLSVQLAILQSGILAQSVVEALPRASVSDLIDNPYSQDYWLDFQNRVRRLRGLPLIVESPERRALAELRNARVSFNPLGPSGLVEIRAEASNPRVALDIANTYVEIMLARTRSFNVDDAKGTREYLAQQNAQVSEQLHSSEKSFQEFALVKGGMRLPDRVAEVSTRLSQLETNLAEIQANKNMSQVRLTGLRAKLDAMPAPAKATTKAAPPMETQRLRARLSAFEAQLSDSRTRFTDEHPRVRLLRQQIAEVQRELGDAVKDSTTADLAGNTTVPEQDREAFAEMVAAL
MTDGDRPTGDWLLRSLGQAEVLRGGVPVAWPARSAEELLWYLHAHPDGRYRHDLLRDLWDLEDTPAAANRFRVALHRLRHALDFPEAVTERGGRYALHPDLLAASDTAALHRALRGAREAQLPREQEDLLRRALASADGEYLPHLTGEWVQEARQAHRAAVVEAHLTLARLHCAAHECPLAAQDLVRAAQTDPLIGEDHHQRLMACLAMTRDRYAATEYYRRYRQYLLTEIGDTPLPETVAFAERLKGGELPCVNAPFRPAGSGDRS
MAIFTEHADKNLMPAKNLAAVFQPSILSHTDHDMSPEEYTLSSLVIEFMIQYSYKILPAAQVYAQKLNKNNINKSKKNLEDEAESQKIVVDASNNNEKDTKEKNDDTTNNTTNDTTNNKDTNTIPKSTSRSSLQNPEIIIEENQSEIESSLNNNETTTQQETNIPVTITQHNKTETLPPNSFQRFTRKHSKSLSSVQNPSDMLRVNSRKLPSPASSLGTSDYPSIKGNHLSLVVSNITDDGGISDSAMDTEDDDQ
MTDTSRQFHQPFPGTLPLAHSFDFEGQSVRYGVIGSGHLMQEDAPEAIVGEMMDFMLLGGRH
MKRILLLTLVLITSLLQAQKASYQKLDSLQFIKKCDKIILDTGKDFKVVGQDISEWRKYIQYNNSNNEILYIVYNINSEGANADLEIKGVKKWNIDSVASKYLTVFDLYQKEFDSKADKVSIQKNGMPWGAADTGARLRKTSQEGLWEMKISN
MYIVGQERRLVDPPSQVVAGVRTVTTKMDGRCDPHVAAPIRFFRAALQYGLTFLKINFAI
MTRGYDMTLQNKTYILGLENYKTTSDTHKALATAQDTGQWQYDMKGQSTAASVVDNNKEIRGQFTN
MSGGLDHLVATLLSELANSPKRAEKSAKRALKSHPDQHDLLFVSGLIALNLDKTREARNFFLRSINTGHAAAAAYLNAGIAEAHLGDLTKALRILAAGRTKFPSDQELTQTTIKLAIAHGAPEDALAIARAALTDNADTAELLSLAGRAAEAAGNLPDALHYFEAALAQRETDTILRDLSRIYAFTNQPEKALNAARRACALAPNELSNVFDLAWRETETGDFSAAQQHFRQSLSDQTLACEALRMLCELSDPPSPTEITALVDTLSPAAQSQTDRGHLELARYHTAKAASAADPFKHLAAANRHYAKDRRYDAQQDRRYHAAILDAYDRAEALPPPETAPTPRPIFILGMIRTGTTLLDRLLSTAPQTHSLGEVAATDRFFRHALSQPTPDIDLGALAQSYAAIQALIGPAAVTIDKMPANYMYIGWLHRAFPGCRVILMERDMRDVAASAFENYFNTMPMNFTFREDWLAQKFEIYASQIAAWEAREASFLKVSYERLVSAPHDTLADIAAYCGFASLPDPDRLIQPGSIRTASFAQARQGINTASVARWPAFASLLPTICKPD
MAYTDESGLYELFDKLGDALQDVEVVKEKKYMERFLKELVSDSGLASYGEEQVRKNLQMGSVDTLLLSDGLRKLRLTIKCGNCGFEEKKTITKRAGEEDYQPGNCLKCGSGLSIQESVDIVDELSTNADQMTTNTVFISSDFEEGNQLLTAFGGIGAILRYKTGI
ELLKVIEERRVKKLDSAEKKHQFRLKNKAAFAGAAKAKAKAGGLKKKTPLASKDGSKGVDAGKTLGEGQVKGKKRPERSQMLRRKKGFKAGEDSQPKGESKAPAAPAAGGSKKPKVVGKTLKRKAQAKS
MNAVTETTAAEPVSGFEILVPLNKLKKSPRNARKVPHGTSAIEALAASIAHKGLIQNLVVEPEVTEDGTPIAAHCLAHSKFGQLPLPPSPNVWERPVELPSTQETFADPEGAWREIVIEPFAKGDRPDPAFRRHYSTLHGTLSFDDLEKSNASRGAPGAVMFSNAKGQPSATAPLRRDPYHISVHPEDEGFALRSKSNVLTVYGGDLQVDFETDLESAPEVAANRDRLGLAEGEAHRTIRCVGLTPERDRYLFTHVDEAWCISREGERIWGLRMPANEPTRIRVGCMGFGTATEIDEALKVLGLDLPVTPEVIRKRYRQLARQFHPDINPGSEEKMKAVNVAAERLTGLDADQLAGKIVEDGGFEIIVSFGASSDWIYAAAFSADGKTALLGSYAGRVVRVDQNGTPTTIYDVGSAPVRIVETDAYLYVMTTTRLYVLHGDCLMALEDCPSKCDLLIFEEQVLLVEAKGVRVFTHDGTPLGIALTKAPIRRAYVEEGDLVIETRTHRGRFRGIRPSPGA
MSVLLRTYSSWMTRHPVAGTSTVATTLFVLGDVVAQQIVEERGAKGHDYWRTGRYALYGSCIWGPPWGFWTRFLGSLQFRTRWRAVLSRTVLDQSFFGPSSVALFLSAHALMEGKGVQEAKQRVEENWFSTVKRGWLVFGPAQLVNFALVPPHFRALFIGSVSVGWNTYLSSVNAAIGAHIPAPSMAAVADANIPSRVEDTT
MGAGGGGGGDGGMGGGSGSGRKGKSGAGGAAGGGGNLYNQFMAWYGSYNKANPLATAAVTSAVALSLGDVLAQTLGGSDYCPTRTVRLALLGAAVHGPGLSTWFTRLDAALPGAQPFKIAAKTAAHAAVVTPLWVVATLAAESVVADGGVGGVVDKVKAGTKDGVSERWLVWPVVQAVNYAVVPVQLRVLFMGAVGVALDGVKAAMVKDS
MSGPVTNAAATNIAKKNPLMTALSSFLTRHKVVTDTVICSSLYSTGDIIQQRIEGVEGWDWRRTARMGSVGMFLGPCNHYWYRMIDSKFPTAVNFKQVTVKVLCDQFVFSPFCLFSFYTGMALMHGNSMAEYKKELVDKYPHTFMVDCMVWPGLQYVNFFFVKGPFRVAYVASCSLFWNIFLSHMKHAYNSDESHAPSSAATLATPQTTESLDVAAANRISGTASALVVAATAAAAGPQPLTITSSPFEQQMRLRDALRDTLRTHTSSLQFADAAIKNVGTALPSRLLLNRLAPWTEFQS
MKIVSRSLIAGAAVVALAACNDVENNEENTNLNDGTNDEMNVNENNDGNENNGNDENGEDNGMNDDMNEEADEEETVETGSFPVENVSYDDGVLTYEYEGTEGEVDADMMETEFGKEIAVPASMNDEVDQEGTHRIVHLEDEGELDGLFVSVREAFDYSEGALLTTQVDPERNAELQEVVKDTTGHINSFETVHLDDETPFHFYFHIEGDASEPGVFPESINDQDYEQFKFYEIVEEGYYYVDVMVPADRSDEAMGAGLAVAQTFSPEGATLDPEDQEEFAEDTLPDEE
MRIIGIAVLAMSLASLAFAVEEVAATHGIITKIDRAAKTVVIKTAEGTEHVFHWTKDTAVHGVRASDVAARDSWHGLKEGSEVVAHSTKRGTEDTAVEVDKVGDAGLTKTEGTIKQIDRGGKKLVIKSADGTEHAFALTGHAAADGGKEVAAGTEKGTKAVVYSTQSAGKKIAHFFEKI
MEPIPEHSAEKRRSMVEIRLRMNRGGMLALPSVWKAPLYLKAYWLRKAYETESGNVIRLDSEMILMTDRHRRRPPYTAATKACTALCDVFRHFKKSVTDTQESCVVEHTQMPGNEVAGMCPPVNLDTSAQCSAARTRIEIVSC
MLNETSCLVRRFVASNDSTSKSRHAFSVIQSPSQIAERHASYLHRRMGFLSGDGSDRLG
DTYHIPTALRLRGRLDLSAWQQALNRLFARHEALRSVFITVEGQPQVELLPAEFALPMQKYDLRKASDVDEQLAHLCAQEAKTPFDLARGPLIRCALIQLAEE
MASTVTLKRNFVLCLQKYNLKSCFQLRTQIEVVFSAEKSKPKRNFKSCLEVTVGTIFSENDIEVHSREDLPRH
MQKRNKLRSSNKWIPWWRRDIDNLELHQLVEFVDALKTFKERVIEVVQGKESKKCNDKHPNEMEAAQMAQVQPYQPHLWDDEQGAFINTQSVMGSSSLNGSNHASNPPLMLQDGNEWSFLTYGNPSIFNEGMPSHLLMPDEGCLPLSAVMSDLQRV
MTNATQQRHEGHTMKFQLVDQPGIRIPQDIMLDGKKIGEIKPMDDGRHHAALYVPGGDSVSAGLAQGFGRTEDEAIQDALTAGEKDGRAYLTGVAQLQALLGFIDGKEAQ
MTRTLYLFNNIRTGALEQIKKRDQSDDHFFGMLRLPHYGVEADYIEIEQYVSPRLARALRKILNIYFVHLVLFWKFFSYDVIFTSSAFGSQFVHTLFHIRRPRWVMYDFSILGLIGKGKTVRQKLFRFMVGRAGGIITLSEKEAVALKAYFPHMKERIQFIPFGADLSFFKPQSVSEEHQILTVGLAPDRDYDTLFLATDGLGVPVAVTRSRTIDERKNLPVYVRAQFFSSRELLEEYAKSKIVVLPLDIQDGLNNASGCSTLVEAMAMGKAVIVTRTPTTESYVTHGVNGILVEPKNVDGLRTAIQDLLANDEKRKTLGRNARVFVENNCDVEKTAQKMAEFFKKISIKEKSPRARLVD
MQPEEGKKIRGLRIYRELAEEYTTRPAQHIEELEAVCVKEIWDSGYVVNFCTQFRSYYSVKKLSTDLQNAKEISHLVSACMEKDKRLSDSQRISQTAYEMIGQRSYDWEPNYSMLSGRDQTLSIFSERIKIFEILVRRQQFDSECSRERIDHIKSKYHYFDTLFLR
MTSPTQTPQPDPSSDQTQTAQTLISTLLGFTPEELQNNPEAAQAAFLNLYTGLKEFVSDSTSKKPAKVKAARARLRSLRKTLQAQGIEVNEEIEKELPKKLQELFSSSKIEQYLQEIVSQLREFTDQIDQSPELVGQKIDEIITSLGKDLFIEQEKPSEEQQNQEYSEKARNAIAQSFKSRGLRSFAGGDFDSETQTPLEDEQQ
MDSSQVLGDEAEECSSSESGWTTYIASPDHHHEVDDDENDKEKTDKTDNDDAGDDDDNDHDSMASDASSGHPTHPQLTCESSKGSHGIMTQYKHAEDEPPSKSPSGKQPCKRVGRKRDEKTKVDINQEWVLDSNSESCSHVQSGPKVRKIN
MAGTMTYLYGGLGVVWGLIALFLLILACSSQKASPPAHTQDVPNQSIQGPDHDPRIVVLAGHDQATHMAQPISSNRAAWVRVQKSQIQFFFPWFLFSMENSKVTGEAEECHSCTESGWTMYIGSPIHDDDHSDHDDDEEEEKHGVEHEAAAAADDDTDDSMASDASSGPGKMSHFKPELEKEAKKNPVQKSGSERKKKEKEEESVFTSSVQRSDKVRKSRLFGKGK
MSVEDPLVNGIPLSSLRVVDLRDELEKRGLSKIGNKSVLTERLKAHICENELQNSGGETAVKEKSSAEVSTSGPQLVAAYLARQAEMLEIQKRDAERIRKEQTSSDDSHTDDVDIVASPRRSHRNTSRQQSESMEQNMEAAEEGTVTGSANEQDSVEPSEGTEETERNAEATARKSPSIDPNKTKLTEQQTEVDEINDEEIEKTVNADEEPEEDKQSDDESNRVYPEK
MKLQNQRGDRIFLQDIKKPDRDDWENGLNAMECALHLEKSVNQSLLELHKLATDKSDPHLCDFIETHYLNEQVKSIKELGDHVTNLRKMGAPESGMAEYLFDKHTLGNSDSEI
MVTAGMKLKDAYSLEENCLPATSVSYCFDCDDVALKNFAKYFLHQSCDEREHAEKLMKLQNQLDDWENGRNAMECVLHLDKTVNQSLLELHKLATDRNDPHLCYFIGTHYLNEQVKSFKELGDHSGMAEYLFDKHILGNCDDES
MFMISWDIVETRRTSSAFKRFCSSSSSPEPSSSSPPPTKRPKVKIDAAIEFLKRQECHKLSSLQQAANNWPEHLQFNQPDYSFFTCHSRPMRENVQCFDDHRLCSGMNRRPIICYSISVTFVEQGFEEHIVKFVWCCNVEMQNFL
MLMSELADELKMDPGNMARQVKLYYTLREDDRPSRLDPQAVEHLRAAHRLVVSGAVRNYPQALRQVLGLTEVPVPSAVLKEILQSLEGVRDSQLRTEKRLNSMAKAFKALLIQSDKQGRLDDPNAVDESSDPT
MAELDMETEVKVILEKYFAEQLG
DLVAENNRNDTTIEILKMDIEGEEHQSLIPFLQKYKVCQILLEIHGNAVTHVRLLQKIAL
MMDRKQLERALTATIEATRAENMALRVEIERVKASAELAKTNEKERIEMYTTVEREIEKRVRRAVEEELHAKFAKFKSRAEAAQGKATERATKADDLMKKLESKYDADMAENLKILKWSYEEDVDSERAKVAEME
MSELFWIVFGVYILYIIAKIYISFGQISFLEAQDPNHPVLLNSSDYKISRAYALEKERFGIYESLFELFLLVFWIRNGFDLLYNLAKLGSWHLNGLFFVSGFFIISFLLGLPFEMYQKFGIDARYGFNKSSFKLFLIDKLKQSLMFLLIATPLFYATAIFITTFEKWWIIVFVMLMSVVILANMLYPTIFAPMFNKFIPIEDGELKNAIEETAKAAGFEIGGIFKIDAGKRDSRLNAYFSGFGKTKRVALYDTLIEKLSIEEICAVLAHEFGHYKRGDIFKGMLSAAVMLSAFCYGFSHVPAIALESIGLIPDAYGLIVFFMIFSTAISFFIQPLVNLIYKMNEFGADRFSRNMGYRDELISALTKLSSENKVFPKSSKLYGFFYHTHPPVLDRIEALKA
MASLATILHSCKSPPVKPKPPPTPAVPLVDLRKYPAKPIARVPPRRPPPVAAAHPPFQCRKPLCEDYIRKLNLSKAYFANQHDRCFCRQCHDPSRKDEKCGHRCTKFHGWIRLGLRLNEAHKKQWKIFTEWKTSYYGTSPNLLSSILSNRFIPFDGDQLADGTQFNSGHPDPSHCTTCSSLADASQSKFTVRSNFKAMDGNNYYVRVVLQCKQQPDTIIVKKDATSFQKTEWATKARSSVVPFGLLIQLQLQKI
MIRKQNIAWRRLTTAVLAGVGVFTLLGTTQVMAANKTTEHEIAQNQAGKELERQQQSQHTGQAVRADRITVPVTAVKIDSSDSLPESMVRHLLPALNADKINIHELSKEIQLVNDTGAAKLNATFASNHDGTFTVHVQNEARKNGRVNLSVANTGNDYTGDWRTTLSYINNNMTQRADSFGVAYVTSPDEHFDDVKQAAISYRTLLPRAGGALTLNASYSDVDNGNVSPTAYRGFLDYQAAGIGRNLGMRYQHYLAYTSREKDALTFGLDYRYSKTESSIEMGGDSLDIPDQKYDMLLGSVGFEHNNRDLYHAFSYQAGVSTNLGGDAGEYEAAAPGSKQHFTFYTAGLGYQARSKSDWLINARAHGQYTSDHMPILAQLGAGGMYTVRGFAGTIASADKGIIGNLEIYTPEVAPNLRLLAFLDYGNLTNNTNSLTTSKHYEDLASTGVGLRYTDAKHNLALAVDYAKVINDVSDYTLSSSAPHANHRRWNMMFTIGF
MLRFFAPLLPPGGDGPVRIVIRLTDWRKVTSRTAAVLTDLSTALAMPWDEMLRWYIEADAIYKETWDRRR
MSMAIANARWVLLSQGVRVLCQLAGMAFLARLMPPEAYGLMAMAGVVTNFAYLLRDMGTGAAIIQSPQVSPLLASTVHWTNVALGLAVGAVVAAAAWPMAAAFHEPELAGVLCLLAFIFPLSALGVVRQALLERDSRFARVAKVEMAATVVGLAAALVLA
MKKNGILLAFLILITASSFAQKVKFRKIPNEVLQETVHKIDSEAEAAILYEYSRVYYTYNLGEFKLITYVHKRIKIYNQVGMAWGNFSIPYHNEGRFSKFRAYTYNMVDEKVVETKLENDSYFTEEFNKVFSRRKFAMPNLAPGAVIDIEYELSEPSTISLRPFYLQHDIPVDYIEYEVEIPEYYTFNTSLKGLPLSVQRKNTTKTGAITSMDSPGQAKNINYTIKVDVYQASDVPALKEEPFVPSMDNYRTSVNYELSYFRGSNGRIISFSSTWDAIADRYRASDNFGKQIDQRLNELNPVVEKAKSLPSDERVNYLYYFVRNNYKWNGNNGEFCEKGLKKLVDEKSGNVADINLLLLNLLKKADIEALPVVMSTRSNGFLNISHPSYTQINYVLAAVKASTGFIFLDATTSYLDAGFLPDRAINLDGIIITEDRKGVRVSIENPNKGSIQNNVLSELKEDLTITGKLRTIYSNYDAGIARSAYKTAEKEGGYVKNLHERYPMLEIISYSESGADSLQPRMVENIEFVLDGQVDQVGDILYLNPMMIWQDKTNDLKSEEREFPVFYLNTGMEKHMISVKIPDGYQVESLPKATRLALPEGLGSFIYTVTATGNNISILYQYDKVADIISPTSYAALRNFRTLMIDKQAEKIVLKKI
FWQAVKKGAENAAKDLNVRITFEGPETEAQVDKQIEMLQAALGKNPKALGIAALDSKAVIPILQQAQAKKIPIIAFDSGVDSTIPLTTVSTDNLVAAALAADKMAEAIGYKGKVAVLVHDQTSRTGIDRRDGFVKRMKEKYPDIQVLEPQYGGGDHLRSTEIAKAVINGNPDLKGYFGANEGSAIGVVNAVKELKMEGKLIIIGYDSGKLQIDAIMSGLMYGAVQQNPVLMGYKTVEWAVRAMKGETPPKHVDSGYVWADKANMNSPEVQGVIYQ
MGEFGAKVSDLLDLYSRCSGLLKAFRGTSDSDVDSLSSYARLRSSIRSDRAKVPTSRSALRRILRRLKMALVDILGTAKTQRPIIDYESLMSLSNTSRTDTIKTIERLSHRLSSRRLSSAQKGHETSPPYASRSRRHKKQSSSTKENNRDRHRAGSKDPRLGDATRLRTPSHGELTQDLCLRSNIPGKATREDIRSKRSGNAHVAESSHRISFISTFSDSTKLGEMPRRRSRLVQNSDGGEYTEFPFHPVYPINAYRPESDEKRSFLRRLFGSHGRK
MSQYRFKLIDSTYTAEDAREVMLSLVNDKIKFLNHKVFSLKESGNVDTTHQEKRIRLLKNEFIKLHESLEGALEEGAEVAIDCEVKFRVLKPVKT
MEVAAGDAGDVAEFVIAISGGDDPADFTSGGGPTAVGRVTPSKIPGRLQRFLDG
MRPLVDLFDPWAMLIALLAGATAVALTAPWQVAAGTVLAVLCVRIAAGSLLATPIARQPASQLQLPAPAEFGSGPGGAITRKEAEIAQLVYEGMRNREIAEKLVISERTVDNHVQHILTKLDFHSRSQIAAWWAERQVSTKK
MSVSNSSPPRLALSLDETRSPRSPRSPPSLSPSLSPTPHSLLAWALTLPPTTSGTPSRHARADRQTLHGTMARAYIASSTTTTTTTTTCLVSPTTDTQRPASPPPPAPFNIPKPFGAPIFPEKPQNYVVHSLRALPERLSAHMPLDLAIVPRAHWLSRVRSCLRLLIIVLSGAVVCMLVHTLEIYRGNRYLDLRSGEIPMTWPAHTNLAPTIVLLAIAAANFLASVAILSLSLKRSFRRPIRSRDAYRIIAGSFGVILWITAIVVFHLLDKASKASLGRYSCTNKNVLSNGRFQYRAVCEEQGVAFYTAIGAATAEILTLATLAVSAIRSVKNQEPAPMLQIRDKKHSISSGSQLPYKPRKRSPSTL
MPELGMGPPGFRSGIGTDLKEISLEPMWISISMPFCLAKGSEIDRSASGDTAMHMINLQSYGHTALRPSAVRLRTNIPNTARANALTTLNCALEAAQRWTKIDPSALDEIQDALDLAQDALRQLHALG
MPFGLWSKSSSAASSASSSSGSHNHHRHHASRKHVHERSRSYSVPTSNPGGIAEKPTGAENEGAQDNKGEAVLAKIDPKAKTGSTVVESDSDECYEKEYLDSLKHKSNRASYVGGAATGVAAAVGTFVVFASPLAVAGAAAVGAGGAWKLLRDKGKREVKAAEGTMKSGDHSGDVTQTMLGAPGTDLPAKSQSYFTISGKSANELDEFLAGGKPSLKRLKFLVSWARLQVEDSLPSMEKA
MFTDLVDLLHTDTVQGHFLAVTEQPPADASFVLCHLINRALRAGGAVRVLALDKPPAHYRHLCAKCGADVRQAEAAGALTFVDGAQLTARALRSDSGALTPGGPLPCAGRVRPADRQR
MNSVAYSGEAAERLGTLAGRPVVTARKVLANALNLYLAYLGARPDNHFSNIEARLQAAAPNLTDRERQIIKLAVQGLSNKEAGLKLGISHRTVEKHRSSAMNKLGVSTISGLFRLVMVIK
MPPVVFRKVGDQHKSPFFKKLPPEIRKMIYTELFGSRLVHVLFHSSVHRKPKTLYKRQGAPPRSKMPGWAHCVCRQGVDSPPHLHSERFHKWCYMNTNIIFSCKYAFEEGMPILYGSNVLSFTQLTDFLVFMQFSCIYKALITRVNVSVNLEGLDGRTYDFYTEFKTLLRWKRRAGARRLECQMLFYGLDDFPFVERALHQSIKTIEREALQDGPRFRLFVPLHMRESKGRYCWSGSTNYNVEIHWRSDNSPTAVGFGEASDDENYGMVVID
MGVHLAGVALVVGARYGWPAWSAPLVLAALLAPQAPLLVRRRPVSWTALAPLLAAYGATLLRLAYRALGITVVGWQGLTFPNPLLSLFYLDGIVLASVAWSLLCQLAWTAASLGRARAVVRWAAAGVLVATLVWAGTVYLGKRTHGATASDPYAYAQMGVDLAENGTFLHRFELFQEVIPLGIAWAPLQPVGYHIPRNDLGDCPSVWATGASALLAAGYLLLGEVGLYVTTPLVALLGLAATWALVQEVLRGEARGVRYLVGALAVALLATSPEQVDRLLVPMADAAAMLFTVLFLLFLLRAMRAVEEEPDRGLAGWPSWLLAGVALAWAYWVRHTQLVLILPVVVWVGVRAVRGAGNRVSGNPVSGQWGAVIRGVAIFTVAAGVAALPDIVYRWRVFGGPLATETTELPLMSVQHVGAVAWEMLRAALAAGEWGYLFPLALYGGYRLLLAPGRDGRRAALVLGSALAAVLFVHLTYSALRLRDLISLFPLLNLAIAYGAVALVRRARTPATGPREARRLGAALAAVAVVGWVVFSLALARWAMIDNVWKRGWASFGYMRAEHRAAFESLEELTPDGAVVGASLNAGAVALY
MSESLDPYAVLGVTPSATPAQISHAFRTKLRALHPDTGYARSRPPGDAEAQLQQLLRAYDALRHGGRRNAGADPPGSRRPAANTQGPVKIRVTHGRAAAAERRKDLWAGPVRRHRGRDH
MDPYLILGVPPEATDADIRRAYLDGIRRFPPEHAPEQFQALAKAYEQVRDESRRLRLLLFDPALPGATPLDTLVNYCASAPAPKPMDFEQIKTFLRSCTTK
MGCAQPDPYRVLGVSPTATQAEITHAYRAGLRAQHPDTRPASSSRIADQQLQQLLAAYAMLRDPTRRADYDGVTARTATPAQPNPTDPASTGPVAIPVNHRHTPPTAKNPVPPLRAGPVRRHG
MNTPTQIIVTVFTTKRLDCWVAYCPALKLYGFSQDSEGAALDDFDKSIEIFLEVQHEIGKIDETLTTLGWKRNKEAYSAPARRFTSTVSPFRGEGARSNNRKIPIPA
MEFQTALTNVIITLLYILPGYISAKCRIVKPEHLSSMSSVLVYVCAPCMIVHAFLNTDFSGENIGNMGLFFVITFALQVLFMLVIYALFKKKYDDAKYRILTIASVMGNVGFFGLPIIRALMPDNPEVSCYSSVYIISMNILVFTVGVYCLTREKKYMSLRAAVLNPSVIALLVALPLHFFSVGQYVPDVLMNAVNLLSSMTTPLCMIILGVRLSTVRFLSLFSKPFVYIICLGKLVVFPLFCYLTVAFLPLPFSFKASVLILSAVPCASVILNMAEIHHAETELAANCVLISTLLCFITIPLLTLVL
MLALLTTGCTTGLVIDVGHLETTVLPDLISSYGSMIIPPSADLDPVHPKYLTPAVLEDIKTRFIFVSPITVEATVGVAEKTLVEDMAEKYSSVSSATEVSYPITLSKNGRERQMGTLIIPSWIRERCAEVLFEGDEDESSIVGCALECLLKTPMHLRRPLFSSILLIGGTTLLPNFQQRFAQEVLRALNKDRRFKPLSGLADHFEFLEDNGKIFVANCRAWVGGSLIGSLKSTVVEITREKYTGEVPDWTTPNIPSAAS
MGFVFKCVSWVGGWIAMGVLALSVSAGLYLACEIAEEYSSIVRKYLNRSTIGIIIFYVILMIDGLPAKNCLFGIFAYISYLPLLATFPFVEPISIPTILAGVTTLGNHVLWFNYFISYEYRRELNQSNHNLLAGSPAMGVMGFLFVFVWAVPLGFFISLTSMEESLPLAGGGGRKKKGMFKGFVDSLLEKKNDLFPAQVKRYQ
MTERNGIEIDYCPVCRGIWLDKGELDKMLEYAEQKHHAGKEDYNKGQEQHPGYQHNQPPYYKNDNDHYKHNNNHYPKPHKKKRFPG
MFYVTILELGEVSVTKRGRVMNRILDRVRRIALLCSVVLLANLIFPLGAKGAPADFSKKTARLTAKTLMLNGSRESDTAETYVVFNVSGDYALEGLPASSDDVRITQDKILSFNGLPAVRLMVSADNNSGKGAHIYTITPIERNSGDKLNPLKLRVKIVKKYAPVGFQKKVIYLNKNTRGEFALNSPTLSGAVIMPLNSEKYKPVIPSGVHVSLDNENTVRVSLGNTKVTTAKGKTKDVKSFRIKLWIGYADYEDFKAVPKTFTVKVAEGSPGVKLIKKAGSRIDLSQREATSLHYVPGVLNSGYVVRNVELADSMSDKFTLRTVSDPDSEAITDIYISAKKGTKIGLGSQSAGLKLTLQEPRQDAETFEKTANVRFAVKSTKLTLKAVDGKKLSISQSISDDKGVSYKEVMVTGNSYAQIDPSSIEEIASDKLPKGAVNAEWEVYGSGQAARITFTIDKKKLTEGKSYKLKYKVRAVGAPSNKYTIMNFVLKN
MTDIDDEVYDEAAQWLELMSRHKLDSLTQRRFQKWLKQSIEHKKVFESMVDTWSAPELETGLKQQGRAKWQPVDSIFSKVPKQWLAITVPSVAMSAIFVFMLLNTIMSGQVEDSLNLQLRTQVAETRDVLLEDGTEINIAPQSNLRVNLSDTKRDINLIDGQAYFDVARDTERPFVVSVGNASVTALGTEFNIDKGAGITDVTVYEGIVEIRDAMTGLSRLVKAAEKVRIFAEDFAPVEQFQLAKRVDWRSGWVELDNDSLQFLIERLNRYRNTAIIIKHASLKELAVSGRFRLDDSKQVLAMLEEVYPITVTTKRGITEIDFR
MKETDENLIKFPDRSEAARLLIEDEAGAWLIKLDGDEVLSAEGRADLEEWLNRSPRHKKELSRQAREWEQLNVLTELSVPLGARESKRNARLFFRKLSFPVGAPRTSVGGALALRSLVGVFAVIAIFAVASIYFIRPDAYLKTNGLYATNVGQQHTVELADGSVIALNTNSEIRVTYSKEFRSIRLLKGEVHFDVAKDPIHPFRVVTDDGMFVAVGTAFTVRLKKGLVDLTVTEGIVEFTSPVFLTNKSVETQVTIIPDEGTGKVRAPDAVALVRAGQSLAIRAEKIAADVPENLITEINDVSAIEIARKLSWREGYLSFGGEPLIEVVREINRYTDISIEITDPSLESIRIGGRFPVAQTDQMLSALEANFGLRVTRVGANKVLLSTSQ
MEKMKDSILLRQHHKNDSVDKKLYDVQRQVSKMLFQTMYVSLFYVNFFGIY
MTAGIYRTIVRLLGFQDEQFSALQCMVFLPWSYLWRISDFGTAFMLTALSVDRILAVFRPLRYMTYGKTYALSVIGLVILFGSITAFYPMPTMNTTSMLCTTHYISPVTYQASKYLSSTASGFSVLMYVPISLKLWAHSADMQSMGMNVQQYQMSWTVGISCFCTLFLDTIPRAVGVWG
MRRSTRRKKPLAIVNDEDEDIAEEISAVEQNKKMGDAQDSSSDSDIENYLQPVDKLDLDSSFFQIQKSPEIQSFESIEKDIFAGTNRLSDMDSDESDPEQEPTPTTSKLNFDQLHSYTNKLDQMKQAIQNYKTKQKVADAKAKPHSDNISDLLALGEMQVDNPTDNHSLHSSDFHSCSEVEDNDWEEVEDKLTKPSTSLVKDGIQITVSLPDTMKKKKGVDLLASLKRRLNRIKKEHQVLIHKVHLLCWIAHGNYINKNLNSETILGLALSLIPSQHCYPPDRTDLTYLEQILNWYNKVMDLSEKLVSKQWSFEKVLTLQLTRRQAYNKKMFTYIFICILRALGIQCRLVLSFQVLPLRPLSNELHSLSTKANDKSSKNEVKTDHKTTSKNEPKQESTRKKNSKEQIEKKTTENKKAKSDKVEKVGRGNSTKQSTEKESTSKLPSSTTQKGKTNPKQTKRQLAQLDGANDSSEPEKSTKPNLKNLSKESKRQCPKNHPKRLKKTPNYTETHSSSSEEEREEKTQRLINVQNLKDKLKPRSKASKSSDVKNEIVSIVKTRIKKEKEASRSKLAKSRQSKAKSEEDSDYAPDPIHKKYDSDDDFQQDKVKVKKRIEVKRNQPESENATKGMDVWVEVYLEAEEKWISVDVGKNQVHCVNQLYVGKLVSGVCSLCFCSHVLLTLFPM
SQSRLESTYSSSFNHVEEGNDVHKQIRMGERETRHRPPPTKREHVENPINMRLRAPTTDSTYRKTYGGYIPDVDNRPKIAKTVQDFSSTASSRDLFHGTTKSAKTIPHYAGFIPSSSQNKLAAAHGQCEDPRATTKDFGLLNLDQFPNHVPGYGGYQPTTVFNKRGGFVPSTLTTSGRNFSKHPGYESPEFGILNQMHKDFFQPGQTSQSANGNADAEVFFNR
MSKVEKEAVEIDRCVSCYQELAIMEQNRSVCWDCQNKISETYSDDH
MEVISYTKRHFPVNRLQVNVIFACEKGDRNTF
MRARDVCTGQTYVVLVPHRLPAARYPGRERLGSSMWVAKMMTGTRFRLTVTRIDHGADPATVEGLRLIERAHTDIELTDDQAAVLGLSGGQGYRVTGMLVDRTGRPARIPSLGNGPGAGPVAVPA
MPLKEKPADATPDVLVIDDPQDIRLIFSEKHNMVLKLVVEKEMSISDIARSLGINPGSAHYYLKELEKHGLVKQVRSEVKGGVVKKYYRAAARRILMDTPDFNRPQSSIPSADLTEHLLRSVEYLGYYVRPEDVEDAKDLLLRYDNRVKEMVFDLEKLGLGNVEDNAITLQNAYYLLLSIRSKNDPEMARIYSEFDKLFLRCE
MSNDNKNTDPSDLEQLAPSLVNLIRLSTAINAKDINFFKSVDSDIKSKTQDVNKQLIQLMDELVQSSLTILPDVDMDENKLSVDDENGNWKIIGNVLDTLFENTEISLDQYSKYKKTATTDNNSSSVTSEFTYLDENDQGNSVNNQKRSIPQSTNIEKPQLKFNDPINNFETTPFKPLITTKPNALKPFEESMKLIPATDSIPEHYENPYSYEIMNQEYPEWILEPSDENYESIPWKESEEPIWIDDSSQLDELLLDLSKCKVIGIDLEHHDFRTYHGLTSLMQITSDHSKKDYLIDPLSPKLRSNLSVLNIVFTDPNIIKVFHGAYMDIIWLQRDLGLYIVSLFDTYHAARELSLGKYSLAFLLEKYVKFKTSKKWQLADWRLRPLNNEMRNYAKADTHFLIEIFHKLHNELLSNKPESLKTVLYESRKVSNRRFEYSTYRPKNLKSQSSSFNSQQGNVISTNNSIPQTPEFKESGKFLSLTDNFDRDLPWSNLISANGILLPQRPLLEVLFKWRDELARKLDESTRYVMSDFMLISLVTSFDSSSISDGSITEGKVLEIINSTSRYSGGSLLIRKYVSELTKLIKDTMVELNNLDDEIWDKVSGIESNRNNAQQQINDGDDVYKLVTDVEELERNFTNISKDFQNNNFSTSEDTTVGSVIEKIETVSLPEQIWSVGYPKKGGVNYINLNTVKQRLTTLIDHLTEESNVEYELPENEEEEEEEEAQVETVDEPVESSAEETKKEDPNEIITLRKHQKQQHQKRFKEDNTFLQDEIKLDLSSKRVLEQPATSREDKKARMQKKRKTFDPYVEVDDIPKLKRKKPIDMSKNVVFKKKK
MNSELNPQATDFYESLKLLTQNGLSSIQKAVKCVASTPAGSSYKVYQTFDSFKKVTGLIGDDTIKLSNTIYGDNMSGVLKNYKMNSKSDGDDEKLSDVNTNVIDFIRLSMDNIKNSQVSNIHNAQSDSMEHSSQDTFVPCLAFKRRSNNLNAVHYPTPQVHVQRPQFKIPVDNSENFWIPKIAYKPNAEKPLELMTLYNKDGVPCGIEHPYKYELELYQPPKWALEPDKESHNFPRKLNETPLTFINTQSQLNDLLRHLETVTEFAVDLEHHSYRSYLGITCLMQITTNGGDFIIDPFAVSEHIHQLNQVFTNPEILKIFHGAKHDIAWMQRDLGLYVVGMFDTQKAASILNKSRTTLQYIVFEYCHVELDKRFQLADWRVRPIPEEMLEYARSDTHYLLYVWHEMKKELLKAGHGSTDKLLEVFENSKALCLIRYEKPVVTDEKIIKSYEKTKKTFNARQLHALKYLYKWRDTQARELDESPEYLLPSHMLMALAEKLPRDIQGINACCNPMPPFVKQNTIELHNILKACRELPETAFAFLVEPASATPAPKKPRLHRHKHINYKAKFNEMVRRWYESLNTHYNPALWPFMGLRRNPKIKTRSRQMASSRRMRFSEPYHQFRSSGQKPRAQKSNNGVASMKESGEHATPSTSKAFAVPSSNDTDTSVKDCHQYIHEYRKPERKMKK
MDSEENTSRELIKGFDSFEDFLKTGFQSVMGAIIASNKLPKGDQWDYYKTFPSFNGIMDNQATKVVDLMSIILKHQGIQQNIARRDIEEKYDLVVDTNDVILERVGIMLDELNGIQKNQNSANFEERTFSPVISGSWNVDDSDQNKEKGPRAKRFLFHSKNVEKPQIHFKDGVDNFKKYFKPQLKDKPNALKPLHESIVFINEEEGFTHPYQHEIDAFVQSLSDKDFKKMNPIKPRPLQQTPLLYVDTEKTLNILIEELRADERKELAVDVEYHSYRSFQGFTCLIQLSTRTKDYIVDTIALRDKLYILNEFFTDPSITKVLHGADFDIPWLQKDLNIYIVNMFDTRVAASKLGFNKQNLAHLLKTYCMVHADKNYQLYDWRTRPLPERALVYAREDTHYLLYIHDNLKNDLIGDGNSNVTVSTYFQSAEICKSRYIMPVYKADAYKDILKRAKKRFDNRQLTALQGLHEWRDKIARKEDESTGYTLPNHMLLQIAENLPREMQGILASCNPIPPLVRQNLYDLHEIVLKGRQEPYMEPVVVEELMQIRPLEQTPVNFEAPLHCPHDLTQTRDFQPNLPTLLGGHKLNILVEATLINEKPQMSILSFYQDNEDLNDSQLEKQHVNFLSPYDRYKKVLVYLSECKQQKEKNQKMNAEKSLDIKETSNVKNEQEEEVTGIVKIQATESNLEQTEEIVFIEEKEIKRESVQFVPMPTPKLPNQKSQGKKTKRNIQDQDGSNKKLKTEFRPFDYSKVDFNKFQSGPASSINRAPQEHFKARGQKKKSFKKFNQKSMSFSKNKWN
MIMEELKLVSNDCRSRMDEILNASWKVFKWQFVNKAFVNGITSEASFQFHFASIIKSIGDMYVSPKEMFYVDIETKWNNKKIDITCGFCESGEKQPLYNCAIELKFKRKRDSKGTFSADRIFKMYRDIDYLERETGVKGNDKDSCYSEGRFYLITDNSSYKNSEVESEGPVFGLKDGGTTSTQPFRAENESMQLTKAYPIVWDERKSIGKSLSDKWYFLEIAVAGVTK
MHFAQELEAGKVIRLIFQGQLLRNDSRTLTSYGIVDQSVIHVHIGQRAYRQEGASQQPSTAQNGTDPVELFANPHLVVTGIAWLDSALLTFLSSILFIVRWAEADDVLEENDHSFPARMCRWLRSFIRLFTSLIAFLIVPQDNEVEMQHQFGTFFNFFVFLKIILIIGISCFFPQVVDMKTIFLISIISGFALLFLWANRPRPRAA
MDKKDECFFVLSENGEEKLLFAGREFCRIKYHFKYWKEPKGEQWEINFSSFRTFPGKFEVSGEIPGVGAAKITYFCGRELNIHFSFIPSVAQNIGFYFLGMEFPEDATELRLLPGVYCGYTGLCGTFKFSSVYSEKAELFQLASAVDQKSDSRGTTLTVYQYFTMSFPPAFKNGTAALTLGQEISGDLTVSYCQEDIWDPVHKIREFETAAKPEKMMERYTYNEFLLNWEKYIREPDLWVELGNGMGMFHVGFYNVLTDPKLGGPYGYTLNDRPIRYKELQELLFNPGADKNIRFDYFQDNIHQLEIAWGNGCNTMVAYALYNYGGEWFRRKADAIVKALLEFKNGGFQIADGPLSGAWINAYNADSGCFQDHYGGNQVFLPDQGIVNYFLSKIYLEGFNRAPGIPRNIERNCTEFLLRSEKMHNGFPNAFSPDGNFGYSREGYPYNFPNAPGIAQTALSFIMLYRLTENEENLLEAERIISKYLQPLIEDNKFGFLEYDHRGYCSAGAASVLIALAEYLEVGGGKISETVKHMQEKVFYHLLSFRHERDYFIYRHAHNVDGWHAVAKNCHHFLHGFTRGSLQGEYMLHTRYEYAYALLRTLESNPALPVKTALIDHLNSYTWQQFINPELKKGFGGMTEHVGLRTYVQDTAHLLHSVPLAMILIEKANRREAV
MSKKPPYKLIYETDIDPAWIDGNGHVSDRNYTIVFSDAECMFLEELGAGETYRTELGGHIYTAENHLVFLHEIQVDEKMYVGVGLVDFSDKALHLAFELRNKVGTLCAHHETLLLNVRKNAHQIPKVSPFTAEITKKLIGFEAEFGRISNSPYCGKAIGIRRA
MIPEWIDYNGHMTEHRYLDVFGQATDRFLAHIGVDDAYLKSGHSYYTVETHIMHRGEAKAGDELHVETQLLSFDEKRAHVFHSLRRGDAEIASAEQMLLHVDSKAGKAVAAKPGIREALSGCSIPIRRCRHRLPSVGMSGYAADTAAFELQHFQQKCVAVPRFLVKWNCSKSHPAGGGGFYSAACRSEQRKLRPPNRLPLFASLDI
MLDCIVICAVLVVMLMRYDLLDMCIHVRRRDVRERELEKQNCTAVALFYIDI
MIIHKTNASIFLEMFKIFGLLSQAHHNDVLKDFKKNT
MTDVSSLEHKARQALNLFRSLGLKAGNAVSPRKVLIVCSNHGWDGLAIAGGLEHGLELGWFEDTGMIKLTKQGFAAIQTV
MFLQLQNGNAAVEVRVRERTARYELLRPDAITPRRNLGLLTYEPMDGKRVDRNHDVANRWQVRGYAVDANNGRQVPVLLRVGGEALIPSLSDYFRTLQAANQMLMTKEDASRLFGMTINSFKQTGLIPIHEQYNPR
MLGPVLKFLVAVILFWAQRPLGGLKIPLAIMLKLVETRILLLPVSVADVGRVQNPVAAMKGWRLGSIPADAAISELEWQCIFAVFPLFLGCTVRVFLHVGVVDAVSLVLLFAAAGLGLGLAVLGTCLSEILPAEPVRSAGGVAFWPCACRAPFLKLNPVLILSFEELVGV
MIFYLTGRMATQGQLHLIVGNSMTVIRDLNLLETSFLNLNDNLTRARVNGIFYQLFDHTGRTFNDLSSRDLVCQHLWQFNNMRHLSAPFCIYFPRN
MFLRNSFSVLLLAERKHLMTQMERKLILFFVVSLIFFVWYTTAVQAQKKSVVEEILDILLANHQISEEQYQYLLKKAKAEEQERTQGSTTKTISQKESLKENREVSRSGEKRYNETRINTYWKDGLHFETDDNRFSITIGGKIHYDWGYINEDADVGKFVGEDFGSGSKMRRARLFVKGNVYDNIDFKAEYGFSGGEVKVKDLYLGMKNIPFLGTLRIGHTKEPFSLEELTCGSDVTFMERSLPNAIVPRRNIGFLAHNFAFDKRLSWSVGVFSETDGQGNGFGENDRYNLTGRLSFLPWYRNNGKDLLYVGGSYSHKFMDDGTEERYRARPESHLTDTHFLDTGNIPTDEIDLCDAELALVLSSFSIQSEYVRTFLNPSSGDRMHFDGFYVYGSYFLTGEHRHYAENKEAFGHVKPRRNFQVTGGGWGALEAAFRYSYLDLDDEEIHGGIMNEYTVGLNWYLNPHSRLMFNFIHSHLNNIGDTEIFQSRFQLDF
MKLRLRLSALHCAVILGTTALPLPALSASGAMDDLLKVLRDKGAITESEYRILRNSAEADQERASAEKEEMKKDLSEATRDTVKVKTGKSGLKLESADGDFHFQLGGRIMADAAYYDEDRTRMGNGAELRRARIFARGAVYHDWFYKLQLDFAGNKTTLKDAYLGYRGAGNLKLTLGNQKEPFSLNELTSSKYITFLERALPNTFAPGRNLGLSVGTHGDNWGAKAGYYFEGVHNGSSPKSQGWGTTGRVYYAPLAEKTRVLHLGAAASYRGSDGDNEIRFRERPESHVSGTRLVDTGTIGGYRNQKLYGLEAVAVYDSLSLQGEYIRSSIDVSGGGSDPDFSGWYLFGSYFLTGEHRPYHVGSGTFGRVKPASIVGKGGHGAWELAARYSSVDLEDGGYQGGEENNITVGVNWYATPNIRFMANYVRASTDPTSPVKYASAGDEDLNILQVRGQIDF
MFRGTRSVLSVGAAGVAIVLLAAAVAARAADGGTLTPSWDNGMVMQTADKEFRIRVGGRIHQDWTFFASQSDSLARRFGDLQDGTEFRRARIHVDGIAYGTTEWRAEFDFSSGDASFREVYVGLRGVPGLSTLRLGHMQEPFSLEEITSSNYLVFHEYGLPGVFTPAYNAGLLLGGTFSSGRGGWAAGVFRDSDNYGKNSGDGKFTFTGRVVLAPVYSKEQKRVVHLGFSGSTRNPNGGVVKYSRKPEVNLAPNFVGTPNIAADRVNLFGVETLVSQGRFFAQAEGIGSRVTRTGGGNAMFSGGYAYAGWFLTDDHRPYKPGQGVADRVVPTRNFDPRKREWGALAVAVRVSYLDLDDSGIKGGTLTDGTLGLDWFLNPNTSVKAGYVVARPKGTGAMSAFQLRAQFDF
SYQNNRKWNVFRTKPVTAVLGGAILMENTRWLSDDPVNEEQVGDLSEFKGGSVRGFRAGLGGTFNFKRPWTYLFTFGTRAFERGFKEDDLNEFVLYDYRVDIPVGSATLSVGKTKETISISRLSAMIYLPSQQERASVADGLLPARNIGITINNTIAKQRMTWAAGVFNNWIETNRSFSDNPTVFTGRITALPYLTEDESNLLHLGIAGRYSNAAGGIFYKTKTEIFSGPVSVDTDLIDDAESTFHYGLEMAWRKGPFILVGEYIQADVRSTTFNDPVFNGYYVVASYSLTGEMRPYNKRSGVFRRVNVANGINTGGWGALEVYSRWSSIDLTDQSIDGGQMNTFSIGINWYPVPAIQANINYRYSTLDRFGEVGFNHGTVGRLVFILE
MGDRTRVRNGLLAAALAVLPVLPLHAQQTESNATPIGTADGKEAVIDVHSRRFAMPDLPPLTPPSAEKQARFFNIRPSIALLGDWTNFGQDAANVRQVGRLDDVFQVRSARLTLFGSLGHSYKVGFQVGGEYKGFDTDPERNWQLTDLSLTFPIGDRTRLTVGKTKETFSYEMVGDAANLPQSERVLNAFFVSRNMGLRMMHVFGATKRATLSYGVYNDSLDINSTTNRGWDVSARATGLVWDDPATKRYLHLGLAWRHVASDGQLRYRSRPETNVGANFVDTGTIAAEGAEHVGVEALLSLGAVSVLSEYVTARVDAPTRGNPHFNGWYITGSWVLTGEARPYDRNVGYARRVIPTGYWGAPELVARISRVDLDDGGVSGGHYFKTYAGLNWWATTRWKYGLGWGHTWLDSKGEQGQADAVLARIQWVY
MLEVQNISIRSLENFSYSHPQNTQTLVLGASGSGKSTLLQVIQGHLPVSAGNVLNKFSQTGMIYQDLNLISHLSAHENAFLVLSTPQLAVFQQLVTSLGILQLHRPVKTMSMGERQRVSVAIALAHQPDLLLADEPTSHLDPEMALKTLEIILKHSKSLILVSHDHHFKSHFSKIVEIGNLK
MTATEPLLILEGIVKSYGKHAVINKLSLEFFKHDLTLLVGRNGAGKTTLLRVAAALARPEEGTRVFNNKSSTPLEWHTLPGRDVGYLGHAAQFYGDYTVLENLKLFTCLRRLTIDLNLLLEEWNLSDQRHLVTRTLSQGSRMRLGLCLALMHRPSLILLDEPTASLDDSTVELLKGFLQGRLSQAPADRTNDCTVLIATHDIARFEDQATRIVLLEEGRIAEDSRRTNTKLVIESYRRRNR
MRKKRSREKQAEEQKSHIRELDRIYRADGRANESSEETQQRHFDDRLRASARRNNASFEVKNQRQATDRLRTLNSRGTESNEQRERRIHCNALGNQTRIGAETFDARRNSLQLERVRQGTFRASNWLYLKDEALHYDPNLDYPNFPQIVIRSMSSKCTFCGALKFEAEASGLCCSNGKVSLPELPQLPEPLKSLMEGNHPKSKEFLTMIRKYNSSFQMTSFGTSLPMLDSTGFMPTFRIQGQVYHKAGWDYQKFNRILAKDVARKQSLRSKI
MLIYTLKRLGLALLVIVSVSLVSFMLTRVSGDPAIALAGEGASSEEIEFVRVHYGFDRPLIIQYLDWAGRALHGDLGESPFLFLPVTEMLKARMGVTMTLGLCALSFAMILSIPLGVLASIRPNSWIDRIALTISVMGQAMPAFWFGLIMIIVFGVYLCWLPIFR
MAYPNPHNVSRETPPLPPTTNNNAALSQSLRRLSLNQASATALPASPQPSSPARNLGLQRSNSSASPNGRLPPRSPLHRSPSSMSTTSRSSTPTLLRKASMNSLHGVGGVTPSRTSSTSRRSSSAQFTNASTMVGKSPLSEIEDPIPPQPRHTAASIASNHFKSELELHGREDNPRSADVIVILHDSCYGHRYSRPRTSKASLSTIVERPERIHASILGLSVAYVCLGERHADGQFPLHPDRDATSIPSMPFRIRKTTRKMVLSSQAVTNVHGVKWMEELKIMCDNAESRLAMNGKELTRPDMNRSPDQGAPAKLHEGDLYLCAESLDAMEGALGAVCEGVDAVFQGAASGKGPLRTFVAIRPPGHHCSASYPSGFCWLNNVHVGISHAALNHGLTHAAIIDFDLHHGDGSQAIAWESNRRATSAAKNAHQWKKTSIGYFSLHDINSYPCEMGDEEKVKNASLCIENAHGQSIWNVHLQPWKSEQEFWQLYETKYSVLLEKTRNYLRAQTERLRLSPNGPKPKGAIFLSAGFDASEWESSGMQRHKVNVPTEFYARLTRDVVKLAGEEGLGVDGRIISVLEGGYSDRALCTGVLSHLSGMSAGDPMIIKKERSPTGLGYEMGQKIGTYDGIPHPETLTVGSHIQNYDPSWWSVPRLEQLDALVNPEPITAEPRKPRDMTPPTYSTPTQSASSIKSTLSTSARSRLDCSSTRVEQTSNSD
MNGRYVTVACVRIQYLWPALLAVGVAVSGCSSSNTASSPAKPAANAAVTTTKAKAAGGCVEILKLADSLSKSVDGISSGTTTPDQFRSVAADYGTKFQAAADATPDPEVKAATTKFAAYLTNMSKASDSDLTKDESSFQTAAAELDAKCPN
MPETDGAGDSLFTDLFTDRKAPPPESKKPKPKEEQPFVVSDRELNHQLLAGKKSVDDYEVEQKKAIVFGDAGSSWRMMRLRNVERQAKDEGVSMEEVGISRFGSAEAYQDALKEREYLSSGSGGSRSSGDGSRGGSRPSGRYAEGRKRRWADSASTSGASSTSSRSSHERSGVSGEKAPSKRRSPFSSSGSSTPRSGFARPGSLLPGPTSTLSLSGDTLSSTSSKPDTLDDNTKKLAASPSLSPHPATAPEKHTPVLNQAELNRLRARILKASMQGKDISALEEEYAMESARSKRAASTASSQVKPPPSSSSSSSTTAIHMSSYLSGHGEDLDVQRDQALDEDHRRHSGTRAEKRKEMRKEQSVLRGLSHDPRTGERVGYAEEGGKPGSGKANTLPTSLEINMGVLLPPHQHYLI
MTGAETFGAVSLVPPLLAIVLAMVTRKPVLSLFLGIWSGAAIYTTNHGVVQTLDWLVSSIGESTFNAKIMLIVLFLGAGVALIWRLGGANALANAVTSRLDSQRKVGAATWIFGMIWFFDDYSNTAIVGTTMREISDEVSISREKLAYMIDSTAAPVASWTASITF
MWVPTGTSVWAIACCSRAHGWNMPNDLFVLVAEHLIAPSAGLADSSNTWQQQFRIHRELQGAGFHRTLVFNIKCIEQHSIDLQGCQTSLLQPLPSSILADPYQLEDLARSGSSSLATEAIGSAGGAPYNFKVLGTLDLELPAPACKANALLLGFKLMQQQQQLEVQLSVPLHAKYPEPHAFGFQGWQLLSSGHVQVVVPQPWLLLDCRQAGGQLQVLRPPTEQQEQQPQHQQPTQAHTAGSEELQHRQQQQQQQQEVLLWSVPAGNLDHRQLVSWGTLLVAAACCAVLSIRALQVMAAV
MAFFGKLREFYSEQTRNYKVLLTRSVVASFLNQLVENFSSLYIVELGATPFQLSAVRAVGSAASALVSIPAGWLSDVYSLKKIMVLGMILQVLSVACYAFAQDWIWIIVAMIFATMTMTLVFRMQNIFIANSLSDRNRATGYAMRTTIRQFFSIFAPTIGGVLVHLFGGISVQGIRPLYFVQLVGVSAISVYVALNLEDVETEGSFQVSELIGHYREMFRSGENLGRFAFVQALGSITWGLSMPF
MIQALSIVGYKKSGKTGLMLKLAKELQKRDIKVAAAKFSEHGFDQDNTDTAQMSSVVREVIGLSREQSFFHWQEKKYLPDLIPLLQAKMLLVEGGKHLGWLPRVLVLKKPADAEALDRGLAVATWGKIKTHYLPHAGSIQDLADIVQSRGFALPGLDCGTCGRETCLDLAREMVAGKAGIQDCQAMHSRMKVTVNNQELAMNPFVERIITKSIQGMLSELKGYSPGKINISIE
MTLKLNKFYFLSLLLALLVGCSQNFGVTFPGERRLALTQIGELDLPTNYEDTTVYLKGQVISVAPFLESGAYLLQDTTGTIWVVTKETIPDRGDIVLIQGKLVYESIPIGEQELGELYVQQEQQLGRQASQLPNSSGAVILPERSFESQP
MPPDIDVNIFNSYLTISIILPIYNAGYWLDECLSSILVQEHDLKLEVSIFFDSCTDNSVAIFEKWFPKFEKDFMEVKISKEENEKPKGVGYAKNKCVEQSNGEYLCFLDADDIMLPNRLKSQYNLAINKKDELIGCKFRRIPEGSTNRFTKWANSLTKGQLNTQIFTSNGPTIIMPTWFCHRSVYDKVGGFEEGRAIPEDLIFFYKHLRLGGGISRCDEELLIYRYHEDATTFSIHERTIWDIRVKELEGSCFE
MEEAQRLLTVSVWKLYRCRVRRGGLRLHRSLQLSMLVRAARHRYLSARAAAXILPGPEDYRECGERSEPGTGDYRECGERSEPGTGDYRSCGERSEPGTGDYRECGERSEPGGPSGDPRCPNTPSTDRAMKRTEQERGNSLRDCTGDPRKAPSGAPGTEWYRGCPPYKPSEDPPSLANRDRGPRTDRGSPSRPEPANRDPPPAAPGPPRSPGAPRAGQKRRSSGSAGPGRGPVPSKRARLEAEAPPVPPGPPGRCSGPPAAAFGFLVRAVGAC
MPVAKSSLKVRPQQQISEKKLKELLEEDKRRLTSLLASYRPITGENAPGLRFECVIEDFLKGKKLWLPVEMLKEKKFCAIIKCGSIQAFCEKYMADLDQEKARDAVFRYLIRLRCKHDFYFFAYAYARIKNKDGGDDIPFLLRNAQIKLAKVFEQLRLHSQYRYIRVILLKCRQWGGSTLTDIYMAWLQIFWKTNWNSNIVGHQSSSATQVFDMYEKLINAIPTWLFYDIGQPFKPDTRKLKTSGTIQNIKYLIPRSCKIQTGSARNPESCRSGDAALAHITEEAFFPNTTEWTPAKVIKAASSSIQPDPLTFIVRESTPNGRENEFHDTWVAANSVDKDGKPLSAYTPVFVAWFEIEKYVLPFASEDERADFIIWLWKNRNDEQGHGKYYWWLYECKGASFEGIHWYIEKSKEYETLDDMRQEFPSDDVEAFLFSGTTVFDPYKLKEMEEDCKGIEPIMVGDIEGDSYDAADPACMNNIRFVERSGGPLKVWAGPDNSEIVKHRYVVSCDIGGSHKTSDFSDIVVLDRYDEIYGGVPEIVAEWHGHCDADQLAMRCAQIAHFFNDAFLVIENNTAYSRMNNTEGNQSELFFPILIPLYSNLYSASQSKLKKVKNIETKWGFNTNKATKVAVVKTMARIIRDGGYMERELAAIDECTYFLYYKQNDCYGAIAGKHDDRVMARAIALYVEKDMPAPEIVPFRSKAEIERERLRNRPPVVAELSGIGGGS
METTTTAIDEMLAENERRNQHIQQTFNPLTGEGCLHHRTHVHIHDYKPCDVWLPESMMRDDMVRGIIRCGAVSAYARQTRHTTSEMMERLEYLRCKHDFCYWAWRYVRIKPKDGGEDIPLTLNRAQRRLVEKFEEARLQDKPIRVIVLKARQWGGSTVTQIYMAWLQLLLHKGLNSLIVGHVKTASAEVSSMFDKLMERYPMRLLECAADSPDEDDNSPTPFTTDSCDIFTTSTTQHSSDSKKNKASNSKITGDRHSRLLRNIPLRNCKIKVGSAETPNSARGGDSALVHCTEVAFWRKTDNKTPEDIIRSACAGASYKPHTMIVYESTANGTGNFFQREYDAAKRGFSQFKPLFVAWWQIEQYALPLEDAASFAQKLYNNRTKKEPTHLREESGCYLWRLWEMGATLEAIKWYINERRKYNDHGNMAAEFPSDDIEAFQNSGSRVFDTYQIQVLRKGCRPPRTKGELRGSAIKGKAAIEGLLFDTDDNGLLWIWDEPEHFSDGTVSDRYEVVVDIGGRSHKADWSVVCVIDRYWMLQGDKPVICAQWYGHTDIDLLAWKAVQIAHYYDDALLVIESNTLETHDDTRWIEGGDQSAYILHEIKEVYPNLYARRQSAEDIRERRPAKYGFHTNIKTKPEIISTLVEVVRERLYVERDERCLDEYDTYVRRPNGSYGAVEGKHDDLLMTRAIGLHICFHEMPMPKWIPVIRQKRKPHIQGSLWN
MARLSGTEASLLADPEMRELILPVLRNDYRAIESYHHDPRAAGCAPVLSCPIVVIIDDSDPQTDVADTQAWCTHTTGRFELITFSGGHFFINDHTAAVTALVADRMATAASLKVPR
MDVEHLIEQLNRAGILEEIQRKRVTTSEMPATLYISLMAASIATKKNLSTVIACAVESYITSNQQKHFDELQLQAAGAGKTLEQYLVEEIVKRLKTKN
MFIIWLLRIGVLIGGFVAASPYIKSKLPKLTKLSDTLEKYKLYIGIVLFLISFVCIFSFAWVEAKNYPKLTLVAGLLTGIILSENLINNFNIPEEKKEKIKETIGSIQIPVGIAAFIIGLIWIGYIVLDVIIKYLL
MSVAPVGTAASNCSIRAADIVDQILAAVVLPRVEPGVSSSVRAGAGGHPIRSAPCDAATDAGWVARAAGRGDVRGERSVRPRRKAAHSYVSWRGRVGSPDCSGCLPQFLSFRIGQSAHSGRVSQRNCNGGMDFTAHPTVMTSDPANPRGHSTSEHSLRHGVLGRGRGGLMTEYLGRARPRLSFLTRTSAEQATTQCGARAWCRPHRRQCLDWEQDVPRQPHTEGSGGHTLHPPKRGTLFQKYIAQGQLVERAPPLKKRRRPHSGRCPHGTSNPSHRARATESTCNKRPK
MVSLLTPHGNVNVTGVSRIQDGFKYVEVEGIVNDDGSMQEMAVSNLGDNFGMFECPHTFTMDANAD
MLWGEARESVNFSSRLAYPTDNKYLSLLLWDLKNIPFITLRTRWDSGKIFGMELNFDAAMPGLPAGAMNDYDWFFTDREWSHWSLSETTLRWGFSLSTSVDFRVIDSGPLSVHLGLAGLADYWYWSDILKELIYSISVNDITYPVPFDTSKKHEFRSSTESNSFGKNAVDYEVGYFSLLSVFKLKIQNRLRFVEITARVGPTFVATHDFHKLRESGLGLRIYNWGVGLPWVDFSLAIGVHITPRVSLSFRGEIAWMNDIVSDTHYYYGNFQYKNSAGAAGNSGFFRGGMSVLLSWKFSSLKNKSSKL
MFVVAGVAAVLVLGAVVGSVVLSASAEPDGPVKADECVGVRFDLQQGKSSMQRVPAAERVDCGDATAKGKIVKVVGPSTGFSSSSPDCPDGADGVAAVRADEKAASATQVCVRNVDGPHPGDPGAGGAMFSVGDCVKSSIAFGSEVACTDKESYAKVVARVNDKAECPSTALEIMELRSFGGGETPRPVLCLGGEGQIVSVGECITDPSYSVGGLKTAACGSNSAVAKVLGRTRAKAECPSGTTHHLEAEDKEKALLPVMCVKKLRPTLNERIRGLAS
MRLFPVPTPRSTRPAKRPRTSCRRLHLGTLEDRTVPAVNLLNNYSALLQNGFVPPDTCGAAGPSSYVETINQDIAIFTPKDTGTTSVTRSLSDFWFTQGGL
MLKRFLSGFKPNRKISDKFVKELIEIATSIGQHEYLKRYVIL
MIRKYIAIFSLFLLLTPVAYAEGNIVFSIPQKDYYFLVGEQAYIPLNITNSGNNDINGILGYTLTQEINSGSVHFSSSNSKSTNLQVSKGNSTVNLGFGTSDKPETLDVSLNFNYDDNGSKVVNLGNIAIHFVEKESDKQNQANPMQSSSQEASSTQQTTDPFAQQEQQIAQQEQQMQQIMQQMNNQQSSQTPQQAAQNNQMDQDTSALKEQMQKQVQQQQQMQQQFQQQIAASQDFQKANQKLSDLGYNLTSMSTNPSSNNTGTFTASYEKQNGDKASIQGEMNNGTMKSLQTDTAEDKQKMRDLLSQNKDFQKYQKQLQDDGFNEVDSTFSQENNTTALQIQYENANNETAQINAKFENGSVEDVELVREQERNLYPIYLIGTLILLALAYLVYNKYSGKKEQETLVEEKIPLQEENFDFREEAGKLVEKAISLYEEKQFKEAYGTAAQALRLYLSYKYGLKKEVTNSELMNYLKNRDVEYEKFDRCLQLSSLVEFAKRMPDDGEFEEMIEIIKDTMKDEKN
MTLTTEAEHQAAVQEFRTLATDEEANCDRLLVLRDAIHAFEEAKGHNPGPPKTAAGQLQVILFKRHLQPPL
MARKFALPVRWRFQPVQQFVIIKKSHYLNIHFGTGVII
MAMYVKMVFLKLKENNIIKFQDTYVKEVIPLVTSHKGNRFTHLLLDRDNDNEAISITAWDKKKDFEAYLNSGDFKKTSDKYSSMYIEPPIEKSYEVVASSDPLIIRIF
MLKEFRISLLLVLLAVTGLIISASVPKDKDVRPMSAAEQTWVDSVFATLTPDQRLGQLFMVAAYSNKDKKHVARIDELVKTYGIGGLMFLQGGPAGRPS
MQKLSGGQAAVNSLKIENVRHVFGLIGSATMEMFDALYDESGMDFIGVHDERTGTHMADGYARASGGPGVILAGQNGPGATNLVTGLAQAAAAFSPVVSIAGALSSGHVYRDAFQEVDQQALFRPVTKKTWTVTSAERVPEMVREAFRVAMAHRRGPVQLNLPRDVLAATAEFPLTGQPQKPEPGSAPAGSADVIAQAAALLEDARQPVIVAGGGVKNSGGHAPVLTLAEMLNAPVVTSPGHGDAIPFGHPLNAGQMGP
MRGADAIVKAFEEEGVKNIFGIPGGAIIEVYDALYDSSISHILMRHEQAAVHAADGYARASGKVGVAFATSGPGATNTVTGITTAYMDSSPIIVVTGQVPRSLIGNDAFQEADTTGITMPVTKHNYIVTDPSELLSTIKEAFYIAPTGRPGPVLIDLPKDITAAEIEYNYPKKVQIPGYKPKTKGHPKQIRRAAELIMEAERPVILAGGGIILSNASEELIKLAESIPAFVVTTLMGKSSFPENHPLCLGFVGMHGSKYANYALTECDLVIAIGTRFSDRTTGRVDSFAPYAKIIHIDIDPAEIGKNVRVDVPIVGDAKEIIREILKIIKYKKRKEWEEMVNRWRTKYPLRYRNDGKLKPQYVIEKIFEIEPDTIVTTEVGQNQMWAAQYFRTKYPRQFISSG
HQSVDLVAMFRPVTKWAEIILRPEAIPEMVRKAFKLAQTERPGAVYLAVPEDVEQQSIPRDAAPLAINVVYDMAPSASQIRRAAEVLNSAKSPVILAGHGAARNDASEALVRFSERLGIPVATTFMGKGVFPDDHPNALGALGFMRHDYANFGFDRADVIVCVGYDLQEYAPERINPDARKKIIHIHRYPAEVDAHYHIAVGIEGNIPQSLDALASASEPAQDPPAASAVIRGLLRQELDRGAGDGSFPVKPQRLVADIRRAMGRDESCWWTPGR
MAKMRAVDAAMYVLEKEGITTAFGVPGAAINPFYSAMRKHGGIRHILARHVEGASHMAEGYTRATAGNIGVCLGTSGPAGTDMITALYSASADSIPILCITGQAPRARLHKEDFQAVDIEAIAKPVSKMAVTVREAALVPRVLQQAFHLMRSGRPGPVLVDLPFDVQVAEIEFDPDMYEPLPVYKPAASRMQIEKAVEMLIQAERPVIVAGGGVINADAAALLQQFAELTSVPVIPTLMGWGCIPDDHELMAGMVGLQTAHRYGNATLLASDMVFGIGNRFANRHTGSVEKYTEGRKIVHIDIEPTQIGRVLCPDLGIVSDAKAALTLLVEVAQEMQKAGRLPCRKEWVADCQQRKRTLLRKTHFDNVPVKPQRVYEEMNKAFGRDVCYVTTIGLSQIAAAQMLHVFKDRHWINCGQAGPLGWTIPAALGVCAADPKRNVVAISGDFDFQFLIEELAVGAQFNIPYIHVLVNNAYLGLIRQSQRAFDMDYCVQLAFENINSSEVNGYGVDHVKVAEGLGCKAIRVFKPEDIAPAFEQAKALMAQYRVPVVVEVILERVTNISMGSELDNVMEFEDIADNAADAPTETCFMHYE
TGQVNRHLLGSDAFQETDVIGCTMSVTKWNYQITRADEIPEVFAKAFYIANSGRPGPVVIDITKNAQLEEMSWHYRPVRQIRSYHPRPRPEAAALDEAAQLINTARKPYLLAGHGIQIAHAQEVFRAFVEKTGIPVGCTLQGLSTLPHDHPLYTGMLGMHGNYGPNLKQNECDVLIAIGMRFDDRVTGNLEKYARQAKVIHIEIDPAELNKNVQAEVGILGDAREVLEALLPRVDARAYPEWLASFRACAEIEHEVVMRKDLSPSADGQIKMPMVVREVSDQTQGRAIVATDVGQHQMIAARYYQFRETNQWVSSGGAGTMGYGLPAAFGAKLARPEQTVVAFVGDGGFQMTIQELGLCAQWEVGVKIVLLDNNYLGMVRQWQQLFFDRRYSSVALQNPDFVKIADGFGLSGRQISDPAELPGAVAEMLAHEGPYLLHVLVEQEDNVFPMVPAGCAVDEIVLGK
LEVSAYKNILMKKKELVVASRNVSLPQKQRQQAWEKIRQINEQLFQGISHNFKRLDESIRYLEQEEKISLDRTFFFGLFDKERLRTFASI
MMFPTEFERSHELCLYIHDVMVEFIKSGEMHDVFNQSININEEEAGIQIISATLMDEWREVANC
MGDSDELTELTKLGEYRNRSTSNNNNINNNNNTTNNTTNININNSNSSTNNQFNKFIKFKFLIVDTVRIRKKPQTTIAEILVFEKLLESFNEQIELIEF
MKNFEKVLLHTNDAQIKNELGFYLPKQKYFQEYLNKYALLCLKPLEAKDLNPLFDNPKAFLTQQITHGESLNIGGLKMNPEKLFEIIEKPVGTDELINQIITDKASQQIASQNHWFTDSFVIGNNNNLEVCQKVKQHIHEKCSLYVENEKQTEAIKLLEEISEKMTRINELKTSGNITPDDLFETLLTFKDSKFAPKLTCVNLFK
SIYAGWNTATNNKYLDNIWEIRAGENNDYPVFKIQKNYKGEIVITSEYSKETESFAMGFVKVAIGEKVYTYMLGKNKTYKLEGLEAGEYTVTAYASTNHTATAHRTNASGEALGSVTLNETTTSVTICIVIAKTSTGTFYGGAGIVGGSTSTNTTTMANEVTMPEVTEEPNEELEELESEVQSDTTREEVKTDTENGTQNNAEVAIPQPHFIATARIETKLNRVSKMEQISVKTKPSMSHTKATMAENMAYMAEIKNSQFVPAHNKKTLV
MVLNLYDIQSPIQFRLNYTLIQEDPKPVYEGQPLPDISHYPILNQTQAIKDFEATFLKDCGEDKECQSDLNIQASLLNLPKDSGGYYLLHMGEKDRIQLHIAAENTQEPAYMASLYVRHSDAITFIKAEAMNVHPCFPYNVSLVECSLGNPFKKGSKNLTIYFNHRGDNEHQKLVEMEVFANTTSQEPHPKPVLEFKIRVVKKAELVIRGVGVPEQTLYGWKPIKESDLQYLDEIGPRVDHVYEVINNGPLGADRVTVHIDWPYQVNTIGPKRKWLLYIITDPIVEGDGECVMPAGRVNYLGLDKRFEADLQPLSSGYTVTESKGLISSYNTVEYIPVEPSSTPSFPMEVEFDKDQEEKIQKRKKYEETKIKITKEQWEVKKQHVKTSSTSSKTFASGRDVYNVTRAQRVRRAPADVVQAEAVLDKATGKKRQVVTMDCDRETAECFRFTCNIRNLLRGQTATIRVLARLFNETLIADYPSVDQVIINSKASLEIDPGLDIDQDTTNDVVYVSTNAQPDLDLLAISQGVPWWVILVSVLGGLLLLALIIWGCYKCGFFKRKRPDPTLSGNLEERQKLNAHGS
MEKDDVDDDEDENGDDTAALQRVGGALCADDIISLATGRCCLPWGPGDRATLALKEFLILRGHQSEEISVFE
MVLSLDVKGLSIEGERVLSVALIIGSQNNNVILNRVSVGAFLEKAGLPSFTSLSDLNDYLSQAYKALWIADGINYGSWSSFGDLPYAADGVVVFEISRYVSMHNCMVVLDAMSKPSLMRKICRKIFGRAFASPTLMNVFHYLTARNN
QEDKNTMHSPASRFSPAVDAELEQAKRAAEEAQARLSKGGAASSGTPAAAPKSAFSWFGAGARRWGSNNNNNNDSSSVASGERTGGGSVGSGSRNNSVHNMSAFEQQTLDMGASALARAVTASTPSMGTSSSM
MVLIVAHPTTDPCGLPPTAPFIPNNACALTSLLTAVPEANGDRQTGSCYFRPPKSAVKKSSSRAQFGHQQERPTDSPSTPRIKKELKLRTVYGLLACLAVTLGVYLVNVPAASATGVVSNGIAWTDDLNPVPHPPGIIEFCTMGVVGTDFLGNKIGISAAHCVDDAPDGAPVYRYAPTGPREHIGNIVYRSPGGGTGVDWVVIRLNADAVLTSNGPSARVDGIGAPNPVGPHCKDGAGTGVHCGAITSQNTTRFYSNAQSGGGDSGGPVLQNNTEIVGMVRGFDTALFAFEYIKFAAVLDGINAQPNPVGRGFVVTNN
MVRIHSFWLALALPATPLSAVSTDFNLKFLMTKKTTATTPTSQSLPVTNSKPKELDYIINGSDEEFRAQLAKLVSPTSSALDTDYSDSSSSSSSSRLDDLAPFLHTVVHSLTIQYMADQLDSLLLLDYNWPQLGSSSPSPTPRSNVLQRIMDFAR
MKCFASKVKSHAIGSPGLVPCNRSSIHSTVHCCEFLLRLPLIKSFYCSGSFPFSKESTLLSISVSKCLFSFFSRFTELCLLCNELENPKDLEKIELDRCSPPPFSHPIASAAEVKFFFGPLTPLFIVLNSPERSGLVLLKLRLLFCWLEVPKPKKSKVFSILRLFLLPPLLLLTLGPKGLESV
MRKLRMDAKTFWKNFSLGKELNVAGCFIFNGLKAFDSLENFKQEDEIFEFLYNTSVGIERLLKVVVILIEHNDTLNQEEFEKNLITHNHLELLRRISKKHNCGLSNLHNEFLGLLSNFYRTMRYDRYNLNSIECHDKERVSLVAFLEKHLKTKIDYKNMFVTSNEWKFKKFIGKVVGKISEALYDLVESEASAQNIYTYELPYESKASIIFLDKKYNFFDDDIVWKELIIYLINTNDRSDMLDLIRQIKPLNFEPELVNEYLNVFKSDLEKHRYIDEVDEYYQDINDKKERIEILNLLSNPNVSFNYDEVDIEEEVEDDYPGEEN
MINLRNIYSILLGPPILTILILSGCFKNKKVNCNNKNTKKRELLCKNVAQKKNVKNVDSLHANVSVNSY
MKIIKENVSNYMLCSRDGFYGSMRCEAGDADIGNNADRSDNADRSNSRNRRTGSKGGGM
MKKLAIVTTHPIQYYAPWFRLMSQRNVINLKVFYTWSQAKEKVKDKTFGQDISWDIPLLKGYEFEFIENISTNPGSHHFRGIICPDLIPAIKKYNPDAILIFGWNFVSHLKVMRYFKNKIPIWFRGDSTLLDDRGGYKTLLRRLFLTLVYRYVDKALYVGTANRKYFRKHGLKPDQLELVPHAIDNERFGDNDMALNNKAAIWRLSLGYSNDDMVVLFAGKFEDKKQPHFLIEVIKSANLSRDKPLKLLLLGAGPLEKSLFKMAESDSNITFLPFQNQSKMPIVYRLGDITCLPSKGPGETWGLAVNESMASGRPAIVSDKVGCSQDLIKNNINGFIFNHNSKSELEGIFIELNKKECRILGEQAQKDIQFWSYTKQIDSIVKVLKYYGHKTR
MTPPRLRVGLLATHPIQYYVPWYRLLAQQVDLEVCYCQQLTPRAQAVDFGGAFAWDVPLLEGYHHRFLVNRARQPNVSAFFGCDTPEIGRLIAQEKFDAFIVHGWALKSFWQAILACWHTRTPVLVRGDSQLPAKRGGGLRALKFPLYRWFIPRFDGYLVVGERAGEYLRYYGARSERMFFSPHAVDNEFFARRAAVARSTREERRRSWGLPSDAVVYLFAGKCVARKHLEDFVRAIATASRGQSRVWGLVVGDGPLRAPLEAQARRQGWPLRFVGFLNQTEIPTAYAVSDALVLPSDATETWGLVVNEAMASGLPAFVSDQVGCAPDLILPEQTGHVFPCGQVDSLAELMLRHADLPTSLATLGAHARLHIQRYSLAQAVEGTLAAFHAVGTGRAAPHDWRPTTTVSEERRLHG
MTDSKKYKLAILISHPIQYHTPLFQALARHPEIDLTVYYCWDFGVKKQSAEPEFGVAYEWDIPLLEGYKYKFLKNLSLRPSEKFWGQVNPDVMREIWKERYDALWVHGYSFFTDWLAFLAAKLRGTPIFIRGITHLLDKKPWHIRLIKRLMLSVLFKACAACLYIGKHNKDYYQHYGVPERKLFLVPHVVGNDFFRKFYEELKPRRAEIRKEFGFTGDDPVILFAGKLIPKKRPLWVLEAYRKVREQYPCKMLFAGEGPLRKDIESEVKKHGIPDVVITGFLNQTEMPRAYVSGDMLVLPSAYGETWGLVVNEAMNFVLPIIVSDKVGCGPDMVKEGENGYIIRSPEKLADALREIVSDGEKRREFGKRSAELVSIWNVEQAVRGAYAALRSIYESV
MPGLTKTTFAKSLIKGILLTALAKATEVFSRIIKVKILATNPHIGFLYFILLFLRAFSLPL
MLFFEKGQPVIPPIPPDVGLDKVLKSMILFVEKRMRPGGIEFFRTQSPRHFEGGDWDQGGSCPRVRPFSSEQVEGLFSLENNGTNVESRLVNQHLFQALNGSNFHILDITHLSEFRGDAHPSTAGGKRHDDCMHWCLPGMTDTWNDLFIMHLDSIKIVVAGVDYIDLTQCSH
MELGLSWVVLAALLQGVQAEVQLVESGGGLVQPGGSLRLSCAASGFTFSSYYMSWVRQAPGKGLEWVSSIYTDGSSTYYADSVKGRFTISKDNAKNTLYLQMNSLKPEDTAVYYCAADTVRGSHSEPRHKPPCRERQRRRQGALRTLCKELHLQSMLLCSCLSPAPEMQARASGLGLPLCTSARPPGGCREDHTCPPRQCSLPHCPAGVLECCPVLSSSRMRSVTAITLLLAVLQDVHAQVQLEQPVAELKMPGEALRISCKTSGYSFTSYWIGWVRQMPGKGLEWMGAIYPGDSDTRYSPSFQGHITISADKSTSTAYLQWSSLKSTDSAVYYCAKDTVRETTSREGQKPAPVHSRRGEPPETSPGASPIQHRRTHHLLCPQE
MNKYKEIESKLVFTHHGDERKLHPKRKDITKNQIINTIMKGTLGFAGSKRFKKNYKGIEVIYKKDGQGNIIVITFYKVKASYLDNLYSLMDNSLTI
MPVNIQKKMKTLNQAIDAWQREYKEQGGRLFCGRGCSNCCTLYVRITGVEALHIAGQLTPEQSGKLKLHVDKMKLHAGGSASMTDFLHRARFKVGPCPFLDPDGACGIYQCRPFACRGMLSTRPAEWCGADFSLLHPLEKEAYRSGLDREVVAWPTHYVEATQYMAEAQEGDVVAWMTESWGFRLVGSLGYLVWLVRESEAMSDLSDMAGAAEFFAEKGREFPYLLTLDRGC
MVMGGAVSSLLLLLLVLLLLPSEDDDEADRWMPWPFTAIPWLLPDAVTLGGIMHQGVRTCGGQRGRWAVVGGGCVRRRVA
MFIGITFPFFDGLLGFFGGFGFAPTTYFIPCIIWLIMRKPAKYSLSWLMNWCFIIIGMLLMLVSPIGGLRQIILDASKYKFYSYSDPA
LGRQVPIQVGTKEFFIDLLFYHTRLHCYVVVELKTGDFEPANAGQLNFYIKAVDSQLREDGDNPTIGLLLCKSREKLVAEYALSDIHKPIGVSEYKLTHKLPKKLKSSLPTIEEIEKELGKDMNKTEN
MRTEIKNQSHLQNELPNNFEHTMKQKLSNKALRSFKDQYLLDFVNVEDADDEIDERILEGEIVQNIRKFLMSLGSDFTFMGNQYRLVVEEDEYFIDLLFYHRSLQSLVAFELKKGKFKPEYVGKMNFYLSALDDLVKQPHENPSIGIILCKEKENKKVEYSFRDLSKPMGVSTFKTSETLPPELKEALPDAETLKKLL
MSAYLSAVDDQLKRSDDQPSIGIILCKTKDNIFAEYVLRNFNRPIGVAEFEVKVVEKLPKKLKSSLPTVEEIEAELSTVPESQKKPKKTKTKKSNSR
LTGISPSQEALFETYFTETPPAPYNKYTGDKIRMRYFGHACILVETKDISILVDPLISYYGYHSAVEHFSDVDLPDMIDYVLITHNHQDHILFETLLPLRHKIKNLIVPRTTSGKLEDPDLKLMFENVGFNNVIAMDEMETIRFSDAVLTGLPFIGEHSDLNILTKMCYLVQIGEFRLLFLADSRIVEPALYKHIHAQIGNVDVMFLGMECDGAPLTWLYGPLLTKKLARDMDGSRRLAGSDCEKGMALVEIFNPKEIYVYAMGQEPWCEFISSIKYTDESNPIVQSDKLVKICQERGMIAERLFGEKELLYEKQHVLAEI
MPKTKTYCGHVAIIGRPNVGKSTLLNVILGQKISITCHKPQTTQKRILGIRTVGNVQTVYVDTPGIQSKINKSIHRYMNKTAIGSMDGVDVVLFVVEALHWTKQEEEIVDRYLCDTKAPVILVINKSDTVSQKSELLPYIADMSLKFNFDSIVPVSAKHINGIDKIEKNIEKFLPEKAFEYKESQLTNHNDKFQVAEIIREKLLRCLGQELPYAVIVTTDVLEHKSEKLIHINATVFVERDSQKAIVIGAGGSKMKQIGRLARFDLEDFFDKKVFLRLWVKVKTGWSDDDIHLRNLGYK
MQNKKITSGFVSVVGRTNAGKSTLLNALANMPLALVSKKANATRKKMDFILPFTNEQFDSQIIFLDTPGFTKESYTKEILKKNLIDKYMLKEANNAILESDLSLFISVASLKENEMKNYESFIKKYQKKHILLLNKIDNVTHAELLKILTFYEKYSKNYISLIPLSAVNMKEKDKNLLLLEIAKNLPEHPHFYDEETLSTTLMRDIYKEAIRECIYEFFSDEIPYKSDARVVSVAEKANVIVIKAQIIVEKESQKAIIIGRGGKGIRALGTLARKKCELLSESKVFLELEVKVIHGWSKEKGGLKYLGYEMGE
MNSKFKAGFVSIIGKRNVGKSTLVNNFLGEKLSIISSKPQTTRQQVKGIFSDDEKQIVFMDTPGYVKPRYELHEKMLEYLRNSIKDSDLIIFMTDAHKYPTDYDKEMCEILSTLRTPKIAILNKIDLVNEDIWQQKKQQLSTLDFEEVLAISLKDGYECESILQKISDFLPYNPPFYSPDEISDLPLRFFVQEIIREQIFHNFRDELPYASTVVVEHYKDYENKAEIAANIWLERKSQKPILLGKNGENIKKLRIAAEKEIHTLINKRVKLDLWVKIKPNWRKKKNALKEFGFR
MSTPDAPYRSGFVALVGRPNAGKTTLTNRLVGDKVGIVSNRPQTTRHAIRGVVHRPEGQLVLVDTPGLHKPKSLLGQRLNDVVRDTLTEVDVIVFCIPADQPVGAGDAFIARQLKNVSTPVVLVVTKTDAASKKQITAQLVAASQLVEAREVVPVSAVADEQVDLLADLLIGMLPEGPALYPDDQLTDDDLERQIAELVREAALEKVRQEVPHSLAVSVEEIVRKPDPRDPEAVFTELHVLLHCERASQKPMLLGKGGATIKAIGSEARVGLEHLLGGRVHLALHVTVLGEWQDDPKKLQRLGY
MWESSWITDKLLRDRDGARKWLSKKPLRRHWRNLMDQYKAGFVALVGQPNAGKSSFVNHFVGERVGIVTPKPQTTRKSVQGIYTSSKGQIIFIDAPGRVKPSSTGLNGFLEKELESAIKEADVLLAILNLDAPSVKEVGQIISLCEQAKKSWIPVISKVDLKKYRHRIDIIKNLLPKRPFLFSVKKSLDKECEGLLQQIFKCLPPSPGPLYGEEIFTTQNLRDWSAEIIRETCFECLKQEIPYLLDVRIQRFAEHDRKKPYVLAEVVLGKEKHKPIVIGSEAKKIKEIGLKARQKIERCLGKSIYLELHVKVQKNWFKNPFWLKELGYV
MFQKDGFNVRLLPAAFSEKELLDEIENIHVLGIRSKTNVTSAVLEKAKRLLTIGCFCIGTNQVDLVGAEKKGIPVFNAPYSNTRSVAELVISEIIMLARRVPDHIRNTHSGIWNKISKIVLRFEVKRLESWVMVILEVRFQYLQKRWE
MSTCIHHVVMGGLGYGEYHEYLHPSCRVSALQSGGGVMVWGSKSQSAMWSAPAAGTGVRDGETKVQFDSRSPEEVLADELPASNGPEATEKTAIRLRCLVKQLERGEVSLVDLKKNLEYAATVLESVNIEKTRKLVDTEDELSDIQSEYVPSEVRDWLASTFTRQMGLMLRQTDEKPRFRSIVHAVQAGIFVE
MAILPRVSLEDSNYVHEYPRLDPACWSVDFDAQKWQINSNPMPFFESTFFNKGVEVIVPDIDQAPMNDASIRYAHLRKQDNWALGILKQEST
MQLTPAGYATLTHQLMTWGIPLVMILEGGYFIESIAADFEWIVKTMLGDAIPRTELKPLKSVLVPVINRVHNFFGKTYPSLAMIGAIKEKLISRQNKEDEADEYEGTRDFQLPYPTRGVYSEREEQIVLKFVEELRKIMSNYGKQEPYKDVHYANSEATLPFTFENYEDHITLMVSGEAKGAVHLLTACAWLRRPTEPEQKTNIIRRNWGAPTS
MPKQKVSIEDILKHSLKLFRQKSYHNTSIADIAAACGLLKGSLYHYFPSKEALMSAVIKYAHEYFKKEVFSIAYDSALTPQQRMEKMFKKTERALLSDGNIMGNIGVETARAIPEFAEHIRDFYIEWIAAVEFVFKEITNEADAKKLAEQTVAEFEGAVMMTRIFKDTKYIKNAYERLLNRFAAFTLADLKNITN
MKENKKTQIMKTALKLFAKQGFYNTTIADIAKEMGMSVGNMYNYFPSKESLAKELLLYSSNRFGEKLKEINEMNIHAKEKIKKIVELYFNMAEDEPELVDYFMRVYLSNKEIFANGCEGMLCVSAFVTEIMIFLEEGVRRKELKNQDFFSAFGLFMGYLGGLVFLSKEGILPKPLKEYIESVSENIYNALKA
MKKTRNPEQTRKKILAVSEKLFLEKGYDNTSIQDIVDGLGGMTKGVIYHHFQSKFEIFETLMSASDNGNTFFDWNGATGFEKIQNSIKSELSSFKKQSIAYSAGVLLKTPRIIGEQYIQSFETFVPELEKVIREGIQDGSIQTDFPKEIAELILLLLNLWIGFQIHDLEANELKRKILFIKKTFEGLGVPLIDDDTLQIASVLIDQLKK
MHPHLVLSLTRLPSMIQTAQLRYILMTTAMIMRYSTCSLRKSSIQIYSSPPEPQLVPQNDSHVTSVAPSMVQSEGTVETSFATNEETRAHQETVYRNLVDQVAQFNMKMTLEVHNWSSSAHKEVHIIISHKISPIINQVDARMQNFEIQFLQEAAKFDRDFKSLTEEADESLDKQK
MMFQSVNQIQNDLLGIHDGPVLRDKVVRKVQNAFEKRFFFFFQVINHGISTHVLDEMIKGTCRFHQQDVRVRKEYYICDPNKKVVYISNYSLAAKWRESLGFPFLISKSLISFFSLSLCQFDRRIFRKKNINLH
MFHHVKDNTETIPSNLKFHVPTIDLKDVNTNLSMRVEALDKITRACKEWGFFQIVNHGIGVEVLDEMLHGIRRFHELDDEVKKTFYSRDRSKKVRYFSNGSLFRDLAANWRDSIAFFSSPHPPNPEEIPAVCRYLSNTNFNGNNFNSL
MVSLGLSFTLVFLLAILFTVSEANTNRKLLQTPTYYQPLYSPPPPPPIYRPSPPRTPVYPPPPPIYSPPPTPIYPPPHPIYSPPPTPINPPLKALPPPQSHSAFYYRKSPPPPPGNPWWWLL
MFKYCSINDIGVSYKVNDDKIMINDVIIEEGTYRGETKDYISAILCDGVSGEFEGNRAALETLQNLKSIVKENLIKEEVIEKIKETNTLIRKIQNDENKKNALKTTLVGIYSNNDIFIYYNLGDSRAYRYRNTYFQRLTKDDSKVQNMIDAGLLTEEEAITYPERNIISNCIGYSDECKINIHSSIGLIPNDIIFLCSDGVTDVIDDDTLKSIFDKKNSIEETLKEIHSLSIKNGSKDNISLILIKKENEVNE
MKQYVAWQPTQLEQLESLEQLRALWHGEKIHIEPAKEARKWGWIRRKIWKECEPF
MESENLYSYAFLETPSLPLILPQGAASQVVLIKSTNLSAIVEPGISLESFQDNEQKIIEMALCHDRVICELFQQITVLPVQFGICFNSQKNLLIHLESNTEKYRQQLQKIHGKTEFTLKLIPVILEEVAPVKSRGKDYFLAKKQQYQNQKDFSLAQAAEKEYLIDLITTTNNYPVVVQEKEQEVSIHMLVNIEDRNCFLEQTLNWQKACPRWNLLLGDSLPPYHFI
MMKTNLLALLAYMLLWFFTGCSADIYVSPEGNDSSPGSFRKPFATIQKAIEAVETSFGKKSQRDCRIWLGDGTYFIDKPIEKESENLKNSLGKLIFKAMPGAEPEISGGVKIENWQSGEDDLWRA
MADLIVYGTSRGAPKHYTELPRTKMRYLAIRTVPNSSKLQVIVGAEDLNNVARIGYQQIEKTGEVLVYENVVEFLEDWTNIFSDQL
MNRLLRNVLNLIKNNIGNENAPWMTRIAAYTQQIIINSSEGLLKDPFLPLAEKVRKRTENMYHKEESIRGFLKSTTEDTSQVESQIQEEWQLLVRDIYAFYPLLIKYVDLQRNLWLKNNTIEAEYLYNHVAEIFNLWSKSQ
MKLIESEMKEAALRMDDALFVEYWGESVPPEKRIKRWLEKADELATEWVPSKSLFLE
MAKIIGTTPNRMASKRGKLGLKPNKGKRDTYKLTEEEISVLCGTLLGDSTIRYVHNQCKYPNLTFSHTVKQKQYFMWKTNKLTNLMSSSNMYKTNYVNTNGEVVYKLVYTGSNMACLVDIRTIFYDGNGVKHIPIDYLIQHFNDVSLYCLFMDDGSYDISSNSYIINTQCFSEEELKQFVVLLYNKFNLEFSIKTDHCLYLKHVSNSLFQKLLERINECPDMIYKLGKSSENSVKQGNSQEDNPVLNPQEIEEDAKRLEVMPNERDGAIKSSTKAGHYSK
MQELQPEYNQFRISVPIEFESIFSHFYFAENNSDQPVTKTLLPTFQTILLFCFGKNASMKTKEKTIISVDKCITFGPIRHSFEYTLPSKTSILVANFKDDAFYRFFGKAMISNHFAIHPDQLLTENCFTDLWYELSQINSTQEQVNHILKFSQPYVQDQDSTSQLLSNFKDENVNPIKAIAEKTNQSERNIQLKQKEKFGYSSKEINRYNRFLKAIHLIEKEIENQHKVEWFNIINECNYYDQSQLIHDFKHFLSIPPSQYVKFQQDICNPRSE
MKQISLTLAALALASAASAHEPKVLDVNVEKSGMAWRVDVTVEHPDSGWEHYVDGWEVLDNAGNRLGYRILHHPHVNEQPFTRSLTNLALPDGTREIFVRPHCSVDGWAGDSLRVTLEP
VEPFKYRKLSTSVQTASGSRDEFAVTTRMHAPDYLALACVCGQSTWPSAGQPAPLPGIASIGNLKRLD
MLRLCPLSNSLNRFLSTGTTLGAPVQNVTIIGSGLMGSGIAQVSAQAKLNVVLVDQNEKILNAAQQRIHKSLQRVAKKKHGDNESEATKFVDDTLGKIKINSDLRSAVKEADLVIEAIVENIEAKQKLFSEVEKAAKSDVILATNTSSLRLADIGANIKNQANFGGLHFFNPVPVMKLLEVVKHDKTSEQTFKELEGFGKTVGKVTVACKDTPGFIVNRLLVPYMYEALRLAERGDASMKDIDVAMKLGAGYPMGPFELADYVGLDTLKFIQDGWAKQHPDDPLFKPSQLLNKLVSEGKLGAKSGEGFYKYNIVLKRSEPVFFPGEVVDGYVLIKSREPIKAREIAIAISGKAKSTWTMWEQYSANRSTKSEAVPYWAEIIYIDLVNTLWTPPPTSSVLPAGENKFPFSFLLPSNAPPTFEGKVGYIRYFLRVKIERPWLFDYKAFLGFTVTPHFDLTSLSYASLPIFKTVCKQLGTLWFKHGTIEVKINLSKSGYVPGESVVLHVDVKNNTSKDIQRIESSLVQYSYYTAHRHATRLHYGHAQNKDIEHKTESRIVVQYVEEYKIPKKSSGSYKRMLAIPPVVPSFNVCDIIQVGYYFKIKIVAIGKISNTVSDEVPVLIGTVPSRPSICTNEPPERDYLAPPQPSLEIEKSDQQFRYADCLFGKGLVPDDEDDKIIDYTPRYIYYSNIP
MLFVMTAGSIGNLRVRIGETINALDENWNWVHLGNAQHVCMFFGFLFWGVIGMLMDKGYPFPPYADHAFIILALIVEWLLFANHLHGRYPLDVLTHTLLGNVLTSGILLGFLNAWKNSQILPILALTYTMLVQGTWFWQVGFILYPPWEKTWNEESHDHMTIATVIFVAHLFIDALLILILNGFIESCLRGQGHHGKDSEEEEWMLSEEKLDEKASAIM
RPPAVLAGTEITALLESADGTVWVGASSGLHRLAPAAATVERISGFTADPIHSLFEDAKGRVWAPAAAGTIVVVSATGLHVLDRRHGLPQHALYRVIEDASGNYWLSSGRGIFELKRGPLEAVLAGARQSLEVVLHGQDDGMRTIECHGLSQPSGGRDRDGGLWFPTARGFIRIRPQPARARPAPRVVIEEHPGAVLPPGTRNVELRFTALRLSTPGKVRFRYRMTGYDPDWVDAGAERTARYNQLPAGPHRFEVQARDPEGEWSPAAAVTLDQQPRFSETWWFAALLGAGLVGAAAGVHRWRLHAIRGRYAAVVEERNRIGREWHDTLVAGFSAISLQIEAALASIAHRPDRASDILDMTRRMVHHYRAEARRVIWDLRDDRPEGETLPMAVENALRRVIENQGIEGAVSSEGAPVELPADLQHNVLRICQEAMSNSARHGNPSRIDVRLVFAPGELKAVVSDNGCGFRADRSSAVAAGHFGLTVMQERARRHGGSLRIESQSGRGTTVETVIPLHGGRE
MNVIDFWVNDDKECWNHQTSEKLSTAVFLVACTITSSVLKTASEARKRYLGPFSPTFNVEKLLREGLLSVLPEDAHKIVSGRLFISVTRVSDGKNILLSQFDTREELVQAVLCSAFIPGFSGWAPSRFRGVRYIDGGFSDNLPQLDNLTITVSPFSGESDICPRDSKSSNLMQAVLCSCFVPGFSGWLPPMFRGVRYLDGVCSNNIPQLGDRTVTVSPFAGHVDICPRDRDQLLYTALLCSAFIPGFSGWICPRVNGVRYVDGGFSNNLPEMDKNTVIVSPFCGEADICPRDPTAGLVTINLANTSIELSKENLYRFARILFPPPPEVLSKMCQQGFNDALDFLQRNNLINCTSCLAVQSRFCLTDTREEEEEETTLSVPLPHYDCSDCHRHRKEAILEGLPEAVGGILQDAINKANQGLMNWVFKHKSMKLLSVITLPYVLPIDIAYSAFLKFMASAPTAKDIQSLGKQLVTLVQALVRFSSAPASVHHHHHHMHESKFSCQLAITQFTGDSDLQHINNRQNFDFMVDLENNDGSITLPDSHKEAMLLESQALNEIALRCASRSVSRAGSRMASRVASRAVSRAVSRRTSVTDSVDIDIQEMGGPLDAASISDDAFEKILDVTTHQDALMAYYYLDENNEVRVTEIFDMSHDEATAVNDMTCENSLEVITTSYP
GAAVRIAQSTRPALLSFITRRHYASELGAISLERQQEIAAALRMYQKDKVAVPWFEMVRRFRISQVAMEQALAADNARLQKRIEQSARVTQLADRVYDEQRGRCEWESIARDMDMPLIECLRLFDASLSTVPVRSLPNIADWSADELLTLKSFVTKHFSAVTVNEWRLVSVYMNVEHNDCFMAYGICTFPRITPDLYKAITQHRNNGLKWKDIFEKYLIFGSASVLCKAYRRFKKSNGSKPKATPTKWSDADTCKIKELIQMYYKPGNRRELLSQAQKAFPHRSKESILGKIKRITCKSSSITNDVMDRVNKLVDVYGKDWERIGQEIDASPRRAQRIWTQHQKRQNATLAWTDDELDTLRKCIRDGVGVTEASQLIGTKPPHMCSTKMLSLSKA
MNSNLTFLNTFLDVSEETYEKLLSISTVKKLKTGTQISKTGEVPTKVYMLVSGMMWTT
MEGIAETDPGSLNTMGVILMAIIIVFGHWLGLFLMMSAAIHIYIMYRKLKNGTPRHVILVQELFKGFMLYLWAMFFYVFLAQWGPSKQWVETGSSSLAWKQIYHTDQFTNIAFAIIVSAVVFYILTSNKKLQNPFVGTAVFATLGLLFIYLVPVIYNAANTFWGVDFHSGGNLSVVGDKGWWDYIVSYFANQAVSTESPLFTHYAYSAVGAIIGIFISRKNLKPKRFVRVGYGLSAFFMAFSIFHLWLEGDMPQNFDQFTALVNFHAHPAWFVYLSIGMILFVITLMLQIHEFNPHINWFKRLMWSRHSRRVGVFSLTVYSLASIQAVLRVSLSGIFNLFGWTDPGFRVSFGLPTGWTFFLIFLEIAMWFGILWLWEKGRFLYSVDWLFAMILKMPFMRKEKIRKFFLGDFLDVEGKVIVPTPIVWWPSNSEPDAELNTDKL
MMKIQARVRLKHWFPLKRRTAEAEVSWLSRQGMIIETAQRLPVGGRLSVDIFSDSHNVRQLPADIVRAEPAGNITRYSLRFLYQERNGHETGEHILSYLADEFSRR
MLTTAVADLGMLPWSMAVTASRYLETNCSLDVGFVLFLCFSLGLLLFMLAVLFRQLAFCHILRG
MFVSTVSLVLALATSAVFAVPTIPSTQDASDSLPFYFPESTYQQIPYEHSFSLMAPSNTDPVKTGVAYLCDKLNLAPNEFKLMMHVYPITKLQSISKTAKLSHILLRL
HTNRPLTDFGGKTSIFSHPVYLFLREFSLQDFRGGSVLVLFPAGESGRFSPSPEFITAVLTLRLGSVVALIDNSFDQAEQKVLENAINNNVSFSDDEKRSLHAYLTWQLHTPANMTGMKSRIELLGAAEKSAVGKVIVSVACSDGRITPAEIKQLEKIYTSLGLDPSSVSSNIHQHSVTETALVSSVPANQSTAGFTLDANVLARHESATDDVRKLLNSIFTEEEPEQPESAPASLTGEGGLDSAHSQLYRRLLEKEQWSRKEATELCSNWNLMLGGALEVINDWSYAVVDAPVLEDADDHIWVDLEIAKELEG
MEGRNHQCILCKCNSFTPCTTSLRYCSKCNHSWTFHAIANFVIYINLFNEQFISTSSNSLLHIFMILCQLTNLILCGCQLIPIRMKFLLDHFNKIYLTKYQFEQI
MAKTSKVEDYWRQHCIESLFKELTQILARRMPSDPAVALVEHIQKKYPKSFKISTENIGIVPKTLANNLQLNSIASPVFDIHNESAIDNIQTERRSSTQSQTSGSIKIPTAGSAFTELFKQNVRMIHFNLQ
MSPRVFFVLLALLILAAYVAVGLSFTRRDGPTDADGRPDTPAWTQGLGARLVRHRPVQDSDIQALLGSCTDPKVLSLAAGQGCSYVLRALPWTSAVTRDLRLRSPQPFQVQLLYGDLKGNPEPAKVRDGRHDVTVDVSRDGAQVIVTCLLTACSIQQGGP
MRNCVHLITYANRLGGGRLEDLQRLFDGPFKGILGGVHILPFFYPIDGADAGFDPIDHTIVDPKIGTWSDIAALSKSLEITADLIVNHISSKSSQFQDFLKYGDQSLYSGMFLTLGSVFPNGATEEDLLRIYRPRPSLPFTTITSLNGQKSIVWTTFTSEQIDLNVNHPQGEAYWKAILQKFQEYGVKTVRLDAVGYAIKKPGTSSFMIPETFEFIEQLTQYARSLGLEVLVEIHAHYRKQIEIARQVDRVYDFALPPLILHAIYRGKSQYLKQWLEISPRNAVTVLDTHDGIGVIDVGPEIVDGVSVDGLLPVNEIDELVNTIHQRSHDESLKATGSAARNLDLYQVNCTFYDALGGSDSEYLLARALQFFAPGIPQVYYVGMLAGHNDVALLE
MGVRLSKRERFLVALVAVAGLLFMYHQYLFSPLLDNIGVLREEVEGNKERLRDIKGTNNDINLLTASIDKLETRIRELEILIPQSSRTPEIVTHMEAFSEAAGVKLLSIDFSPGVLPEGEERDNQGERGYLEIPLEIYISGTYEGVLDFLGELEASGRLYNVGGFDLYPAYTEDSGNMDMIIRLSAYSLAQGDGPKPRPETYEFKQEDYGRSNPFALAVD
MLHLGRPPPMASPPPPVPRPAELPSAPPAPPPVPPLRPSEMLRRSSRIQGLPAGSGLANLAHTGAPDLDYHVHGQDVWAMSAQLSADVGEVPRTFEEAVASPQAAEWRKAMDEEMDSLSAHRTWNSSPWNLNRRASPCSKRHFPDWD
MKTVISVKVDKDVRDKARKVAQKIGVPLSMVVNSGLRQFAQEERIVFQTEKSYRMSKKLEKKLAKIDADIRAGRNMSPGFTDTKEMDRYLDALK
MWTRRSESRRAVEPRALAGLYWSLLPQALRRQTARHLTAQETDALFTAKDQYRSMLPDRRRQIELQFGSIWHRQATAGIWRWGTAFAAAALMVWNSVEENSLSWTARLLVYNGLVLAVLAPWAIGWFPVWQRRLLLGVEWRWEWVFSSFLVYIALLWLLIEINSSALAGPVRGFVLSRWIILVSGALAAPLFEEIVFRQLLPSLFGSDPYWGGQVTASVLFALAHLPVDGSMFLLYWLAALLLALLRIQTGSLVWGIGAHSLANLVVLLL
MATVRKSNFNNNDNHLSSPSYIMNKRIKNAIEQVDRLNYEKSKVILKENDWLPINCFNENKAIDLRTDYKVTDFKTSNGQTDPNNSLTKLKKKKNFSQNSPLLSTEHIGIRTNAVKHSSNHCRSHTDSTIVLSKDKLGLSSQQFKSSDDILRYLKLHPDFQRMPPSQLQPILAAILSGLIFIGGVALIVVSGGTATPGIILSTSLLIGTGICGMQNYCWCAGLTIITFGAGYGAGNLMGIVLVGTGLTETSIQTLGTITGAIVGSGIRTGSYCVLTKIEGTPIETLQLVLEGVGGAIAGRFAGYLCVKASLILKNPILPGEEVLRCKAAETEILVSRGDGLDSLEEMDLGRLFGEVVPQDAFDVANGVLQRFIENPDQYFPTASDELSTTIFQDKGGKLTQMLADRGIKGSFRFYEFDVGAKICGFRRPDRIVIVFTSNYTSKLHELTKGYEGAFYTAFHYRTGSFIRF
MRDEGSTGTSPHARGEAHDAIWAYLADLDDAEISRTPDKPQLLEHNPGDLDIYYWITITDDAAQVVREAVAAQMGLVADDEEPELWSAADVADHLGIDTAAGARSTLSRWGVKAARHEPGPSGRVEARYDADEVREAAANAPGRGRRTDLDGADA
MRIKAKINDVEDWFIVDTGFSGDIMVNYEIFEKIPFPTFDAGLVCITENECYIAFGKISTFRILGNEINTVVLWIPQLDENLIGEGALIKLGLVINYKDFTVLDP
MAAAPSAEAASQRSEECGQSVKVAPGDTLSSLARRCGTTVSDLLRENPSIRNPNLVEVGTRLALPNSGDDEATTKTASKTGDGIVASLTETDRGHRVAIEVSDLPPRMRVWIKGGKSRSPKHHLILRGARVNGSGELRTTFRLPEWAEKGSVYLSLSIPRTGKTLVSAPLEVSPRRSAKKAK
MSIMRFLISPNPQSFAGLYGEIVRDLVQQGLMQIEGDHVRMTKRGLFLGDTVAERFILE
MAASKMNNSSSSSLQVSITGVPEVITEERSQTTQSIIWSYDSRDSISTADTSQQKHNNIDTQATTRSECEEPETAQNSGSVSSNTTQQETATIKTMDDKHICRRQTDNVVVCEVNARPEASTPFVIGDLEGKSQLTSFAAADPDNKSITEREISLVVRNTSPTMETDSLSKLHIYQGQKEVKTVNYNSSYEPLKDQATDPALKNNICSSTENLDTLNIKNIVLTDSQVSDQVVQEVSHHKVETSTAIHGLLMKVSDNPLDMEKGHRSFNTAQETVTTVPDLSNTTRRNSMQQLSATSASPLGSCLPPPKPSTKINVTLNNNNTSQPFSQQSSQNVLYTSTSQNDVTAQANQMKFPPQHPPNKTKAIVQPIPKVAIVPGMKGAVVAKKKGRFSVLKDHVISPNHIITGNTVPSQQGPATIVGGLQPLPKTPVNEHTAAATNIKQPLPKDSVQPPFTRPTSPRSGAVTKNNSVTSGGSTEPQSNVNHAAQNVQINGNTGSSQNQGHGPAIALPSNPTSNATGVKASVPPGATKIGRFILSSAISQPPTAAVIPTTIPPRTSPKLPEAPQQLATNRSTSESSFSSQNIYQRGPSAQLQQGAPITSHTPAPPKSVSIGVTNTTSLQGQNSSILVHPQKSTKVQGQNTNPSISSTLPNTTNDRPPPSCISKGAGLSGAIPGGMGKMLHFLDQMKLEATEADKFIISIQKDLKFLRDRNKELEMKCCEGEKRFIEEKKSREIAEAKVKCLKKKLRETKPYPPMVPVDAKHEKDNAGDLVGGASKSEMNTSCFIDKQLLLRSGSTALSSMTTFTCNDEQASDKQSMHRSSKIENNIIESEAYSQIDVTVQLNGKVNVKEERNKKNSRKKIEDDIVTSCPTMIGKAASKHTPLKRRSSSEAHLGSNKLKHHSQESGEFFIALNDTKQIQENKTDTVTQQLRSTSSILRDFDPFQPTASKCIEHDNRIQNQLSTQDTNIFESRSVPMFQNLETGIRNHHPHSISTQSFQGISMDDSVNKVLHFN
MVMVDACGSAATETVASISSHVTRVSMYFAVGIGCLPLKLIVRRVVRRVPMMPQAIVPVPSVLEKGSKRAREASDGTQKVQLRVHILYYRFPRAKSEWLVSTRSSWYCRPRASPKLKAERSDLSKYTSE
MRPIISSIAHFAPFQAAPYSPVMLTGTTATPGPLKTIPTPDPYRHQNDGASRGPCHSFHTHEQAVLKAVYAAAWTALQASSSSPSSGRCFRLEVQVSRPAPGTPRQGWRKVVAAIAGGEDVRLLEGVKKAVDNVVIGECGWCRWRRRRGMGWRQRIRERWRKGGDGWVVAVAYCGVAVAAAEQALVVLPSGEGAWLRRREREARGAGEEEEEARARARSLSIAASEAAAHEWPETGDTLGEYPDDDDEGFLADMEDNAVAETSSDDRNPCSCPFEPPPPPPLRGSNIAEPDLRRAAGARLLPRKVPHTAAEAVEMFLAQRKKRHHDVWWKMGLNGIF
MESQRTEWKRIWKDEYLKSICAFANSQGGDLLIGIDDDGTIVGVSNSDRLLAELPNKIRTTMGIIPTIRLVGTKDTPYLVIQVEGYPFPVSYKGRYYIRCGSTTQLLTGLELDKFMLKKQGMTWDSVATPSVSVTDLKQDAIHDFSSRAFQCNRLSKSHIEVSDSLLLKNLNLLDGNHVRRAGVVLFHPSPEQLFVGTTAKIGFFLNDADLRFQDELTGPLIELPDKIIELLYTKYLKAEISYKGLYRVETFPFPEAAVREILLNALVHKDYGSGTPIQIRVYDDRLSIWNPGALPQGITLENLFRNHPSLPYNPHIANVMFKFGMIEAWGRGYEKVQVACATAGIPVPTVEVNPSGILVCFKAPRGGLNGGLNGGLNGGLSSDSDRILQSIHHHPGARIPQIVEVTGISTRTVERHIKTLKSMNLIHFEGSKKTGGYFIVPKKLYEDRVSES
ARPLLEEALAVSREVLGDRHRFTLNSIGNLGALLQALGGLDESRPLFEEALAARREVLGDRHPLTLESINNLGGLLRAQGRLDKAQPLLEEVLAVRRGVHGARDPDTLSSINNL
MTESQPDYMIETGNGNCPQKIDYWCYIERRSHLVQFAARLGLTKENELLMIAIEQAYTFIYSNYELEDQVLLYFYLTEMYSDHNLKAAEILIKHLQNGTCPGNSSESQPSSGSNVPPMQIPIQQVTPLLNFVEQTLISVFKWHCGYGWSGSKRDVQGERRAQVKIPTQNRTHHMRDL
MTDSATTSDDSQMFLPNPGNLLIEKTSEGKEGFRVRGFANSHWPTKTDNVEWVDFVSLEAKSNQTTEWRHQNERAKKMIPNTHTSEPIFFKIFDPEGTNDIIRIQKLQIALHLDFTYSESYPEMLEDAHSSGHLLKIQNAQRKSKGTKKSSKSKANQSKGKDRKTQETQSKDTEIVESAKSLEIKKYTEEIIESLRQRVKGDAFSIWCETLLIQKTSESKLEDCLGFFSSSYSSGQSLDSILAQMEGLSCGQMADTALTIIESNPALHKMYVDWTENRELGQATCELRVLKEAILLFKGPLDEFRREQDEIEMQKTREEQRQLSELELLLQMRARVTKKRNAQEIRSRVSSLADSMRAKIPNEMTDVQRTAWAEHKYFADSPFFPKTDKAAEFKNSLSDFTSMPSDVSADDLKARLLSLHKTRSTNLVLRDAHEIWLQSFDTDLCIKDLRDFSESEFFVDLPKESATGLTSQLKKIKPNATYMETKRTVDGIMDFCMADLSIRRSFPAWQVADNWEIVVMNTRRTSNLDNSTVTLV
MNHEIVSTVGTELRNVGSCVHGRAGQVRMSRLDALGLALNTVVWWNTLYLDAAVKMMAERGLRVAYRFRCTMAESSSVRHPRGAELQF
MATTYIFALGVDRTEGNYTTPLDCCEYDAESVCKTFRQYYNIKNAEYNASSACTTDFFYFKMAQYARKLKKDDTLILYFSGHGGQVLDLNRDEFRANKFDETICLYDRMLLDDEIHNCFCKFKKGVNLIFFSDSCHSGTVNRGVAASRSTPPNAKYFKEAERFQTMHKDIYQNIKILPSSARKANLFFMGACQDDQYSYTGNPNSVFTASLLRAIKSSPESNLNSIAKSIQKDPLVLRKQTPTVRTNEIAKIPLTSLLQSENEKPKFNSFGIHIYNIELKSKEAKSKLLKQGSKWFDTEVKILSEGIKSVSLDFGKETEKDRAAVDKKLKSIKRILNTKKMDYAYVEPDFEIKEPADHVKSVREANPNEFLKSWPHPTSNIFDWYKKDNYTQLESALQHVKETVDEPLRNVRIAHIDTGCWPDHPASPVNLNTNLGNSFVKGETKDPKVRIKNGKANFHGLATGILLAGGNVTRQQGRYDQTSGEVGAIPFAEIIPIRIADDVVLVLGKLEAFAQAVEYAIQLDCDVISISMGGAPSRKMAEVINKAYEKGIVVVAAAGNNFNVPGDILVPEEVVYPSRFDRVITAVGATANFFPYDFDAQDDAKNFVNGEQMQGNSNPPEVMRHAVAAFTPNVPWAYVNINEQPYSYSFSRRGGGTSSATPQIAAASALYIVKFRNELISNNYAGTWRQAESVRRALFQSADGRRIPQSKRYYGNGILQARTALENFVKVKDEHKAAPAKVHDLLILNFIEMYSSLI
MPLPSRPGQPPASSSTPAMAAGPTTQAFSPADETSAFPMPGGGQGDESYAEQSGHVGQYTAALPQYTEAFPGSADPTSAFPAPDSAGYEAQAGHGGQYTEAFPQCTEAFPGSADPTSAFPAGAAHTSVMPAAGGSAVPGAPVRTTVMPTHHRPARTPPEPARQPEPRPAPQPAPPRWRPAPAEPAGLPPVGLPRPPQRRRTLALPLGLLMLLATLALLGWGTYSLLISLHVFDLAQGDTSSLDQTAAGAIIGGAVLALLTVITSLVAVARSKPKTAAIALMLGALFLPLGAVAAGGYYGGQILKDRTLAQAHEVTGQVDPEQIDALLGQVESAGIDVPWREELVGILRGADQATAPADAPGSGEEAEQGDPADPADPAEEGYSGEQAEQ
MDAFATFERDGNLGQFESTITAAHTGWVVGELDDLGSEAVDLRASLARSVDETNVIALLRLRSALSRREMSKLPDVAPWLPGGGIVTSTLESALRQAEDTEAAALLSASARYLDSPLEAWSRDGDVARTQRALEQQRVLNEISLFRRGDPSGIAIPIAYVAELALETRNLRVLAQGAALGTSPGDLDGQLLLPKARR
MKRKIRWAILTTLILLAGLIAVALPFVAASKWGAEWKVRRLVLADYRGDPEERAFDAKRFGEASWLVTAWWKNIQPSAYDVYLVDPKGKVSPMSDESLSAVMSADRFPFGADPEHKDFIDHFLLVRFHERQERLDSAADIPGHANKPLPEAIAAEIRPQLKTPGGSIVFYTYQEIAGIVRKNEFRYDRDGKFLGASCTDVGTRIGDFAMYE
MHRPYLSVVGEVLKCAEAIFDKFHVLQHASGVLDEGRRQEFFRAGSVIRKHGRGRRWLLLRRWKTVQGSKRAPLRALFAVNRRLLKAYVFREQLDRLWTYKTRTGV
QLARLARTYLADGLASVGLRCLPSRTNFWLVEVGDVPELRRRLLGRGILVRDCASFGLPRHVRLAARPLDACARLVETLSTVIPMYTER
MIDQSYDAVDSCECSTGEMKIIVLSTQDPTNGILQLEQVQRLFSHLSIKFRKTGRLIRRFLFSVFGVAELDFVGLVGGLTAEGSEKDAVTQREYGAEFEDSELKPMDDSSE
MHSIHRMGLSRWTLLLCAIVGPVLGLGVFTFWYAQGGSYFSSDPKACVNCHIMRDEYGFW
MRALTPALPHPAEKLPKSASELMDRSAASGAVGSAGRQPIAEAESAKFSYALQTELGPCGLYWLVLRGEGQQDGSDQATQEVLKDIAPIVKRLFTRFGERFEIENDILRPSKSFTASDLTGTRPPLLGSVVSVVANFSGRKFQWSQFQWFWIPSAAWR
MSPNLRRILLPSSLTSSLSRNILGANGKGCTRTGGRRPRCRESQTTYSPQNASFFLRNPLPLWNRFSFPPQMSVLLLPLETPTSGRVPGCRRRLQNRKLLRFLHLIHQARKILLVLIKEYYTSQRCGHCKIGKLFGLKGEDGKVAWTIKRCSCCHLVLNRDSSGASIIAYLAINAMIALGRRPFGFVMPAGSAEAMRYTESLRNVQAPVEES
MEHRPARNTTEIRERASGTRRFDLNNTRRVTAHGRSETYAYDETGNVTRATDPDHPADGEREFTGTP
MTDPHTSPAEPAAVDRLGADMIKRLQTLNEAILWKLDGLTEYDLRRPLTPTGTNLLGVVKWPNRCWCTRCCGGIVQRAGE
MPHGAKRKRDPDDYADPVMPPSGGGFGIGQTLSRLQDSASPTSTVEEDEITTKADPATGGVSQKKRRRGENGEKTKYPTLTYVEGRLQSSIRIADLQSLLLYCFADGIAPQWISMKHSGHVKKVVVLMVPGLEIGMFNGSVPLDDKAEAANETADKTADEKAENDPHDEEFERWKKGLPLPDRSHRFNPQSLSRENLPEPLQELADMFPHIWPVKAPGDTKYNKVHSPLQAILLSQLPKTQEEAKMKGPRPPRNEKNFISKRTPITAFITPKLELRENDYVLHPVYFTTEPEKAAEHRIRERAGTTAEHGWVDTHVESLADGEPPESEVQKGSMTAGRQVLALDCEMCITEGGKSELTRISLVNWDGEVVLDKLVKPDLPIINYLTQFSGITKEMLDPVTTTLADIQKELLELLTPRTVLVGHSLNSDLTALKLTHPFIIDTAIIYP
MGRGIRTSAAFAAAALVLAACGGGSDDDNGGSSSSGSGSAAEADRSATLRYASSTAPPGLDPHGSITAGLNGSQYIFPVYDRLTMLGTPDDPNASEVSPMLAESWEYSDDGLQFTLQLREDVTFHDGSPVNAEAVKASLERAKRSTFQAGAALNRVESIEAVDEYTVQLNLSAPDATLPAALATGSGAVLNPAVINDPGVDLNLEVPPEAGSGPYVVSAFEPGVSVTFDRAEGEYWDPEAGQLAQIEIVGVAEATTRISGLQAGDYDMIFLASLQVAEGERLAANGQFTNLTAVSRTPTAIFIKPDSPELGDQAVRAALAQAVDREGIGEGALNGSCDPIDQLYPEGMLGHDEDYENPYAYDPDAAQAALEEAGAADLSFGIVYPAGGTQDTITTALQGGLDEIGVTLEGQGVPPAESITVFNQQGFPAATNAIPGEVDPALFTTSAIFSRTPLAADDAELVALADEARAELDPDARAELYQQINERVMEQAILIPICTAQFGYAGAGDIVGLDRLGLTYAAAADFRYVGRS
MTAAASHVPVALSTVGSFLLWKLNDPTSARHYSEEAVLYGELKAAIDLIIIELGNISERSGFQMSGDPPPASWRIRLGGS
MQTPEMGVGVGGGQGPERKPRESEEGGGEEADKDPGQGKGHRGAELNAGREVRVSVRSAAGQGLPWALRAQGHAQAAQDPVKARPEPWRPGRRDFRPWPWCPAIPRPLRCWSGQVRGRGRSSLVSSERGWGRGLGTSSFSVSSFLSATPPLSLSLCTPPAAAVFLPVPRSPTPLLSGPSSWSLTPPGEHVGPGELPQPHQILPLRLQPLLLCELPLPSPAWPLLQPRDLRTLPPAHPPTPPSSPQVLGSLIFCFGIWILIDKTSFVSFVGLSFVPLQIWSKVLAISGILTMGLALLGCVGALKELRCLLGLYFGMLLLLFATQITLGILISTQRVRLERKVQDVVLDTIRNYRADPEETAAEESWDYVQFQLRCCGWHSPQDWFGVLRGNESEAHRVPCSCYNSSATNDSAALDKVFFPQLGRLGPRSRPRHNTDLCVVQKNGYIYREGCAQSLQKWLHNNLISIVGICLAVGLLEVSVMTLSLKLQRRALRRGPEPQGPGADGLSPGLGLSADGDGGGG
MKRTPGQIPIVKNVAAAAMGNWKMILMAFLLVVGVIIGTLISRDPSSEQLSQLNGLLGGFVSGRSSQSVWGTFLYSLLSTVPLVLLAFLLGLCAAGAFLIPIIPLFRGLGLGLSLGYLYQTQGLQGIAFSVLIIILPAILSCMAMLLACCESLTYSVMLCGQMLPNSKNVRMWPEFKRYMIRFLAFLALLCIAALVDAIFSASFSRFFTFEGV
MPFSLPIDVRFSDVDALGHVNHAMFIVYLEHARTRWWAGYLDGRPFSEEGFYIAHVEMDYRKPIHLGDAVQVEVRCVHVGNTSFTLAYRVTRGGDRVVLAEGQTVQVMVDLATGRPRPIRPQTQAWLQSQV
MDIHTYPFSIPVEVVFRDVDAFTHVNNAVYFTYFETARIKFITHALGVERANEIPLILAEAACTYKTPAYMGELLLVGMRVSHVGTKSFHMEYEVTSRTDGRMIALGRTVQVMFDYDTGQTIPIPDDLRATLHKYR
MVCKIAASQSARRIFPAGFLFRMKGKEFPLRFSSFEGQAAKRATKTVSCNTARSAPEKRRSPSPKEEAGPGEQLMKDFPISMRVEVRWRDADPLGHVNNAVYLTYFEMARVAFLKALLGSISMESVNFVIASITCEYVTPVFVGDMIEIGIKVTEAGRTSFDFEYLILHALEGFPVASGKSTQVFYDFQESRKMPIPEGWLESVEMIQGEKIKRR
MRADPVFRYALEVPFRDCDLLGHVHHSVYLTYFEQARHAFWRQLAGAPSHAQVIVARIECDYRAPARFGDHLEVGLRVGDIGRSSFSLLYDVVHAVSDVLTAEGKSIMVAYDYNAGKSTPLSKETKRMLEQAKRHTDL
MTERFTYEVAVRWSDMDAYGHVNNARFLTLYEEARVAMFFVGARAQGLTSFEDGIVIARHEIDYLRPVDYGDPVRIELWISQLRTAAFTVSYELFDGDVLASRAKSVCVPYNLAEGFPRRLSEAERGFLEPYLEA
MEHLRRLAHVERIPIRWGDMDAMGHVNNTVYFRYMEQARISWFEGLVPQAEVWKATGIVIVNASCHYKRPITYPGTVEVRLLVGRIGGSSVATYYELRVDADPEPYANGEAVVVFVSSATQKPTRMSEAMRARLEQAAPA
MHKNHQHGRRYTTDVRVRWSDLDAYGHVNNARVLTLLEEARVDWLFTEAARCGATGLTGGMVVSRLSIHYKRSITFGEQVSVSMGVLELKSVSTTIDYVVTVEDKVSITATTQLVPVDPVNWRPRRWDTAERAFLTEYLAV
MPRTRIAIPDDAAVMHTATLPVRWGDMDAFRHVNNTKFFRYFEQTRVEWLRTLPGASMEGEVGPVVAHTACAFKQPLRHPATVRVELLSGVPGRSSIETYYRITTEAAPDTVVARGSASIVWIDFATGRPVALPDAMREALPA
MDEDRYKENCLLQIYTLHKISVESFEWQECLKVLNHSNLPTTNRQIYIIFNNRTNIGKLGCCSTLQQEGSKAFYRKEIEAKSKIQFEEIISLSSVCSHHRLSRLTPSSTPFPSPETENP
MQNRLESSLSNLFDMSRGSSSKSDVEIPSTQAQFDKELKSGFESLGFSKDDNAPILSEDMYLNSEKYFDEDGSFRGSQNSSNERMQELALQIMNDSPTLPVDEESLSTQSKEELDGIISDETLLQSMSQAKENTMDSEAIHKLIFENEKGFLEQTEQFRGSLLSEDRKKDQAKAKEAAALRRGAQYRKDQTESLSKLLKDMDDFEKDISAKEKARQLATHQSKDIEAGEISNKLDINSNLIACSRCKCLLSPEEIVIERKRGRNEMTCRLCQVEEKRSKHGSPYLMGRNDKNNAPPRKGYIEPPQSKALRRRIQEIRKIGPLNENVNYDMFVRDDDTPTMFEISKRTVKDSKAWREQFTTAMMRGKRQHVESPLNKTIPPKTSQDGRKTTEKGANAPEQIPRLQDLRTKDRMFRSKEIYDAHLKSALYRQRAIEYTGIYTKRTLAENTSNKEAAVPTKIKESDEESKVQMMTAQTKKVIQTKDMEIVQLKKEMESLKSTLNDYKGQIEKSSKKIKALLSMNAVLQKNMNKRIKKSSTNNSKVEEEGITMRNEVGEGVVRIMQSKSQPKYGNANDKGKVNQKMKGFSDEDDLLTNGS
SYVQAFTLPAAREAERQAIRAETLERAIDLVQERSRTNADVQRLSDSDICSELGGLFRDGVCG
MDGHRRYIINQEKKRRRNEWVCLITYFTMGFIIILYLGGNLNLFFRILFSILTVILLIIGSYLIHKIFF
MIGAQEAAATHDFVCVDCDAIERRASAIHVPRGWRRTDEGMICADCAQGAPKRLAEACDRDLIDAMADEAASATGLAAPIATHLATGILLGFRLGAPMEARGMALIGLDDARLVVRQALSQSLAAPAAGAVTQ
MVATRRQTGSSGRKGTTAKGRPTGNKGRLGKDETRSRTFTGCGTCRTRHLKCDEARPVCSSCRRLDLPCQGYAPRLLWVTDHGCDAEQKQSHGGSSFRYPLFTEAAREVMSMELVDSLGNQLAGNVVVDLDDETATDGNFHSVGPFGVFRVFDDPFVLDQVSDSNFSPPLRTSSSPLGSSDSGSVPDVPGYPLDDDIEEINGERWATDPIQSVIDPALMQDASTEFGATSFAADLNELDNWMQLGIQFGVDQFQSFPFDSGFNMSLAATPPDLLAIPS
MKRINLLILILLMVSFQISAQQNIEEFIKNSPSSGKYPDASGAILYSLQNFKLDSDGKRVEENIWVLKIFNVQGREKFSDFRIPFDKDKERVELIMGRTYKSDLSYVEVEKGAINDVTPPNLSSADIYSNLLHKVVSFPAVEPLKFLVINYKKEGLEKEDNIDGIVYFQRDEPILKKELRIEIPKDKDLKYKILNMNLDLKEDLKENSKIYSITVNDSPQIKPEEFMPPENEISSRILFSTYKDWLEAVSPFSKSFFEAINPTEYLEKFTKELIKNEKGRDEKMKKIFNFVAKEIRNVEIDFGYGGYNVHSAEKVLQNRY
MASADELSPEKAFVVKLLIPGLIPAYGISYVDENGMEKYYTIHLDGRGKDEAPPYLLLEFENGK
MAPLGDHSGSDSLYHTDSQDLYSLPNDGREGKRLNLKYHIVKTARALVISDHACNMRGLDRYKPIYLSVGLRHEECDELVAQLHEETLSGSFHVFWRYYNRHPRVRSPSH
MGQPSAIGFTMLYEEAKFIGNVMHSLDPNDVFPLLNLGSSSEELAKHRQPWIEEFIFSKARESHHDVVNVDLKANPGVDIVGDVTDPQFLEKLKTMGFKSIICSNMLEHVPTESIQRICESLQEIIPENGYLFISGPYKFPYHPDPIDTMFRPNVEEFAELFSNATLIEGAIITSNDHRKPVELVKNIARIFMPFYKPKEWMFFPRQFPWLFRNYSATCLVLRT
MNPWCCNGQADAGQQPRAEAHPSISDPSSASACWQINYDSNNPWRGLGTPAISICPTVMPAYAAVTLPIVVAIGQPPLSVMLAPYTWLPHGNSSCSYYLNARPCLSCSWGNPELDSRLPRDFGPTMNNDPNLPFYQDHTSWSELSMCDFGPWTESAQKAHEKDLPTSSNGIDYDASKVPEHKVPERDNELMNWQFNQYVGEQNA
EASFCSGWRHEHNTSRTKGSFHSPLPGKSCVLSSLAMHIVEIFDDGSEEQLLRCMDVEASPETLPSTNLETTSARPLVPVKLLQCKPSTLSSMEPLMLWVDGCLTPALRRPLFIDMGTSNSLMALPSRAAPTPFPSASTHEFRESSCPSSSQRTDNEAMSDDGDAVELAMATDALSQEDKQSDDQRAKTVGTPPTSSSRTSPPMLSNKRTRPASACLISARPGHGTGELCACKAEGRSSTSKCVHDLADVFDDWLPLESPPKRPNVVQPIPSLSPTAPSFTCSALGSSRTSGGDSPGTGSPKKEARPTSSLGPLEGEAAAAEGAGVTARAAKAKAATPVGVDVPAVPRATAGAAAGRTTATGAAAARAAKAAAGVAAGTAASAAGEAQGQPPAPPPSPVVGRPVPREVFGVSAGKRKQREERERETMSSMLRSMESDLNAMALDGRQAKFARLEVL
MQMVKKILLVIVVVIFSFMVFAPKRELYYLLEDKLMKYDVIISGEDTEEGFFSIGIEHPKIYVKGIELANIDRIDIFSLLAYSTIEVDGIDADSSLKRLMPAKVMTIRATYQLLDPLKVAISVVGDFGRADGYLYIKESRVRLDIVESKDISRLKHLLRKDGKGWYYETAL
MLGSSAGAGPGAQGLPGGRRNGGPAEATRTGPHAGDPVRSPSRALAGCGIRPSGGGSRPRGHAHKGRLPRGGSPRESGYQDWPAANDPQTPSVPGTKRPPGFGHPSDVGSRAFLSTAQSGPGTGARRMSRGSRARPLLDAPNPVDSLDDPTRDAARRPLESRNHGWDGRLPPFLKRGPTEGTCAPPVTERRPAWQGRSGRRRARTPPEVTRLSPST
MRTMRILKLSLGLVLIVGLGLASYKTFAHSQKTKIPTTNDGNTLQETTLKEPGADVTMTMDSNASKDNMDQMTHLLKEQNIAVKFSNVQRNNEGKITGIRIELSDESNGKAVSQISSFSPISNISFGRKDGRLFITQEGNEGMQGFSFINGASPFFANDSIFDQQFGMLKKFNLQDFFNNPSAGMAFNGSTANFDELEKQMLEEMNALGNSSQYSFIDDPDTNKLIIIDGKESDFETLNKLANEKKIVAVDALKPETAMSIYGSKAKDGALIVSTK
MSGQPEGVVWFTKKLFSVWWDSMLHISDEDRMKELKREGEDFYRFLWVDITVNAKVEDKDKPKKKHPRTEFAVGGATASYDKISALWKYVRAAGTGIFGTLPHADSRGAIPVAGPHLCNSWVYLPQCWNCPWPYEDLVQLTRLDFKHRFVQIPFAIPYNSKLYPQPERDLLPEQIDRAGLEIMPEKTIVDNVLHPILQTRTRFTKKILGEKATNSDGEPSHEALFQGSDAETKRAKDDLRKWLDSCKSKGPQDQLAKARDLDALAKKYNVDRNPKYYRAEVDQEDLSKSPSGTFQESLKKWMLDFWAENRPHLFRTQKHAASAALVAEKWSQEIAEKPEFKNLTVQSLLEQRDTGERERLVKALFDAINAGPTGWKVIPKPKLSPVDSFSAAITEMRAFLKLDFGDVFEFNLGKWVLKMADWNQFGKWIYPDCPVQPKDDELVGLPKYTPWPWYQRRTLLSSPGGQPDSIVTGHIDFTTNPTFGLGLAHADVTLRLDVTELMCILPMPGEVYTRQGEEEVGYQNVSAKGEKGRPIDFYSRDLVLAFPAREVKTSSATKDMTPGHGVITYNGKNFPDIIKREYGLVMNYNITESTPWEKQLALFMFQILAVAADAIPVVGPLVSFIIYMGVRELEPASVCVAVFSEKSCGEVQDEGSAEVQFQIDPLSAATGTN
LLTAFFHQKPFLLSSELLRFLPNLLNTPQHIAFSSQTLPKFKTLPKLIISIIGIISIYPIF
MFTYLLDHWAAVLGVVVALALFGLFICLLRTPAGQHANIRGAALTVERVLQISEAEALARRARETDEAGVDPDADTLFISAVVRPYLAYAERRRAAEPPLRRGRLGPAFAQEWAAQTAARRNTPFGQPQTPTWLDDQTAACSSP
MTGCYTESGTLIPIGEVMLVNRHNNVCTRDMSGNVIMTCQDNKGREKSQSSVWTEGWRQLRCGRNGVEATGCVTPSGIHIPAGEVKLVNGSNIECKKNGSDVIMRCKDKDFEWQMESNQLRCTSSGSEVTGCVTASKSIPRGEMRVVDGSVIICSDDWNGGATVQCMDSEGRVWDEGSKWKEGLYQRSCTDNGGDITGCFTASGTLIPVGEVVLVNGHNLVCKKIVSGNATLQCKDREGQDRDQGTEWKQGLYQLQCTDNGVMTMGVTVAETYVPFGESKKVNDFNVEWCNKNADGTVTPQCTNINGDSNKALEWKDESYGYSCKGGHLNVTGCFTTSGTYIPRGQTTSVDGSSIACVESGNGDITTTCKDNEERDRELGSEWIETYSLVRCGSNGKPEPKTCVIPPDTYIPIGQTMTTMNLGKVAIAKKRLIAKFFLSRLDWTVGSISLSCDGEGTEPTGCVTASGIDILLEKVKSVSWTEMKFDGEKNML
MKEAAEQVGKKASKEFRQEASEQLAKHSDDVASTSKGISKEEYNSLRKKTPSQEIRDHVNNIDGEKFDPIYGYPVETLEADHIVSMKEITEMDGFVPLSDVDKVEVLNLEDNFMGLGKPTNSSKGSKTWSDWQGHSKLGDIPAEIREKMIALEREARTKLQNEIFRRLKNDGSA
MPKTLFSQSGSLLVLVFKLFKVFKSVKLLLAGATLATYSFLFTWQFALAIMWAIGVHELGHVWAMRKTGMKTPGFYFVPLFGGAAIGDRSKTEWQDVFITAMGPSWGLLSALPPAVMLILTGQPFWAGVIAFIALVNLFNLLPIYPLDGGRLANSLLVSVAPGAQILYLIAAGGLVLALTIYMKIYLVAILFAIGMVEIYFERRRLQRGEITPKPPLNRDGLIIGSVWYGGLALVFLLIIYGLQYVAGGDLALRVLR
MHQFYLTFPNDYALRSELSWTHFCLLIRVENKEARNFYLDEYIKSNWSTRQLE
MAPEMREERFSKSTEIQEVFSRGVFFSGKKIRKARPFPRRRAGIWMPRNERPVTVDFRMYVFCTFQDEGLPVGEDQVTVSLGCFKKQFPLREESRTYSALKSQGEDSVFLDLFREYKDTSLKVCLRSSDQRMPPLVAAEEIADLFFRDGRTSVCGRIPGKKEPFFSVGKMK
MVFRNIVRVSLILVMLASCSSGMKKPDVTDTIAAKIGNDYTVIESESVVSWSESPLKLLHLQYDDKGYEELEERFSNTEFDLFGTHYLNNLENTFGELDEYEVVTKVMNGEYEKFYYLDNGDVGEYYFILPDNQLVVLSY
MQNHVRTELDGILNGIRPFFHQINVIKPLVCEGTNLIGCKVILKGALNRDVLVKQVYLSKCCPASFVSFIPFLDGEWVKESNGVYWACMEFIPGRSLLYSIKEERQLASEKVAQFHQEATGHKFRNIPIISLKQKWTTRFFRFTNSVQPDLFSAEQNLLIQRYIKIGQDVLSEMNVDDLEKDAFHRGYIVHGDPAHHNFIFNQHSLFLIDGDLAVYAPKEYDYIQLINRMLPFCNWSLEEWGNYRIPALNSCLTNSSLRRLLAYPADFYREWLMEPSGRKELLIKTAQQDGDRTAFMNLVLK
MLATSSLQALTLNRVGTCPITIRPCPVERPCGCKWNPHPIRPGEWLNACGCRRARYCGPLSEVDIDGPIGYIDSIKVDGVELVLDTGDWRIDNGHLLVWQGTGTSPIPETQDLDKPDSAVGTWSITYSKSYPVLADARLAVAFLAMEFAEACAPQGACSLPRGVTSVVRNGVSFSIEAGLFPNGLTGIDIVDQFILKWAPAGAPIQAAQVHNPRTRKARITSTLPVRRLGGSV
MTQKVAMKQPTSGLRARVLRWFAIETRGCVLGFLGGGVGALLAFTYLNYHYKGAGPVQGDPVSIANTYIVFTTFVITGVAVMLAVAGLIFTQHFSMEKEAHVEHAFGSLIQQIKAGDDKVIKLVEELMKNPDVVQYVSFHLNSKLEEMLETRLDDAGRRASDAQNEVSTLTKLRAGVSPGNNGQQGGLNG
MHLSSFFAFLAKNLGLFFSLLPKMLNLPKFMLYWAGERCIISTLGLAAPAAPPRTTAQHKEWNEQ
MLEAVDNVFSQLRQKGGKAFAEYRDFVCQEESEDIQHFFSLKNLPSILGSEHFIDMIKETFGDLHKDLELSNREVLSVDGQAVIQAVCAVCDIDKEQLFTFQRGVANVPKKNGNVRIQRA
MKRTQTLLALPLLLAASSVEASERRFTYTYESLVLNPGDVEIEPWTTVRIGRDDFYNRFDHRFEIELGVAEQLMTAWYVNVTARARDTADGRQSELEWGGISNEWKLKLSDPVADALGSALYLEWSYAPTEAELEAKLIADKRFGDFLAAVNLVGEYELKFETDESETEIKFELDAALAYVSEHFSAGVELRNHNVVEHGEWEASALFVGPTLGYKAERWWTAATILAQVANLKPAEGSDSTLDLAGHERLEARILIGLHL
MKHFMKKFAAIVLGVTLGSMAYAGGPFQGTIKFTKTIGPVTANYIYYVKGDKIRVEELSENGEIQGIMIVDTKENTVKALSPERNMFIDVPNKRPARETEVFVQKTNNKKTINGYECTEVKVTGKDDGREVTFWAADDDFNFMVPMLETLNRKDKLAVYFMNIPNFEGAFPMVGIEKKTDGVELTKLQVVDVTKSDLSNDLFTIPANYTKFERE
MSVVEKGTNAELLSVDRPCSCPIGGCKCCCFQTMSVTSAGQPVGSIEEKCFCCVPRFMAYDGSGNELYKMHQPTCCCGMCVNCCAEGNPCGKGCCKASFRIYPANQDKTDGDAPYLGSILKKPKSLATEVFTSANAFDIKFPDGASVDEKALLIGSAMFFNANFFEGQDG
MGTPLTQVEIRQQNKETRKWNRTDLSDLRLSEKKKAKKKVTRKKMKAPEVDDEDQIGSKPDQDQDKVNLFNHKELREFLEQDLVMQILRLKQIGDSREPVSAPVKTANKLESVKNLLRLLKEAGMATGSFDVDDVFDLDLKVIQAATQDQYSPSSRSWSARSHRSQIQYHHHRQILPIAKLPRPITRRPLRMNQISYRSKANVTGPFWYLNAGGAIQDPVPSSDYQITAATTSDDSLGTLQKYFEAAMSRFLAEQRGPTADQGTVRVQDPGSCSSGCCNHHDRSVGSVLIQRVRISAISDLKEFTGKDQDEDLARAWIGKVKSAFMRDQASDEDKCLTFTDLLAVSAKTWHRQVSGSTRKI
MNSVDGNEDDLYPDPDLEEKPHPPQVSDMETPADPDLRQDPLTKQTKDGDQDGSGWSVEDLKYSYHRKELRDFVCQDPVMTILKRKRIAEPKDPVTAPAVVTNKLDAVTVLIKLLKEAGMIPGSFDTDDLFDLDLAVIQTTSRDLFEKLKILFDEVPRIADPVSSPQIDVVDNLTVSSHYASAAEDGSDTSSEPWRMSLGPSGAAMLEARSKNHDPASNQPKGATPTDQATTTSSSDRSAGTLQKFFNAAMDRFLAEQQAAGADPVVPEPQNAGSRDVDMESIRSSDRGSNWEYDPDDIDFPVPAQTAVATAASGSTGSTMIQRVRISAISDLKEFSGKDPDEDSARAWISKVKSAFMRDQASDEEKCLTFADLLAGSAKNWYRQLSRSTRNKWGDLLRSFQIQYCGLGVSVARQYYHARRRSDESPLDYLYRLNVAGLRARLKIKDVSTKDRREHVDHFIETLEDPDLADRLTLLQLSDADDLEEVLRARDRAKSRQKKAAFGSGKFRQKASNAAPSAPAKQVRAIQIQATDSGSDSSNGSDGSDSEMDKVTPKEENEMIMPDPGHQDPGSMNHVYQKHRSKIQSDGFNRN
QFPRGQSHYLVLNGIGQFTRIQLYGMDFRTDPRFESYNSSGYRYNAETRTLFLKMRHRDEFEDIVIYHGIPEEPEAEPAPEEAAVQSSVPAEAGTEEVPAEAASDQF
MDDVAVVVDARMYGSEQMVLDLLDVFAREGRAVGPAVVREILRDRIDVAVVGAEPVVGILGPIEGRGVIPGADYTARECRFVVGPVG
MSAAAPACWARIVGLYAKRLVGVDCSADMLARAQRRGYSELIHADLTDYLRDVSQAFDLIVAADTLCYFGPLEDVISLAARALKPGGALIFTLDDALTVDLPDGAQMRKSLAGVRVRVERLMSSLGNMDGCATCRDDTMPRIRHVFYGEQVGDPTGGPLTCPDCGRKYRDDNRARASPRRGEWGSTLMRSLRAVLSRVNEIAERAQAAAAETSPAKQATKMMCTAVGLAVASAIVPDPADPEKHIALAMSAADKDTLLKMLKTGFDGKLVKTIGDTGPANAAKLMLDALQQDWRLAPQQ
MRRTFTTFAGFAALAAAVAQQASSVPDIAIAQFGQTWYGPDGPWSAAEVEVGTPPQKVYLTVATLQDTILPITPDACNGIKACIDGRGSAYNQTSSSTWTPTADRDTELGADMSAGVIVNGQAYGIEVRGVPGQDTVSIGGIPGIRNVSVGAIRSTRINNGLLGLRNVTQQMYQQRLIPSPSWAYNTGSGDGSRLPQLVFGGYDRSKYLPGSVQNHTMIPVDNGRPTMKATLDYFFLNITGPTDRRSFKTNSSLIDEPLEVTIDSSTPYCWLPRAITDKIAQSVGAVWNESIGGSGYYVYNLSAPAYKNLETSTLAFHFNGTGDSWLFNSMTVSHWLFLAAPTEGVDPASPLRYLPLRPVDNASRSVLGRAFLQQIYLTANYYTNTFSMAQIDLDSQSAAQYVRVEAPVPPPLPSPSPPSSNKLSDGAIAGIAIGVVAFVAIILGLIFWQAKKRRKAADQPVPTPPDADKVYPKNGVYYRELSADAMGGQGRMVPPVELYAPNYPVEVSADTGEISK
MRYQDTDSDDLKKPNPWAVRVFLGVIMVILILSVALCSFMVRLARDIEHYDEVNSPPMFDGVMDDTPITEARAQTIDLL
MNEILYYSKGTHAERSATIDLEDLEQEHHSAMRHFEEGQEELHTLRKSEQTKQDQLEDDLLMLQRKEEEEQDAEN
MQGLVEDYKNKYKDEINKSTEKESEFALIKKHVDEAYMNKVELESLLEGLTDEINFHRQQYEEEIHELQYQISDTSLVLSMDNNHSLDMGMLHHH
MILPFDQPTAWDFWKLLLVTAGCALGLWGHQYVRRNCRYAVTTRNAWELNKFTKSKHLPIDMFLHFKATRHGVDFERHPSFSFDHLSDPDAAVRALKQAQEAHK
MFNMVFARESLGVRAVKIRHGWWLAGSAKYGIVPKFTGYLRITRPTTSAHGDDYCGASSQVVLLPATRFVGAAADVVPRGVCQGLREGYWPFRDDATNFYNLGFVSIGITSRCAAGGALRLRRAQDANKTTTLFAIVGPLAGRPRRINFVRAPPVDQDWYKYPATY
MKKLIFKADKGTMGKFNYIGFRKYVGKNPVMGTNLYDNHVIIDSGEYIELGFIDKPNVANWKNKIDEEEFKGDTKGTLLGSGEFEEIELWLSTYFNLTPVED
MREIISIHIGQAGIQVGNSCWEFYCLEHGIHPDGIMPSDTSVGVAHDAFNTFFSETGAGKHVPRAIFVDLDW
MLVYVSPDFLINPEITLSSGAIYLNLSFDKALRTKTRVFALTLINDDHLFIPQSLLNLRTMNNFPKGDKIIGLELSNQVQRX
MDEVATRHIPTFFEAEQQVLQGSGNLDAIVAQLRGDKGSDLDKLRLALVEYLACDPAQAKTVLERLSEALAAAPEGEGAVGGGGGGGGAARALAALKYVKSVRMVSTMMAPMSRAPASAPSDGGWLAGLDKFAGHASELIAKATATVKTLLPSSSKLPVTRLVDAICEGKPHEVADTCLTLDPKLARGSSASSAYGAGAAASGGFRTAIVFVLGGGNYTEYQNLQDYAAKQTPPRHIIYGSTSMCTPESFCEELAELGADGP
MIDGQATPFGKPHFLPTQPNDEKLRTTIQTLESRFVDIVIAMNGLPMLIEKHVSNVMAYRHDKKKKYE
MSMKMEMDILSRKKLFTLKDFTICGQYNEVSIILSPKPRCLAQTAENACNAESTPNANCTWYPKYEKCFPDTLSCSCSDQTKITQPQEAESNKLDYIVVILLGCVLVETLLLGMFVWKYYMTNH
MVQALNLILLTSSELSGLRDLLKQSLVTAAGKDLFLSLYASWCHSPMAIISLCLLAQTYQHASSVVQSLVEEDINVKFLVQLDKLIHLLETPTFAYLRLQLLEPGKYIWLLKALYGLLMLLPQQSASFKILRTRLKTVPPYSFSGEQLRIASSGIPYSLMNYTGSGSQISEDGVMHDDLQNPHNGINFGSRLQQFDQIQQQHRMHAKTQTQSRYSSTSSTKEVQRMEEPKRPSAAQELSRPPSRSLRRGPGQLQL
MVAPPTRCTLHGGSSHQLSQQEAVSSMLRMAGQELVVLMEERLAQSEEAGHRRRGMEEERIHYLATEEGVLTQLSGLEDYVDRLQRSSASSPDQLCITLRDVEEGAVSLRRAGEALATLKGGFPELQGKMRSVLRLEVEAVRFLKEEPHKMDSMLKRVKALTEALGALRRCVSESTIPARPAQVEPLKILETDPGPLEPRSPQSSPKPQPRSSVRPPLTASLSGGLAEVRLAGRMKTDASGIEQPRPQLTDARGRSPPSVAKVSPRSREGSPALQRRRGPMTSPTHEGQADHTPVKQSPERRSPLGRVNPASQPLSAGSRQLPSPSANTEDQVLQASQASPMETTPPLNASGTREGCSAPASRPTQAAVEPPARRSGSQDAAAPPLSDEADSPQAEPPDTAAPPSAAPSTEHISRPRVEKPPRTAVDKEMKPSPDRVGSAPPPPAPRRSVCQ
MRIHVFRPLTQEEIENPDYLKKNPKQKNFLGKLKGLQGDKVQLELIQDKNAGGAVMIPLPLISKANLEPDLNKIGDGEQGSKKRSRRS
MDDPEELYNCGNGFAHERCIDMTSGSPDPRQPDTSFTEYPPYPQADPPRQYEYPVPPSGPHNQYSSIFQRPTPPEQMPFSLAGNQPLHGTAKQSAIARNAAITGIIDGGAALYGTGFTIVLTDVNMTTMQITPSITSLLAIALGSLITAGLLTGGIFFLRGRGYKTLLSTAICQLLSALCNIIIAFTTAFDGIRKGSAFDGNSLLYRVFTEPFGPFGLALSFSIIALLLMWQWERRASRSSQAMPTTTGQPHQYPFT
MISANTLASRAGSSKTASYGVRTTPPDGINLWLPVHDERAALLHLAASGIRVAAGTPFLETGSASTPHVRVTSGLVTGEHVDEVAAASAGAVSR
MPLNMKFRIEKLGSKMLVQVYDSGKTPRSQRSAKGVLCMACSINQMLRLKTVRQPMVIFICPQVAKLYWKAIAIVWLLRIFGVTL
MRKSRFLLNLIAATAIGLSVFGCDSSSTEAVQGVSDLTVGAGSNNGQIATERQNLREYMIVGERGIETFQPNYGNNQGDPADAATVVASPGDGVVDNRRAALWELLGVAERPTPNSNIVGPGTTSTTAPQVPTVGGSFSNQGTTGYHSVAIDPTGNFVVMISRGRNRGLTGDTGTLTGAQMQIFKIGPQDAFDQTFPPVFEFQATADPLPILVFNNTNQGAFVSGEWSPDARNYYCCIDGTIRAFGIDGTIGRLTLVDSKTFPAGTPPTGTVGSTINNAAQLLFTPDGSVMYAIDNGNNQIIPYARNASTGQLTAQAAVPTVVDPRGATIDRSGKFLYIVGRESGDLAGYSIGANGALTQIEVFAN
MKYRRCLIIDLLEQRRLFAGLDVRIFEDPLSSRTLEPNSSPAIERVVFLDLNADGAQQASEPISISDLDGIARFRNLQSGSYLVRLLGASKSQLQTTDTQPAPTGFWSGDVGALKPIAWKSDSVGWFASNQSLIQFDIEQSIRLSEIPLPGRILSVTSDLQNRGLALVAYPNTKTELIAFNLQLGQIQRWDSSSGETQGSSIHSPTAKELISISENRFLRQSNPQGDSLEWIPPTEAWIQNPTLETKLSGIAPNAHIHTVGSQGILIAESLDQGTRISQFQYNGQAFELIAERSFDTFVRFSSASPDGKTISLETNQGIEIVSIAPGLPTALILQNAAGPSAFDASRGLLWSLSNANPSRLIGWTLTQGLKAFDVLFADAGNADQSARIQLSVGFKNDTLIGLRDGQIYRHALSLTNKTIAQVVDQAIEQVAIGIRNRSENNPPVLRSLPSVQATEDSPKSIHTSDWTQATSDGDGDSVHYILVSNGQLGSVSWSSNVGGIFTPNPNANGQDQIVVQAYDGRSWSTPQTVGIQIQAVNDAPQSLLYSGVLAIPENRPGYVLGSLSIIDPDANEVFDYSVSDSRFEISGSTLKVRNTALIPYQAPGWIDLILTARSRTNGDSVQRAERIFIIKDPTPFHNDTNPADVDRDGTITPLDPLIIIDYINTKGSGQIKPPSEGEASNDFDVDGDGQVTPLDILIVINALNQSSAAAEGEAPLRDSRPTVVPPPILPGTRPQAAPLTAPLSDSDDPLGLRKSKR
GTGAFADVNRQGWLTRFFNSEWWPL
MIPNPGTARRELANLGNALTLAERGLPRHTPAELMAWLVHALALCPGSIGDALLFEICGTATDVRASDAVKIAQIRKGWAAVVRAQFRRRGLSVVGGGGERRAYAA
KTPKNVIVAIDSVIECFLLIKDFYNILRKLLNSNHVTQIINLLHGDCVPSNNILAEIKHISNVIIVVANGNISAMTCMILTRRPGRKVITEEIFCWIDENGEMRSKRTTPVVKKESETDRSLPADLATFRIELNEQEKKSRDQVVLPYTQIGAGDSAASSGGGKIIYELEVADDWDEEDPDDDLDV
MWEVFSGGKAPYPGTDPHTLIQSLEEGYRMHQPYNDACNEEIYGIMKQCWQMMPEERPTFTELYFTVSNIIERMAGYLQVGYNPFLGRGDEEKAEEMEEEEEEEEKEEKENN
MKTPVRWSSPEALGNEGMVNSKSDVWQFGILSYEVFTHGATPYEHYKDKDDAGRAIQRGETLERPSLCPEEYYALMTDCWQLSQDSRPTFREVKRKLEELIESVDERFVPDWANYF
METKSRELREEKVNANIEAIQSILGALIADDPTMSLPQLQELLTACIVLQFDIKRCRENCEYKIRSQHHKPLWKRA
MDKTVHTFEHNDVPVSHVPVNICLLYHDSLCNIRWGKISPEPGGAGRMGGMAEMAGRNSRDGERGMAGRVSRDGRAGEPG
MQIGAEEILCASITVHSRPHYLGKLSVNWTRGSLHHPPRQEEVVIYPAINGKWFRTSRHPQDLTFISSPSATNRRSQTARSDLSPGRITWLTDRRERGARLDLKI
MKTSALMTIKPRSDWGESTMEDVLKCVFPRRPEELSLVAGMILNEIARQGEMPVSDWKNFLKRHPGISQASYYSAIRQLLGVGLIGKEKGKYYLSDRFSETLRRMAAIWDSRMGKLLTRSQMFDEVLVEATGPERLNTRPSPTARARRSSQAR
MKQSFKNTLFSLAAVSVLSFNIASVSAVAPAANGAQKPVDAVTNYFNALKSEKIDDMIHHSIDTNYADEASQRKGYTQDFKDDQLLGFEIVSTRTIDDNTAEVNVKLNYKEMRQTPALPFKVIRANDRWKILIEPFEIKLDKTVVKGAPKQFIKYDDNL
MDMPDKPLAAGCAHQPTDGMDALSQIGVDVRQPNSKPGLVCELQGRPQDACAHGGYDKSTRQFWSYWHAASPADKWTFSTKGAAQYHPAAGSVEGWRWGTGKGFPPRQVSAARKPPAMPPESGHGSGPLTTIGVVVAVIALGVGVWWTRRKQTRRDAE
MHYPVNVFVGKIRDYAGSRPSAIGKIQVDGELQLGDLGLNGDQQAEKKIHGGPDRALCHYPREHYADWIRDFPSRPSGSARRRSAKTSPPPGLPSKTFISAISFAGARR
MLRMPELPFLRPKKQPLIGVDITASAVKLLEIHPQGSRLQVASYGVAPLESGAVSDRRIKDYKSVARSIKRVIDRTQPTTLRTAVAVPAAAVISRTLSLPRDLDDEEMEAQVLLEADQHIPYALNEVAIDFQRLPPLNPDDDTQPVLLVACRKETTEQLDQVLEEAGLKPVVIDVENLAIERAIRLILDQLNEHQAEEGQIVAVADIGATTTTLNVLNDGEIIYSRDQMFGGKQLTDEIQRHYGLSREEAGFAKKKGNLPSDYFTEILEPFKTATIHQIERQLQLFYSSSNYHDIDYLILAGGSSVIEGLPDMARHQLGATTLVANPFAKMLLSDKVNAQALAQDAPAMMIACGLAMRMES
MSTGKVYESIIKKEPITKGWSEDQKYCVTTISGVKYLLRISPASQYEAKKMLFSIMEQIAALGIPMCLPIEFGTCDDGVYSIQSWIDGVDLETVLPLSSETLLSETEQYALGLQSGKIAKKIHTIPLEEPHEEWAVSFNREIDRIVRDYHTCGLHVDGDAPILAYVESSRHLLKNRPQCFLAGDYHVLNMMYENGGLVIIDFERYRIGDPWNEFNGIVWSAMASPHFATGQLHGYFDGEPPMEFFKLLALYIVILVLSLLSSWAVTSDFGRTVTLKLSQDVLKWFDNMQSPIPTWYLKDY
MDPSRTKAEDSVSADTDDLLALPQLLGARTERAREVVALAAPMAVGRPHFNAPELGM
AMIGLVFNDTPENYNMYVGEIALRNPAQTFNTVTPTIKDVEIIRGRYNAFDFKIRYASAPDNSATKVYNDDVDTWYYEIYMQPLNENPQLLTATTSWAAYVVDAPLKPEDEVRKVRLGVRAIAPDGKATTDIVWTDYKEIPYNQMISDIVVDKKVVKPNEKFKIVMVDKRAPAAQNISIVNPRNGEVMAQSSNSRVCEAQISQIGLYDLLLTDANGNQTTTRGMVQITPETTGSVPVIEKVMADKTQVKTNESVTLSYVGKEGEGKVSRALIVKDPEMLYIPGDIQEGKNYSYALWFKVEKFGHDKQGTNLINKNTIFDSWPHNNWGDLWVTIRPAMDFHLANEISFNTMGWERHDAPNEAMMSKGYQVSPGVWTHVVVTHDSNNNQKLYFNGKKVAETTFNESSRRDESTDYRIHKNRTAHIFIGGGGVYKAGLNGYVDEVQVWDKALSDEEVLQAMQGYSKENVPQNLKGYYTFEEKTENGFYYNWGSAGRDKLSGIVTMEGSGGEDTSKAFYQKRVSNNDVLGYPGIVGSLDVTTKANWNVEGAAITPQNKTANATFSQPGTYKAELTLQNRWGEATMQLEDNIEVSGLPNDVNSIEASTLLVDISKTSTSSILRLHFAQAGTYQVQLINSAGAMLLQRNLNVSSDASEAIAFEGETGVYVLRILKEGKPYKILKLVKK
MDEISQGKNRQLAEKVKAEQLANQKVAKALWFQRNPKTQDAPGYTYALSGKKKEPFRYKPALKSIRAAPAVSKRTAEPTDTTGSELTKRAWSKQVYWEATGDCDWKVPPEEDARNNGIARYALCKNTFSAFSYKLSFTNTGWFLFRFWDATDDYYDCYTFFNGVTALTITLMLLLLDGSLMT
MEENATQNYQLGKKQSKSELVYNYLLDGIMSGVWDLGERINDKKVAEMLGINRLAVREALSRLIENDVVEQLHWKGYHVRSITQKDVMHIVDIRISLESLAMRQLLQKPEEHKLQYFSKMQETIKKQELYINNDDHVSYLEVDFHFHELLYEASENPLIGKIIGNFRIMTNLLRNVSMGKKPEDFREAAYISTKDHQELLDAMMGNDYMRAKKLLIIHLGKTFVENITKNLPILKE
MLPWQDSKSLILESDLRLSMLPEEEAPRARAGIDSLMGLYCLVPTSGDQKCMQGFFAYPSHQIQSKFAVSQSNLGSSHSCLSYTHPTTSRVEDRTLSRNGVQIFLVQPVDPVDTCESCSDACRAKLDCLIHDECQFCVLCTWIVRDVESNYRARDVCIPMQGGGLRQDLDTAYQSNSGVNRHGFKIPDRRAHLFDARMLDRGFDPWTRRPLLFTPGKHQVLARSRPDKNHKDYPKEFGKGFPHMTQEEVREL
MIRDMNSDTRNRMYEYEVAAPPAAWDHIAEALEFLQEDKQLAEKIGSIAVQPPAGAWSNIRKSLDDTEKEIPLQRKPHRIILPIRKLAIAAILIGCLVITAVLVNRRNTEDPVAVNTPTPVNSLPQQALTDTTKNTNDNAAIPGQIAKTGPIAGNTAGTVIKNRSQTVTEDNHSEESVVLASNRSSVDAIAHTGENNPATNGKTAALASSNADRYYNLLDENGNIVRVSKKITALDCVIKNGLIVPFDNSSNADPDCIEKVREWHKIMTDAPAITSPLDLLSVISSGT
MAEIYPRLSETELNALPSRAEANVYRQLRALEFPGLEVMHSLATQTRNEKGTRVGEIDFLLFHPQYGIQLWEVKGGGVWLDGEGQWWSQGNQGTHKLTTTPLEQLKKQTSSLVQALNRVLSGIKLPIAPVLIFPDTREWQGTFPKLTLNRDHVLLKGDMQSLNAEQLIARFQNTAWAGRGVLSIRYRLPNSKPG
MASKDLTTRTISRVGGHDLPIAVLAVVFFGPIMGVVDWRLFAVLVLVRALVWVLHARALLSPVRAYERAGERPADALLLAADGALQRFPSRFLAGYAGGWGASVALGLILGAQGFPEALQVGRSERALGWLLVGVLVLTEIVATMPIIVRVHERFHTELTRALLERRLRARRPVTKVWRRLLVVFVGLILAVMTLVAAIFGKRQIDDERVRVLEREQALVAGAALVLRRSPGEGEGEGEASQAALEAMLGEALDPSLRVVSAAELERRLGAERWLSAEDHGYGLALGPRSEEAVSREQLAVLDAPGGRVLAAAAVGDGRWVLGEVELDEDLGMLLIVLFGLGGFATIPVTITLLPLIRSVTEPLEAIDTVTRRVVEAGELGTLERVVALRNDEVGRLAEGFNGMLDVLEALTAAAVRVAKGDLRVDFEHPGELYDAFRGMVERLREVVSQIRETALELASAAAEIQAITQEQEAAAEQQSTRMKEISATVDGLAESAVDISERAAGVLTDADQAAGATQAAEARIVALGEQAAGIGELLLRIRDIADRSDLLALNGSLEATRAGEAGRGFALVAAETRRLAERVSATVNDVRGMLGDIEGASAAAVTATADSLSRSQSTADGARSISGVTQQQGEDTRTVSRALVRVTEGVSSSAVATSQTRAAAEGLRAQAEALEAITRQFEVD
MERHTVSKLIGTPPGYVGYTQGGQLIEAVRCHPYTVVLCNEIEKAHPNVFNMLLQILEDGRLTDSKGRTMDFKNTLLIMTSNVGSSVIEKGVRRIGFDLDYDENDISYNRIKSLVTEELKKYFRPELLNRLDEMIVLIMIDKNSA
MLVRFDMSEYSCPSSVMRLIGAPPSYHGYHDGGQLTEKIRRRPYSVILFDEAEKADYSVMNVFLQLLDDGVLTDGQGWNVDFKNTIIIMTSNLGANHLTAGNTLKVAHDLLMKQVRKYFKPELLNRLSEIVIFEPLSHHQLKEIVNIQMKEIIVRVDVILKDAALDVILSESYNPMYGARPIKRWVEKNIITIICKMLVNGEADEGSMITIDAAMDKKGLKFQVAKNVSAADLV
MVGFLLITLCIECSADANDFAKDYIFCHHCYSDTKGLATSPDTEDMSLVEKLQAFESGIDVDKPEQSRIPYRIIGAGSTSLGMTIAAIPDKLIRRLPGFYSFTHASDHIHQVHTYREKLHALGIQTTDTQLVALEEKRHTWGERLAGGQGVVYVIQPLLNGQKLAKKYLQKASEKETKDFFHKQFQITQRIFEYNKDHPGNEVTLDIVLNNWEVHFTGKGEYVLRLNDLAQPLYSVDGKQPYEWYDQATSLIFPLNRDAQKELVKHFEKLLEPRKYMIELLWGYDLVTDHPERSSIAAMFENSSVQNHNCTPDELNQPGCKNHYYPDWALNTVNLELERLKDDQPDATERYKKLTGEEVYLSFLNNNYALSCLRLYRGLSHSIRHYLSYLRFSNSIHIQKPEGTPVDMYQTSTLPGYWECFRDPDIKYHPSPR
MAASRSAGLRRLMREAAELRTPTDMYFAQPLDDNLFEWHFTIRGPPDSPYEGGVYHGRITLPHEYPMKPPSLMMLTPSGRFETNTKICLSISDYHPETWQPSWSIGTALVALIAFMSTPSLGAVGSIETSDEQRKILAKKSLIWTCNACDCKMQDHLDQMKAVSAPKPEAGDAPEATKAEASDAKTAEVPSPAANSVETVPAPIQPAPESVSPATPTIENTATTTEAPTQAPAPPAPTPQTPPTAPIQAPAATNINAAPVQNNSSSAGFFIFFFSAIFFALLFRRLKMVVPQNDAI
MKQSKFFRVVAASIVVLFGLTACQPMYSPELKGEWRITGFHTSTNAELTDEEALSWLGYSAHFGERRIQFSRYDCHDPKITTDWQQLNVVTDRLGVAPDLFGMRGETPVQLLFIDCHGEPWFTPGAELIRLDDERLIMAWKGVIFEFRQQRSAR
MAILSIISKLSHAYVLDLSIIFIVLWCGYFSIILLSYRYFQRVKDHHKRVIRSFKLLDAVIKDVEDVSETGRKIDEKPNQLRDSQLKSGATLQLGDAGRDWVERTKTVVRMVKACDETYQKLSERRKFLKWVYSGFTDFNEIRGLDAKLGLIRAEIHYQLWDNHKEICKSLDLSRSIVRSLQDRPIVEQNSFTYKRAAPTVSIEWDFKVLITNNRGLVPDEQKEMEYLIMFQLHLLHAFLRDLEGLSLESETEKAWVEEAEHILGELQDDIHSIQKTAHRVRWLPYFQEFHQNLFVRPHKNQTQQQATRNDDKEILDLLEQFHKQLNLEKPKVHSRLKKLADSFNEVHRLLIGTNKGAVEGMENSRKAWKEQMKIIVKDAITSLSLASQSQKSNSRRAWKDQMKIIVKDAITSLSPTSESQNSNSKMAWKDQVKIIVKDAITYVSPASQSQKSNTTHKDTDDHPWQKFSAEIERFEQAIDILSLSIEECRIELREETNSVVGLEEDVHEMVSRLTANSSTEHFSTLSIVGMEGHRYWVSLPDHIVDHKNLLLSILAKVVMPNHDENENEKDYSFKEVKDFLKAKKYLLVLDKISNKETWDTLIEAFRDSKNGSRILLTTRDKSVASHAGSPNPYQIQLRNKNQSWVLFTQMVRFQPEPSQPDQLSSELKNLAEKVVKRCGGLPLCILSHGYLLSGKQVSN
MKNNIYLKRKELDMSQLELAEKVNVTRQTINAVENNKYDPSLKLAMNIAKVLKVSVEELFFE
MDLGIVSVLVSVTSAAVAVGALVVNAVDGRARRRNTEFLGHRDPWWQRWS
MTTKINVSYTENFLSKDDNKDAHILGCPENAPRSGSPVEDDKDTIKALVDVNQRITTREIRERLNLSNSNVYDHLKGLRLTSKLDIWVSQILTERNLCHHVDVCDSLLKHHEIDPFLKRIITEDEKWVVYNNVKRKRSWSKKDEPAQSISKANIHKKKLMLSVWWDFKGIVLFYDFTG
MQKTYSLALLCLFISMVHVQAQSVWIYGEITPPSAQDVLTISLNTGQKTELFKQELKPGNLFIAGFGKAYFSFQTPTIDKPTQLSVHLNQRAIINHYWVFPGDSIQFMADRNKGRIVFGGPAANQFKTQFEIDYLIDENTFSKSSFFGVEDKEKFLNDSSNYAQYSSANAIYGRQTEIANNDINVYIKRMLNAYHHDYNPTLNSLYYQFNKGELYKLMFLSHLGRAMFFVSQSSRLKYNELNRTEQFSDAASLKEAYNDFVDYTESLFEDQDWTRYKVLSSQYLDGKTEQIITANHFGNQNPNTYLKSLPRGAERDNLINNYLNKVSKKISDIPEAKEFFLPLIEDEAISKNINTLLNALQNGGQVDLSPFTTLDQQSSDLSANSGKIQLLDLWYTGCGACLIFYKNILLPLHEEFGHRDDFQIVSISVDRSYDLWVKSVKANKYTNADFVNFATLDQKHPFLLNYNIRSFPHHMLIGKDLSILKGTDFPTELEEWKKLIETHLKQ
MGDLAVVFQVEALDKLWRGNAGQVSMLAEVIANQGIRAWFVAYGRTDKEIRKARGLVKSNQLLIITERTAKVALLYALSSSGALNSAGGRRALLFCDSRDDAMRSAAGRMNVELIACTPGNAGGLSSPTSLLQRIFSSYLKSREEGGSRDGAERVVVVGYIMRPSRESSLSRQGLLHLKAINGVSFVPVDHATPFDLQQRRCKFDLLMHKASDWIVLGSSGLDVTVSLPSVFDSLAGAKIPWLDSIERTSALWSRTEMHKLLMGLGDPDLGLSDRLIVPATIPFGGAGRDFKGKVPLIVKSNAACGVSFSHKMIIVRTEEPVDLDPALESCYNEYRDLVVQDYIDHDGHETKVYCIGDAIHVSKRRLGTLDKGEDPGPITVFDSLEAKSRSPGDPGGGYYPVSEEDRAILCECGKWLRNKLGVHLFGFDALKARGSGKIAIIDVNYFPSFKGIPEARSDLHRVITSQLS
MSQRLHYDEVQTIFGCIDNNERPPNHLKFKIIVSPLNESKLSPPSFEFQPGIYVLLFPTSCTESATVPSALDDVVLSQRDLSAMPPSPWSISGPQGSNFNTVTYRYVYPRGSQAYSSQMTGLLWTRQELAAPRCTHIRILHVFHSSKKAKAKREDSHNLRPKKKAKKSSKAVVNADDVNLYPGLLSGEMVATPVTLSGYETTIDLDMIRPESLFDLDDDPFSFTGNDPKSVQPAPYHPFYPPPPPDHAQTYSYGYGYPCPPSHNYEWSMMHPYPTSTNIDTYAQHHPVVSRNNSLDTSEFSKRLDDLHLSLQSEILSSECKTSQAHMLNCLQNWAKKVAKEPLQRPQEQMMEAKLESPDCGIAL
MKIFITDNEGNLIPVDGKSVVIELNSGGTIEIAEEYSRDDVPEDIICGEDENLPLHSHSRKLKLEQKALVFTPLQPMPCTYSRTNFHQKNDCIQQAKRFSQTKLRPLLS
MMSIYLSRSFPRSNSSFFLCSGNALQSEVLRLREEIFLVDAGLGTPRICMQDEPTGVPINRATRFENKVGFLDLVAGESLIKKHILERFFIDLVAGESLIKERAAARFNDLVGSTDVVAGEPLLLLPRRFRQNRAWIELNKIWRTNTSVKGFIFRKVKGGYSVAIAGFLAFLPFRRSRKRKKSNDRFTIESINFKRKNIVVL
MNKSIEEKQEDRQIFKWVCKVIYLPMFSIIISGNLFYRDIINKHIFLISFISILLYLIVVDKRIEK
MRALIQAILTQLATLADLKYIRVWNNQLYLVEEGKIEAFPFPAVFVEFENQQQIEQLGGGDQLYEIRMAVHIVHEQLDAGNGRMEENLDVFDLVDKVFQNLQMFKPSGAGNFVRYAQEADYDHDNLYHFIQYYRFNYIDPLMSQPVGGIDTDAPLGLEQKVSIEGSSDSNEPYIFGP
MQIKSIHSRILLLIVGVLSVGIIASVILGYELSERRLLDEKLRASELLSRPLLHSIYEDMLEERADLARHLIEGLNKVEGVARVQIIRGNGREEAFQDLKTIKAVEKEFGEILPEWIADHPEKKFNIAKGVDTEGFLEALAAFKAGWNTGS
MKRIRIGRPRSIRLGRSILVAVALAATAGSSVAIALRSARQSKTIPSGSFGTAVAKCRGRRTAVSGGFAAPGFDANNGPTIGRLSSKRVGRRRIETRALNFGNQAGDLVSFAYCALHDHGLRVKSASSWVKPNALGSAVARCPRGTEALGGGFGIHRFSTTQGPQVTTLTSKRLGERRWKVVGVNFSGVRAGRLIAHAYCEAAPFKPVTRSKEVTPPPTGGLKTFDVRCPNGSGAFSGGFDGHVKIQGNQSKATTAIASRRASGGRVWRTSALSASCPTLGRRPPTPTAASGRS
MTQRAKRPPPPGSERRQHPRYELLVQVELRGDDTTWLVPMRNISAGGAYVELESPDAGAPQLVLAAGERVTVFIDLDAAELEGAAADALPADAPPELLSLAREAEILRIDVDHGVNTGALSGRPVGMALRWTEAASDIDERFERFLALVSTLDISAS
MIEFFSNIRTEIPQMPLLIHSLILSVLPFLMWLTLVNRDKPLYKTIWSILLGLQLITIYTWFFWAKLPLSESLPLYHCRIGMFVVLLARPGILKDYFALLGVVGGVLAMIHPDFYPYQFLHVTNIFFFIGHFALFVLSLLHLMTQSNLDKLNPKLIIQLTLLINMSLIFINLLTGGNYGFMMKTPILGITNPFLNLFIVTTLLSFLVLFVKQIFQKVRMRASLKTEES
MSEVIPFRRRAAPLPAPVRGLELLRMEAEDARPATVLFLHGAAGAAWMWAEHLMAALAASGWRTAALSFRGHGASAGRESLHGFGLIDYLVDARAAIAALGGPVVVVGHSLGGLVAQMLLGDPRIRGLVLLAPVPPEGLAGANWRLAFTDPVLWQEVARMPWADAAGTRPVRLRSALFSDALPDHLAWGYIARLQSESLRALAEAQWPRPVASARLVGVPALVLGAAEDPLVPRDALLRTAWLHGAEHATMDRLGHAMMLDAGWPRVAETILRFLAARVP
MSITQRLMLTFSLLSAALIAMVITSVVVVSNFQARFQYVQENTLPSVLDIGKMIDGSNTLIIWLYRHQSATEATRQSQIEKEIDTVINRISTLNQYYLQNETSNEDDRQISESIGTVIQTVQARLPAFLESSRTHDNATALAALRDDNGVGGAARQLIALYQKQLGVNEAVGKTLRQENDRSYSQTLWGLIGTSTVVIIILGFFTLKTIFSIRNQLNSMRQTLEALSLITHLTLPTTSRV
MLKVILGTLTSVFLAIISVTIFYWKDAKFDPSTQQMYVYLLIFPCVISIVLLTPYFILKWYKYQKNKKLAAKEHAEQQQVSENSGKEIEIEHIQFQIFTSSIETAFGQNDEIIHQLINIKTPSLDEFLENNKEDKAFSYRVTTVDREHFNQKESHDLKPIQQRIIALMSNELKKNIELLTLITKHLRLSALFYDGELAYKYRMHPLWTNPDIKVADDPEEKQPLQVYRLDHICIHLIFSDLLLHVWDEAITDQIVSHFLEDLGLIHQQIKTEYHYWGANNAYFEWIKLLQKTQNQVHQVSFILVIDSEIDQDILTSRYWDNENYVPAEFMSSCCIAATSVNIENLDAIKNLHIYPDASNIKHALIALDISDLEQFQHEKPFVFIPDNITKPITIEKISNNFKQSPIAQYHHLYTQNSLGDTRHLIEIYTFMLGMHTSKDSYSFIYSLALPSVQVIFGPIQPEDVINQPVL
MIKIIISAFLTIFALLCSCIIYFWRDTSYQPTGWDLLNYLLLLPVLVTAIVFSPYLILTIIKYFRKKKDLKQQQSAEIAQQNILSENLFKAPDVSIRQFSLNIFSAAAVHSFGENSEILEEYKKFRSPELDSDLCNAYGLPLLSFRIRALDQLLADSDDENSSLRSIREQRILQLIQHQLEPHAEALFAAAQHLKDSAMFYDSEMAYQYRMHPAWRGESYEEPDTLQEVNSRVIARLNQLFVYLLLPEDLIHQHNDQARAELLSSLAAQYSILEAQIEMEYHFISQHSAYTEWLKLLEQVAQQKYCFNLIIAVDSEIDQECLDEKFWQSEQYIAAEYAASWCVAPIDTLIEDLNPKRTLKISVNESCAGHFIEQNKLNEYEQFQQDEPCVLFLDDMQNIKSSKKLHQKFSETFIETQHFLYTYHNTGHTQQLAKVFHFMVGMHLSEELKGLIFSADREDVYAVFDDYQAAADLDVQQNGERAYLEQ
MLKKIITGLKAVSIIVFAFGIYLIVTHYYNGNNSELTSAPAVPAMSSADEEENADLIKRGKELMIHTDTELPENVGNTMSCISCHASGEEAGSINSLNLIGVSKTYPQFNVRDGKIVSLDERINGCFVRSMNGKPLPEDSTELKAMVAYIDFISKNVPEKVKERDWALQKLEGDLPEPNIEEGEKLFNTACISCHATDAASENGLAVWGDDSYNDGAGMNRIRTIGAFIKNDMPKAQMGNIKPGSLTTEQAVNLAAYINSHDRPFFKDKHKDYPGGQIPDDLMYEVDSMKDIKNKDNEK
MKQNLYIKKKTYVVIFIIVFLILVIGGFFYLSRKQISNNANGHVAPGKKDTNQILKADTLAWKAPEETDIPAGQAGDEIRYGKELIAHTAIYFGPKGKVAKISNGMNCQNCHNQAGTKPYGFNFSAVAGSFPQFRNRSESWVSIAGRISSCFHRSLNGQSPDTSGKEIKAMIAYMMWVGKNVPKGIKPKDAGILKLNYLNRPANPVQGKIVYTTCQTCHGKDGQGQLNEQGTEYIFPPLWGKHSYNDGAGLYRLGNFAGFVKGNMPFGTTYRHPVLTDEQAWDVAAFVNSKPRPHKNQSKDYSIITDKPIDFPFGPYADNFTEKQHKYGPYKPILEEKRLQTKNRNLNK
MNQTSKPLFQLFPLIVGLLVTVTLLVGIVGGLLYFDYAGLKLPKEAIANPQEIPTAPKSTALMDPVGMWIAPEWDKVDSEPNAEDIKYGRELIANTAEYLGPNGKVKKISNGLNCQNCHLQAGTVPLGNNYAAAKSTYPKVRGRSGNSEDIQLRINGCFQRSLNGEVLANDSKEMIAIVAYMDWLGQDVPKGETPKGAGIYEVPFLDRAADPIQGKTIYERQCVACHMADGQGVMKADSSGYTYPPLWGANSYNQGAGLFRMSRFAGYVKANMPFGATYENPMLSDAEAWDVAAYVNSLDRPKREFPNDWPEISKKPIDHPFGPYSDEFSEEQHKFGPFQEIKASASK
MKVHGILWVSLGLVAIYVGALWFGFSYPNLRTYAPPAAPPGTLAWEAPLSKDIPAGAQGDLIRSGRLIFRATPQFAADHAGNKMSCGDCHVAGGIAPYASPMVGLPARFPMYNKRAGHVISLKDRIQECFTRSENGTPLDYDGPEMRALMAYIEWLSKPEPERKKFVGRGLVKLPELTPNRRRGALIYGEQCAGCHGSNGAGLVPQFPPLWGPDAFNDGAGMNQIPKMAAFIQHNMPQNRTGILTAQEAYDVAAYIHAQPRPAFNPAYKHY
MAKKPDPEAASKKPAKAEPAKPAAVAPKAPPPGVDPRRSVAAVLDAEIAHLRAQVAGLEKQGADAATIEPVRNRLRLRAIQRAKLG
MADSRGTVTIRENFRFYNLSGHRFELRFYNVTSVPANPTHERLLKKNWYVRRGDPPPFPGCVDGTYGDRDWLFTTFALLRDRVWIHYIPTPNMWATPPVTGWEFAPIFITLTESDDRTWTKATRSTGFIPRPLRQALKTFYDDGLKLANKLLVGATKWGTLPLAEGPLFWEGLEKTGGFVETCRRFYGMQRLVAELWGWVFLQEKLQGLTVVQRKLLKDTAIKLDYFRGAIIPWGKRNNDLAEMFLSHGVPTIWIDYIDDPKSRQAPWDGLPSRGHEALILHHGSGYDSVENDEATRSKVYSLEVESVEEVPKVFSRELEAWDAKFLPSPAGPTDGQFMRIRPPGAVPASLPNQTASTSGAPASSRPLSSSGASSSGSSTPPVSTQLAPPAPAQIQATLAPSPVARQSLTTSNAPGQAVVTQHQAGQTAAAQFAAPYANSATPWTYGNAWPAPMYAPPAVHPYPPPMWGWGGYPGQSPAPFPHGPIGPYGYPGPSPSAYPAAPINPTFMQPGLPADALREPKRVKTGSFGGGAPGHRRKQRRRPGMNPTSAERLALKNATSAEGSGEGTSTSASTPNASPLASVTPLADVPEPVALATATPSEDLPMEMEDTVAPPRSATT
MDPNDELIELSGEEPKLIMPDNEADAIPLLQNDTLPNDFIMIKPAYHEFSTNYFRIALMSIKALFILLLFTLTFVIRRDFYRVFVLLLLSIMVLDLSFDTFSEVKTHLNQLGSSDFNWDYVLVPLPVNESIVYHHSHAGQIFEIMLGSYTTYTVYNVIWWLPPISYLILSTLFWQLLISSTVVFYYLHRAVVSPNDIVYSNYM
MYLSDLPLTKKIRGRGKMCIGDVGPMGRILVRGEPGHDIVPELYPLPGEPIIDKCGKGAFYATDLDAILKNYNIKQLIVTGVTTEVCVSTTVREANDRGYECLVLEDCVGSYFEKFQVAALDMIKAQGGDKYTFAFNMASLSQIVLCPIVGFCLAFRAEKNPKQKLLNASIVQTCAWILNIIACIICMFVKSTAIIPALIFNYIGRSVIVASSQAVISTFFPSEYIGRLTGIMWTSAGVITCIQYGLVHLTTDVSQSWRAWVIVLILIIFMACHLIQTWFITFKKFRKNIQNVQSDVIHSRF
MTGESDSHIIKPWMRPKEIELRQKGRDHLNKAIKLGLFHGENILSITPFTQGESSVVFKVVTESSPSVVKMTPEAGGVEAESHFLLAWEKEGIKIPQIISIRPQDKEIPVSILVSEFIDSALLIDALTTQQMIEKGISKELGRMLAKMHRAKGKGFGFPIVGNENHGSFETFSEEMEKTLFGDRISWLLTHGVLNQFDVDVAHGAVEILEADIQKGGLPSLTHNDFATYNMFATEPITIFDPDPRITHPAICLAYTLLKSQVNEYPDLTESSEILSGYREITPIDDETIAAGIVLRGIRKIHTWHREGKLSQLDKLKKVIDANEKLIG
MLSETLTPNTTPSQDTPSYTPTRAPRLNFNLAAPRGFGDSDNTWAWSMVWWQGKLYVGTNRSWLCCERAAMNIALPGVIKVLLAKYPPDDDEVDCAPNPLDLQLQAEIWCWTPETDQWERVFQAEKDAVVKGKPNRQVSREVGYRYMLPVPEADGGEALYVASVTPKFVYWLYGRGGHHFPPPGLLRSTDGETFTRVPQDPGTFMGDLPKNTLRTMVVYKDKLFLTHGPVRGYGFLMVSEDPKAGNDSFTYAFPEDVKVFDMTTYNGYLYVGIRDEQGGYGVVKTDASGKPPYRYTTVVSKGAYLPKPSTNVISMRVFKDCLYVGTDRPAEIIRINPDDSWELIVGTPRQTPDGWKYPLSGHDAGFNNYLNGHIWWMRESMGRLYLGTMNMGMTLRTLPQAEDIIKPGSGVHVFETEDGCHFAPITTDGFGRISNFGVRTMVDTPHGLFVGTTNNWTGLEIWRGTPAEPGMEVAPPEELEGETAGNRVLLSWEAAPGARQYHVWRATFSDRRDTIERNKMLSSLLKVVRNFLRSNRGMYLPPLPDKVWVPERYEAVGTTTEAHFVDGKAAAGGHYMYYVVAEYADGQKSAASNIVTAPSFMPASTYAGIHQLLNRHAHHLPQQNSALQTLNDAQTAAKGGDLAMAAATLKNLYVTLEAARAQSEADWAIRDVQVALRKLVRRTLLAQEKQIPADLLWG
MKVSISLIFILYLSGFCNSQLLPVNLNLNSNTRLSINLDLEGEWSTYKRVFNKFYLLRNIETRRRVNFMMNFMAVEEHNRLYASGLVTYRISINPFSDMSRQEFVSWYCGTKPEDDDEARVKRQTVSSVTSVPNQWDIRVNGPGQLTPVKDQLQCGSCWAFSVTSAIENVISFKTGQTPVHLAEQQKVDCVYSRDGCQGGWFTTAYDYVKNVGLTTNVNYPYKGVYGRCRAANFAGKTKILGFTRLADDVTTIKNRIMTKGACAVCVDASYWHLYQSGVYSDSRVSNGCNHGVVLVGWGTDTATKMDYWLILNSWGASWGEQGYIRVATNGTGGIRTDYVYCPDV
MEGRARFKQYPKPREDGQFIDLRKTGCIGKVQDQGQCGSCYAIATVENVDSVNCLKCKNSILLSSPQNIIDCSKDQGNFGCDGGWMETVVKYIANAPGINLLKDYPYTAKEGKCAFNNQTAIYKNVIGHTEVEADENKMMDIINILRTPLYVAVKVNRQFSMYRGGVYGSVGICKSRPSELNHAVQIVGYGTDTSSKKMFWLVKNSWGESWGENGYIRILRSGCCLGICQAVGYVNLKCNQNVL
MMIFLLLASVCAISAVPNRGVLESPGLLNAEFKRFSAAQGRDYGPGEIRYRLRVFRDNLKKIVECNERDSSYNCAENMFTDLSDSERSAYTGLQNVTDNNFSSAPLLSSSVGAPAAKDWRDHNAVTGVKNQGQCGSCWTFSAIGAIEGAYSVATSVLKVFSEQELLDCTYETMYPGYDGCGGGWYYDSFNYVKESARLAREANYPYRADDGDCKMGGVTNDLGTARLTSYVQVDKGDDALAGALAISPVSVAMLSNGDFYSYSSGIYTGVGDCQCNWSPNHALTAVAYGTNFFVIKNSWGKTWGDGGFIKISRGLRNSVCRAGDFAYYPIFSADGSEPTLPPTDAPVTTEVGPTDDNDGCPSGTTRCPDGSCKHVHMC
MRLHSIATGLALLGALAVSTFTGQQPAVAKGICPLYVLKVCALNRDGTRSTYNNACFARRAHARVLHVGECFGSFCPFIYDPVCARDPQGFPRTYPNLCLSEKADAVFLRKGDCK
MTGGKASGIQMLPTGAQTRGGGHWQVRAGAPWDRACAKSGVRTGEMGGVSHRGGAAGDGRAAPRMTCSPSCVSVGGTCGAAWSGWSGWRRSAAETATAVVAASASLGCGFPAQMAWTVVVCVFACW
MTKLVKIKLLSNALFSNASGDGLIDLDSISDEFGIFYIPSKRIKGALRESATEILEMQNLASDEIERQINTLFGTAKNDGLIELFDAHLENFDFYKKLSLEFGRNSILNLNSLILNQTSLDDNGVAKDGYLRKLRVIKSGLVFEMKIILKDENLKT
MFGNNIQVETEDEARNRLLQRIYEKYDAKHLTEYTAELSRYINPEDLLENRDFLYQIKQSTTSIGYDIT
MSINEVLINIVRDNCRHNIILPNDAEPYNHVKVISVSFEKEASAIWIHFETKSGEKIAIPIDFISSIEIAGPMIT
MEPGGDFSRNHTLKIAIVGVGLAGAAAAFFLKSVPNIEVQLYERSKVHRKIGAWLGLTPTAQNLLEQICGEDSVESICARTYGKPVKRHWRTGEILFQPEPVPDSLTKTEKQRLRATANTVRQDVHRILLDEIPREQIHMGMKAVDFSVHDGKVTVLFEDNGPVEADLLIVSDGINSLRIIDHMKKLRAKIYPDIKPRHLPCLQYIETFDKADLIAAIPNLSDGVTHFINGDMLAFVGDMLMGSSRARIARVLSYAYQLTLTRLDAEAGLKEQGLEGRLLGPSSYLQVLDHSKNMGVFPISREIWLESLITQGSVCFVGDSAHPTGAALGAGCSFAFEDSKTLGLSLSYAHTVAKRWSPKTVRFALDLYDEARRSHLRKVFRLLEREDLSYSGMMKDEATQEDERREKVKGTSWLTNFDPDVDFAAACARLSKSHNF
MLRKALLQIGFLAFAWLPLQASAGDAAKQTAIGIGGEFNRFSLDGFATRSGTSFLNLNLSLLQRVHPDVWLGLTSFLSHDPNPAINRNYDQNVGLLLHWFPWSLSTDSAVSFEGMQLSESETFRHFASTNVVFGRVLLRTVNDFDASSDYVGLGLGMGSQYVISPGFAISSSLRYQKNIGTSAIAYGGQTMGVTLGAVLGF
MRNTVLHFVILTILLQKSDSKSLKNFDIGINVDEIQNGTTYKLTSMSDGLVITVLSDGTVVSDKWKGLEEQKWTIFEHPSDIFAVLLVNYAVPFNDFSGYEKLEGETRPIFPNPLYLNNNRIMPNLEKNVVIGHQEDEPPVPWQILVDNRWCLQNVGQCEPFVPYECEIDPAPYQFWELEIVQLGEDDNLA
MPVRLQCINVYRVSLLYLVLMNSLLEALVVLGVVNTDSSASFSGPTNIKQRQAIRDSWLKLIENVHNIKHYFVIGSLNLSKETFVSIKQEQHFNNDLIVLPNVVDAYHNLSKKILETFVWLDNSNFTYNFVLKCDDDSFIQIKDLSTELKHYHIQNKSHKLYWGFFNGRAQVKKRGKWKESNWILCDYYLPYALGGGYVLSESLVNFIATNAHQLRLFQSEDVSVGVWLASVSGIERRHDPRFDTEYVSRGCSNSYLVTHKHNTEDMVQLFKTIQSKGMLCLEEYKKRNSYIYNWEAPPSQCCIRNNASIP
MKTSSASSASNLSPYQQERAAFVASAILHERRGSTGDLPSGAMHCSAQLRKVLERTCITTGIVTRFILDKDAPLSVPYHEQGDTVFISDESVFHENTLAIFNSVREYAFTLTEEEYDHEQYHREA
MEREQDAMRVKALEEERESIEKERMKLTEEKRQVEEMKVWIDQDRLELAALKLAEAEQREREYMDRKQEFEDEKRKTDAERERVREDIEKDLKELEAQREKFGEKELRLREKVAKKKEKFLVRMKELEAECQKLETKKKTVEEELKLMQGKVYHLKAQLLKDERKREMQRKEDKTSQSRLEQERTLEKKRWEEQIELERKRLEETMAMERIKLEDSVELEKRRLWENLTMEKKKMEYNMEMEKKRLEMEKNRLEDNMELEKRRLEDNMELEKRRLEMERKEVEAQRLHLIETERKLMEKVTMKKEKTASKMKEVEEEWKKIEAERKAMFERIEIQRMASRRKQLEDEWKKIESDRKTMEERIQSELKQVENQRERLMKMEQEHWEELDKQEGKFLDKLDAEKRKLQRKRKWLERERKELDEKIEVERREGEIQKEKLQEKERRLRHKDTTRRDKGAMREIKIGEEMNEMEMMMVEKFETEKKSCPKKEDTPKKAVVVEHVSSHGDNNWDDSPQDTPNKCKTSSKNPKAEITDEKKNDNDEDSSWDSDEEELFPQPKKPICNISYKHLIAGHLKEDKKEPKLDACVGELEKRNAPKVGGSVTAKDADDSPWDDDEIDLGPIHLKDTTLKKNTPGKTKVIVKDNTIKHDESLQAKKGHGMEGRIETAEANVSFLNVMDEQQLIPPIEEGKNSEDEDDIDYDEMWREIEETEKRMKQNKKNTKY
MPESGDLPYLIYVLLSNFLVASLTKEEYQQRSSYGTKLIQKGFLALRTLTTEVIVVCFCKTKTYYSRRSGWYRLLHPSSKRSGTNRIKPILPQDNATENRLEA
MLLILVLLFWNVGEMGIIYQVFRKKHLLFDDRYTKAMCTALASISSFVIALYLNLLLPAHPVTYFLPFFAGVWIGWQFGSFMKAPASLNSV
MFLLLLHLQIKWRGCGGECLEFQLLGRLKQKNHLNPGGGSCSQPRSHYCTPVWVTSETASQNKTKQNVFLDVDSEAKKLLGLGQKHLVMGDIPAAVNAFQEAASLLGKKYGETANECGEAFFFYGKSLLELARMENGVLGNALEGVHVEEEEGEKTEDESLAENNDNIDETEGSEEDDKENDKTEEMPNDSVLENKSLQENEEEEIGNLELAWDMLDLAKIIFKRQETKEAQLYAAQAHLKLGEVSVESENYVQAVEEFQSCLNLQEQYLEAHDRLLAETHYQLGLAYGYNSQYDEAVAQFSKSIEVIEKRMAVLNEHVKEAEGLSAEYKKEIEELKELLPEIREKIEDAKESQHSGNVAELALKATLVESSTSGFTPSGGGSSVSMIAGRKPTDGASSSNCVTDISHLVRKKRKPEEESPRKDDAKKAKPEPEVNGGSGDAVPSGNEVSENMEEEAENRAESRAAVEATVEAGATVESTAC
ATCSQIWWRDILFINNFVNEPAGLGLGGDCLGQCWYLAVGTQLYLVAPLIFLPLYYYAPVGKVWMYVLCVLSIYIPAQIIYTYDLPPSSFLVARNADVYYNKVYLTPWCRAGPWLVGIWLGYIMYTQDHKRVVLKKWQVVGGWTAAVCTGVLLVFGVWSYNTVPPKADYDIVTQVVYGGLQRFMWGVVMAWIIYACHYGAGGLVNDFSPPHLAAT
MNQQELVSSLAHNIPVFAENLIVDLQARQLDTYAGIPRDTLLHMMTGALTAFLNDILLDEPHFFNDYWKLVTPARAEAGAKVDDMFDAVFMSIDKLNEYVDEHTRDDPVLQNWWLRTAYTIAHSTMLLLSQIFANVREKIIRQQDAHIRELSTPIMPLYHGILALPLVGAFDAHRAGQVMETLLSGISQQQADVVIIDITGVPMVDTNVANYLLMAARAARLLGAQIILVGISAEIAQTLVQLGADLTGMATRANLQSGIEYALAMQGLAIAPRG
SKMSLKELAAEREERNRARGINVIPDVNETPETIKLKPLPSRISQARPTQPARSALNNLKSAHRSIRMGHSIGLMICSFSIFLILFPWVLRTQLLIACIFMAGAWMARSGAGFLHSCLPLMFREGDAPSVTEVEAHDKNLFLPTLSGSALALEWEVALENLAFICTYGKRNCLTGRMYTGELSLGKIFGLVWSKLREGVTQCG
MKDPETQRFFRFREAEHAVIRRMDGATPLDIIAEEVSAELKAKFDVTALESLVNQLRLLGLLEGSMVTPPKRRLVQGSLLWLRLKAFDPDRLLNRMIGRLGFFFTPYFIVISATTIACALVLTAASHAAILRDMSGLWRVENLLLAWIAVFVVTAGHEFAHGLTCKRFGGEVHEMGFLLIYFQPAFYCNISDAWLFREKARRLW
MTFTARVSPPQMVQAFALLAALVGVATWSSLLLTTAESHTPAAAPQLLAARSDSPALQWFSNQTAPMDIKVSGVMAGSR
QIVLLNTSACAFFGYTRETLLNRSDFELFPAEQVRIFHAGDDRVFETGEESENEEQVTDGTGRIRHVLTRKRMARLEGTEFLVASVTDISAFREAEAENRHLAFHDPLTGLPNRRLFEERLDESLCDVAAGRRLAILVLDLDGFKNVNDTHG
MTGVGECSAMEWRKHARALAAVATPPGSRWRGAVESVPRHAFVPRWWEYASSGWELRDGTSDHRMWLEAAYSDQTLVTRVGALHADHAGPGAVPAGLPTSSSTLPGLVVQMYRHASIGDRCTVLDVGTGSGYGTAVLCARLGAARVSAVDVDPYLNSAGRDRLDELGHQPELITTDAQGPLPGRWDRVVAMVSVRTVPPSWLEALHVGGRLVTTLADMGLVLTAEKREDGTAAGRIERDQAMFMHARTGDDYPPYDPALSELAETAAGEYVTRGRYPVLDVVEAWDVRTMLEILAPGIEHHYLEKGDLRVAVMTHEDGSWARAEEREGDVTVHQGGPRRLWDHLDVVRDHWIRHGELPFRGARATIGHDGVITLRRGRWKAIIGR
MIMRKEKGAVLIISLMILLLVSMISVAVFRGVNFNTKMVANYRDKVGAFEIAEKALKEGERVVKGWREEPSRVTVNSIEDLPGINNNQVAETLSPIVYEKLKEEDDWGMANKFKVQDNSAEYVIEYYGNDPDGKKLDITSTSSEPQSTFYRITVKSTGKGSNKVILQSVYKRSF
MELRNLKTRTESGKFDDAQYILGQVRGTIGAIRYLSHTGTPEVNGFLTAIINNVGAQWRLSQQVHNANHPNDRTAIGDFWSEWVKDFYANFVIGNARNWAREAIDGLREAWTNSADPGAQQILDALTSLDTQLETLTIDTSLWF
MNKRIMQGLTWVLLSAALLVSPLFSKQVLGSENRDLEVCPASDTDRDRGKIYVDGVQGNDDNDGEAETSACRTMERALDRAETIPKDSVDILVKGTVSVPGDLTIGSKRIYIKGNGNGGLAFSKSLILTSHTVFQDICLDFEESVSLEPIKIKDTYAAFLNNVKIKGKPDILYEGADILQIADGSFGSVKDSGQKGKLELNGGTIGTADGWDLCSVSSDAGHTVTVGQGIWNIQTLNLISICRDFVVNGNIQAGKITRTGTDVSLSLLSGKKIMADSFDPGILLEIRQDKGKTKTGEYLVCKRIDSIHAKTEKGYYFIIQDNGSCFSAVLRAGLDSLKVNPASVSLYPGEKTSLKAVFMPVAAQDIYAVDWTSSNAEVASVDAYGNVTARKPGQADLTVKALSDGSKQASCRITVLQRHYQIFYVLNGGTNSKNNPASFTKAGFSLKKPYRRGYEFRGWYADKRFRIPLRSIEMKKNYTAYAKWSKIKVSRPAITSLRNPVSGKLTVNYSRLSHISGYEIVCSRGRDFSSKDKTVRTKAAAKTLTGLQSNKGYYVKVRGYRYDSMGSRVYGSYSPARIGNSIHYHLKRGKNSAGNLIRYFKTKVTLKNPVRKGYRFKGWFTNKKCTRRIRVIPKNRQKNYHLYAKWRKRH
MELMVDTAKSQGQTQSQGGQAVSPPSIFPYRFTSDGRLCHRVTQEPFMFQRGCDPDATQREDRALCLHVTQHVHSLLEEQLHLIRLYLPSLSKEDYLPPPPLSKEVHLPPPPLRKEVYRPPPSLSKEVYLPPPPEPQGQGDHRVYFSPHRGAPSQGYVYLSPGALESPATLLVVVQDRGTMRCGLWSWRVAASEGLERGSMIPYVRRVLEEGSSVLLMNPNQGGGVGETPEEHVHRVWDLLVSRCVSRHVVVVAHGYGGLAFVDLLCRRPQQVVRQVWAAAFLDSSHSLWHQPLDAAGREWLKTRSRRWVLSSKPLNQPVGSLKAGCSQMSAGTQSHNVAPSVCMESVFRFFSKTLSPKPPATFSMVTRSRGTVGQIGPHNGRGITRPY
MANLQLPLNQDHEVVLGSEYCSGYKDPISNEWHTGFYCPASDESENVFCCGSSFRKYCCTKKDKVLQSEIQDLTILIGIIVGASAAIMIITLVSCFCCSCCILYKKRNSSSNG
MSATASDDFDSRAADVASARVTGRCVQCRHRDARRGGLEQAIAGLGALGSGYGSSVADSRLCRRHDRFVSPDDGCFAFSPLKAGLP
MPPTFLFYNLFTTRVFTLFLLGPSRTFCFLLSPIIVAAIGLEFASVADNRLNIVFKVCLLMLGLHIGMLGLHIGCNLGSFEDSLKFVTLLLSIQAAVTMPPKRVSQVKPEDPPEPLEVRVLKRWIPYFKNKEKMLDLCYLLVDLHGDAIEAVADVTKAEYFDSLIKVQHCYTVDKYVSSPSHYIGRIEEVSPIMYRSGKKLRKIVIQDERRNEIEVTLWDEKADMIQTEDIIGKVFAITATTVTYFNKLQLESTTSTTIDINPPIPDLQTYVNRFQELMPLAEQRASLNHITIADLKKKIYNKTAQQGHYTCTATITEIDNLRTWFYVKCPKCGKRAYPEKDRFVCLDDDIEEEPIFMYCLNAKITDNTDSTEVVFYNEALNGIVKVSCRDMVINLGNRNPKVFRDKITSAKGIPKLLHIAVRNDNSIAVNKAENPRTIQPATPDPKKTATKRPLPQLSESDRKAKHQA
MSTETPPASPAAADSTKRRFSFPSAFTVLFAVTVAVWLVAFLVPTGTYRMSEETGGPVPGSYQGVESGLSFGDRVMELFLAPINGLYGVQSAETGFIGPYESGELFGAAGVFLFVIAIGIFITMSMRTGAIDNAIARVAQRYGSKGAVVIVLLMVLLSIGGTTEGMAEETLGFYALVVPLVLSLGYDRMVAAGTILIGAGIGVLASTVNPFATGVASDAAGISIGDGIGFRLLMYVVLVPVGVLYVLRYARRVKRDPSTSLTTTQPGDDELAARGVEQVTMTGRQKAVIAVVAATFAFMIFAIVPWAQVVNGPAADSFAWQLDWYFPELAALFIVMSIVVGLIGGLGEKGLTDTLVRGAGDFIGVGLIIVLARGVTVIMNNSLITDTVLNSMENAVSNTSAGLFGGLMFLLNVPLAFLVPSTSGHAALAMPILAPLADFANVPRSLVVTAFQSASGVVNLVTPTSAVVMGGLALAKVRYDQYLRFVAPLLVFLLAACTLLIAGAAVLS
MAPKSKVLTSKGKTRASSSSATTGVAAAASGASHPCQGSRWGGERGLSGDQGDDGVVAAMVGQTATGVAEATQGGGWILVGRR
MSKYNKFIEFLNNQPSSVITCSFKQIEEIIGETLPTSAYSYDAWWSNNPSHPLMNLVLESGWTKTNINLQSNQVTFSKSNKQTISFVELKNFLTSQMTMFANYQPVIIKTLLNSPDYRAHRDIITKNLKEANNFDDKDYAQIAYDVQKEVLGKFGRNLVLRDSQTSDYLLNLDKNTSESQRQELIKICEQKINEFNSKRSKIAICWPTDIDGEKIEKFSQVIQANGKALWGVNWGATQVQTSDYPIKGYLYHKQQIIAIATIIDITSAESTTKEDLTLRPKELGYPSDDYKFYIHMQSIKRCRPFPHTILELYDPDKKIPIIIQQRVYVKELNDDWKYLQTQTSQAQINYWKISPGEKAKLWDEQLKNKVIAIGWNEVGDLTGRTIDSIRDSIRQYHPDSNSLWQFKLFLKIKEGDIIIANKGMSKIVGIGRVSGKYQYRNDLTFKHAYPVDWFDITERDIPKQSTWYITVEAVTKDQYNRILEGNLMKDSDPVIENIVGKLITNKQIVLYGPPGTSKTFTAKKVAISLLSSENVTDDNVPELFAKLQSEGKAELVQFHPSYSYEDFVQGIKPTTKDGMISYEIRDGIFKKLCYTKTTDTKQPTANIVSHEDINKPIYDTTVGIKLQRYGINQISSEQFSKIISHVESNGQRISIFDNITIPTNCFILRSVESTVNPYGDVVGKQYEFKEGIPGSRQIIAAINKGKVPFFYYNDAKGGIFACGIIDGFLGTGSPSQTKVLIIDEINRGNLSKIFGELIYALEYRNEKIRLQYSEFDKDRNNDMLSVPDSLLIIGTMNTADRSISLFDSALRRRFTFVSLMPDFDVILNRAGIPIDIEDENIVQKLSTFDSHKRRIILSILAIKKINERIISDIRMGREKQIGHTYLLKIVDDAEQYLTVWKYQILPLLEEFYSSKFDELTNILSEEIIDVQQGILDFDEEKLDDLLESIIEK
MTNKIQENARRIGLVALPVAVSMATAVPAYAAEGDVDITGIMTTAFTGVKNDMLATIAVALPIALVVVGAVMATRFGIKFFRQIAK
EVMKAKAKDALEAVVVGALEGREKDGKGITGDVTVELPTSLLAKGKEGGIADADMKVRVQAKVSVASSMIATAQNLLETYGKRLQKTASSFHALLVGVRFFRNMKVELAFSTPKEFLEWIPDSVHPSLDKAKKQLDEALAKLKESKLTGGSSTSLISSVVGKGGMVDVRDYVPGVVAKLFKTASKISNPAGPAKLQFQVDDYVVALQFRGMDWAQLSG
MYSRQLASARQVEPVNQALGTVIFSGVYHKGTCPLPCKILARGGAPQDVNSLTGIDSGSRRSPTGCKLTHRNRFWLEEEPHRITLVTKAVARDGRQPRPGEEGAVARRPKWGMRDL
MKQGAKLGMTGAAGLLIVSLVGVLPAQVPVAPPAPDPGTAMLIAQALGRAIDGTSTQLTARRLAVHPERKGKEAEAALESLRKQARTAYEDARTMLEDARKRVGGSPGGSAYRPLYEAAVRYLETMWALAGEAAPVAVPKGQAEAASNLGVADLAALEAINHTVRGAVGAFELEQLAIRLGSPEDAAIRRLREKAGAMAEASKKASLKFPTIPETALRSAEARLDAATTRIEAARGASESAKAVEGLTQDPRVEEAAKVAKKEAKAVKKEAEKAKKQAEKARRKAEAARKDEIGDDVRPSLETLARQGRELVLILHQMTEGLDRDRPDQK
MLVPVCSADPPDASGQVVPGVASSPLVSLLRAPVRRARVVAATSRLVAIVVEAAAPQVVCVTAGAGGALPCALTVDGSVPYAEVGTVVAVGSGRVQLPGAVVTTIRWRPVRPPVLTDPGSCFARAAGCPTPDLPPALVEYADELAMVLAGGAVSPTALAAPVQALLGFGPGLTPAGDDVLTAALVALHAAGDAATSSLAAAIAAGRPFERTTALSAGLLHLAQTGLAVRQLTRFVEALGTPGADLTASGQALLRVGHTSGAAMYLGAVAALRRRRPV
MSVAAAVHPLHDSPAITRALTIDVTLAHRLRRGPRRARVHSVFHHVVNLSTRTGRLIALSARSLDDAPWSVRVDVADWAVPAVHAGEPAVLTASDIRLPGRRILIDGARHWRPRLPSLPLDTSVTRDRIRELEHLLGAAGDPGADGRRRAGDAFAHASAARLRAARTAAVRAELAGDRGAATAAVVEMLGLGPGLTPAGDDMLVGMALVAACPNSGITVLPWAIVDALARHPHRTTDLSRTTLHEAVRGRARQSLIDLLEGSCRPPAGTADDVHLRLEKVLGIGHTSGRDLASGILTGLRLASERRGSA
MLQKKIPDTVVFCSECGARNLPTAKFCSECGLKIDNIKFDYHSFNTETNFSGEKSLEL
MSPIRERSFRSGAFKYQLLAYLQAKPLPKDDSSSRPEMTPRSMRLSCLTPPGTLAMICVAKDSPPVLICSLCRRPMTFLATLPAILMLPAVHAYQCRPCLRVDTIPLA
MAHTKGMNSFILHPDVVEDIRDDPLISVETFEILDGCEDPERSYDVPTGQTFSNGVCLYYTPEEVKPPPPPPKGPKGRGRKRQAEEEIQPSEGQKGHQGLGVLDILPVSPQVIFTHGGGRGIFQKGYMHFMRGLAREHAVLAFRKDQGPGGTRAEELFKRTAAFNYFFNDAYCSVRALGGRSFGARSATRASVYSTNNNLILWSYPLIRDSDWRKDELIALSEDTRVLFIKGEKDWMGPGLLMDFVRKQMKAKTWVINVKDMEHNFTCKTELEEEKICNALGIIAGIWLKGDDMSDPANPTPWDPADGTEMNIHYDATTQQAVWTGWTTPAEEPRNQGVTVHLSAADNSKLSFQLQG
MPPKRRSSRLSGNPPSSESPTKSQASTAAAAPKPSSDTNNIGKDDDVSALGSTTSPPSTKTTGNMTATPRFTSYEVPSKTKPIPCLRSHPPGSPPASLIFTHGAGGGLSAPAVMNFSHGFASTGAAIVCFQGNMNLKGRAGMFAAVLDYEKQQQQQQQQQKTGETTGDSARPSNLAFGGRSMGARAAVLAAHDRQAQAIRLLVLVSYPLIGPNRDVRDQILLDINPGTDVLFISGDGDSMCDFGLLAKVRAKMKARSWLVTVKGADHGMNLKGGAKLKKGTEEIGKETGRIAATWIRERDEGIRDMVLEWDSGRQRVVGNWGNGKRDGLQVAHGRDTGAGAASSGGIERYLTTKREKGDEAEVDEPSPKRKKREK
MCFPNLRIVTIFVFPHVQTLFGCFLACVLRGWLILGPFSGRDIDQDYLESIGGEANVTPKIGDTVKTTQGETLTWNLYQSQGDIVDLLQAFGDHTNVMAYAFCYLQSKWGKDATISLGSNDGAAVWFNSKLVHKNDVARGVVADTDAFPVQVKKGKNRLLIKITQSNSDWGFACQFPLLVGGRLLMLDGETPHQNVVVQVLKDSRVFDTVLSDRTGRYELYLPQTGRYTFRCQVLDGYIYYNSSLRKHKVGSPTKAVVLSDTTPIQQLNIHFHFAPFKKGTWRHYSYLDGLASNSVTRIYQAKDRTMWIGTTNGLSQFDGERFTNLTTEHGLVHNHILAIHQVSDGSLWIGTLGGVSHYDGQTFTNFTTMSLFPDC
MEKVITEFKCKKCNKKYASYQSLWIHNKKFHTNITDTKTIEIGTSKVAYGTKMVDNGTLLNTTTSSTKNISINNNLIENKKKCKFCERVFNDSSNKCKHEKICKKKINVLDSTIDKKFEVLTSKFFEIFNKEIKINPKKIQKINENKLQSTNNNSTNTIISDNTKILSYNNINTLISDKHKLISDNNTSNIKSKDNKIIKSDDNKFKFDLDKNFLTFHDKPIKYFYHNDQVYFKAKDIASILQYEDTKQAIRKNVSIDDRIKIKQLSGDGVWETPSPETSLLESEHPNTVFINESGFYCLILASKKTEAIKFKKWVTSIVLPSIRKTGSYNLIDNYIEEDLEKYHNKDCVYIIHIKDNIYKYGNTSHIFKRLQAHKTNLKYNKIIKIYEMNNMNDAIKLENKIKTLVKTLNINTVYNTHVEIFEVDNNNLQNLIKKIDELSLKIMHIKNYKNLELINENIKNLDIEKEKTKQEQEKTKQLELEKITKQLELEEKTKQLELEKITKQLELEEKTKQLEINNENIKLLIEFFKLTGKNLAL
MISMIIRRLFQLVLSLIEICPFHTRCPIATDLCKQAKPEWQEAEVNHFVACHYVGKELN
MKITRIEIKKYKSIKEPIEINFYDNLPTVLIGKNGSGKTNILEALDTIAEVNSNYFGASSKLPLSYKVHITLSKHDIARLFPGKKIDENKCRFIACSGENCKIDRIESEYLVPLLNSEICEILDLTDELKKALNTYTKQLNKIAYNERADVPVRSFQIADFRNSTTDFDKLKSQVEFIIEQAERLANSLSQSFNTEDNSLSFGYVYNYINLNDTERLSFQLRYIKPDLAPFEAKFITVNGTAIKREITKINKATKASCDRIIALLNEIDERANRLKEALTGEQLTPENNGTFFKFVREVQKCVGGKHSFLRNESSDIIFKSDERGQEFYRNDKSYIILETYLNKVYDKADKEDLLKQIKTEKDFSLTDEALCEFEEYLNSNLPKFEEDMYDSISVEHSKGKIPSIVLHEKFGETVALNSTSAGRRWYFTYYFMMNTLRPGDLFIVDEPAAMLHPIAQKEVMRELLELQTNGIKVIYSTHSPYLVPDDWNSVHFVTMEQHGTAVTQENCNDLLKQVIGGDIFNLQEILEKYQKGDKEATANRCYRSVIDCYKSIETASDELNLSVETIESWRKNISSKKFRCPKLETIITIASKTNSDISELL
MFTTAMIAALSAADSGLAQCQYSVTQWAPWACEWEGNHAYTGTGLNDLGAWCGYRLMCWPEEGEWWLPIYCPPGGMPQVLPMPPNADSEGAQATALNNTGFVVGWYRRPPWNLDTACAWLPDGSVIDLFPIASGDRSNANDVNDAGVIVGVHWIANGTPSSVPFVWSDGAAQFLDPAP
MADYRLGIDFGTSTTVACLRWPDGRTRPMLFDGSPLLPSAVFLTDDNRLLVGRDAQHSARVDPARYEPNPKQRIDEGSVLLGSTEVPVTTLIAEVLRRVRAEASTAAGGAAFEVTIACPAAWGEHRKRTLLDAAMAAGLQAPRLVPEPIAAASYFDAVVRDQNRPAGNLVVYDLGAGTFDVSVVARAAGGFEVRVSDGLADIGGLDIDAAIIGFLGAIADDSESVWARLEQPTTPPEKRARRQLWDDVRTAKEMLSRSSSTVIFVPLLERDLPLGRAQFERLVRPLLERTVVTTKSAIRRAALAESAPLDVFLVGGASRVPLIATLLHRALGVAPTAIEQPELAVAEGCIADLRSPGFAATQPPPAPAPVSGAGLAASPVSGPSGQLPAQPYSPVSPGYGGPPYSPVPAPPAYGPAPTYGPAPPVHTPPVHTPPVHTPPPHNPPPHGGPAPAGYAPHYNPASPQHNPGFGPPPGYPRQPAGPPPNDPRFAPVAPPQHHSGAPPWTALVQVLDLAIGESAGFTVRCYLPADDPDEDPDAAFLTVDGQLLVFDDLPELTTFLRGPHPHDLTDLAEWDVVRRGITPVTAEQYMVEAYELDLIVANVGHGPKEWSPDLFVAARDLAVELGNALDLRPVLAAFGRGSLLDQVDDTMRAYRGRRSGQWWKDRKLHTDEVHTVRDTWRRVVTIVEAAIWPNAVR
MQTPVWLAVDLGTTHTVAVVGRGDQRPRPLLFDDSPLLPSGVFLSADGTMHTGKDAWRLARTEPDRFEPYPKRRIDDGTVLLGDRELSISQLFATILRRVASEAVGSGMSPRGVVLTHPADWGPVRRQVLEQAATEAGFDDVRLLPEPIAAAAYCTRELERDIPDKGSVAIFDFGGGTFDVAVVQRDPDSSTGWRTLAVGGLDDLGGLDVDNTLVGHLGQLVSDRDSALWQRIHQPDSPVDRRDRQAFWTEVRAVKEMLSRASTAPVALPGDGMVNLHLTRDELTRLADPLIARAVDETRRTLQRAEVEPSKLSVLLLVGGSSRMPQVATSLHAKFGIAPLVPDQPELPVAYGALAHAMTEAPQPPSTSSPRWAGVEGVSPPGGQPSPPVSSPPGDGWSSPPVSTPPVSTPPTGWSPPTSGPPTSGGPTGNWGTTPPTVTQGFPVGSSGVNIPTGTPQQGRRVFALPMIMALVTVLIVVLAIGGTMLVRGFDGAGDDLAGDKDDQQTAVVGPETESTGTADLGPLFDYTITGSGAASITATEDTVVVGEVSGGQTTFTAFSADGEELWSKSHELEPTGLSMTVVGDLILVDAESSATDEGETMRAVVSLEDGKLLWKKKWSDFIDIAVYGTDLIVEQQHGIYDNAVIKLDLTTGKQKWSHAGPDGLWLLETRVAAATYWDEGEPGDGEMLPFASAMYDNLVAGDKIVDLNPDTGDGRVRDAGNGKSIVSGSVPLEGEEWTVFEDLIIGKLSDDASPGRATLAAYSLSNLDKVWDIPLDAGHDIGTVKPCGPTLVCAEIDTSGNNETHHTVAVDITSGEEAWSFGVDWSTDESWYATNTGIIFGNHVFDTVEAAVLIDFNGTGLLGGETFVFVNAVRDGMAVFNSAVNDGINQVQQISIVDTANGETIGTQSIGGSHRPHLTVMAGDLVAVHTSDNTVEVFSIPQG
MQAASLLDALKGKLSDAAEKPELAAIMQSPKWSTHWRLCNVAGVHAYETPQGWRADLAFRDLPAGVPMLIGNSVPCGSREEAMDGAIFKLSICAETEKAWLANFDATMRWFAFDEIMMPVDPDYLPGRAADLAREGYTQADARGRLAYLRHVISGDEPLTNAAVDAADEQTRERLVVVCEIAMSLGLTEFTLADGVWAEYMPTAPGPMQ
MQKLVSMCGSVQSRDKKVFVTLSLGDKDEDKGVIDRRRSRGRSENTFLLTQG
MDSLPAFVCNMQAPPKPVKDRSYRREECSKIYGRDWKEVTDYINERRQIALKDELWREARQA
MYSMQPGPPLEVASLRKKQRVPFSERFSPIFGKAGQLSVLTAVVGALYARSLCPFVNARATHSGNDTAVPE
MELRPAFNGNSREVSPTPFKGGFMDKTLLVPLDNTEVSEKMIREADAWAAPPGKQGLFPSCDQPQLQLGRRKKPLFEDVLKKRFIPVR
MPITSDITLETSKFQPENVTEATKQAEALLEGITSKGPRWWEVGITKYREMRGLGQTPLPMPVHVPGATDSTVPSREAGREIPIRVYKPDNGQPSKGVFLHFHGGGFVLATHKE
TMNLEDALDSDPATANQTNQQAGGPAWPGQPTSPSWPGQPQNPSWPGQPQNPSWPGQPQNPSWPGQPGGQPFQQWPNQPQWPGQPSQPNAPGWPGQIPPTAPQNVPLNVPLDMPLPQGVYDKLLITVQGEPKPNAKKFSINLARNKDIALHFNPRFDEDGIKVLVRNSMINDVWGKEERTAPSFPFIPGKSFE
MKKDNDPIDLTPRETRQILDQIENPPQRNDRFSKLTDNYQAHNQDGSDSSVPWSPSDKATQWVKQNREAIESSNTYVDKHVLPFEKVRKF
MLQNGTSSLDVALRITLTTCMVVFYTLLRQVIDGHNPGIRSPSVRFLVDTLTITTPLTFLYTIGDKHNLLWNSAIVLFVVAALILKFRLKDIKLYPPLESEYKYEKNKTYITAFRSYVTLSTIICILAVDFRAIFPGQHGKSYHFGYTLMDTGVGSFIFMAGLVAPEARMSTLADTKLKILKKSILSSSVMAVIGLLRTVILRYMNYSVSYDEYGKDWNFFLTLASVKVLSSVVLCAFPVPQNSWILSLLIAVGYQTVLSYFGLMETLEHGVAGVTSHKDGIFNSNREGICSSIGMLSLYFAGLSLGSLVFRKRGTLSETMKMWKIMAVLVLISWFGTWLSQNHIQEPSRCFANITYILWSTAHNVSLLALLFLMEIIFFIISHIKESSQLPGDETVLIPGGCWNCFTKNSRNSCCCLLPAISKNQLLYFLLGNVATGMINQIAKPHVKDYGEFSSTCFMFGYMIVVNGIISLCFTFRWKLKFW
MKKIIVLIVSLVVLAGLGWYISTLFETKGKSDTSLIEFAIKDVSNIDKLIITDKNLNSFEIRKKGDTWTDAKGNCIIQQNVENILDAIRNIEFKGYLADKSHENYNKKMSAQNIELQIFENGEWVKTWYIGPPAPDHYGQIMLLDSKEYGKSTHPVLMKVKGLNGFLEPRFFADPRLWACTEIFSIPMEEIRRVDIKYNNEPARNFTVTKNGPEVKVYQQGKELENVEDKMAFSYLQNYKKIHYNLRNFELSDKQIDSLKRTTPFAEIKVKETNGHTTKLRCFPYSLVQNDTVAGQEIVNSDLDKFWCELPDGEIVKCQYFVFNPLLFGHIYFPMDQSGFTTLDGITPLESSPAKNEE
TRADWQPMIEGYLLGRERLVRLLVLVDGEIGPTKLDVQLLEWLRHHERPVTVVASKQDKVKPSKRGARRRDLAAGCGVRVEDVAWVSAAKATGIEELRGSIRGWLSEGLERWRAEEVEEPRPKLAASDGTKKRKAPPVVEQNPDGTWPDEDEWN
MRGHPSDDLRWLLTSPPICTDLPGIALMPRAERARMAGALYAGAGENFPATESPRSSPRPIRKVAVGHRAEHLLASGLRGCPEIDLIATRLPIIEGNRTVGELDLVYDDHQRQCRVHCELSVRLLLQRGPDAQWSAWCGTDPSQAFQDKLEHLRNHQLPLGNHPAVPRHPSWPTINEALLLGWLLQPAGQPWPVPEGTSPDHLRGWWLRHGIAAPLRSSRAARFAIIPADGWLGPLRLPSSTPVLAPGELTQHLDKHFARHDHAILVAEMVRADDGGWRELTRGAMVHRHWPGKPARWR
MSSIPRSFLHSLPAAPHIDVSTIKGNISDEEKQLGANIFSYFVNSREESYGAEVGRRLRLVEMNIWGRDACGTAAQGQTIFEIDVEKGASSLHVQCLWDLARGMRRIYRRPVRPLVPLCLGWYIHIVHKEDLNGGTKLRVVSTSVFIHGRIRTARCELWDKERDKLYVSAIHTTVNNTRPSLAKL
MYYSLPIVGGIILMIYSHICWYQYYKNNNSTDPASSLLNFEAEPEIEIADLKPQLSGGFAVQSLKTHQKKRPTCLMDLFDDILLEVPPAPARPSAKFAPKVKSKQSLRKEISASEHATSSVDGKNMHVASTPTVTKSIRDTNLDNDGAESELNKVPSPSTAFLDSNKSLQVNNSLQDSLNVGFKSSSGDNSTAISENNIHSIFTSGKVQEDANERKQSLRKRKRSYVAGVEDDRDKSSRQLRKQVACEHVKNSNSLIEDDDELDPPYICNNIDQIEENDDEDEVDNSSKKKRALTNSKKKYMSKNGKAYKKSKKENGDSEKTTKEPPKKFSRSSRRRKRQVDQALLDDYELGSRSISLRDILAISDDKERQEKKEAKSSTNQSGGDFFHDAGANNEEETFSSDDDGLRDQEDDQASKKFASTVPLYNSHSFRDKSPRVKWSKQDTEKFYEALKEFGLDFTMIQQIFASKTRRQIKLKFKNEDQHHPLRITDAINSHSSDHHIFKSVIAKLPQISTTNANQEATEDTTEDMSPGINEQVATTEQDSADVKDQEDPMAYQSPEQFDDSDDDLWKWSQYQSVI
MQKAKRLTVMLAIAACAIPVSAASASSTVTPQSHQKKVCGTPDGDHRDCGKGNATDPGNGGGRQNEDNGKGNAGDPGRFGRGHNS
MADLRLKFIDAEGSDVCFETSEQVVIVGRLSSNTLVIADGRLSREHLRIEREGSAWYAEDLGSSNGTTLNGEPLRDQQRIKDGDKLNLGGLEISVEIEQPQPVEQAPVVQAAVVNTLAAPPPVAAATPPASSDNSVKFFLILAPILGLVVILIAGGALFLSRNKKTDIDANDISYSTPEISSTPTADNTPAASQTDTTATPEVPSGTPVKEDTATQKVEKYTAQFMRKAAKNDPRYFLTSAQAGEVAKRIQSMSGAVKANLPSITKNSAELSKLGASSGLNSDFLAAAALAKLGTSRGDAIAAARSMSGVLSRLTIPIGNELGDDCLLMIAAYDQGAAGDFMKMRNMLQDVATKSNAPAREIRSIWFLNKSGKITPQEYEFALRFLAAGAIMQAPTEF
MKDLWLTYKDENGGEKRVAVDADLWTVGRHSENSITITDSRLSREHLRIEKEAGDFVVSDPGSSNGTTLNGADLSDTAVLKDGDVLNLGGGIEIKVEIIDPDAAADNSPPMPDADVPPSPAANIAPPQSAVPPGSGSSIPLSFFIIAPVLGIIVLVLIAGMIFLISRDNKTLDPSGDDDFVYSSNDADDTDPPPKNSDSDLPVKSPTPVVSGSTANSGTSPDTRADVNVQTATPGQNLTDTGKIEQNGAAFLRRIAQNDPKAFLTGEQARRIDAKVKQLGKSALADNINSARKNAAQIRSLAAAKNLKPQFLAVAAIAKLGNSRGDVVQTATSIAEVYDKLGTQIGSEFADDSLLMVAAYDQGAAGETMKLRNMLQELSNKSSESARAIRTIWFLEKNGKITSGEFNNALNFLAVGTITQNPKDFGVNAEALNL
MTVCPCDDCVSSMSLHYVIVCPYVIACLCDDCMSSMALHYVIVCPYVIACLYAIYVIVCLYVIVCPYVIVCPYVIVCPYDIVCLYVIYIIVCPYVIVCPYVIACLYVIVCPYVIACLYVIVCPYVIACLCDDCMSSMALHYVIVCPYVIACLYAIYVIVCPYVIVCPYVIVCPYDIVCLYVIVCPV
MAKQIRLACTKTKPGYDLRFTFVEAGDPIGHGRKSIADKHKLVIECLEGRTKGERPTQVIDALTCMHFPDELAVFENLVLSQADTDTLRTWALKAIVAKAVASGEGVVDACIAIDVSPRINSVSIVSYAATRYAAFMVNGRTQEILLEDGMTAAESLQKTASDFRARALRYLAFAEAAETASRAI
MTNRYLTLLGPSRISDTYNDINLAFDGIQGDFDNHVANNSGAHGATSAATANRLMQRDAQGRAKVAAPNASDDIARKAEVDAAITAAAMDASSKMASVHADLDAHTGNADIHTSAVEKTKLAGIAAGAEVNQNAFSKIGVTGQPDIVAGSKTDTVSIKGGTGITVSTNATSKEVTITATGTSTPGAHAASHITGGSDVIPDAVSGGASGLFSGVDKAKLDGMASGPDSTTDVMIGDRTANPALVPTGLTGKLTQWLSWFTNRIKAITGMTNWWDDPPATLSSLNTNKAPLNSPALTGTPTTPTAAVGTSTTQIASAQLVKASVDAHVAAADPHTQYAPKASPSFTGVVSSQSTATLGSNAGDTVELRKEIGSVNGNVSGLITRIRRAIAGTGWVNADVDILRRTDSTDQQRISFRGDGGMSIVGTAGGTLDLENNHLHVKNGNIYVMGVEQARTKVNNGALEFWDGTQYKGAGGLKNVQRGYTNLTWSSGVVVGNITVSAVNLSKSFVNATFTAPGGSGSPTMTARMTSTTNLEIQVMQYASSAGNINIAWEVVEFF
MSTRREYYKSLADKLGVPHELEAIPIGLLLKRSPKGNIYQGVSEMSRRAEAILDELTQELRQKLQELDTFEEAALRDEEASKELQQEVRQWVSLYRSLPKPTLIALWEKLYGQEETGG
MIQMITKHAEFCTALQFRDALIEIPSPHHTLTIHWRGWRVDIYSLQSPFEVRALAGSEMLRINCYQEALTVDVFADSITVDVKRLSRLLVNRSSSFPPSLLRVNGAQISSPQNDNNESNNIIDLAELLSRQLHLALSRDGNQNNSSAAVLADARNSQLKLARANRTTATLTALRHFVEMLGGQPQLQLLAGNVSVRMSAGHDEEIFFLDTKILPRELLEPEATLEQDPFGIGPIVPTVWPIGPDWQNTVSTPSISSPTQQRTRTSRRPITSSSRIPSSSSTTEETTTTEELEEEGESTMQMAPPSELFNFRHSFPTTNTSLEIEEDKTNISQDLDSFPSPQQHLFTFSPPFADFLVGQFETPAQNANLNNSTIPSSSQNINNNSFPISATISALQIPPNLEENQKLNEAVYEDFPGIRRENRQKPEFIWRPEENQKDEKINAMEEEEDNEDLLENKNISFNEKMRKKRRDDKTTNSDIIERVEENKLENNNNADTTIFNNNNNENKNLTKFSQQQFVRSPALKAIITNSLPILPIGLLALGISLAIMLASLRPSNNAIKGVRRWLKNLTRKRRHNISTNGKIQKI
MPGNKIFLGVALDYADRAKAHARSQILKGSTQPENNALPYTRFDALDEGTDAVRKLEDERVADRLASNTLEDSSLVRFETTLDITSKYSIGSCHELAIHAFDFMLQMQPNINAEIFSLSGGDHEFLVLNREKDSIPGSPDTWGPNAVICDPWANHVYPASSYRTKLRAYVFDEEHKENLTAKFDPEKHRLKLSCYNTEYFQRIRTVDYLKENFSEQAGEIISTLREYKNQLLGEQERLKNKPQKESIIAHKIQAIDDTLHLLDERISDSMDVEYRLPSRQQNYNAAKAELMASLNTIKQTASQVMQFSKAEKDILYKHSNVLSKVGILGKTDTEVHLEEIADRANAQLAQSQKHGMRM
MKFKEKGFSRSNAISCQGHRPIKGFDSIRLQHNLVITEITELKPIYKHWKNGEYRYFCPRCSAKYKHEGPVIYHLRECGVGAQCPYCPKVVTQRRNLAEHGSVPLKMDLHILFVTFPMYNYNLWTDFMSFGFGALADDSSSSISKLNRKNGQNFQCVKCGKWYSTKNIMLRHMNHECGVEKNIQCKYCSKMFLRKWNLKQHVKRIHENAINVIPQVEINTDGIMPKVDMGNHHHMQKMELSGNMMPKMEMANLMAKGGL
GKEIGLRKCVRDSIQSGVTSGEYSQAHHGHQGASDPHREQNDLLASLNLEHARLRYELQCEQQRSETLEQDITAVQMKFMNLMEVEKEQSGRIAQRLMKADEMTSAQAAELAELRKMRSILEAKHAAACRRLEVQPAPDSLVQQVHKLKDDAEFMRLAQHQLVTRLRWIESTSLQRILRMKHEKTRFWSVWICFVGLQKRSSAAAARMI
SLSCSVLEAQRFSVSPLSAHLQQPFNCQPIRLSGFPTFRRPAFFRYIERSKQWISYYFLLFDIEMAESTPVLSNAQVAPEPTPRTKEMKKKVTQELAAWDLDGDGQLTEAELIAAGRQQLQLKQNVKNLKKTVAVVSAVSVAVLAAFLAVVIVGNEATKETHASSGALTDTTGRSVATTPATSSFSISSLGLASDAELQSLRTLNVENGSTTHFYKLAGFDRDISTGVVTFYTKRGHVIVIDSMFDNLMVFDSEGNELPVGEEELTASSRRLLQRGRGRGRPGRNGGGMGSSKCPGGKGYLENGKAVCCGRNEITFMPDALGTTYTADAKLYGCLRNVAGSSIASGLATGANSFRNYVTRVLGAYGVPSSASSVFADAILSGQGMVGAAEGFRLLSVAGPRNDATAALQLLAFLDVAGAKAAAEASGSSYVEVISDEDMDAFISGVSGSSSSAWASFKAVTADDISEVLFDMLREISGSAPARGAPSKGRRSPPSF
MKGEKMKAKLFVLFLAAIFLSGCATTTKRHNTQIQQLQDRINYLELELEKKNMEMAATEKDAFLSYDYDISLDSKSAAEKDSGKSKLSVKEAQTALKNSGFYKGPIDGKVGPKTKEAIKEFQKANDLKADGMVGKRTAKKLSVYLK
MSKNKVEIAKSYYAAMVQKDLNKVAEHLHPDVKFSGPLDQRQGKESLMEAVKGFANFVEEVKYYTEVTSGDLVMFTYDVCCKEPMGVQHAAAKFVVRDGLIHEIELFYDPRPTLKVMGEIFN
MKVKHSAKGKVLMRALYGIEAVTVFICSVILAVLSGSSKPLVEFDVPEKFGWSKDFTDLHTAISGELRRQLSRGSVVAVKELEEVEACARKLHVLTSTVLLEEENANLARAVSHSEEDIMSNTVSEEGAHEDNPKLADDTNREWEVVMLQSITKEGMDTTDIKKDVDTVSRTKEVMMLERASDGGHQDGNMKQANGISIEISGLGREQELLNCISSMSRSAEGLRFELDSLSKQVGDFFQIVLTGRDALLCNLRISDGSKVASEVRS
MVSDISKIFLSSSKLSIVKDNQSLHTLPPLQKGQLIHAKVVKTLSGRQSQLVILGKTLLAQTHTPLKTGETILLRVVQSAPTPVFKIEQSESPPIKVLYDAVKSLGRTGPFKHIESLIRQNMASDTSGSSATSSKAISHFVRLIQNTALQSEQTDPGLLNRFIENSGLKWENKLRSFFSNPESVLFEKAQDLINHDMKALALIPDPEHITHQSLHSFSQDIENLQLLNQNLFEESGRLIFHLPILFDGTLTFGQIFIDLNHAQDSKPHDERTLRVSFLLEMTRLGEFLADFSILNKQINGSFGVATHEIQMMIQENLPELETKLKSHGFIVYPIACRLLTPETIAGTSLIEKSITSSEQGMVNILI
MEINVWATDLDLCYSDQLALTQLMLYVTDGNVDCRSGRFEVSLPSKKMKSHRVQHEETKPPSPIRHRCAVASSQLRHSSLSGISYNVLLQMCKAHGVGFDLQEKQGTVFTLYEDQKRYGLGMVTIGEDLQGVLMTFARNLFIIHQEISAPNMQGETNFKNYSEPDLGWEENRARGNGKIKGGSGVAAHPNSAGIPTLLYGIGSWFFVSVTETVHTSHGPVTIYFLNKEDEGAMY
MSKLLNSASSDTFYGFMKSHEKRFLEAVMQFNLTESPYSNYAFQFAGLDLQPDTVKLYDRFLTRFVPVITPPHSHPDLSSWAVLIPLVFKSPEILQLSFACGALDLAWHDPEMMKTVQKHYLAAIRALRNKIVSREVNGSEDWLFSIVQLLTTFEKHFGSPTSAVVRHLSGAQRVLRERQLKRVYDGGEIFALNKNDRVLMEAFLYNYSVAILFCKKSDLVYLSPPSVFDEFRPYLQVALYETQVYWSNNPILGAGFIVFEMCAKISWIARKETLTIEDSQNIKSYIRALEIWSVPDIQKTHMTDELEYHSFMQHMYASRVMRQAVILLAKKLLNPDLQETSEEVVGHVTEAVEELKSIELGSYVSCIIGWAMAIAGCAATEKTHRELFKFRVEKFGENSRAAIFRQVMKLYGLAWGLGEDQKELGLDIIYEREVLESMAL
ALLLDGTFALLANVTAAMAVERAKALTVGIFAVFKDCLLMWCDAVIFGTRIKPIQAAGLAVATVGVGLYKRYRTQPKAAWDMPCGEETLQDAPEIANETDKAENDAPEANAEEEKTRP
MLVIQEVLRRASLDISSLSACPDFRDGTAEAAELSNTNGRSELHHSPFLNLQLLLNISVVHVFRIKASHVSQDLHKLQFLRTTCRSHKEALENILNTRSNVRSSGAERVRETKGNRSVAIEERRCPVHIIERHLGFVSALDSRSTVRESF
MAESTETHTIVLGYDGSDAARRGLSRVRGLVADAASVVVVSVTPELPSGAIGSEPLVGRDFDAERLLAEAAELLGHTDGTTVERRMEAGDPAAVLIRVAREVDADLLIVGRRGSDFVTRTLLGSVAQRVVQNARCDVLVVA
MQHVNDQKRIVVGVDGSHASILALQMAAELSSPLGCAVEAITAWTNPAFVDPYALLGWSGDEHAAQLLDEAITQAFPDGAPTRIDRHIVFGGPAPALIDASKGADMLIVGTRGHGGFTGLLLGSVSRACVDHAQCPVMVVPAHESRGSKTSRSNEAEQPKSAR
MSRETGQAMEDGADGPLVVGHDGSSGADAALAAALDLATHLGAHVEVVRSWTIDTAPPGAIFVEGYAAPLTDVDEVVRARLEKDSAAIVARYPGVAVSYRGVLGHAAEVLLRSAHPARMLIVGSRGRGGFASLLLGSVSDQCVRHAACPVLVVPAVSSPRQGVRRSAP
MEQKEVKLEKKLGFWAIWAIGVGSVVGDGIFLLIGEGIDIAGPSALLGFIIAGIIQMCMMVALGEFSVCMPNAGAMAVWVERIFGKGAGFISSMTFAIGWVITGGSTGLACGIMTCYYFPNLDLQLWSMIFAILWVSIFAILNILGVGLAAKVQLGLVLILMAFMLALGIFGLPYVSFDAYKPFYTNGFGGMLKAIPIGTYAYMGAITLCTAGGECKDPRHMPKALLWSSLTFLIVYTLAQFVAIGVLTPEQISLKVSPFTVAAEVVFGSLGGKLINLAGLIAAATTILGGTIFASSRIFYEEAQEGLLPKAFKKLHKKYHTPVFAIVVVWIISCILIILASFGAEYIYSSLSNQVVFAWCISWTIALFAAIKYRKLFYSEIKENGWVQPLFPLFPVVGIIGALMIMWTSYSSEIIQLLIGVLWLTILIIYYLYVKNKKFKDI
MGTTEEEHRLTWAISTNLTSMDAFSNNFFAALQLFDECEERGKPFEFANRPWKLIYWQGIALRDGAMSAYHLLKTYEALRALLKRCSFIDAAIDQTALKETGKLLRATFPRIEAVRHTLSHAGEFTSTPEQIAQHAIDGPAQAPGINLEAGSQQNHITVYAGRSYTGSYEGKLVGYELSIETYLAVTQFQDSLANALAPMETLFPGHPQPEN
MTKVYGTGAYDFKRHHVAEFPVEIQTQLSHKPVESKPGSTLPNSITLLEIQRDRLTKIAAANWLKTGGGSSSMQSKQEKNEFDPELVEQIYETELKGKDGNNTVPLQRVMILEGRELSIAEKTNYLVFMINAFQSLEDEIVSETVLKLGSLQSWYSLSYGQFQVLDFQAFPQRSIVSEDGLDSGFKEVDAAAILYCERYLRPLVADVAVVAKCHLSSLYRHEKWKLFAQLVDLLQFYERFEINDHVGTQLTDDEVLQSHYDHFHAFQLLAFKKIRKLRELALSNIGAIHKLADLSKKLSVLSPEELKDLVCFKLKLVSDQDPWSKRVDFLIEVMVYFFEKQKSQKEAINALPLYPNEQIMWDKSIVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFQLESTYDIREDIQEAVPHLLA
MRSRALGVLMRISMAPSVDQIRSAAALAHSTASLSLKIICMDGTEVIVGHGNEARVNPCHFRKLIGDEDFTARGIELISSLVVIGASRVLGPGLMCVENQGLEHYRFVTMLDLDDVMSILENCVEDDEEEVFEVSLLVDEVLDAVLISATGSGSRSFIEERALTLFEACVLAEIDRDLTKISDLKSSL
MSTSTQRNVADLTNWFLNAKRSLNSVTYCTRGNEIITTTRNSLIDASIMSSRASFLQSGIKDELKLLQTANSLMENQRELARKEFQNSLGMLDEADQRLDETLTTLRRTEVEGAFSAVGGTEEEAQQRCLYDFVDEDGIENLKSQLKGVIDQVQETDEIFESHLEPFTVLIASIAESLSSLSKKSAIPDLVVAIRPSLESMEEHASVMASLLESLAKHYDLCSLALKRAESHDGGISSQEEDPETEEDKANMLAVLEKDAGEVDDVVNEIKERLDEMEATGILVEQTIQDIADHYRAVLALLGKMHEGQSSLVDCTIQSKEFVQKQNDNQKVIAERLDELQRLTDHYVLFGDAYDALLVEVGRRITVQRQKDAIIQEALTQIDMLNERDLNEREQFRSEYGDFLPSDIWPGLSDPPGAYTVQRMDAWEIPEIKPGVIENAMTRRAAAISSGVRQF
LSTGTDAANAAVAHRAAGRLRKRSTATARGAAITARDIAWQTVRDDWGSEPHSPNSLDAWVRDAAALRAEADARVIDAVHTLAEAQAGTSALSTQHLRERTKLQDGIFQHYLPGRTPRGSVLTTRDRWQTRAAELKEHLAEIESLPPAQAAELIQKRDAAEKAAARVHLERQVQLGTPETSPFPSDGPKHRRSIGL
RGYSLFDSLVREQMDNLTKQQNEFAHQKELIIKMQAILNETINQFHSLFMFDFEHGKNQELLKQMKQRAIDTITESVQYERLSKRISPHLLILHKLTSQQDLLPPIIPYFAILHQLEIQTFMQQESPYQMFHFQYQSSTNFIIRFY
MEVPLTSEQAWFLDVIHPELWNPNLWTLTRLYKMPDEVDCPMLEGAIRDIWNAHSSLRAQFERRHDGWHQRIVDRSDAVPFRSFDASTIPRDQLRTVATQIAAEVGRMVDVDSGTLARFAIVDPGADESPYLVVAAHHLVADGISLSLLERDFEAACKLRSSGKHFTANAVPFEEYANRIQEFSRSDELEGELNHWVNLPWEDLTRIPTRFPSYHTDSVRQVCSQDIDIPADTATRLAYEIPKILEVTEQELLLAAVADSVTSLTGRDLCIKIVHNGRAITYGSASGDNDPETAVRRHLMPPRVFNTVGWLATCGAVVLPRRGECDAATYIQQVRDAIRCIPNSGISFGLLRWAGHPDRMRRHIDEKGWGPQVHFNYFGQASKKRNNRALQRLQLPSAEAYSTHTGTPELNVRAQFRDEGLRVSWLYDRHLHEKSMVDTFMSRCRDALSEYSAVGR
MQRDRDAELHTCFRRSYDANLKHHHAWLVRQVVAGGSHAKFDNELTTWLAGLEAIVLRLKAFLAQGSYGEV
MALDLSVNHRTFQRGNINVWLSWVRLEDRWEGALVFTRADAKDRDAIRPCIIPMNRAWIWDDTIGDPHEAMSTALMFCDALGMNPLNMSDVFRIRMLVNDYLDDLLRIPPRPTGASKERVAAVIEGVDKASGKPIEVEVHDAD
MLKGKTNKFFMILTLFVIAMILITVFLLFQNKTQNHSAKELFDKVKDHPTMGHKDAKVKVVEFGDYTCPYCKALNADIKKHLYKDFDKKDVSFTYATRSVHGEVSDLGNRAMHAVHINAPDKYWAFHQAMFDKQTDEAPESWMNEKTIQQVLKYIGVSESKRQKIQDAYQNPKSEAQAKLDQDKILADEQNVKQVPSVFVNGHKVENGTDYKQIKAQINKELKQK
MDFSNIPVMPPPKGMKSNFDNPATNATSTLIVNWIFTPLALIATLIRLYTRTTLSRDQRLGWDDALVSVGMAGSIAHAVLVTVALSFGYGRHLWDIRVLSLSTHRLHIMTSFEEAYITAVFMIKISILLLYRRLFGVYDSSRRLINVGIIFVATVSMPAIGVAIARSVKCASPKALTIDICHTKNVFTVVTVFGVCNTLTDFYTLLIPVNRVIKLNIGSRKKLGLLAIFLGGFAACIMSLVRLIIIVKTFDSPDGFFNGAKVTPFTIVEMNLGIICSCMTLMPGFIKQGKHAFATLISSRSGTESNWLGLKDMSRTKIGPGSKSKTEEVNVPGRSVPELPSFLGHLPPSPQVTRLESDEHLV
MGQDNELSNDFTKSHVIDATETVSDLKQKVAVAEVRDFIAEFIHKGVHVFDVDKQNVHDFISISTEDQTEWLKRITTQALSEQGLDTSQSHIDTTYESALESVAVQIQSLANKLQEQNNLSSLTN
ENCYNNGIRYNNGEIFKNFTSCQQCQCLDTINCETIPCDPAPCTHPITRQCCPSCIGCHYHGENWISGADFADPRDDCGICHCENGNVFCQKVPCPSLNCPHQTQLENTCCPTCIEVDCVYDGTTHGHGTIFPHAEDECQECSCNDGDVYCQRNPCTQPQCPYPSEGLL
MQKIRIKDFKAIKSADIEIKKTVLLIGEQASGKSTIAKLVYFFKSIKDDYLDLISENLESLNSKDEIQSKFWDVI
MGAIALIIAIIAFAILWSSDHELFKWMVLGAGIVAAYLRAVVSSIARRSGQIGPDVKTFWMHAANISFWISIILSIVVIVSAFK
MADFTHKEKKAISKILGDLIRADGRVDIGEAESLFRISSALGLNTQLQDEAMKLSSEEAIEILQIMPIDQRTEVVKFMHTMADSDGKLDQNEMDLILKIIHPS
MIKMLKWILIIMVILVAGVTILVWKNLKKKKALLLVQKESQKITDEAFTAAIQQISLISFDQPVKLHSEFVSNIWGSKVTAFEYSIEVPQVETQDLAKIKNELTEKLQAYANEKHLAAYKDHPVFVVSDIWIFAGVLHVDVSHITNAETWSYVEDVAKSDRTN
MTEMEVVYIDNHVVLTITTGSVRGASTATLIHLTPAQRQQLIKALQHPKPYQPKDNNNPSIVI
TPLSAGGSPTIQSATLPITKQPVSNHTFSKDAAATATAGLHELDCIELHPSTNGDLLNSITCPHCKKCRCEECQRPRQLPSRWLCDNSCLCSAETIIDYASCLCVVKALYYHCSKDHEMERESDTISCAEDPCSCLPHKRTTRWGLLGALSLGLPCLWCYWPMRGCVAVCAKCYAKHSRHGCRCQLQNGAGSLAGSILGGPGQSANGTAGPDHAKFSAVTGTSPVFGIKAKHHHHSSHHHHHHHHHSDLTPEKR
MGNTRPRAGGGGGGGCCSFTLSSLTSAPTPPQLPLLFFPHFNTYTTTTPTPLFPSLQHLHHHYSHSSFSLTSTPTPPQLPLLFFPHFNTYTTTTPTLN
MVKEFTEQEILQGKNHVDLGEAGDFTADYLEGEGKHWIAHGTYTTSMSDQDREETLAFFLEENPENIEDMSAGEIFNMAWDIWEI
MKSLKTKSLLLALTLLGAAGTELRAQSTDSVAQLQVSRVDSVITLTEDELVAGLRAIAEAWQARQQQVVPQQTELARVLKLQLLLNSLGLSAAQRPVQPVVAGTAPAQRVYYPDRYGYARSYQQPQQQYQDLASGARLERLERLLELSLLQRQAEQKVTTLPAAQARAARQTNDSLLYLLHQELGALRQEREALRQQPSQVQQQPQQQPTTTQIVMPAVPLQPAQPVQQPVMPLQPLQPAQPTSELSDALL
MTRKPLTFLAAALLCWLCLTPFSVAQQGEPIPIYILQGEGAATPLRGRYVDAVGVVTGVGIAGFYLQDPVGDGRSETSDGLYVYTRRRPDVSVGDCVLVRGALIDEFYGKTELSRVKAIEPSTLCRGTPTPTALPTFRYAAQPEEVYEAFEGMWVNTLALTGIVHGPTKRYASGEAEIALIPVSLQPYISDGRIFFDEPIEQALLVYVSSALGVSLPDLNHGDRIRIAGIHDTAFDAILDYNFGKYQLLLLPGAAVERIHAAEITAARAAPPGEEDFTVCSYNLMALGTGSAQHPNPADYARELHRRSLTIAEWLGGCLIIGLQESGTPRDAEALATHLREHFGLDYTASALPGPQSSDPEFPLTNALLTRNDRVRVLSLASPQSCSPVDYGVVDPGACPAGSFPLFDRPPLLADLMVENSRGDAMALTVIVNHWKSKVGDERVNLPRRIRQAQAVADLAQAQLSVDSNATVIVLGDLNDYYGSEPVETVRTATEPDLVHLSERLPPLSRYTYIYNGASQALDHVLVSPALAANVGEVTVLRLNADYASPQAPDADNIFHASDHDPLLVRIWPRSIGWIAGNVGYPGVRVELLDAADGRVAQATSDARGDFRLWNVTPGAYRLVLTAPSHLELSLSDVAITVISGENRFITAVRHQASEAGAAMALWSAALASTP
MQVIERDIVRNVEIIDLSLRAVVDNLRAPETGEASPKARQMILFDRAASARDLGVMLVLDGNGDSSLVITQNQYAAGVAARSDVITAQTQLQTTEALSIAVELSRATLTTNK
MKIIKLIPSLFLASVVWMMDDAIITAVAAAEQDVAEMEAEVVVEESATQGEEELIEEDVVTSEEGETADDETVSDETGESEAAAEEEVATEAAAATDDGETTSDATEEAATQQQESEAAADAAADKKEKQLPVQAGPLVDLLGPSLLSLEMIDETTAQFKQHLTSDALAGKKVIGLYFSADWCGPCRQFTPELVNFYNRMNNRRGRKGEFEIVWISRCRDVDSYGQYFTQMNWLAMPPEEAMGQRGMALGDKYKVKGIPSLVLLDDLGQVITTDARNKIPQDTAGIGFPWRSPLATVISVILPRSLRLMLGNQLGIIKSKVIDKVKSLLPGRAKAPAMA
MCFQIVEQYSVCRCVFQEYGIDECPLYQHKGHTPQVRVILIGVLCLRCTYRRHIPERGLFRSEMGNRDRTSSTILKEKGAMLRTEVAELGRGEEKLQGLDIIIASAIPADNIVTTS
MLWLNIATVSAQLHFARAIKFALARQLIILHDLFTRDGAGRIMFNKTNLSPYPVERLRGKGCSHGCTCK
PTFHPSRSPDQDALVNITREDKVKSFKNREALLEAAPMREGSFFKVKAVLK
MRPYVVWVLALALAGCVWAQEPVREGEMEKLVLAAGARPEVWSVAEATMASSPEMRKTGEASLHFHIDVDYFAGEAKYPIGWPRASVPFDQPWQQDWSAFDTLRLWVHSDTSRDALPSTPLGMIIYTPDKAHSFNYNMGELRKGEWVRIEIPLTRIANHDQVTRIQFAISESDYRHGDVLDFHIDDICLLRYAEPHLSDCRALPRVGYSDLRTVKVAFRALGIPGDGETPATLSLKSGGDQLASLQVGVSRGTNTVPFELREGLSDGVYEIVVQVGTAPPAAVQIRLVSSPWAQ
MDTFVLVLDESGAKGYSNNQEVLENEFGVMAGFIFSDKNLKGNYCACVQTFSDFASEGKLHITELSPDKQKQVRTKFFEVMQATRLNWSYEAIKVQGLYESENIRIPSEFTEKVLLHERLFIGLVLKVVNELNSSEEKEIKLKIITDNIDRPIVERFCKSIDEIIKLLTDKKLVYQYKKSDPIAKKSQIHQFEINVDYNKNFISIGKFDYSIKSEDSCLTFMADILVNSVYHHLKKKLESDPDLQLNSSSAIQGYPLAELVGGCRDEIEGEITSFSDFLYRREAPKE
MKKHYLFIDESGGKGYADNRERFPGELGVIAGYLIPDVYIKIYRDEIEGCLSNIESSGKIHIAEMEDKREVRGKVFETFGRLAIPWMYEAIFVEGFYQSEFCEGRGGCRSHTELLHAKIFIGLMLKVFASLHKFKELGVELVVVSDNLDKGVIKKLFKELEDHLALLKEGELKRQFKVYDKATGELLHCTSRATMDNVPHYPGLDISITCENSSMTFVSDVLANSVNYYLMEKFKVDPQLLPNSRQAIADHPMVRSLLWAYDANEQEISNLSDILYRREQKHLSLSNADLLPSNNPSTLIGFQN
MYNIIDTKYEETVKEWRQLLLKDGIITGREDSHFYEQTICESDSPFAGTDRLITNIQNSELTPEE
MNELSSEFWIQMVIYGATFGTVFGAFKNRLKELEKKMDKHNNIVERLTICEQSTKSAHHRIDEHKDDDANLNRR
MATGSGSSPAAGGPRTGPPAAPVPGPAQPMVAVWEWQDDAGCWRPYSGQVCRFIEQSLSHQRGWRGPGPSAGGSVSLGQADPSLAAYIIDIPSLKQFRQDTGKLRSVRQSLFPQSSALASGVFWEWASDEGGWTPYETRTSILLEHSYQARQATADLGPHGYNYIVDLTALLQVNKTSGFRRQVRRQASAPYPLASGPACTCQQCLSHGGGGGGGGGAGPVSSRSRHSFSAGQAARPALQPHGRGAPTLAYSPYSRRPLSMGHMSWGGPWNPATSAPAVGAGPAPSAAPSANGLSVPAVPLHLNGSSGVTSALAGMASILMSAAGLGVRFVSAGGPPRGRHGDVRRAKRPHRTGSHKSENVIQKYMEEVPAPPEEVPGERSPLALVFFTTVVPSSPKALLSSPSGLHHMHGAPGLPVRLRGGVGRGGVHPAQRRGEADQVWPHPPHALHAGHVQQWNQGWEPSVSFLQDNLRGEDRDAAQGQDGDLQHFPVPPRPLGLRHHSDRLQHSAGHPGSRAPQPRPAVHLPRVPALLLPARQREGEEGAGAAEGRLDAEAHLHRGHVQHHRRAGHGGVERDPPQDGDDVQRLRPRLPRPQLPGQRAGGAGGAGRG
MILREIGGRLSWGVVAVSASVVALLVVFAGGYGYHGDELYFLACGRRLAWGYPDQPPLTPALARLMDIVAPDSLVVLRLPAALAAGATVLFVGLIAREMGGRARAQVIAALGAAVSGPVLVPGHMLHTTTIDICLSAALAWLAVRVVRTGDRRLLLVAGLVLGVGLLNKYQIGLVAVGLVLGLLVVGPREVLRGPWLAGGVLVALLVWSPNLVWQFTHGWPQWRMAGVLSDNGGNGARLAFLPMQLVYVSPFLVPLWVAGLVRLSRSVDARPFRFLAVGWVLLVVVFVAAAGSPLYLFGAYPGLLAAGGVAFDGWLERGLRARKVAVGVSLVGSAAFVVPLALPLVPVDKLSVVPVLRFNGLAAEQFGWPELARTISEVRRGVPDDTVVVTENFGEAGALERYGVGRPPVYSGYRGYGEWGHPPDGVTTALLVRPGRSPGPPEWARDACRGLRQVAVTGNDRGVANKEWGGQVWLCEGLAEPWSELWPRIRHLK
LDAAVAELDAFVSEVAAAVALLDAAVAELDAFVADVAAAVAELDAAVAELDAFVADVAAAVAELDAAVADPAAAVAELDAAVALLDAFVSDVAAAVAELDAAVAELDAFVSEVAAAVALLDAAVAELDAFVADVAAAVAELDAAVAELDAFVSDVAAAVALLDAAVAELDAFVADVAAAVAELDAAVAELDAAVALLDAFVSDVAAAVAELDAAVALLDAFVSDVAAAVALLDAAVALLDAFVADVAAAVALLDAAVAELDAFVSDVAAAVAELDAAVAELDAFVADVAAAVALLDAAVAELDAFVSDVAAAVALLDAAVAELDAFVADVAAAVALLDAAVAELDAFVADVAAAVALLDAAVALLDAFVSDVAAAVALLDAAVADPAAATAELDAAVALLDAFVADVAAAVAELDAAVALLDAFVADVAAAVAELDAAVADPAAAVALFEAAVAELDAFVSDVAAAVAELDAAVAELDAIVADVAAAVAELDAAVALLDAFVADVAAAVALLDAAVAELDAFVSDVAAAVALLDAAVALLDAFVADVAAAVALLDAAVALLDAFVSDVAAAVALLDAAVALLDAFVADVAAAVALLDAAVAELDAFVSDVAAAVAELDAAVAELDAFVADVAAAVALLDAAVAELDAFVSDVAAAVALLDAFVADVAAAVAELDAAVALLDAFVSDVAAAIAELDAAVALLDAFVADVAAAVALLEAAVALLDAFVADVAATVAELDAAVALLDAFVSDVAAAVAELDAAVALLDAFVADVAAAVAELDAAVAELDAAVALLDAFVADVAAAVALLEAA
INEYYTSKKCPVCEDFVGQVEIRRLYCTKCKTYMHRDVMAAHNMCNVTRSHLMNQTRPLYLQPVDKTKRYYP
MLPQRVEVGTNVMISSGDIVVVDTDTSNDLLIFKNNILDQVAFEDYFEKEKPYTNPKLKLEDLAEQFNTNRTYISKFINSSYELNVSQYINKWRLKEVQFLLDKEENKGKTLDEIVIIAGFSHMRNYNRAKKNFNE
MKLCREFEYARSSLLNRSPVPSLSTCLNDVLLEEQRLSTQHLLDAQSRFGPSEVAFAAISKPGGKDMSKIQCYSCHEYGHYASHCKKKKVCNYYKSSGHVISQCTRQPQNRTSSSGQAFHTPVVPPTEQVAGSSSISPEVLQQMIQTNNAAAFSSMGLSGKPHVLSTKWYFDFGASHHMTRNAKNLVSFDVTPSVPTVSTAEGQNMLVHAMGSLPCFISQPSLRLSNVLYIPQLSTNLLSVGQLVDNNCTVFFSSHDCVIQDQGQVTEKGKKEGSWFSMDLHHLPIRQTSSEKPPTGGFAWFTPCSTYSL
FKNKPPKEAYCGQEEVGCGHINAIYDDLTLQVYFLNMDKVICAYRCPTSPMKGFAGPGALVPMATQNLPEVLAQLQSCKESLAAVGVSGNLVDVEKCSD
MNLTAEATSAVAIEVTSGKKVVPDGVQSISTLANEIERGATVVERLAGDSAKIGTVIEVIQSIAEMIQTIADMSAQIATAGEEQSAVTEEINRNIMSIKSVVEKTAEGSGQMNHTAAQVSRMAMQLQTITANSKV
MDIDENKIELYKKILINTINNEHINNSKTHIFLNYLRNFSIMHIKSITVFFYTTF
PFPVGCRRAELDSIPVNAFYPCANSGGCVRASWWPQNTSGEFAHPYAAGLAAGSQLPGLAPILSSLSWVRTKCFLDAPVEASATPCRPVVFSHGLCSTSSFYSAICSEIASHGLFVVALEHSDGTACACIREDPQLSMKFQPGADAERDSQLATRVEEMSRALSALENAFPDTTFDIENSVAAGHSMGATAALLAASAEPRFKTAVCLDCWSPGRAAPKLKPNFDILFIRIDNPRDPMVQICERNSQSGHRSAAFAIDRSRAQHNHQTDFFLLLPRWAVRMALGRQVDDAATVLAANTRLCTSFLLDELFFTSSAQEIVHSSQGLLMV
MYEKFEKREYPYSEKRFAGAAKELRSEEISRPSTSRRPKSPPPPNLERPLQIWAPSIPCPQEPPQPRHHHRLLILQRQRQHRPPNPFLHRSPIEPPAPTPLQPPIVHHQWLQPRHQQEPPHLLPHKYLPPTDPHKNPRAHRADVAPDCTRDLTVQPRQLILPGPHRGKPVPRPPTRQNVKQPDEVVCLERN
MSSQTISSSQRRAYEKMFSDLNKITENQRDQMSPETYQSFCQEFETLHYILWPSSTLCVPNRMDPKKRQERNKERKQRFKALGISV
MEKYNCRYNSKEDKYYLDKSYPQKKSKDYYKNKKNKKTGETQTSSTPQNLLPSTPPLSTKLSPPNFSPPSTLIPPINPPNL
MASQRDADGEPFRVRLESADEFYQRIDGGTADALSVRSEAELARLFAPANVRLLRELGSDEPTTVADLAGVLDRDEDEIADALNVLERFGLVRVDPDENGRVERAAVRYDSVEISIPLE
MTNVDKPESGKPVTVTGDERAHPAMRLLARACVELARLKLSETKHGKHDQSADEAAAQQAGTNTPSSDIGEDGCRG
MQYRFEYGEIEGETYLVLVNHGQEWYSSESLCRMEIDSTIRSICDAGQGTNIWWKIYNENGNVVQQGNKQC
MKKKFFIALLIAVLIFIATFTLFSFGLFEKPEYFVYDTKAKLFRSDKVPPGELKVILVDDASLKALEGVAGRWPWPRAIWSDLLEFLSMGGARAVLFDIMFTERSPGQESANDLSLAEATGASGNIYHSMVINRWAADDDRKTNTELGQPLPVNFIERYAVRNVTGSLQMRPGTENNDFALPIEPLLGTSKGIAVVEFPPDSDGVLRRTKPLRAYQGKLFPVLGLAPFLHDASPVLIGPDSIRIDDRSIPVDRDGNYIINMYDINKVAPYSIGGIFASFQKIKQGEVEDLIVNPEEFKDSIVFVGASAVGAKDLKPTPLASNTPGVILHVSFAGNYLQNDFLRPADRRITWLSMFLGAFLTPWVVFYSKRFLLRAAFPVALLSLYIGISFLAFRSNVIVDAVPFIFSTVASSFLSFGYVSFTEAADKRRVSHLFTQYVSKDVLNEVLHNYKEYQKASAGTKVEITVLFSDIRGFTTMSETTPPEKIVEMLNVHFSVMADIILKRKGTIDKYIGDAIMAFWGAPVRSADHAEQAVLAGQEMV
MTDQWILDYFDAWSAEDPDKVASFVTEDCVYEDVTAAHISRGRHQVANFVRISNRVVPNVTYDVVSGHSTPTAYAAEWIMQPQGLRGSSVGTLVDGKISSNRDYWDGATFRLPKQAQ
MVVESGAKQCFIELAKANTSADYDKALKIANKVLRTYPKETLAFKCKLVALIQLSRLDEALILIKKTPPHHMGDSLFEKAYVLYRKQEDGAALETLSKASECDYRCMELKAQLLYRAERFDEALEIFITLLKDYSDDYDEERCANLIATIAQLQGSGHQQQLPSRLDTFEQLYNGACQLIESGGYSQALKFLEKAEKLCNDTLVEEGLSEEEIEEELSVIRVQKAFVLQMLGKRDDALKIYLRVQNLNPSDKSVIAVVTNNIPSCRVQQNLLEARKKLKIALQVESSKLTARQRRILLLNQALVHLYSNQREPCRRTLEEYIKKYGSSTEVTLIEAALHVRSKELQKALVILKGDPSKEAKLTAVQVLLDEGKLEDASSALDEVAPDLLSYPAILQLRVALLLATEQQNVALSLLKSALDSAKSEKARIAMLEEIASLNVQLGDYPSAADCFEKLSELRPNDMQIMCCLIKAYSAFNVARAEELLAKVFPQGSSTDIDVDVLEDSDFILYGERYRQKKETKTEATDSEIIASKRRAHKRKRKIILPKNFDPKVPPDPERWLPKQERTAFRKKLNKKHRDRDIGKGTQGAVSSSPKIELTITTKANNSPHPVGPVMGVEGPRQQRPAGQQQKKKKKKTGNKWSHGADLFVPLAR
MEVGAYAAVVENTGQCTLTLTKGTTVRSQTLDALSDVSTMSCGGFLIPGSDLSTGTWSAIVTYVSERSSGQSDPIEVTVP
SFEQKVSASEQKECLCEHKRSASEHKNIIRAKVSASEHKECLCDPKRSASEHKNAIRAKGVRNRA
MTREEDREDGDDLGRARLSDVLGALVAGVAHARGIADSEVMSIARRYRRHEYLRGLSVPRLRISKVVVELPVLLDGVVPSQKARPAGPETIALAVRSPSLAAVDDLARYAEAHRHKMKPAVLEQSTALIEELRRKDGQDRLDEAIRQLLRSLERELEKSAPGRVALSDVVVRDELGNAVERLMRDHLAQLLAERARRTRGRRAPARWPSPRTSTRRRSGPPRSRPRRSPRRC
MKYISLLIIFICLIGCSKKKTSTIQQSLKKNENVVLIFGKRTFEKDTMYHKNGKSYSLKGDPILYYFDSYPYEMKELKSDNLVENDTVIINTTNQILLEHRYHYFYSSTYLVKAGDTIQFEYKNDAPYATILNKKELTKELNFEVSYNMKNDIYISDSQFWGKHRRHRTDKEYDDYYDILKANRVKQLTSLDSLHNIGNFDADYYDLFKTKLKYAISQLDFSDIKKDEIELKNDTLLANKIYKHFLPRYVFKEFEIPIIKKRNTPMYDYLIAFDSVQKSNLFSKKIQNYLLYYSLKEIANNYSLDDFKTYHKKFTETVKDTTLLNLINDTYLTDFISFKGKIDEVYLVNATKEKTTLKEVIDQYKGKVVYVDFWASWCAPCRVAIEPAKKLQRAYENKDFVYIYISIDQDFSKWQVASKEENLIYFRNSFVAVNYPSASFYRQLQLKTIPRYIMYDKEGNMIHKDAPSPKGTEIRALIDSYLAK
ISACRSFQLPYCVRADNAATCAVRAVMAAVKAALFVTG
MTETNTLTEREYVDLPEDLHYATEFGTATRFSRSWGGHRFTDEEVAALSEGKSVTFTLTRSDGSSETIVGHLEGKMFEPEDDPDRGPIVYVGFTKEANSATHAEGIWARTGTKVRFKRSFGTHTFSEGEVTALLADEYVGFTATSRSGGQYEATGRLEPQSFEAGGGRVVNFIGFKPDFGH
MKKISIVTLQEIINESKNDLTVDELLARAXSQQNMAIRKLSIVVSSSIQKQKQSTTTWHCGKHRRMVGK
MFASAKAQIIKLLKMKKIALNLFALTVAATFATGCSTETINEETSSLNATATVFEGQDIQQNQLVGTWNIFSMTSDVAVDLNADGSSNVNLLDETTCFDPMYFDFNAEGVVETEQGRISVLEDKVECDGIGHYTASYGVEGNTLSVTADFDGQTITLTKTIGLSSDATGEYLHVAIEEYEVDQLVDDPGNTVASDIKRIEVVYKKQ
MGETSYPRINKQLLGFVTYYLGSLHHHSSCFFQVILVRYQDLNRDDTYSCASVRPVATGF
MSIKGVHHVSLKAQGAEEFQRVLDFYQQVLDCSLIRHWGQGDSQGAMLDLGNTILEVTSNGTERGKGFFAHLAFATDDVDGMVERLQAAGCPVLVQPVDKNLGGNYPIRIAFCAGPIGEELEFFQELTQEKRKK
MTGLTEYRHVDYIDPTFQNPTIALSAEDTVALPSAITVPPFPPHRHPAFVNGEPLHRQPSQYSQYNVSSSASKPLKKCSAYLIVKYCSCNCQKKDWPFHKQMCECNRGNQASWFLECHIAVEALRKFIAKNRPTTAEAAFEAFKLRSRPQQALHDVLVLYVSDRRRLFPGICIHRETFYVTEIKIVKIAAGGPISRDLVQDQVVRVNTVNARMKGKRGLIFAIITCGELGITEIQLVDSDNNVWEIEDVGDRWKSKLVALMNEGTVV
QSQQTIFTALKFMTQRDLSTPNLPAIKFKDPPVFKGKTEDLEDFLMAIHNGIKMQRHAFTSDEETMEYMISFWLAGMRKTSPHLLIDFSAFVPAFQSHFSDADAVGTALCNIKKFKQIGSCINYAARLRKYAAVLDLSDTSLMQHFRRDLK
MKKTLFILLTLVIAACAKQPNTKITNFLKANVTQKDSVLSLNRFNAFEWDTLYIVPPYTNADREEGEWTKASAELSDTGIRSRDDISVLLFFNKGELAGYTEVKNAIYFADLGRANDGTMITYKRKDCVFAYKQIGPGWFEFKKSDQINVLKK
MTSREHAESNYRRISLINWFIAVPLLILFGWPYAYLVTFVGLPRWFAYGGGFVFALPMIMTLIHGHVTMALGYAQRDYYYKWLLKNRRSHGLFFHSAFVSTRFRLGLIYASGALLVGGWIVKKGFIG
MATFWDIGTIEYITPVLIFVLVFVIIYAIMQKTKLLGGSSKVDFMVALVLSLVALVSENTVKLISVLSGWYVLLLVAIILITLVLAVGAKGGDSLVDAIPKMPTLMSIAFYLSLVILVVSISHVFGPVFQPYSADADPSWWALRTIFNPKVVGTVLIMLIALVVISKLTKES
MADLYDPNRNEPDTPPPGGGPRPDPEPVTLPSPAGPADEGARSGPPPAEPQPVPDAVPQGLPERVPTAGPDADRIRIGLWGAPRSGKTTYLAALPLAAMQHQRHHDGGWHISGMTDEANAYLSQGMDLLTRQRAFPEATMGVREIAWSVQGPRIKRKLRPGRRPNFVLDIQDAAGEVYGDGHPQQAQLVSLLARSHGLIYLFDPLGDAQKATESFNFLHSALTLLTAQVRNRGGLVDGRLPHHVSVCVAKFDHPGIFQPAAEAGWVTQDQGGAQLPRIPEERGEKFFQWMCDDFRGATARLVRDALQTYFDPRRIAYYATSAVGFRLAPQHVFDYRDYVQEIESGGRKYLRTSPGPINVLEPLIDLEDRIHREANRRGGKLW
MTTINPKHIDWKKCNRHLKELIPQIKDAIRDSEYQELENLTKDVKFIPGKIRLSLSRSLEQKELDRIPLLVGRLADRFKLNAQKETRAYVSEKNIHDPTYEGVRSYLFEPDNYDQFKKQSN
MEQRRLGTSGLKVSAIGLGCNNFGMRISAEETQTVVDAALDNGITLFDTADVYGGTKSEEFLGAALKGKRDKAVVATKFAMGENAGGSRRYVVSAVEASLKRLSTDRIDLYQMHRPDPETPIEETLRALDDLVRDGKVLYLGNSNFSGWQIADADWISRPKAWSASSRRRTTTACWSAMWSARCCRPASSSAWASCPSSPGVRPAERQVQPWRGAARGHPSGRLGTARRGGPQRQELRPDRQAGRLGAGQWPHDPGAGLRLDPRPPGGELGDRRRHQDRPDRRQRQGRRLEADAGPGGGSDQAGGQGLTPQRKTLPWGGRALLTNRGGGTLRVEWGGSEFFPAVVGRALGHLGGGAHLRTRAWAAAGA
SGSDSSIGTSTSGSLTSIGTSTSGSLTTITTASQLQPFEQAVRRESETASSNQVLQTVTFQNQNQAEINIGQKLGNGAFGAAYKATNVQNGEEKALKYNFQLLESQNSALGRPNLITQANGQVKVPGEVYGMTLAHPHILSVEGVVTQNPSGHEYWNKENLDINSMMDKELRMSVSPFVSGGDAGRFLKSNNPIENQLFPVLLQMADGVSYIHRNGMLHGDLKPDNIFVDNNGNVKVGDLGSLQPQMGTEHIRGGRFANGYAAPECYANKRKRDQKSDAFSFGITACEMALGKSVTSDFEKATWSSKMKELAQNNPDGSYLDYKLSDADKAKLDADYPGLRPLLNELTMINPFKRISVEQFQEKLQQYANAFAFGITVCEWALETQLSDDLGGANSSLVTHNNNPDGSYLDYKLSDADKAKLDADHPGLRPLLYDLTTVNPFKRISVGGFIAKWFDQ
MMGQRGVILIACLWICGLVVWLSMATSAIYRYRFAQEVLPVLRIRGYYAAVGGIMEALGRVKLVETNTVETLKGASESEGDVWLPDGKEHQVSYNRCNVWVRIDSEDKKVNVNAVPPEVLRTFLESNYEEIEIAPEVIAERVADFIDPDDEARNMGAEKRDYENRNMKYLPFNRPLGAIESLLLIPGINWNLFWKGPQKDENKFDGILPGKYSLFSVLSVYSKRQTFVEEQDESENKAWKSGALYRIVSGAVCGDYRPVVLYAIVRLDKTKTPPFEIIKLKEVI
MKISQRGIETSISPESRLADKHNSFPLYSTEKNERAMSQGLLLQFSHQEGITSARKIANAVAVADGGLVNAQTRYLPVHA
MSHVNDTTDSTDITARSRTNFPDVVLRQISRHRVMDALERLEFGYSVDTYAEISSKWDHGRFYFNLAGSADDILSVSGYWSDYLPPEYRADLLEFCNDWNSGHHMPSAAVTEDEDGDIYVAVEHAVHYRFGAADDQISQHILSAISGGCILFASLAERFGDGVEDIDY
MGLGTGRRGMKSPPLLIAVLLACVFVLGINYWITSSRCVELQNRVMELEGRVRRAAAERGAVEMKKNEYEDMLAKQKKQIDTIQSLHSSQMQNVHSQCNSEKESLVNNITTKDYVIQKLQVQISGLHRRLEESKLEFKELQESQTKKLSYELAQCSSKITEVKEQCDERLRKAGVKDANTANEHTEKTVIETEKLKPTTKQQSNAHKDDDKEEAKSKNNLKPATIPPSKDDKKEVIPPPEIKEETNDLELNVDEKKPSAALQNPKQDDHKDVVQEPGNQINPVQEPGNQINPVQDPGNQINPVQDPGNQINPDNVNAADEDDEPKVEDAEDQDTNKENEDVERENLMNIDGQQEDEKDLKQNEQEDDAENPNEYNGDEANVAESEAEKQAQLNDNKPNLKDNKIQIGVNGQAADQEAEDNPT
MRDFRHKSGMATGAKKLAGLAACMLLATLSPAHANDTTATLSAGGLELTVSTDITMEKEELYLSTKDVRVSYLFRNTSKADIQTRVAFPMPEVPFGPIDNVVLPQPDRDNFVGFTVKVDGEQIRPELHVRALSTPVDDGAAKGTFPQGTDMTEAVRRAGLPVNAGLESWKSTLSAMPEAQRKALVSEGLLYDGDGDASPDSLSPQWSMHETYHWQQTFPAGKAVKVEHHYKPVSGMSFFAGDASDLDTIGATFGKRYCLDQNGLAGIRRLLDKARSASAAGKDGTYVFATETEYVLRTGANWKGPIGDFGMTIDKLFPDAVLSTCADGIVKTGATTFTVERTHFVPEHDVRFVVFRFGEPG
MSETETTTTTTTLQKEAQPPQLQDSHDSTTTKTKTTTTTTTAAAADIILTGVNANAELQAIEKSQTTTVGSKRKAAGEPIVESDDSQLSTPCATPPPFVGSFFSMRAIPPNASEEEKSQIS
MIDQLTTLELTGLVLSAEFALVACIVPALLWRRGRRQVATEQGDAQRLLDGVESAAPTRREALSTIFATTYQLEGEDLDARVDEFISREQAFYEVMTSVYLERDSSRLKEIPEELTKVISPWIRLTPRNTVARTEVDSLEATNSELSDRNAALSAELERTRTSMDELMQEYLKAYRKGERAVPAASAATAASAEAAATAAGDALDADDIEIDFDDAEDSPVDAGATRRLDLDADDGRDEETDAVAAAAVPAAAGDVLGADDIDELFAAVADDDEVAIDMGDEAGNADEDGTAAAVGEPAPAAAVDSGVDLAEDAPADGADPMAGEREIIDVSIDDDAFDAPRKPAAATLSQDEADELAGLFDEELSALDGLDDDDQEAAA
MEVASAPALLVLHRLPRWLVPVILGVLLVVGLAVSGPWRWLGALALLIVGAFIGWLTALSWPLLSGTARLARVAVCGAVVGVAVLKALGRF
MAYNLIITPFRSPNFCSSFLKPPPPLMARNFSTTSTKSDNTSKTCKASLMIPCAKKVEIFKSMEEWGRENILPLLKPVEQSWQPQDFLPEPTSEEFYEQLKELRERTKEVPNDYFVVLVGNMITEEALPSYHARINGINFFHDRTGMDETPWAIWARGWSAEENRHGDLLNKYLYLSGRVDMKKVETTIHYLIGRGMVLKNFETGVDKDIGSGYNPYEFTIYTSFQERATFVSHRNTAKIAFKYGDTKLAQICGVIARDEKQHETAYTKIASKLFELDPNDMVLAFAQIIKERITMPGHLMYDGTDPNLFDHFTNTASRIGVYTVTEYREILEHLVAKWNVEKLIGLSSEGRQAQEYLCGLAQRLKRIEEMVKSKAQKNSSSLIHPVEQSWQPQDFLPEPTSEEFAEQVKELRERTKEVPNDYFVVLVGNMITEEALPSYHARINGIDFFHDRTGVDETPWAIWARGWSAEENRHGDLLNKYLYLSGRVDMKKVETTIHYLIGKGTDTDVGENPYLFTIYTSFQERATFISHRNTGKLAYKYGDTKLAQICGVVASDEKRHETAYTKIASKLFELDPNDMVLEFARNMKKKITMPGHLMYDGINPNLFDHFTNTASHIGVYTVTEYRETLEHLVAKWNVEKLIGLSSEGRQAQDYLCGLAQKVKRIEEMVKSKAQKDCSSSIGPVDQSWQPQDFLPEPTSEGFEEQVKELRERVKEIPDDYFVVLVGNMITEEALPSYHARINGINNFHDRTGADETPWAIWARGWSAEENRHGDLLNKYLYLSGRVDMKRIETTINYLIARGLDVGIGNDSYLFAIYTSFQERATFISHRNTGKLAFKYGDTKLAQICGVVASDEKRHETAYTKIASKLFELDPNDMVLAFADTMKRKISMPAHLMYDGIDPNLYTHFTNIASRVGVYSVTEYREILEHLVAKWNVEKLIGLSSEGRQAQDYLCGLAHRVKRIEEMVKSKAQMNDSSSIRVSWVLDREKVVQI
MLEEDDELTRLNPRLITSVNDPVYTIPYLTAQARNGLVHISSMSLTLPDNGNIICEIKNNSSNKMTSVEGLVVSSSVNIGYSIIRNGIVNGSLMPQTVYNVNNKHIPSTTTLVAAAADTMVSISEGDVLLRQLSLANHFNPISIVPLIINPRSSLYFWAAGESGLSVTINVIFTEYPGNL
MIFSRLFSVILRLSQFVFAAIVLGLTAYFLSKHEDEDDDWRGRGRGRGRDDDEFDDLLARLIFSVVWSALSLIFAIIWVIPTTSSMKGFTSDLIFTAGWAAVFGVLVDWFDDTGCGSPWAWNNGFSFRREDSCGQWRAAQAFSFLSMITWLATALLGIIMVLRHRRRAAAPAWQQEKDLFVSLHHTNKMGPTNLTHDIEAPDAHSGLRQRKGDHKSFTISSRPFAGRVGGNQEFTVPAEDASLPDAAAVFSWRQSFALHAFSDVELWKESFIEGVGTCLQTYLGGLAAVGLSPLVGATDLGPVAPAAFGSIVTGTLIALFIFGAGPVSGAHFNPTISMATFTAGLSIFPRTLLYVFFQCVGALVAGALLRASLGMEPHEIPPIPGCYVDTSLVTPGEAYVLETMTSFGLIFIAFGVGLDPRQREVFGPALSPILVGLALAVGTFASSIVRPGYSGASCNPARCLGLMMATNRFDYHYIHWVGPMTTAVLNGLLYWAIPIYKPEK
MPPQTPHRTPDRTTAAPQSFPRLNPEYSGDACMHPPIPSMTHQSQPSHLTPTNIHIHNPTKDPIYLINPIYSTHQHAHAPNSPTNFTFIIIIHSPRVAPGPPSLNPRRRNLIGANTRNSSTHIPASRTIGPVRK
MEEFKTGFLKYFYEISKIPRKSGNEEKIAKYLIEFAKERNLKYYTDSKFNVVIWKDASIGYEDKEILGFQCHTDMVCEKVDGSSHDFLKDSLKLKVEDGYIKTYDTTLGADNSIGVSYILEILDSNLNTPKLECIFTTQEETTMEGVRFLDGNILKSKRIISFDNFLDTEILISSATAKRWISNIYMNREEKNENLIYYKLDISGFKGGHSGIDIWDKKRENNIKIVADSLNELESIRVVEISGGSSENVIPRGIKVVFAIEEKEKNILEKIESKLEKLKKVYGNIDIYLRELEDEEKEEIGIYSKEDSKRLLEYIVNYKNGPLEYDEEGNVILSGNLGKIESFENYVLLKYSIRYNDKNIGEKLVSEIEENMEKYNVICEESSYMLGYEEPKESNLLDIVEKAYIEVMGNIPKKKKSQACLECGYLGQKIENLEYIAIAPNIFDAHSPKERVEIVSANNVWKIVEKIIENI
MSVLSGLKPEKMFYYFQAISDIPRESGNMKEIADYCEKFAEDRKLEHYRDGMHNIIIVKEATSGYENSPAIILQGHLDMVCEKVSGCNHDFTKDKLKLVLEGDKLSAEGTTLGGDNGIAIAMILALLDSDDIEHPRIEAVFTVDEETGLYGAEAIDVSMLKAKKFINLDSEDEGIVTVSCAGGVTAEIEIPMTREKVKGQKAKLEIKGLVGGHSGIEINRGRANANILMGRILYSLLIPYKFNILNLAGGNKDNVITKAAAAELILKDGDFDNIAKLLDEVAADIKNEYSTVEKTMSITLEKLESGEFEALDAKSTKNATDVLLNIPNGIISMSRDIEDLVETSLNLGVVLLKEDKLIMVSGLRSAIESAKWALADRVTAFVNLFGGSVARVGNFPGWAYKKDSALRETVIDVYKKQYGKEPIIEAIHAGLECGMFTEKIEDLDCVSIGPDLRNVHTPEEYMSISSAQRTWDFLLGILKASK
MDLQSEENLEQIGKQQNDSSFSSQSDIQDNKLDQYEEEQKQKYQHQFEQFGLKAEIEACQQDSKEYINDISAIYLTESEREIYIHTFKKHLGHILIMVCSRNQITEIHWISDELLNKKIDKLSDQNQQENDQIVTRYIHKNRQLACLLRRVNDQIQAIIGQTDGKILFINPLTSQCTLELQFGDNIVDLLLEKHYLIFITKSKYISVVDIQTKQIVATSEQMQINSSQNCLEIIERNDRGIKFASGNKGSAVQIWSLLLQNNYSKQIENDLQNQSVQMKFMYKLDTLQVWNLTVDCLKYFKNTKQKNWMTIDYEQRNFDSSILACAGSFYDASMKYIVQIFNWKTKQLLRIIQDGHKGWVNSLKFFNDQSGYFIVGSADKNTTIYSALSGQIVKRFIEQDLVVMHALRLLNLNSEQQKQLNLSTNSVCILGVKDQDKLFIRLIF
MVDLRLPSDFDDDPVPPYQPFQPDEWHEDAGPAIDYNDVNRRYERTQWWLNMQPMRPPSGVDLSGPPIPVKLKTLRVSLLLWTTTREPPWTRDTITVQNDLSWHDFSDRLAARMEIDLDSTELGWKTEGAKAGDPCRILKDEYNMRDTFEEVITMNERARTRVRELMVYNLTVEEEVKEKKQKKKSKKKKGKKGKKRQRDDSLDKSQPDESETYLACFRQLKEALACQDSHCKNKTHGKIQYCWVNPRTTDHDAVSLAQLTFWAREMKDAPRRRNRRRLTSLIQSIRSMSADEHYPILDYPQYEDVLRGQGIAYVKNVVRFDSGFFEEQCGLPPGVIPDLLDRAAVMVRRAQNGDGRASPAYDKENTPANA
MRSPSLVALYQTASGGPEASPAARSPSLIVHVGPSGQFVFVPRTVAAPVGETVTFAFAIASPLHTVTQSVGVEDPCVQRGFSSNLGVVDLIYDVVVNNTEPIHIFSPLHCELGMVMIINPRSPTEIDSFVAAAQGRSLPGPTNESSITTPSSAVESVTAIIIPSPIITSAYTGTVSNTAVGPVSPAIPGSAHRNIILVGVLVASTLLACALAVAFIIRRRIRRRAIALGQPRALRPPSAGVPVRERNPLKEKSQAAEASSDARIHEPGQGETGTDARLRAALEALAALQTEVQQLRQADIAETLPEYVSRDGDGRSCIE
MRDGGALSGERTIFRLARCREGGIEPQTLFSGTLSLRTSPERHPRTAAAVRPRSIRGLSRP
MGRRGGGRHKDGRYNNMHPQRDQQRGRQHSPRQRSQRTSSQQPQQERQAQPFSLRKHQNSESKKSRSERREKRNVRKNEKKSDELKRLRLSETRRQLYSLREETLRQAMITANTSNAGAGNGVVLHLPRQGGPGSCTSSSKTTSTKTTSTTSSLPSASSSPSASSATSSPDVVQPLPEEVFDLRLTREDFLSDSSRLKKIGDATPTLRPFLQSLRQKCADYAAENKRDAQTQAAIFALRCKDGKYLWIRTHLI
MIIACPDCSGPFELRDGDIAELVQLACPHCSFRMILDFAAANDPRLVEVGMKMASGYRSAADYREASAARAPLRAVDDAAERSAAERAAAERTAAERTAADAAE
MQHANENIVVGLDIGTSKICAVVGRQHNDHIEILGIGQSRTSGLRKGFVINIESTVKSIRKAIEGAELISGCSIKNVVVGIADRFMHGQNSNGMVTLKGREVTKNDVQRVIDLTQAVPLLADRRMLHVIPQEFIVDSYDGIENPLGISGERLEARVHLVTAASASVANIVKACGQAELVVDEVIFQPLASAQAVLMPEERDLGVVMIDIGSGTTDIATFRRGTLRHTSVIPVAGNHLTSDLAVGLRVTTSEAEQVKCIHGCCQGDIMDGEPPVELLTTIGVRNRFVSRDSIRNILYCRANEIFTIINRELTCSGFKSNLAAGAVITGGSSRLPGMVKLAEMVLNMPVRVGEPRHISGLADSVCLPQFSTGVGLVLSGFKVKHTPEIQTETLFDKLRLRINCLWG
MDKRFLITTSDERTWKTDEKILFLNEGCKKLSRKNFWSQLDYNVLPYHWDDPQRLHSDYQYLIGIYDKYLEILTQKLNKIHNVYHSKEYWRIIIGPWLQLFIGIIFDKWISILEAQKRVQISNTWILDLGEEELIPLGMNELQQFIYSDLWHHHIFSQIIISNNQIPYRKIGLNLSQENLEPEKKSKLSLKSSLXNMANFFCRFIPDQFNKTVFISTNFLKLDLIKLQISLGQVPYVLDSIIRLENIPAHKGMRNDLEMNYASNEFEDILEILIPLNFPKLNLELYPELCNQVFHRFPKKVSTIVTTHGYWAEEGAKLWIAEKKEQGAKLVIGQHGGHFGSGLIEQSEVHQIQICDRFFTWGWKDPKFSQVRPLSPPKLASTIKKGIIPKENGSILWVWGAELPKFFYRMHSVPLSSQWLQYIYDQIEFAKNLSKEVLDITKLRLRPNGKLLWEEDLYFKEAGFQKIIDYSGNNLHEKLKKSRVCVTTDNSTVFLETFSINFPTILFWNPTHWQLRPSVKPIFEELKRVEILHDSPKSASKLINSIYMNPSNWWFKPERQRVIKSFCKEFACVRSSWLKEWKKELKALLSKN
MSELPEIHNFARTIQEQAAHRTFNRIVQPRIAEPKWPRIDSRGPFEAQAPFTLSAVARGKELQLTMVLPNDRFEVEGQSGSSSFNNSNSGGLAQGYSFGLTPRASMSVMPATTKTAPLLRAALAVDSGGVYSTTTKLLLLMSILFKEGKIEAADKDWLKEIVVAGGGRQGGEGKAVQWLKKLEACLEYFEAENDLDEVGETMRILTRCYVLASAHNDGVQYPFRRGLETAGSRQLAQMGSLLQVDQQSYINPNQFHQVAQTGLLLQAVQQYALLSRAEDPVSCLDVNPKQYHRILKRRQERTKLEAKYQIMPRRVRLSSRPSVFQ
MIGTLITEALHFQSIITLQGMFGACFPRMVRGHYVGFKSAGHILNKTFGIIEGSINNTILQDIEIHIKGIEPYSYKQKVIK
MQLVIPGKERVAVDHLIDAQIHHELYPTVENGIVETPAPVFVLRPDNHVGIRIKALVVPGVLVQGSSNHFLSRIGQHLHQRFHFLEMGVAPQLQVVYTGYG
MTASLIFVAGALLSGRVFVCRVERNKSATPFLQQLTQSLHSALFSLGASGKVIESITDKPWGSDRAFDSVFLITLNHLRLCWHQLHQRESVLKLLHTERFFAVRRRRRHDPSGDAPLSVPLGWLRGPFLELRGKRDTRGTRRRLFATALAQGWLRDRPSACLRVAQLSFAGWRACGVACVGRTTWGGSRRACHVPWLCSALHCSVAALPVGWPERDGVAGVVSAGPVSLGVAHLATTHALSAETNAPATLGRGLGGRPFLTC
MRDSSAHTIQRTRDTPRAQTLLLSAVYAVLPLVYLSIEYHQMIETPEDRIYWYVLVTGPILLLLAGLAWHLTPVVALLLMFGGALHAIGVGLVLGFIGLLVWPALVFAALALFVGIASMRTSTHLFPAVAGEDDR
MNAGGAAGEGRTAHEGGTGGTARRDVAAAAPPPTSPRETYRTLAAEARAAAEAASAASVSLARARLVVFLAGVAAFTAARAGWLAEGTGARAADLFALAAFVTFVLLVRRHGRVRAEEAWQTELAAAAREAEARLDRCWAALPPVDASLPTDHPYADDLDVLGTASLAALLGGGATRPGTDTLVGWLARPAPPEVVRARQGAVRELADDPPFRLALLAEGRLARPVPGPQLERLVAWAESAPWLTPRRAPRLAARLVPLAWAVTITLHVQGAVGYLWIAPLLAALAVLRTHAGRVRDTLDRLTWGDADLRRYQSAIARIAARPSDDPTLRALADGLAEGGEPAPRGLARLFRLVEVSDVRFNGNLHVPLNLLLLWDVWVVEALERWQARNGRHLRRWLDTLGEVDALAALAGLAHAHPDWAFPRFDEAADRLSAEAIGHPLLPDDRCVRNDVHVGPAGRFLLVTGSNMSGKSTLLRAIGANVVLAGAGGPVCARELSLPPVDLHTSMRVRDSLAEGVSYFMAELQRLKRIVDAARLAQRGGGPTVLYLLDEILQGTNTAERQVAARRVIRILLGAHAIGAVTTHDLQLADAEDLRAAADAVHFGEHIGPGEGLDAIRFDYTLHPGIARSTNALELLRRVGIDPAD
MNPKREYIKGKDTYNKELDKLNHKINVYGNVRLIVILLGLGLTIWSYTRKSYLLSLNSLIITLVIFISLIIIHQKNIDLRPRLTALCKINENGIKRLRGEWKKFQKNGKEFIDEDHNYSWDLDLFGKNSLFQWINHTNTPMGKKKLRDALIKPNKNIELIRKRQQAIIELSKDMSWVHELEAAGMLEDNDSIDEGELIKWSKDIAPFYLNNLLGLFVKILPIVSILTIVLPFCIPSFSFIYGLIFISIQIIVAFIGLNKRHTRLSTIDDFRKQISIYDKMIKLIESKEFESSLLQDMKKQMESDNELTSQQIKKLDSIMDMFNIRHFQFYLIFDILTLWDYQCAISLENWKNRYGKNLPKWLDSLGEIEALASLATVVYEQPDWVMPTITKEYRVSATEIGHPLINAKDRVCNNVTFGGRHSSLLVTGSNMSGKSTFLRTIGINLVLAYAGAPVCAKEFCCPMMDLYTSMRVKDDIDNKISSFYAELLRIKKIIGATNEGKKVFYLLDEIFKGTNSRDRHIGARTLIKKLCKGNTLGLVSTHDLELADLESESDSRVKNYHFQEHYKNNKILFDYKLYSGVSNTFNAVFLMKEIGIDI
MQPSPTQQTPRIEYERRLEDRSGKVQALVRLSDRYSTLRGLVFIAGIAVLLAARVWGALCLKWIAAPILAFVFLIILHARCIRRLKRARQAETYYRTALDRLNHRWIDVRPAGEEYYDPQHMYAGDLDLLGRGSLFQLICSARTKLGEETLARWLLSPAQAEEIRARQQSVEELRNELDFRETLELLEAEQHGDIEQTHLSDWVRQPLIQIPAGLKWASMVTGVFTALAVISWLLSYSGIAPICIAIIIQVCLLFCIGSRIRELLNQTDEVRDGLSVLADVLSLIEQREFHSPHLTTIVTALQTDGVPPSRSIAQLRRNIQGLNNCFRNQFSAPLTILLGIPFHYMFAIERWRQRVGPHCPEWLAAVGEFEALCSLAGYAYEHPEDPFPEIADPANGPRFEGVALGHPLIPLEQVVRNDVTLNTEQRLLMISGSNMSGKSTLMRTVGTNFVLA
MQQPKEFYQAQISRLTILLKKHRQRRNGITLTKVFLFLLAIYFIYTFANTGYTPYLIAFIAAIALFIITNIFETKLLKEIQFLHKLEECSRVELEYLAGNFKNLPTGEEYKDQTHPYAHDLDIFGEDSLFQSVNRTVTPHGREKLREWLLHPLKSGQPIIERQQAIEEFACKPEWCHVFRAKGNSQRITHMAMQQIEQWQREQVGLPRWTRPFLYILPIMTISAWILYIASILTLNIPLFLSCVSLFCSYLPAQKTLRTHMRLAEFTRSFSNLHELIGHFSTFTCSSVKLKTLRQQLFNETYNANEALRSLHKIQESFDQRSNAMVAMFMNGLFMRELHLIQRLVAWKRRYAAAIPTWIEITGELDVLVSLANYKYNHPDFIHPILSENKLLRGAAIGHPLLPANECVTNDFEVTQLHKFYIITGANMAGKSTFLRAIGVNLVLACCGAVVRAESFEFQPMALFTSMRTVDNL
MQFASESYFRKRLEEATAQLERHQRSVLLNNTYRVVSFLMVFGMFFLLKGLHMTLAIVVAFLMLIAFVFLVRRSAELVERVAFAKSVIQFLQIQLRTIAGDNSEVEDGKEYSNPDHDFAYDLDMFGSGSVFQMISRARLRTGKSRLANWLTHNETDPEVICSRQDAIQELSENLPWCEELYAHADVANESDDQLSQLLSWSKSKLAYQPGTAEAIAAWLVPVYQVAILSAYLLDYLSAGWYTVLLLAPLSWIGKNIRAINEAYSQMGDQEGALRYYANMFGHTQKAEFSSSELSKLQQPGKEASSALLGLSKIMSAFDNRNNMLMGVILNLFLWWDIRCLLKLDRWQQEHGNAIEGWLNNLGEWEALSSFGVFAYTFAESLHYPNPKKDRLSIQKVGHPLMLTRKRVDNDFSTEYGDFSIITGANMAGKSTFLRTLGVNMILAMAGAPVLAESFSFRPVKLYSSMRTSDSLQSDESYFYNELKRLKVLIDKLESGEERFIILDEILKGTNSKDKAEGSFRFVEKLLQYKASGVVATHDLSLCELENHHRTRIHNLFFDVEIRNDDLYFDYTLRPGICSNMNATFLMKKMNIV
NIIDFSRYLSDNRKLHDIVKFSDKHKVQEHMLQIGSHRHFHVVDLGCGGSVDLLTQVSAHPSTKEHLDLEVKDQ
MEKLVYGGNVTFYEELLRLEEASVLFQRQPMVERRLMHYSSSQSILLVGEGNFSFSSALATAFECAQNIVATTLDSKEKVLRVYDTARSSIWNLESRRGLALLAVANAVKKPSRRTTSW
MMNRKILVLHGVAQSGPVFLNRRINTIVHTLEPFGFEFIALTGLFEISNTPYVNARQHDYRTGDDERSWWETDDILRKHTGIEATMALWGETLNKHGPFAGVLGFSQGGCAAASIAAMLEPSRRCHPLVKKYVPPWHPPLEFLIMFSGNPYRYPDETVHWLFYAEGGPENVINTPALAFYGEKEWESEKSQRERQQWLISRLANAKVVSHPWKHTVPRTQKFADIVKDFVLQITKESSKVDHRL
LSNHCCYPQTTLVRICRAWRNGRLCKTIPRVCCRAPPSTIKSKEAVEKKQEKEKEIKVNQEDQPLLPYPDVKLPTVSERRRRLAELEKDKMEKVASGFYQSRSDEDDTLEQVESLKEELTERSRKRSEKVKKAKSVEEVSAKEDVNDFFKEAVKEVENPMTPRKQQT
MRTAGDATDGQAKQAASHGRVGKMTDNLIGDCSTSSKKRKKACKSRGETRKLHEDNIFEEEFGSLKNSGQGAWSELSKVVASNLSESVVSLASFDGDIMHSACTGVVIKTDLFGTSYLTSASLIRSFDDESKIMPFVSIEVHLPKKQVAHGWLYKYDLQYNIAVIETKFFPGLRAINLEHQLQFESHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMAGVGGPLIDLDGNFVGMNFYAKKRTPFLPRSTITECMANFKTYRGELKKLDSTTGRSTNEIQESPATSKSYLEGLEGCSDSFELRNSSRKPENEQESSSSSDSEENPIENDPFFMETASPFLPVADGDEFGKFLIKELTSQGYPLPAVLDGGMRLVNTFENEFADDIWSKLTKKVASNMSRVVVSLASFNGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGLIERKEVLSVGRVFKSAKLMATSGILTDKPSKLDCKELKVSTCRISKAGIGGPLIDCHGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGDISPEIMDNQNRWPVPKLRWHYPSFSSHRKIEPRRYIKY
HVMVEPIVIEGQRAWLKQYGKGSRAVALGLLNFVARRFHLDALRPPPHRGGDAARETEARRLGELQAQGVNVPDVLGSG
MKDNNRPLLWRKPLQALQDGVLNSVGYPATLRRRINSGALQIKNPQQQDTIWLRSIVSWHRWFGSDKNTIRYLRPDHTGDYEFFQCHIPQLDGLITKNVTENYRCDISTIGGLSSSRDANLNIPQLDDFALQRCSEMITPLSFRTTGAKSQSLHSTPVADGIQPVLLGTGSALLEQ
MDDSAASTVGTNALELKQHGRNAKNLKHKSKNKRAAAATAVAASSGGSDAAGDDKAAIAGAASDAHAHHHHRAHHHHHHSMRHARSAGNAAASGSAAKGEDVMRELLTSKIAELQVGGDENLETPIDLSGIYQDEALPAQTLETMQGVLDDGELGADAKVDALAAQVKTASDQLAQLQQKIGELNAKCILLKQRKQTATTELVKTNATKSKLEQLCRELQKQNKLISESRRIADEEDQKRRELSTQFQKTIEEVSAKMDQQGKDYVASLKENESLQQKLKSFLEQYTVREEHFQKQLEAKDLTVQLADAKLQHQVEITARESEKVKLALDKAKEFSDRELQLQAQLNSYSEKFDIVQETLNKSNQMFTTFRDEMDKMAKHTKKLEKENTALKKKCAEYDTNAIATIQDKVKTAEETQKYLEKIKKLESLCRHLQAERNSIREGKPLVPESA
MSGKSDQKKIESKEQGLKSQKSNSKNKKKDDKSTDHILRALSSLSTPEEKLAALCKKYAELHEENRELGANGKQNQRKLAVLTRQKEQLQLENNKAVMAKSQLESLCRELQKHNRLIKEESLARAKEEDEKRKEISAKFQSTINEIQAQMVDNHDRNMKLREENTELASKLKTFLEQYEQREKYFEKIVKHRELEQQLADAKLKQASMQLVEEKEKSKKEREFMLMKATETESKMVLLEKQVKTYKDQYNEFQTTITKSNEMFAKLRGEMDKMTVKIKKLEKDSAAWKSKWENCNKALLGMIDQKTTMDKERVLLQTKIQKLESLCRAQQERLRAQKSPPPAEECHKCCYAESSTPSSNDLSAEPAQVPVTDSSSKTDVTADNSTPAESCVTPDSVTPAMGSTVQATDSLPDLQPTEIPSTSVSECSAASSTSEPKMDIVADNTTNATSAQSCHSNNSVENCLFLSLSLCLCLSLSLSLSLSLSLSLKLYVKLHCLISPALSSSFTFFFSFISPL
MIRGLEDIQSLVVLDEDGYAEVRLRSDFVPPLRRVHTSGCGGGITFSLETQTSAALEDNSTADPRSLFPLLRALYLGARAYQESRGIHAAALADGDTLLIVAEDVGRHNAIDKVCGEAMLRGIPTVGKILLSTGRISSEMLRKGAHMGTPIVVSRTSATTLSIELAKRLGLTLIGYVRNDSFYVYSHPERLVLSSPAPVLA
MFIKINPNPEDSSLVKKLFAKDEAGKETETFATIEKPVSIFLNDTHVVTSMTVGDYPKYLALGYLVNQNIIKLPSEVLGIDFDEEINTVVVRTTGKTKYEVKEAKRIKTSGCANGTIFEDIIDEIDNIKLPYKTFITNKEIFELSKKINTTPSLYLKSGAIHGCVLCTNSSKIAYFEDVGRHNAVDKLAGFMFLENISPINKILYTTGRLTSEMVIKTVMMKIPILASRSGFTAWGVELARKTNLTMIGRLRGKRYMILSGDKRIKN
MFAAGAPTPGVGHVDVVRHDGGQAIVRADVVIDEQPVALVYNGLSHVVMMATPLDLEDFALGFSLSEGLLNSVDEVRDLTVQPGAMGTTVQLEVSPRAFSRFKDRRRHLAGRTGCGLCGVDSLQAFHDALPASSVTQRSGALPGPVAVARAFAALPALQPLQARTGGCHAAAWSNPQGEIQLVREDVGRHNALDKLIGALVRQGEALPEGFALVSSRASHEMVSKCMRLGVGTLAAISAPTSLGVDLARRGGLQLFGFCRNDVAVQYA
MKESWHVERVEEAEEFWRGERLDRGGAFQTVRCTEISGSERRSLEVDVAIEERVALILDGMMVAELFCLPSQLEELAVGHLLCEGHLRGIEDLVSARVAGKEIFCEGRGGGMKVEARPTASDLRIRPEVVFKALDMINDAALVWRRTGGTHSALVLGEEGALSSFCEDVSRSSAVDKAVGSALMAGADPSRSVLITTGRLSSAIVSKAGMAGIPVLVSRAGPLNAGIELAERLGMTLAAFARRPNLYVYAGKERIL
MNKPQITLFNPVSVIGSALLFLSSANNSVQATTFTGEPIYSEVRQYSTVIDGDPTDIYYPVVSDPTVSTPIALLLQGALVDKADYSNYASLVARYGFTVVVPNNLRTLQGPGGVELTGLASEQGQVPAVLDFMTIENNNPTSPIAGLLDTNSLGLLGHSFGGLVGIAALQDECFVGICTESYRFPDALKAGIFYGAAFNLRDLGFSGVPPIDNQAPIGLILGTKDGVIRPGTTVDTYNKIINSPKLFIELEGANHYGITNENNPVRAVNEPTVEQEIATETIARWSAAFLRAYVVNDQDALEYINTVGDELDDNVTVTTAEKIPEPSYFTGLFAVSLLTLGRRFIKG
MIVLMAQHFAQEGNEERVAELLKEAAAYCNSDQEPGCLAYIVNRSKDNPRHFLIYEQYVDEDALTAHAESDMFKNLILGTEVPLLESRSRSFWDLVE
MITIVAKLKVQAGKEEALKEAMREVAEKVLSAELSHLANVPHQSKSDPTVFLFYEKYKDQAAIDFHRQQDHYKAFGKKAAGLLAGKPEVEFYEEI
MIVLSAVFQAKPGRESDLKAALCAMIPEARKEAGTLVYTVHQAHDDAGKFFFYEQFQDQAALDLHMAAPYLKSLLDRVPELCASAPVVTAYQRLASLQD
MSSIPPLPSYIKDTKFIILATITVQDDQTDQIKSKLLNIQKKAKSDEEPGTLSYRVHQSFETPNKFTIYEEYENKSGLESHLKSTEFQDLMKLQSSFSLDSTFLQEL
MAVANRSQEFAYDDISRLIFSHGGYGDFSFSYNLVGDRTVRERTYDDNGTSIVDTHLYDYDPTTMRLSEVTSEQTNVALRTFSYAVTGQVISDERTDALYTYGLNQNGRINTVSMAGASVATYTYDDDEQRIVKAITGQSPIHYIYDQDGRLIAEHDGDTGAVIREYMWLGMMPVATYAGTSGTGECDEDAIAALEQNIADITALITTRTTKAENLRTKITAVNTRITTKRDKIATYKAQIVVLKAKIANTNNTTRIANLRARIATKRARIQSLRTQIDTLKAKRKAHNKKLRKHERRIGILGQERTTLTIELEALQSQCEGGETTAGLYFLHADHLGKTQFATDTTGAVIWDGGITTPFGESLTLASAFTQNLMFPGQYADSETGLSHNWHRTYDPMLGRYLQSDPIGLAGGLNRYAYVGGNPVSYVDPTGLQVATPYAPGDIAKGAFKTVTRPKPSKAVPGAQFIAIGYLMTAIGIDAKVVLDHSQGYSHRDAPHTCPIPVANDSDDGLGDNPDISDVLDYVMPKSEKRKYCAVIKDQCIEKCLYLLGGNRMSQGNKYTKCVKECERDNGC
MKKQNAFKIMALLMVGALTLGCQPEEEPEATPAPASGDNPIESIMPDATVTVVANYRIKLRQGVEEGDWWLSPEGGFSDGPSLLAPFRSAGTGSMFTNGDQFRILTAKHIVDATASQLIMGNEQALASVAALLVEKTGWNPEGLTQAQMEQFILGMADNFFEAVPLASPGHFVLPGTSEVTYMDQLKAMPEATPDVAFQYVRSQLGERSDFAVIAPQNTHFSHSAAYRLEGLASYDELEKIAAGADHRDVLAYGFPDQEGLSFKPRPSKGFLVSWDGESLRLSLANSWEGSSGSLIACEGKLIGLVYRFADDKKNLMAEPAYLIKHKLKEAFAR
ASIDFFIFNTNETFNTDDIGVDTITDFSQGQDFVLLDLSTFAAITSDSSSRTEPGFSVDSEFDVVDTDAAAATSDALIVHSTESGNLYYNPNGATAGFDNGGQFANVLPELTADDFILRA
MTDIAVLLERNLQEIFGEGDDARCQKVAEEILHGDAVFVEPRGVHSGRDEIVRVAGVIRAMNPTFRYNTDH
MSHTLHRLFWRIHFWAGLISAPIVVFAALTGLFYALSPQIEARLYADLDHVNVPANPAMKASLDLQVAAVLASHPHEALRHVVPAQGHTDSTQVYLQAAHAHHTASQGEHDHGLPTGSIVYINPYTAQVLGQLPELQRFKTWSKKLHSSALQGDGWRWLIELGASWMLVLFATGLVLWWPKPQSQGGPGWRAL
MAVGYKKEDQQGHAFIRGREPKQRLTLKLSPDFCRKVKEIAFKTEDTVSNIGFRALTEYIKTLEK
MRIGGEGSKVRLTAGRLMTGHDGRRATCLILLILVQTSISAASENLVTDHIESELTRPDHVVFSGPGMFSETDIDSTSGLIRGPFGSFDPLERQNWYQDEFSDRYGYGGLIIVQSQTSDLTGMIESVESRGAIFQEFYPDNAAIFSLDVNQLSRFNPIIGQSNDENIRWVSPLPASFRIEPQLVTKSFDSVSVELHLIRGLSSVDIGQLEFIIKDSSGGMGANTWCDDRLCRVEEISPITLARLLADDRVLYIS
MGDMTVTNQVSTMSGDIFAISTRSDLFLVSAGDEKQQTVFKRLRICRGADITSQRLRQYVERMRHGRLSHPNISRALELCTDGSILGTLMPLYTPGNVIQYLQRYPGSTDRERIDFAADFLKGLAFLHEKDITHDNIRGENIVIDDTRRALLCDAQYKTMVAGDADPPYVHLDSSCRWTAPEKLFNEEPRAMPAPANKAADMYAAGLTIVQMWTLLPPFAHIRRDLAVVVMLKQLVDGTIAAIDRPDKVPVAVWSILKDCLSTVPSLRPSAQALLARIEIIQQAQNDDES
MSLPFFLSAQEPVFANRHAVFNNPDYYLSLDTFLSFPLMIWWRNLWVISEFYKGYLSVTFLLLTLVFLADTVNQKNRAGWILIFWATFPLLAILLLANGFYSRYFLMAIPPVILMGARGFICLLEFIIEKFHLFCQGRKPSKTPELLIGSSLFILVLLSNLIFSSKLIMSPEKSPLPELDRLLYLEGMSSGYGLKMAARFLVEESKESPLIL
MNNRRHNRTLKLKEEELNMAENGRSHYSNGNVNLMGYGSSQASNGGGAPSMVMTTSSLSHPPAFRQ
MYLKFSLEAKKIPKPKWSFSFRKTHKDNLLSLEMIRDINDYEFIKNIDKGFLK
MASNRSRAWRSLHQNSSCRTAEQQDYLGFFVIESDHGILPKTLGPAATDVPTDALFVDDTNLDQLSYFGVGWSTQGWVENFNNSLHLSDSTGSQVMFKFNGTAVWYFASQGADRGKLNVTLDGKQNWTVNANSPVTVQQKLLWSSPNVEYLPGTPPEKHKPPVGPIVGGVVGGLAFLVFICLSVLFYVRRYKSHENREYSTRARPAPPPLLNSGQPSRGSAAEPYMTQSVDGLNSPRAANLPIRRKGLVNTPPLNHQIESSAGTSYITGPSERTWPEGVYEESLPPAYQPAYSMAP
MGVNEFIRSYIPMVDFIADILGKNSEVVLNDLTDLDHSIIAIRNNSISHRKIGDPASDLALRTVQAGNKEHRDFIANYRGVTFNNATLCSSTYFLRYEGKVVAMICINTDESCLNELQASLNKVLHAYKPTPDEMRDLETTPEAQIPAATAPASDPAPALEKQQEYEHLTVSSKQYAKRVVLNT
MGNNIKETETWKKLPDELKLSNYMISCKGRVYDLKKDRILDIDNHARYNGYVRLGITFDDNICKSVSLHFLVIHAFIGKGQPGQTIDHINRIRDDNRAVNLKWATPKEQSENREKPTEIKGKTIIQYDANKNIIREWDSISTASRTLKIHFNTIAKACKTNRISFCYFWQFKDDIPLENEIWNKIIVDEVPIKVSDQGRVQVSEIGKILDSSIAKEKEYARVKINKKRYRIHRLVCAAFKNFDLKSKLVVNHIDGNIKNNKLNNLEVITQKENVRHAHKIGLIPQKKQGYWRKVSRYDLDGNNEKIYESVQAAADDIGLKNSSHISETCKGIRKTCYEYLWKYKD
MDIKAYIESGIIESYVLGLATPQEADELQRLSQTYPEIAAAVAGGEQWLRETADHYALPAPEELKTQLLARLEHEFQPEKQAPDLRYYRRDLFKYAAAVLLLLLTVSGLLNVYLYNNYRNASRDFVRLQSGIDMMAADNKAFQAKLAVLEQDLRFITAPGTIKVPLAGVSGREDYFATLYWNTQTKNVYLTANHLPAPAPGKQYQLWAIVDGKPVSAGLLNPNCTDLCMVTPVQQAQAFAITLEKTGGNPTPTSDQMVVLGKVKA
MDIQAYISSGIIENYVLGATTPEENAEIERLAQEYPEIQQEIEANKHALLAYVLDFAEEPPADIRDKVLSKLDQLADEEETDDDNFAIEKEIANIQEAPSRQLFPFKTYMVAASFVLLVASFSANIYFYSQWQQTKDNLNVALLDKQMMANTLKTQTTKQSQVLDQVKEELAILKSPAIASVKLASVDGAPSCSAKIYWDTKTKYVYIDASKLPEPPKNKQYQLWYIDGKNTVDAGVFDMGKKSGHLQKLKLVPNAQAFAVTLEKMGGVPKSEGDLYALGKMENETREN
GTRRCVLSSFPHNYCTTESGDHIPSLVVCTPWYYYDLNILSAHFSPSAWQTLLEEYDAFKPLKLEVNIKEIVVKDVNNMTGKQCCDTVSDNAMAAVLCFEDTHYELPYVLGGGQLTVPGHLPGQTYELPKYCYRTVGKPHSEMWSPVDGSKRAHLDMPFVQPTQNTEFFILENRHSTILHTGNEFFQTYDFPDLQFEQ
MAAGFRQSRILLTAFELGLFTVLGDESKPSADVAEAIGAAHRATDRLMNALCALGLLEKREGRFCNSPAALRFLVEGKPDYMANLMHAANLWHTWSTLTEAVRRGKSVLSPDSEKASDESRTAFITAMHHFARKRAAHVVAELDLSGVLRVLDIGGGSGAYSMAFVRAKKGIKATAFDLPSVVPLTTKYIEEENLSDEIEVVAGDYNADELGSGFDLAFLSSIIHANSFEENRALIQKAAHALNRGGQVVVQDFIMDEDRANPPMGAVFALNMLVNTEAGDTYTESEVRQWMMEAGLAEIVRKETDFDAGLIIGRLQ
MEYTKDAIEEYREDILELGYSFFKPKVLLTAVRLNIFDIIGDIGLSADDVAANLNSDKNAAEVFLDAMVSIGLLEKESDQYFNTEEGKEVFISGKERCLGDMIVLQDVMWNAWSKLEESIISGRPARKPDMFQGEKEETRNFILAMNNTAIGIAPKVSKVIDLSDCKTLIDIGGGSGAYSVFFCKANSNLEAVILDLPGTLEVTKELTSNLDIAHRIKLMEGDFNKQIEGEYDAAFLSNIIHCEGEEENIALIKRVYSALNSGGKIIIQDFMLDGNKASPNFPALFSLNMLLFTENGRSYSFQEIEDWLKEAGFHDLARMNIKLPRSISVLVGKK
MIACYTTCHARLVLYEYLRKLDRRVLNFDTDSVIFTERPGEWSPVIGDYLGDMTDEVEHLYREAPRTTPSRYAPDPRTFARQFAKSRINSSNERDVSFERLKTMVTEEATPLVVRYDKRISRVVPRPEKKTFRIVYTKRRRVENYDTLPYGYKHQRMR
MKYLLMYYFIPGKDTFSGNLQILYALHELRIVSRKQLIELFAIDNDLTERNVHIHLKDLEERGLIESITDKSKRGFPKYYYLTLEGHQSIGGFLTLPKVPQYNLYHHLQINDYLILILKMLNGHPHFVGIISERRKIFETKDGQIKKSGKKYFVPDFIFRFIAEDGADIDWSFEIELTLKSRYRYQQNIFPKYIRELYKAFDDQLFYVTPNPTIQHELDLFRRIFMSDKEYGKEKFDHVYRRLHVFSAENFEKSISDIIANDPYINW
MFIIEIFGNASQSTVKKLTVQKLDYSLTLLTFLRNNSIPVASSCNGEGVCKKCLVNTDIVSCELKMSEIKQWQNKTIIIDYL
MTMTIKIKKDLLKRIREIRSIPSEEVQARMIGVDRVTLRRVDKGSTPSAGFMAGVHEAFGLGLSEAFDVVPVEAVGSNKAAQEAPAA
MSDVLVETRLKWVICDDMSYHRRALV
MRKQLLMITAAVVLGGVLFNAPAVRAQFAVSDVSTQTLISLLQGAVTSVISTIGSNITSAVTGFQTSLNGIMTQGFTQTANYAKASVGAQQQIANANNMVNARVQRDFRNAQIRDEHTANPQFCNAADHSQAQIASSVASHNVAQAIQEVSDNRGEGNAGTPAFFGQGQAVQAINNLHYARYCSPVEADAGLCVTSTRANADQRARNLFGTGTYPGQEGVNAANDFKTNLIQPIVPAVIRADQLASVTGQDAAARRRSYNARMSLANGVMAYTIAVQTPSVPLTAQQKAQLVAERLPPQETGSWLTAVSLEVNRRMSDGGWHASLQAMPPASVQREIATQLALTNYLAMQNYRVGLYQVSLAATQIAQTEESRLRDPVQMPSPDLVSR
MSTTHVLVTTAPDCRAAAAELPPQKARPTRANIEYDLLAAAPYALDHRGFTHAVHRAMAEVSGKPALDFDAFHAKGQPCMRASPLTKRYGWAAHYDAEGKLALIDPASPAFAALSQDPALPKTPAMRSKRG
MRASLRRLGTLRPVSYTDTFIRVADDCPVSAAEVPPARTRPSVAELQHALLREHPYDLTESELYVRVHGLRQGLSDGEIAERHEALHAEVFAKPQACLRASPLTKRYGYGAHYDTHGQIALHPRQSDAYARLASDPTVTQLSAMRSARR
MQRWKAGQGGQICDSQGAFFCKGAALPGNHSATMRPGASAVSGNMPAISLADRQKMATAKRLNGTGARIASRAGRFLADEGSTEALTSHPGMIQIFGSWNVKRRRPPWLSQRKFPGSS
MAAKLDPNWLVAMMSVAHRSWLGHSDFPIPDTIACIPTSSTKPQTIAVALQIGNEHDELRLVFSGNPTIDDSTVEHVKEVWGYLQALSQDYKKQATRSGNPTPVVTDTVRALKLVLFRDIYLFSIKKYMKGIEKCRRGFLNFTKKMCELQGDVTLKGVELYMYDTFITLDDREGSLSDDDWEVLCLQSLEADDQVRRVFRSGGGSGCEELAKRIHASSFSPTNMKSGADPFPLRHVLTKVCSFPKYFKDIIHLPYGPNPQDILRYRLSVAAVPEQSWVMASDIGMEIHNRARLQAREESTLATIRGRKADRNLPPRESTCALCTRGPTDPVPGNPAQQRLRLHPAVSYIGMSKRSCRGCQIWVESFNELDEREYSVLGASRDWKWVWPWAMPSRQDEDLRITVACKISDEYVAHQRLVGRAGEGFMDNLDERLQ
MTDIKLLHFKGWIMEIIRWLLGVAVASFIAGAGALTYLNKHVDSRIELQLEEKGVDLLESRIKDLESKEISVSFSEHLYGVENRPHQLGKNKFCALSESTTVHASQACGCVIEQNSSGWSLRINADQDFKNSLCSCKAVCFN
VRPRQRVPASVGGNPKRHRWGLVGLRVCGEVTRSFAVTPPGKMQQPATSRGVPLWLREPHVRGLHRTGGSGQQCHICTPRRHLESMAHARECAGLAMR
MCAKLKAGAGATILGLIVPQELFRGHGNREMFSTPPTHSWGEERKRERKHSSWGNGFTHKIYSKFNSDRPSGGGGAPRSEWLSVVQP
MDADPRPAGPAGDGRAWGTHHGARFDRVNAVTAHLAFMAVSPTSRGQGIGRQLVRAADDIERQRGRRMLTGYVWDDDLALMYER
MTNVDLLGPANASGAVTVRPGDTRTFGIVDTWFKDCSDPVTDDGTAYEAAFFNALLANVRSIVRGNGQTAGAVDVVTQDNGADDLIWQACQHLYQRAQPAWAVDSSVTANQVIIALTPAAAELKAGMRVRVKIANSNTGATTMTVNALNAPCKTVAGQDLQKGMLAAGQIAAFVFDGTNWQLTSVWSEKVVFTPIATISGNAIGSLANSVWGTHTISLGSSANMTPVLGGSSFQLPDGKYSFVVGSQGVTHNNVVNATQIAQGLRLTKNGASVQSDIENTYLLAGQNATTFPQFSCVASVVASDVLSIQSYAAVTSPGDFNGASSTGATLTVVRVGN
MGKELKHVDITHRPELLQIVREAQSGNEPLILSQNSEDVAILRVVKRPSKRARAGRGGVLTREDPLFDLIGIGQSSIPGGVSGKKHEYVVEAYRLKHE
MWHEPIIFTSVDGKGVTFPYEDALVISTFLANHHIHRVLVDDDSVVNILYSYTVAQIGIDPLRLTPVKTPLIGIVGTDILIKGALEILITICTFLKCLSL
MARLKSKPAAAPTGNGNNAAQPITPEQRHRYIEVAAYYVAERRGFCAGDAMADWIAAEQEIDRCFSSMKASGDEGEFVAQQETLPRLSEGKAS
MLGRGRQMESGPGSGRDRIPKSIPRTDAGEPHQPRASARQEKMMATKTRQTTKPRRRTKSAAANRASNEQYRFIAEAAYYKAEKRDFVPGFEELDWLEAEQEIASSPTNY
MFNRCPETEGRTMRNKIFAIAVATLLAACGSREPTVLKVGEATYRFPHEAMVDNRNKGDTYVNFAVGQSEDGRLTKASIKLEFNEEYNRTHRAGTRQRHIGQHATSFPNVRLLIHGAQSGELYIVNRPWGAVLCNRKNIRFAVSCGTTFVEAGAQWQVLFHLDKLNENRDIIAGARTALRSLRVY
MIRAKLVSKSMVVGLLCLLNSGCYVQTADRSGVQESSTTTTTSAGNWEPSNAGLPSTSSGAQQQQTFQAKDVTYIITSQPIRLRQDLNPMLDKLRSRRGLENTLVCAETVEIGGLFLCGGTTRVAQHVPLMRAAIYAEGRPSMNIPSAGQFVSQQVAESGYGKSSGHDLPRILLQGFFSGAKRLCPDLSCLLEGEALFASKVMLEFERRASAGYSVVSFDVESEDSVNAALKHEISHGQFFQQSGYRAAVQMFWYGLPLDIQRAAQKVVGVNYDVSNAELLLNEFHAYILDGKFSSLTADSIASAKRYDFAFGSALEVLATNAQQLNTSLRSSIQNAGQQIWAGSL
MLHPHLLASLALLAGTGTALAEPILGTWRLSNGETVTYSRCGSSFCSRVETGRYKGKSVGQMGGAAPTYTGTVIDPRDGKSYEGSAEVTGNRLVLTGCVARIFCRSQTWSRQGG
MSASHYCRRIQALYPVLTIGALLAVFGLSEFVGANAFLAVYLCKLILGLVLMFVASPLVTLLLLKTLGWSTREILFTGELCLRPGDQVRILAHREDHAALRQCFQVPVPGP
MPRTYPVGQAAREVLRGLPQTKKYSPFGLPSMLQQKSRGHRTAMAQASRDENSTYSKESGVGRSKGCREMAATQSIWRKPGGRRSSAVRPLAPPVYPAGYLRRVGHVGGPVVRGRGDDVALVVQRCRTQRQRHSSAPVHPQFPGTFPATSSTGHDLTDCAQLAACMPRPLRHRMTAAAVNHTSPCRPRRSTYCGLLLPTRGQHLCAKAAGRVASNRRRSVPLAVAEDTTPLWMLYTGDGGDGTVVLLLGDSFEGELEVSIDEPVHVHGFQFTV
MQQLCMPNQLGGRF
MRATVLGAGLVGFLAGGLVWATASSGDSGVRDVPPEVAANAAIARQRMSLILGAIAVYQNDNGDLPPARLSDLYPTLIADPLVFWHPGDSDPPPTTIDNDVPNAPNSAAISFDVNWAAFSAGGPACDVWQIRDNTSQNNAGLLVGQFTWRLGYHTDPPGVLPEAPPASRIRVARQNLAALRVALAVYSNDNQDYWPLDLVAFHTDYCFAPRTWWHPGDAQPAPSQIDNQQLDALNSAQISFEYLGAGFSENAPDDFVVFRDNNPANNGGLGRLHVQRDWQVVYVPLCPGDITGEQVVNLADFLVLETHLGEFETADPVDGDLNGDGSTDMHDAAVLQVNFGASCAPA
MKKCLQSLVVIQGVGIFGLLFVCAGTTQVISGIHFPTVLPIFKLWSNVWIGFWNVVWGIIILQLSCFGQPTTGRVQVLLAATMMIAAGNAVNIILLEIEWRLLLTEDEKEKIIRENLSTSQSYAYLSTMTSSAGSFAIAVLGAFYNRQLLVKIQDENIELRNVRHERDVGGMAWVFDPRNYPLHEKWTPDTIYEDWSRTETLPARRGSDDEAKRSSQETATQTPPMGVGLSHISADERTPLGLNAPKIVLTPSVIRMNSKVGQDSFSPIPPDVNESLSTNCVDAPRSPFTDSTVDIHSYGASEDFCREGRSNSAYYQGASRDLCRESRSQSDSFIQELYRTLKEIDDIPTPLLPAQHFDYCSFVK
MKLEMLLSLVLLIFIRATSVVAFLGVSPVQLYRQTSCSISACASKGANSEGSEDDSSISDGISADAENETDWITAEFTLRQFPAEPDPALDPHSLAVWICRSVQFVDYPSSAAGLERIFDFFTWECRKAVTARQGGDTVERFCQYGLLSPALQPMMGATRIVVGDDGTLTPGTPTRGALYSFPITVYGASNLKFQYSSGHLREGIHTESPRTDLVLRLEQARRPPLTGCWLVREILDVRHAFAGDMGNALS
MMELNNDDLKALSTFESLTGAQAADVLITEHAVVFLVPREDMGKAIGAKGANITRVRQAFGRQVLVFEDNSDMEQFLRALFAPIPITNINVHEKMNSKTVYVTVEEQNRGAAIGKGGERIKVQRALLQRKFGCDLKLVAH
MTIRRLYTGSLVYGSLPPGCRLCLRGLKSVIFVTGLCPRRCFYCPLSRERRGRDVVYVNERPVKNMAELLAEVLVSGSRGAGLTGGDPLVRPARTLKFLRTLKEELGSSFHVHLYTSGRTLTPKLLLELEKAGLDELRLHPEPSDVDRLLELLKCVKPSIEVGFEIPMLPDGLGEALNLVKKLAACDAVTFLNVNELEFSEENSGELLARGYRLSDDWKSAVGSKEAALKLLEVAEEEGLPLSIHFCPAGVKDRFQTGLRLYRRGVLSARLHELVSDEGTLLKALVSEDCENAPSHMVFRGRIGPETSVALAELLGLEYRLIEELPNHQRTLLNVG
MFEKKIFLTQYFFTLFPLIGALIMFGCQSNSGPNIINGDFEAAPEQYGNPNSWFATRVPQTKEYVNFAWDSKEHHSGSYSVSIAIDSTHPQDEIAYNWTCTLTDFIIDKQYLISGWIKTRNLNQTAWIVVQCLNAEKKMIGYATTQRDYQIKGINDWTLAKTNFTVPEGTKEVRIRMGISSPKNNGGEVWFDDIKFEEVKKAT
MLPNFLIIGAQKCGTTWLHHHLATHPRLFLPAGKDDEFFSYQPVGPLAHYEQKFAAAPEGVICGDSCASYLWSPHPDDRQPEHFNPTIPATVRDTLGTDCRLIVLLKDPVVRTLSGYLHHIAHGSLSPNSTVFDAPLQLGLIALSRYGWHLRHWLATFPAEQLLVVPDPGSTDPRKLLARVTVFLGIASDHSFIDAEQVIYEGLRRRLDGSGLWVTVGQHRLESLEMIQRPCPIVERESATEARLVDPAEIDQLRAMLRADTSDFAALTETYGWTDPAFDCWRSWPGRH
TSKLSKPSAISKQVLKTNPIPQTVLSEDTTLPVLKSKAPTQPLRNEQSQPRTAGVPLPIEWTFGSRTPAERMTKDEREAAMLPRAAAYYTGEGYDLTRLRPFLRQHHHVAPRLYDECLYAAYRFPLKTLRPDKDNLFNIRIQSYAPSSLEDMDYDEDHFNPSDAPTVIQPRPGQPFPEDFHYKQDPIPDTKLHSREPFKGGEVFIFDYGVVVFWNFRRAEELLMLEDFMQFSVDPFRDNPDEDMQIEEIHFQYDTSQMKPRIFNDMITLKSGNHMIKLTLSHGLSQSAVVARYEDIMDKTIEETKHLPKEMAETGRLGKNRAEITKINGQLFTLRMNVNLVSNVLDTPEIFWSEPALQPMYTAIREYLEIPQRAQILNDRLRVISDLLSMLRDHLTNFGVEYQTLIIIYLIIIAVIVACFEIAVKILHSVDLF
MSNTNISNDSSKNESFVFKRQPIKLDADSAKDKFDVVVPKRNMVIIIAIFIGLFIAQFYYFSGYYFKVMFPDEPYNISWFYWWAPLVFLAISIVIIIAWGNIGSRFASFSILKYLKKTTRQGKLKLTEFILGYPMRTKDIYYLEAYPDDLNLGSEISDIKILIKRVLEVLFLSMGMSVVISQFVAPSIYQRILATDPEMYMNIEELIIDMMLYLGP
MSLEEAVTCLERRKEILLVDLAIHLLQGPEETRSLIEPLVASGRVERIWRPMQSELRDLCDCDREEVLRWLG
MTRIRRPLRAACTLAAAALAVAVAAPAQAAPTDAAPTAWPGARIERVSVGPGQAQATGESRSGGLSFDGRFAVFTSEAADLVPGDTTASPTPSSATCGPAAPNGSTPAPAESRPTVTPTRRASAATAAT
MSAALVMGPCDRCKDCDQPGSWDVDHWTVCPARTESLRHGLAEVLAGGGDRG
MMGETNYGKCECLSVKWGFRKFHISLKTTESYRKTLQTFSRFIFKFIKGFHFPKKERKGNYHSMFTSSNLKTETEKESVSGSKLNFFC
MTELIVRIDQLEKELAKAHAFRSTASPQLPESKMTDSNQSTLRVGNNWYHRGLPIVSEDGVKWIKSTTRQDTTRFEKHLLGSTMLFWRISYPIHPSNGELWELPDVGLAFRTFNAGTSSFFRLGIGILDKSLFAETIDLAYAAFNENASQGHLAARANLLVAFAVASYLKTSQEVALIDAQAIASRAQSLLGLIDGLASLDALQATLLLYKYRIATGQYDAAGALLLTACRMVCALDGHMKHPTTSKAALDIRQEHVRNLFWTCYIADKDMSLITGQPPVLADEYMDLGDAECSSPAQEFDEAMDSSASDKLLAFLTGDRRLGLLKAKVFRLLYSPTALHITDSELLTRIRQLDNKLENWRVNTCSLLRPKLGLVRNSSGYASVRTCLNAVHLQLEYNYLLTMIHSVVRRFGVAHDPDAGLPEDIHRVMHSSIDLALGAARSTIHAISEPVSVLKGKTWKVLSRGTEILAAGR
MCFNQNSPSTPYYDADGDMEDPDFTTSLGEDMQDYADDEGCAREAEAINRQPCLDQEVVLETMHLFSVNVKISGHRVTCLFDEIC
MAASGTGSAAAGEALTLRALAAGLLVGSVLCFSNMYFGLQTGWVTMGSLQSAILGEHCMQRRGVHGGLGTGLAMGRCCCWPRNVSEGDLQLWLFQGLGALGLLTQQLTVAENVIVQTTAVATATMPLAAGLVGIIPALGLLTEEEHPAGPILLSPWQLLAWCAALAFFGVFVAVPLRQQTIIREKLRFPSGTATASVIRTLHGVPEHAAADSAAAAAAAGAAGGDRGGASGAAGASNDAGSRGVGGSRTDLEVVAASDTAEGALLLAGHAPSTVAAAAAAAQADEQLLRQQQWRRGLRLLLWCFAVAGLYCLAAAWVKPLSVLPVFDWLGLPAVTAWGWVLQPSPGYIGQGMIMGPKTAWSMMAGALVGWGVLGPTAKARGWAPGPIKDAETGAAGWLLWVSLGLLLDPEQGFSAAGLGVSAGSASGSAAARGALEMSSRRDSGGWMLSVKFWLPGLLLSTGLCSAVLGPLLPLDMPLHEPLIAVVVALLVALLAVRALGQTDLNPVSGVGKISQLVFAVVSPGAVVPNLVAGAIAEAGAQQAGDLMQDFKTAHLLGVAPSSQLLAMLLGSAASVPLSVAAYMLYTSAWQVPGPELPAPMALIWLNMAKLVNGGKLPDRVGPFCAAAAAIAAALPVLQHLLQRHKQRQAQRQANNDGGDDDAWLRLLITWRARSGVDWVLALLPSGVGFAVGMYLTANWTLPRVLGSVVDQLWLLFSPGSHAAFMMVTASGLVLGEGCASVVTAVLHAALG
MPLTRWLRSHGSTAQPEFTLTAVLLGLAIGCLICFTNLSLGLQSGWISMMSIQSALIGFLVSRMLPTPLTPQEIIVVQTTAVATGTMPLAAGFVGILPALGLLSVERDGTPPIHLTWLSAVGWSCGVAFFGVFLSPPIRKQVIIEEELKFPSGTATAQLISVLHNLPPPNTNGIIMGFPTTVSMNLGMFVGWAILSPISKLSGWAPGPVGDMTNGARGWILWTSLGIMCADSFVSLLPVGFDYVAELITKRGKDHDGEDTSGSHEVETEDRLVPMSWVLSGLAISILVGTILVWVVFGHEGIKPWATILGFILGGMLSIFGVRALGETDLNPVSGLGKISQLVFAWVQPGNIVANIIAGGVTEAGAQQAGDLMQDLKTGHLLHASPRAQFYGQLIGSSVSILVTTTAFTLYNRAYVIPGPSFPAPTAYVWLSLARLLRA
MLAGTVVGWGILSPLAKARGWAPGPVGDWVTGSRGWTIWICLAAMLADALVDLGWFAVQTLRSSWREYRHGQSMDSGERLRHEHDPREVDSISGIQSDNPLLTRFWLAIGLLLSIAIAIPAVALPFGRFMPTYVTVFAIIISLPLCIMGVKAVGTTDHNPASGIAKICQLIVGRVIPRSKPHAKLINLVAGGIAEAGAVQSGFMMQNFKTGLLSGSSPDTQFFGQLIGSFIGAILASALYRLYSTVYNIPNDTFQVPSGYVWRAGAALSVGEGLPEKTPVFGIVVALLFGSFAAMRIALGKSKWSAFIPMGIPFSVGMYNAPSFTLVRAMGGLAQWYWTSRMQRSETSLMVFACGLVLGEGLASIINLMLEALSIPHI
MESYGRIRLNIFNWLPRGLGDRMSEMLARNTQDRIQDTVTKSIVKTVENMTAIYDPCVAFPAMGRLKELQRRDDPFEEPEEDPLLAAVVQEEDAGNVQTPDQGTILPDEPQRPSSDQTVSTTSSNGGSEEKYEYDAEMRSSEQNKIHEETANNDATACSKFDPTEAPTPHDFYEMIRKQAVANDGLETILRSLERNPGIKHNPESGILEAIGNQKRTTEEDEERLRVDQDRQEGKEVEDQYEVGREDTSEGDSDNNSNRPPNEGKSEL
MIPQLIFEILLPYLMNPIILGIVVTLVFLFYNGFIKPGPTLYKALPFLENPDDKKEEPTPAPAPAKQQAASPLNLDVMSAADALEEILKPPDERKPTSQEMQAAAMQEISTADLQKAINAPLNMTPTVTPVPGTPPPMPDMGGTPIPVPIPPSENDLPMPPNGITGVEENYAPAVPTTTTKKVSFRKKSKSAF
NPSKHKKVDSIRHFDGNEMRQGEIFTQSKE
MLSVSGERDQPRPPAGDRPRLDSLTSLRFFAAVGVVCVHVVGTFPTVGAVHRGAELGYTGVSFFFALSGFVLTWSARPGDAPRDFYARRFARIWPAHAVVTFATIPVAYLVGDRPVWVAMPLVLLLVHVWAPPPSWHYAFNGPSWSLSTEAFFYALFPFLIGAATWPAARLRRAAAVVVASLVFGALAVVLVVPDTAWGFLLYLNPAYRIGEFILGMGLALAMGRGWRLRCPIAVPVAAVVVVYAAVAWLEPRDSEGQIPRVLADSALLPAFLAVIAVAAGSDAEQRRSLLRSRWLVWLGERSFALYLVHASVIELLAAGLGGGPVSPGRWLVIAVGVLAVSVVVASLLFAAVERPAERWLRRRLTL
MGASNVVVTRAPSARLIDPTPLPKGAVGRLDSLTGLRWFAAFVVFLHHVQNLGAIPAFAPFLRYGTYGVTFFFVLSGFVLAWSYRPSTGAPTFYWRRFSRIYPSHFVALLIAIPIFYSFHPDPAQTWVKPVSFGILILSLPLIQAWWSNPVVELSGNPAAWTLTCEFFFYALHPWIMKPFRRLSANGAILVAAGVFVLELVYREAMDRTPGTAWVHHIPQPVVQLPAFVIGMCLAVAMRRGWRLSVPPIVAYALTGAFVLFLGLMAVYPLHTWIAIHILAFTPEGMVLVCALMIAAVATRDIVGARSVLRNRFWVTLGEWSFCFYLVHATFIYLTRSIFGPESHGWATLWWWAAMFVVGLAATAALHYFIEKPVERQLRTWWDARGAKADAGVRVPAMSGEAA
MNLKGQIDSLTSLRFFAAAMIVVGHGGSNSYFSYSINFVDVRNAVSFFFVLSGFILSHAYRNLDLNHGVRSFFAARVSRLYPSHIFTAILAVLLLSPIDSLYDFARAGVNILMLQSLVPLLPWHYSLNAVSWSISTEFFFYALFPFILPIAKKRPRLLALSSVLIVVVMIAAASLFNLSTIEAAGGVTAWGILYISPVTRLAEFMAGMVAYQAALKIQAGSNPWSSSKATWFEFLSLFFVVLSMSTFAWLSKNVLVNIAPQAGVWVLVAGSFISFSILISVFSVQRGALSSFLTWTPLVYLGKISFSLYMVHQLVIRCMYKWNGGSFEADWLVFYISYWSLSLICAAALFHLIEQPFHAPIKKFISGHRLGMRN
MDRLSSLTGLRALAAFAVFAGHGVSLALFADQSVQQGYGFVASNLGIWGVTCFFVLSGFVLTWSSSAGDRAPDFLRRRLVKVFPNHVVVFAAAVVILLVSGAAIDPGAAVATLFLVQAWSPDSAVLLNSINGVTWSLSAELLFYVLFPVLIRPVRRIPVQRLWFAFAVVAVLAMSLPYLARIALPDQPMSIFSETTPWPRQWAVEFFPPGRLLEFVAGMLLARIVRDGRWIGLPVWPVVACLAVLYAATLNISMVDGYHSISLVPFGLIIAGLAAADTAGRRGWLGGRAMVWLGGVSYAFFVVHLLALRSVHALLQGEWGISGAYTRTTFGPVGGIVFLLVGLLVCILLAWALTVFVERPAMRRWARSRKRVPGAQDRRPQRV
MVELSQSVGSRPVALPSRLPSLTGMRFVSAGLVFLTHAIGATLFADQAFAGLYMSTVVQGGWAAVSYFFILSGFVLTYAARSSDTAASFLRRRFFKIYPNYIITLLAALVLVAWVGKQTIDVGVALLHATLLQAYVPVLSIRTALNSPAWSLSCEALFYLCFPLLLRYISRIKAERLWGYAGLTVAAIFAAPFVAKLLPAQPTIPGFPMTELEMWFVMQFPPVRMLEFVFGMILARIVMTGRKIPVGLGGAVAIGILLYAVSSSVPIAFDVVAISVIPLGLIIAAGAVNDSERRTGWLGSKPMVWLGEVSYAFYMWHFLVLIYVRQWFGNPQGWSTWAGFGIMALLVGITLALSWALYSLVEQPIMRRFASTRGGRTAPAVPLPMPPSSSDDLPEKVPADPVPPPGR
MIDSLTSFRFITALIVFIFHCYIHFGFKLDIKFIDVFFKHGAVFMTGFFVLSGFIMTHVYRSWDFSERASIWAFYIKRFAKIYPVYIVATVVFFLFFSQDYTPQQWVRIVVNDLFLVQGFFERMFPFGLNGGTWSLTVEMFLYALFPFILLVSGRSAKILWLAFGLSLLVTLNAIFGKPDYLYANPVMRLADFMAGIGFYTIKDKLLFRRRDVHIGVIVLLIVSTVFLANRDNYMGCQTLTIFLFGAWIACIFHSKHWFYTNKPMVFCGLISYSFYLWQFLAIETGKHLLHLGPTVATLIAFGMNLSLSVLSYSLLEEPARKAILKKSGQWTHIQTGAPGRNRTSI
MNAKPLNRYELEETFQKFGYIPHINKITVAGRIDDGAKNQLQYTRSRNCGERLPMYRTILEVPSPIKIGRSGMPFVSHIPIRCVGDLAEEIASQYYSAGMFVQGTGRVQNYKVEKPQFADQRQIELLMNVLRVDDSEDKLVQEILNILDMNGQKYSRLMTTVAAQQFSLSDDGAFINEAILQGLIYMPPSLRRTQKTNTAALHIKMWIKRAGDIGPVPDSAAADRDIVNIMFYGENAIELYPKLKQGYPIMVKGRLESDTYRAEINASGEQKKKIADLLGITEQHPILEKILTNCGIKNKLRLFIKHDIWGTSLETSNFLPEEAA
MRKAIGFMVLLVWLAQGTVAAQGVSEANVALGKSYTVVMRYDNEGSRKDERNYPDSGGELTDGKLAPTSFWNPAWVGFAQGFERRITVDLEEVHTVSRIWANFLKQSDAGIHLPRFVLFEASADGTHWERLGRVNYPGEAAVASGQTFPYELADLNIAARYVRLTVPVAVWVFMDEVEIYGTRGVAANARLAQGEADPEPRAPGYMKAGTPEVGGAHHIILLPAGGRAGQPGDQLWRVEDYLPYVAYLDANGTPLDWMFDTVLISPPKYAESGREYASRASVWSVGNMLDWQIFHDTLFRPNMQLDALNKAVARAKEALGDSDYKLKVILATVYPTPAGRYFGDVDGDGVSENLDYTRGEEALADARKVADWFFHMLLSSWEQAAFEHLELIGVYWQMEDVGMATSAFEEAMIRYTSDLAHAHGLKLFWIPYIRAGLYYDWERLGIDGVMMQPNHMFSTLTNLLQTNAELTYAAGMGVEMEADAFKGPEKDRKWIEYLNAGVEYGYMEGTLIGWYQHFKDFARASRMEGRNRQLYYDYVYQFIQGTYTVQPVE
MKHLKFLTVLLLITTLSIYSYPGKVLKSFKIPYNYPTGLVYDGKYLWFADHKVDKLICIDPSDGKIIREINSPGFWPMGLAYDGKYLWNVDEKQKKIYKLDPKDGTILNTIDAPSDNPCGLAWDGYTLWTTDYREKKIMKIDLSDGTAVQSFPAPANYPQDLVYDGKYLWCSDRMADEIYMIEPKSGDVIIIIKSPGPYPRGLAFDGKYLWNVDYQNDEIYQLVREDDELFKLENERQAIITFTHQVKIYGQGELKDLNVYIATPENLPQQQILNIEFSPGNYSLIKDRFKQQFAFFNYKNIKNETTLNTIMKVKAKISEITYFIFPDKCGKLTDIPKEIIKTYTTNESKYLIDDEYIKDLVKKVVGTEQNPYWIARKIFDYVRNTLEYKLEGGWNAAPFVLKRGTGSCSEYTFSFIALCRAAGLPARYVGSIVVRGDDASLDDVFHRWPEIYLPNYGWIPIDPQAGDKPLPRDQAINIGHLPNRFLITTQSGGNSEYLGWYYNSFENYVIDPQIQINIETYGDWEPIK
ISFVLVALRFFHGGKISMDKLYSFSVEDYVGGMIIDYVYLDVDMFSYFEFLGYVKEFGYNSSSVVIYVWPPSCPGLVVIKVDRDLMGICEELKVGIFWRYI
MPPRTAKKTPPGSVAKQVARATRGASKTQNQPDAVEQSAKVEEIKVEEVKIEEVKIESQPVVSQVVELDPEREPEAEPETKLAANGLVSVKKEDDVKESVDEYEKGERLDLEDNEPEFEPEEYGGVDYDEKKIE
MKRLIYLTYLGLLFLILISCQKESVAPAPAPATEVNSEARMHPGNQGCPPHFFISKTGPVFLTPTCQVLVTVGFADQVSSAEKQRILSQYSLVEQIESEYPLEDGSFATIVKLQNGNNCIDLEKFLWQLMQQNPRTIMYALPSFGDAYMPSWLGLTQEFFVGLNSSNPAADIQRLTALTRTRIVYTFDDSFYILAADRNSRGNVLQMCTFFNALPKVAFAEPNYLVQAPPPFTESQHLNPFNASTKLYTTKGALQKL
MSLRKSLALVCAALIIISSIPSVVKAEGKVPNVESSQDQDVSQKKAAKLAKPFENKKPQVSVTTSPSVARSFISSASAVVNENLIPNGDLEDMTGEEPTSWGRGGYGQNVREYTYPVAGSGGIGKAAQVSMSEYTDGDARWYFTPLTLSPGEYVYTDIFSSDIPTIIELQLKKADGSYSYTDIKFLTATNGFETSSVNFVVPAGTQDVTVYHLIQEVGTLTLDSATLTAVEEVPPPPPPVPVPHQGIFQTGGVSLRFDDGWLSQYTAALPAIKEAGFKATFYITTQQIFDNGYDGFVSIQQIKNLYADGMEIGNHTRTHPHLTDLTARQQKAEITGASKDLASWGIPSVASVAYPYGEYNNTTINKVKKDGLKSGATTNNGSVNPYTNPYVLNGSTVLKTDSVANVKNRIDAAMENKEWLILSFHRIDYSGDLYSYTPEDFSAVIDYLKSQKVPVVTVSTGVKDLEV
MDMYSANQNGNPTLLCIEIHFQSNLIKKESTPEVKDSRFGSGLNKSMPVAHSDSTYTNMLNISCRNDFTFENVAKCLANRYINRKLSC
MSTVFLILAVICMIGVVASLATGVFGMAQSGPFNRKWGNKLMQARIGFQALAILFFVLALWTA
MMTFLSSVLTITLATLLVILAVGVVVFVRGGRFNQRWSNRLMTLRVGVQALAVVVLAVIFLLRHIAMR
MLDLFYHCTCRNQFVCWEKQPIHNSLQFLFKCLSIMRAMRLVMSLLLCALCVCKSVQLTDENFYKETLLSGKRGNWFIKFYTNWCTQSKKLAPIWIDLTNKNEGKVNFGEVNAMENNELTQRFGVTNYPTLIYIVGNKYVKYEGPNQLDALQEFISETYKYGEKKSIPKAPSALILFLENLGEMIQHQMNTQPLILCAILVAIGICMGVTLGMIAHVVLKEPAVDTKKEE
MLRCRTPSSIRAMMPLFVALLLSTVFLSRALQLTCNVCPARSCYPPTLTCSSPCGATTTSVYTDLPAQPLNGRKCFVCNGTDCSRTLACEGAETHCFTATVQGIGGQTLAKGCSSRRLCGINGTQALVLPARSPALGNFSRCCEGNLCNAAHSWRAHAWSVVALSFIFSPEPPSIPV
MRARIRDVIAGIAPFDAAEDADRRSALDWIDSGAPLCRTAPPDTPPIHLVSYFAVVDHDHLLLVDHRKAGLWLPPGGHVEPGEHPQDTARREAREELGIAAEFLWPDPLFLTVQRTVGASRHTDVSLWYVLRRDRGAAYDFDRGEFHGIRWFAMNALPHGRSEPQLHRFTTKLQSVERITAIS
ITMLMLWRAMKC
MSILTLPAIFQKQMTRSKKPKVEIDAAKHHDALARVDGGDQYKYDPTLTAAGCRKVGVRFMSGLFFCYVCQPNNTNKPRVWSSGKVCTELYLSKDKTTYRAVFNAQQCQVCDRYGRFKVKEKKYVDKVLDTFALWTGQREAYESEFHKSTGPHDSSRCYGCLKGICVGDDDDDADDGAYTKKNSKKNRRRRRR
RQQGCPASGHPSLLPRSAPASPSHGAHSGLVTPDSLSREPSPVPEGVAPEGAPNSPLQPPAAMMALPPGLAPPQASYLAREIKASQSAPGSPGGSHQLGGSPLLPAFTNSKPKVLVAHQPTVVSNGVLKSNGMRSDSPRLDQERSYPRGPPELFYQPKPLGHSTAEQTPSSSASSPPPLHPTSVIVQAPSSTFAQPPTPDSSSSPPAAPLPTHPRKRHYEMATSSSSSTASAMSTPSSTSGSATAGRERESNSPARPPPSKVHRSGSDEGAAATPSSASPPTSTTSSSSSSTAVTASASDSATSSSLC
MTRSNALCAASSLALLFAADGAHAQTASGPQASAGSAVTELVVTARRREEAVQDVPATVSVFTEEKLFDTGTTSVEDLRNMVPNFEFASDGAFRSRIAVRGLGSDRSGAQTNGVGFFIDGVYQAGTTRLNAPFFDLQRLEVLKGPQGARYGRNSFAGVINIVTRKPDDELRISGQGVLENAGGREYGGMISGPILPGQLFGKLSVSHVETDGDYKHNITGKHIAAQDSVFYGGRLIWRPVDNLEFDLNANRSDLNGPAYAFSIVDSLQDLKENFLLRDDNRAGTRLKEVSLRTTWTQPNFQVSNLFAYRDSEGDLFVDAEVTPLDGLLAIIYSDGEQYSNEVRVQSVGDGPLTWLFGGEYVKSNGGADFKTVFLVDADASLGFPPGFLASVGSPPGSFVQNSIATKDKNWALFGEVSYLFFDRLEATASVRYDDISKRARNIAGAGSTVGTFNDSALQPLFSLRYKIDDDTSVYATAARGIREGGFNSSALTRDYGIFKSDKVWSYEAGLKKTFEGGAYLNLAAFYMDASVYNQAAIIRTDGGSLANGALTLGGAEAYGLELDALVPLGYGLRLSLNAGVLDCTLKGIPPFASRSPDFQQVSPGVKNGNECQDSAAWSAFTALDGDWDLGGSGWRLFGRVSLSGKGETRLTSDAGVDPTPATPNIVSERIFRRDEEIREPLYLVGASIGIDNGKWKIIAFGENLTDELYATDHFSHEGLFDSGIVALGAGKFITTLGPRRRFGVRVRYDF
MTSCISRTNQLIHASIGLALTIISLVLFRGTTSIVSFILIPVILAYFSQNKLMKRILMTAFLIVVLLVAQTQLIFAVTYILLTNLYKPLFSRKITKTHFALTIVSVMFSLIVSLMLTEWLFQVPIHSMMLELSNHIISIYVAIIFLESIFIVGCQLTIIYQLKKRLAHQRLF
MCAYHNSCGHGGAGAGGGASGSNVHHQVEGGTTTPTTTPPQNQPQHHQQQQQQQHCFHSSENGVGNLGGSRGEG
MPKTSSDKVDRCRLKEEVGKWSWSDLQAYSVSNTARRAPSTGVEQDLQRVWAQILGISLDSIGAEDSFFHLGGDSITAMQVVAEARSRGLDHSIQDINQLKTIEAIAKKVGAVSTIAQPVVQDEVTDELFGLTPIQEFFFEMYPEGTCRFNQNILVHFQKSVADIDVERAAIKLVQNHAILRARYARQKDGSWEQFFTGYTEQCFRFSVHEVNSVQEMRHKIGQGQTSLDPEHGPVFTVDLFEQDGQQSLFMIGHHLVLDLVSWRIILADMEAMILDPQHEPQLTMSFQTWARLQAEYGTRHLEPPPVQQICSIEEPSMRKFWGAENNANTGGDSKTRLVRLDEHLTNTLFGPSSQALDVEPVELLHTAILFSFVQTFPQRPAPCIFGEAHGRETWDSSIDVTRTIGWFTTLWPVAAQVSPSDSLETVVRTVRQARRAMEMHGWKHFTSIYHNTQQTKCSAGTHVMEITFNYAGKFQQVEQDGALFRMEPMAKQNLFDGAGELGRWAMLEINSVILNGMLEFHVTYNRGTDEARVLSPWMDNLVKCLEELASGFA
MPGTVPTDLEWYRQLRVIQLRDDTLDGSWLVTQSATVSGVGYVNHSNRMHAPSHHDCCFDDDGVGSLMSYIRFRDPASGTWSFDVHELCWQILLQRVPGGRSDLTKVSTLLFQMMFCTTWDKHRYLRPDHDFGGAAQFQKPMGAVIHDMIDQGFDYLSACPSQFRDLAEILSFATPDTQFCPQQSTHRAWRPSSRDDIFSSLPVEVLLMIVSVLPSPDIQQLRLASRSLASATDPSSLPQFFWRTRFLADGEMGFAWPANSTGDLNWRDAYFTLKHALSDTSGSNFAQARNRRRIWRLADINAALLSQFLEGTDLCGSICTKNAGLSHSPNTTTENGTHGKMLSAQVSEDSHRLLSVGSLRLHDRTVILPLDASTVKTIRVYVVYFNLQCFISGLEFELLNLSTQALSDIALGFTPPNAGHLIQIAPSVCVTGFELEVSSRGITNLRVLVEGSDHGACPPQWVRDQETDRADIGLGKLNFGAMKARRIRLVAMFDAFKMIALGFTEASDTVSENDNSFTLQPMWTPTYPREAVSLVPELQPALQGYNRMLNIDFAGANSQKLLQLTRIVIHILNDSAPIVGFTFYSDNRTSTHFGRQGSMEVSWLIDGPGGEYVTGVTVAKSTKDSRILLLRVLASLRSACH
MKRNPLIPYALIAITGILVVIVIAFVGINQRAELAGDDHGQAEEEIVEDPTAIYEANCLMCHGADLAGGGGPALSAIGAELSLDEIKEIITNGTDNGMPSFNGKLAEQEIAIISEWLSEKK
MRAGFAAFWILMAILEVARRSNPAVVTWLGGIAPWLVVLMTVLPIAEMVREFRQHRPVQGVRTALWTVLGVVTLLFGPAWLRF
MVCGVWVQKLAENAGDYNLSLLFLMSNSINKFCFLSFPDCESQGGRFVCPHCPIVKPGFLDHQRQRVLSFTYLVSVVSSQITSSGIYNFYIEREVLHNAHHQISSGPTSIYTHIYSTLLFII
MPRPDRREARRRAVLLAVLAPTAAIAAWGPLTAMPLDMPAALAGAALAVALTAGLVWWGSAGIRLERGLVALFLLAWGACVLGSAAGDGLEKTFSRSGPIFSGGWGAAGGFGWSDHISSESFDPVGAARLEWKLFSEAQGAELHTDESAGWWTAILPEGTADGAIAFGWLAGAAAVAAHWRTAPADGPAEPERTEPEED
MAEATASLASAFLGALPETLLEAFLALTPIALFLFVFQLALLHMNRRQVMRMIKGLVYTFAGLVIFLVGVKGGFLPAGSAIGGMIGSSPFNWLLVPIGFVLGAVVVLAEPAVWVLNDQIEMVSGGRIKRRFMLIAFSVGVAVAVALAMYRVVTGISIWWFLIPGYVIALALTPVCPPLFTAIAFDSGGVASGPMSSTFVLAFTLGASASSGGDPMMDAFGVVAMIAMVPLITIQLLGLLFRRREREAERKRMQRNGEEVNPE
MKNRIGLVLIIFISILLAGSAFAGTDNAEAIVANTNNALYHLIEVTQEQAYKLIEAGATEDELRELGELMVMRAEKITSGATNALDQLGADYEIYHETVTFECEDFSLSFSVDPIRLVDD
MKKSIRSRIRKWDEIGGVAETECEESAAPSEEEPGAGPSISKNPMRGVEDMLEQNDNSFDGVINNLPETKPLSQMTREELEEQHRKLISFFRHLEESGYFAKKIVHSNRCPMDDLERI
MGTDEVEADERPPSSREVDSSTQTVRIGGDWFLGDKSVWDAAHMALVWLGGAVSTGVVGNAAYDALEGILRRLRRAEPDEQAAIGHADAVSLAQIAVMQRFDELGLQALADGDITGVEARQPYTGRWWVYLSGPRMSIKVDIVGYEPKREALQTTVSLLRHPAIDEARRTAWGTDDALRVAVPADSENTKHIAKPGPDPHPHA
MSRSKATRLLSGIYTRYNPTFPLMNKIRDVQSQLGLIAADAPVADRAGAMRRMLANNAKYMTQWKDKPGSEYHQWRERFEKLGGATMYSDLFRNDTMHNIENEFAKTAGATRWHQAKAAGEKVHSFIDHVNEHMEMTSRIALFKALVDSGMPEQDAALYAKNTMNFETKGRWGRQLGALYTFAGPALFDARRMAQSLRTPRGAAVMAAQFAMMYGLYGAMKAMSGTDDDGVARLNKVPLSQTSRYLTLLDPDDPTGKGWKFPVGFGYSRIALTLAAALHRYADGVDDGPTFAGNIAKDALLSNFSPIDPTKDVSAWAMQQFAPSLMKPLLQLAMNQNAQGSPIHKPDEWTGSKLHFAESYPGTPAMFKEAAKELHDGTGVDVYPETLQYLLRSYGGNGVMDAVRAIQLMGEKAGTELSLSDIPFAQTFGSRVMNQDVTDFRQNYADMQKAGAERKYAQESGTLDRFDAANPDVPRRLAIYDAANNEIKALYRQRKEAEGTEDPAQRQQAVRQLNQRLRTVQMMANKAYREAQQP
MGVEINAKWVQETQTFIVKYMKNGRFKHKVTKEKRTGAGGKRLLMALLCRRLTQKKRLPMTKHRA
MHVVSSGQKCPAGLPGRSPVSNGPTGRIPGQHAGCCSICSLWLRQKKQRPGEADGAKTLAAGGTAERGRRIDHQRKRWRSQLKTATCCFRRRSRGSSVSVAVEESKKRMCWWGGLVPWLLVMSTSSAPATHVGGCHSHLLRRGANAGGIEGVDTAETGRVNRLATSTTAGRQRSKGSSRQRRLQPTQRRNHFAGIQWTQRRERPQSPTEEASRLPWKKGRRRWRRRHQRRK
MPVYLIKNGSHVDKAFKVYGGHEIVRAGDQGTVETLDPLSDEMLAALERDGVEVSEGDAKPAAPSGPVAQHRGGGRYFVMDGDVPLGEAMSKDDAEAFNALSDEDKAAFLKKD
MLQVDLTNDAVVRTFRFGSEIAPRNSYLNDVRIDTQREFAFMTDSGDGALVVLNLKTGKARRVLQNHPS
MIVHTSVGIDEISSAIDLWFIGVEVCGLSLWGAAHVCGVTTAKKRESNSCWEASAALMRSVWDSGRRPWRSQVG
MTRIQKQIPPGRTLYIGSNERTPGFFSPLSARYKVVYSSNFSEILDPVIENNYQLFMVERLIMMGAKTFFKTFREYETDLTLTDDPKKNKNWEIPVYTMDQDKEELKTTH
MWTLLNGHRSEVLVFLNDVFDTLLRALSDPSDEVVLLVLEVHACIAEDPQHFRQLVVFLVHSFQHDHSLLEKRGALIIRRLCVLLNAERVYRELSTILEGESDLDFASVMVQVLLISYLSRDYHCSQYTE
MEISDELLCLFSAEVEETEDRFVVEVPRREIENGAVAPGDTYRVALVAGETTGPSSAA
MEALKQVLERQPNNAEAHYTLGVIYVGLKEYPPAVAEFEAVIAARPDFREAHYSLGVCYEFYVPNIPKALQHYRTFLALGGSDTRVQQLIEHSKRH
MHVEIRPCEITCEGRSNQRRPPSQQEELLLQTPSQVHGRIVKGTGAAVTPPPHVQSINPDLLFSHRGLRTSASPQTVCGSSISAGLYAVHTAAGSTGSSGAGSDGGPAVWSAAPRTPAAQEDGYNGQGPSSWGGGVKSELMGGEEERRASRNTPGSSCIPEGRKGAAPITWRPQTSHEADLSSETFSWTDTVFICSQQTTEVLIQKTTRKQVSRCNNPVSSLTAAGFGAEQHKPTFRSAETLIKAVVSSEESTSRNPAPDSSVVTREPVSAERADLNRTGPCLTGIAHLYQGRPETVRTSSAPEPALLFPNIRAPSVTETSSFPEAHSFTSKPSNLARDAALICTEMWEGGLGSRFSSSSVRSRK
MTKNEDRINKLFKELVPDTGKADSLAGELVRAMSRIGYRFYNDGDQLGIGYGKETCNPAGRFLGAKGNDKIAKLTADAWAVYSEEAYEKVLDILCGAVADYVEQNPDLRNQPTEDMWDFKDEEEDQDDSWDEEEDDWGEEEDYEDEEDY
MTLPETYDYAELGALAPEPDDGEPRPCTCRSLFCDWCGDEAYNPGRPPREDTP
MSLTLNQQISELIKNSHHILLTTNENDTGDGIASILALKLFLQKINKPADIVVSEKLKNKFSFLFGSENIKTINEPLKKLIITLDVEKNPVKDFNYNLKNNQLKIYITPLSGEIKKESITIEPSNYKYDLIITAGCQDLESLGRLYSKEPEFFFQTTIINIDNHPANERFGQINHISLNTSSCSELLFNLLNSTQPENINSEIATNLLSGIILKTENFRTPAITPECLHNASYLMKLGADQSYIINNLNKNKSITTLNLWGRILARLKQDTHYNLAWSLVSQTDFQKSGGSIEDLEGVVNELLSRSPHIKITVLLFETNTGNINGEIYTSPNYNALDLASPWQGTGTKNRACFQLKETRLILAEQAVINQLREFIRPIH
MDLTPKQQATAAIKEAKRILIVSHSEPDGDALGSLLALSAVLKKTGKEVISVISGPIPKIFNFLPNVETIKDELDGSKDFIIFLREERAKVARLSYKLENERLKIVISPKEGNFSPEDVNFAYGHFNYDLIMVLDSDTLERLGAIYRENTEMFYKTDLINLDHHLGNAYFGKINWIEENASSVCEILVSLVEALESEHGKIMDGEIATALLLGIMTDTNLFQNQATTSKSLTVAAQLIAAGADRDLIAKKVFQTHSYSTLKIWGKILSKLDSYPAMGLVWSEVSKQDLDLTGADEGALTAALNDLLYTTEGAKIVILFSEANNATKVSLRSIEGFDVASIAQEFGGGGHKMAAGFKMSGLGLEEAKRLVLQRLKAIILGQTEEAVI
MNQIPVPFEEIDQAKERIYKAQNILIISHQSPDPDTIGANLALRMQLEAIGKNVVSACADQIPENCDFLEKSQSFVTDFNSTDFDLIITVDISSHELLKFHITKPELLDRTKTFIINIDHHPTNNNFGNINIVMPSAPAACFILYLFFSYYGWSITPQIATALLHGLYFDTGSFMHSNTSSASLRVAGRLIALGASHKTIVRRQFHTNTIPQLRVWGHALSRASFNSKNAIVTAITKQDFQRENANPDDLSGLINYINCVPEASFCMLLAEDMKGNIKGSMRTLKENIDLSQIASLFGGGGHKKAAGFKVPGELKSKIAWEIIEPH
MGYEQTINQIISLINRSQRILILPSTPVDGDSVGSAIAFYIVLKKLNKDVTVVCNDPIPDVLKFLPKISSISQNMNSSNDFIISINDREIEIANLHTTREGDKFNIVITPKNRNITPDDVTFLRGESEYDLIITVDCAELKQLKGIYENNTELFHSLPVINIDHHISNTNYGKINHVDVMASSATEIIFSILKRLSEEHNTQLIDEDVATLLLTGIITDTGSFQNANTTPRSFAKAAELVAYGARQQEIIQQIYKTKQLSQLKLWGRVLSKIQTDETHKIVWSVVTQQDLKDTESLMEQTGDIIDELMTNAPGAEVIVLIKEKENGQISGSIRTTHASVDASAIAEHFGGGGHTQAAGFTMQNTTPSDAEYKIINHIKDYQKNHLNIKDGAKAPSSKKSQKPIIDVQKLIAKIKEVQKVNQIMPTIDDSSHLKIYQPEDTADQDDLDQEESAQSIKKAPEKQPKSSAKNSIKSTPSPKSEPPKTATDIHKNTYRFEE
MWPHAVTLRSTLNMDHISLGVLDVILRYVYSHSMIQNELSNLITSAQKCQLPVLESSLQNNPVECHVVTPMNQETLIFHCGRGSRVV
MFNRRDNRHFNVLRLTWYTYLILGCWSLGHVHASGSKARKLQGAGVPRGWELREALEKLDRDLYDNAPGPERPYISQLKNPCWATDVDGRGPYGGAVRCLPYALLLGGFQCGAASLFAALSKHP
MDDGHDWSRMVRRNQTIADGGPRTEAVGNAVSVASSPSVRPVTTLVAHSTRLAASGRRDSQGGDEEVIVDGLAREGAGNRPLHRFDDLSVPGPADTLHSEVSMRRCALITSSSDDSDIEAVSCPMAVASSAARRVPA
MGTIPKPKRTVTIQMGPHIAVVDSFGNKEPTASWEKEPNLKLNSTSTPPKEHRQDNVCMDMSMANRIHHSRQPSRADMSASASVGHGGSGDSEGLIGRGLGAGDLRESPRKGCQASAGEESPIPPKDDLRACGGVSKALGFEERPVDPTAGRLRSHTPEGFQKREVTSASTQAARETSGPERASEARVAKRDHAGSAGIPGIPADEDVPVKSDGSVHTALPAQTAKPEVHQENSEHQGSERGETSPLSLREGLSGGQVTRATLHSTELTDTEGNPQEELGAQNGSGSSSHPHSSGLLLGTLLEGRGADPTVHRRQRELHRAQRGGPSGATWRDAEVQAVPEVCSRSAGTSPSRFPLATPPDSAVRRQEGALVPRGPAQCMLGHNPRLTRPRDDASPKEGGVFSDACVQTAVSVSSRARAGPSPPPAARSRRAAGRGAFWVKALEPAAILALQGIRTWSWEQGPKSQGCIWAMSRKGLYSRFIRSALRRVASQTTLPAASVSRSHR
MDDMAQPQQDTSIMEIDNQPLDHLSPRLDGVTKIPIHVAENDPAIDNVDIPIPLTLDNELVTNNEDVLLLLSALKVLRNQLSQVESDIKRLDELRSMAISDPRKFIKDFMEKKLGPIPKGQRIFACPEIDLAKYVNRSRRHRVEINYPPLFRPQLFRTTTDKPLYNPPQLDLDMLDRLASQPSPDHLKPAPSLTRTQSQPVKPRSFSPSSTLSAYVSNVPSTPKSYSVSKFKKPLKSSDRPGIGRSGENYRLWTVEEQYKLRALLKEFPESPQLSGMQYFEFLSKKMGSRTKVQLHSYVRHNRFTIPMNSQQDNDDMDSVTTLPFSATPSDKNDYDEFVRNSKTGKRGSTSKSKSRNDASLLHTPVKPLKPSKKDEAVLLSLKNAQPVHHGYQCDMCLIEPIVGTRWRCKDCTGDSQVDLCSECVDLGFKTETHGSDHKLESIAEPEEWEESSAFNYLGF
MNKDYIEYDETGRITCVCSLSDDVLEANKHKPMIEGRGIPSVNYVKDGKLAVRPFMQAERELNVLKNLPVPCQIVINGAVYDCDEPTVELEFDQPGVYNIIVLAWPYIDKEFVYENLAP
MFCTWLHETPLCVLTRGVYLYVPTRAPLRHVIRAHREDEFLCHSGEYTFLRPSRKVFSPVRIEACVFENSRMHRMLSNYTSFVLRNVFFFVKNFPFLKIPF
MNISSHAAWKKRRPQRGFALLMSVLAVAGLMVMLIGLLSMLTLERKTARSYSDAARADMALQSGLAEAIGTISPIANRDDTLVFRMEDPTVPLENASADSKVLPPQHFFTFGAQYDTQKKAWRMSPFASGFKQITSGSNVPNTSLIKEAINGYDAAEIAGVGRGNVAVPRASWVVMGKKDDPFTMRYAWWAEDLSGRIDGANAGSEVRQLGLDARELGLFTIFNPTAEKDGAGPEDALINQRANLRSPASVRQFLTEENAKLIEPFLTYDLPREVVAAPLIPHGFGYPDAGKKSLPLDQLVSTRKIEEIASHIDRNLPDFTLRKGGLLPTENYTKTIAASIIDYADVDTNPTLGLGYRGVDSYPFVNELFDLYEWTGTSNGQVTIRVTTFVELWNPSNISIIGEFQLENDNRHSIKIPPAATANFTSVTHPLIKVTIPANAFIVRNCGSKDYNFPVGAFPPSSLEFPAETKNSTYRLKWNAQQVDWARGGVTRTTGTLQAGSTKAKWKGNASPAHDHSIGQHGDPRASHYINVPIFPNNYDANSNWGGRALKANISNQNYREVKITRWPDRGSESKTGVAPGTDTKLPTTLALPVTQNSMAPAFIANRPFISTAELGNIFDPAQWTMIENTSGTASAGSGGGFSLAIGRPEFAKFDREGQRAAQLLDLFTLSNTSTSQRNTRISKININTAPREVLRTLIAGQGLVNDPVQSTLFPPKDQSIGDRFADAVIATRQHTPLRSLSDLNLIRKNPLAARNYTTPNRDTEPFFGSREQYPTTSRPPDTWDDAGREELFQRIANMVTFQSKTYRVIVAGQVLDRAGKIIGQRTREITLRFEPKRDAEGLIDTTQPFVIRTLGERSL
MLAHGFSGRIPGMLEGRLASSEKWFAYRVDAEIGRGGKDTLTLSLEKTQDQPSVRPPSTAPKGEPELEGAREVALRMTRGFPRRIEPETVARAFKMLDELRAARLEKVRARVDAEGWRRLQEKMANPKSWDGKSPIRFGDLDPGIQERLIQSLNGGKISPDEMVGPIRFNFYIGLGEGKGAPVNGIFGAYR
MSVSEQIVNDLQAAGFTAKNAGTFEACFSKTMTAWDMPYMREHAVDGEHIFEGSEVVIDVSLDGMVTMTIDDCPGASEGPLDVNSEDGAALLKDAGVKLSS
MERDGKDIPLVTDLDGTLVAGDTLVEGVKDLLARRPWMCCVLPFWVARGRRFFKKQLAPWSAAACLRMPLNASVADLLGEAARTGRRVCLATAAYEEVAEAMRVRLPLFDAVFATTSAVNLKGGHKARFLSEMFGRGGFDYFGDSTADLPVWAEARKAYVIGDAALAERVRSLNPEVMCIVPRWTQEPYGVS
MSRKSTLPKRLQPMLATLTDAPFVDPHGVFEDKYDGFRMVCE
MDNTLFQELFSRLGRMEEKLDMVVRVEERQQRQERSVEEIKRRLDAHDNDIGHLQSQVANMSTTSTHRWGVFAKVFFGVLAFVGIVGAPVFAELLLLKMGIK
MLGENYYLDSLMGYFISLKMI
KTLFGTLRAVRTSEVDDDSVHWTVLDVIGAYLRIDKYSVLSWSLPDCIPCIVHFLQSSHSKTTTSENENLPEGSIPVDDQLSQAALRLVAPTYTVILNLFKTPHIPNPNLLHRAELRADCLDEVECATTSFPLSDSLDPVQFPLADGDPSPLQLDADLEITRGDLPWKDRPPSEVASLELLLSFVEHLAGTLVTAPSCIQSPLLLSIQQLINTIKASEF
MRVLFLFLDGVGLGPDDPAINPLARAETPNLSHLLDGRRLVAGSAPLETARASLVSLDACLGVEGMPQSASGQAALLTGLNVPALIGGHYGPWPSRAIVDLLANGNLFRTIRQMGRRADFLNAYPPAYFAAIQSGRRLYSAIPQAAVSAGLALKTADDLLAGRALSADFTGRAWHERLGLADTPLLTPRAAGERMAALAAENDFTFFEYWLSDYAGHGRNMADACALLATVDAMLGGLAAAWDDDAGLILLTSDHGNMEDLSTRGHTTNPVPALLIGAPEHRRRMAAALRSLPDVAPAIVRALAGG
MTPYSRSSVQRSSREQQVLQAANPPGHSTYWQFLRTPPSLGQRAPSDASVHIRAPALRASPTSINFPCAPPSHSQFVLAPHQPSPTEQRKRAKSQVGPKPPMGLSRRPSLTSSFRSPTVSPGGLFPLCSHLQTTHTSYVVEDTQNSRHNFQSTVPDGQVAWAGSGYPSIAQPSRSSASDDTQRTVFHQGYVAAGGQNAHSRRLSRTEDLFSNSNSNFESTYSSYNTKQKILAASGQPSAQGSHPNFSRDDSRINSQSNVTAGAQKARVTASHSSTSQAPSYHDSCNPQRPSSRSSHTHRPSPSPRLNSRDTQIPSSSHGSRDTQKSSSEIEQVHPMLSDIALVAAVGAALADHREFLWYGVWSIAIKDHMFYRENMTHRRTPSWVVKVRTQKFVVPNYLKRLSGNDTFSFKLMDRSGKSAVAFKFIADRIKARESDFWGLPLPKPIKQ
XLEECTTIPEVDSTDEETENINKNSQVNLNVTPMRTFSAANKSPNPSFIRASFFYCEAKEKLNKNKDDGEETPPLKETSCVVEDNITCERSENKET
LGAAEDAAPRAEGGQRHQAWVLGAEATARLTQAGWRIDEVLPAVPVVVELEGHGRGDQVPGVDLSRTVGWFTTQYPLRVSA
MCENKSMRGRFQLFDMSHMPQGEDHRGGQRGGG
MLFALSGCATTQTTPAPQTDRIVVADADRTILSHEDIPITAVTVKSKPDVVLPILRASYEELGIKVQVFDATGTGFGQVGNRYFIKSFKLGDTPLSRYLDCGNTITGPAADNYKVTMSIFSLVSPTGTGSTVQTHVAARADDVAAGGASLSCRSIGTLEAALHRALVRRLGE
MEISDIFRRFMRNSLLIILLLTVFGLNAQFQYSGTIVDSLSKEPLAFVNVVDPVNMRGTTTDIDGKFKLNSQTAVETLRFSYVGYKPKSVRTANLKKGGTIFLQSANVQISEVEILPGVNPAHRIIDLAIENRDKNNPEKSTEFKYESYNKLVFTAQLDSAILANQDSLELLDTNLQEAYKFLNNQHIFMMESITERNHIPPELSKEVVKASRVSGLKNPIFSLIGTQMQSFSFYTNYINLFGGNFLSPISKGSTSKYLFMLSDTILEGSDSIFVIKFQPRKGKNFEGLKGILHINTNGFAVQNVVAEPFEESSMNVRIQQRYEFIDGKQWFPVQLNTNLLMKEVIIEEYPAIGIGRSYIKNIKLESDLNKREIGNTVLSLDPEAGKQTEEYWNSYRSDTLNAKEQKTYHWMDSIGDAENLDEKIKIYKTLITGAVPWGPIDLPIQHWFDFNDYEGFRLGLGAETNDKVIKNFRLGGYGAYGFKDKAFKYGGYMKWTPESNRGFNMKASYSNDVVESGGTTFSNDRLQTFSNEGLKKLYISRMDGVEKYQVEAGFRALRDFQFTLIGNMQDRTITSDYTFVDENAELGTAPIDEFRLFETALDIRYSFREKYAEMFGVKFPVESKYPVVHLRFTQGLNDYLEADYEYQRIDLKIDQHILWKGLGVTSLRIAGGFIEGEIPITANYRTQGTFSEGFLVATEYSFETVKPNEFYSDRYASFFFRHNFKDLLFSTEKFKPNLVLIFSYGYGDLVQTDAEQHQNFSFSTMKNGLAEGGIAINNLFSLNFTSFGVSAFYRMGPYSFEDWEDNAVFKLSTSFAF
MIITVLRAQPLAMNRFRSFAALCAALLPFLGHAQGQVQGRVLDAHSREPLAFVHLLPVGAREGATTDIDGRFQLMVTTAEVMVRATYVGYKPLEQRVSAGEVVELLLQRAAVELAQVEILPGENPAHRIIQRAYANREANDGLFNRPHRYTSYSKTLFTGDVDSTLLRDPARIAALDTSDREAVEFMQRQHIFLIESATRRTFLPPAASKEEVLAMRVSGIQDPSLLAAMASTQTFSIYAPQIVLGERTYVGPIGPGSTAKYLFLLQDTLLQGNDSVFVISYAPRRGRKFEALKGLLYIHTDGYAVQNVIAEPVVRDEGVSMKLQQQFARVPGGAWFPEQLNTFLFFDGVKVNDWKLVGISRTYLKEIELDAEVTRREVRGPELVMERTALLRDTAFWSGLRTDTLDAKERLTYQVIDSIGEAEGLDRKLRLLGYLASGRVPLGPVDLRLDQVLRYNGYEGLRLGLGAVTNDKVSRHVALGGYFAYGFTDKAWKFGGDLSITPWATHGPALKLSYALDVDESGGVSFPGRRLAISSESYRWLFVDRMDQVERFGAELSFRSGSAVRWWLGTERTDRRNLMGYQYAEPVGEGLVALQDRSLTGAVQATVRIAPREQVVRTPLGEQVLPSRWPVLQVQGYRAMKGLWEGELATWRVNAMVDKTFRTRMWGALGVRLMAGISDDTAPYPFLFNLRGSNVQRAPLATQNTFETMLPNEFLADRYVAIHLRHSFGHLLFQWKDFKPVPVLVFNSTVAGLSAPERHRGYSFATVDEAYFEAGLQVDQLLVSGFTSLGVGAFHRFGPNQLPELKDNFAYKVSLGFAF
MGLGHRDRGGSRLCRDRGDSFSPCRVALVCSPLSKSASMSFRTRSLGRDFPRGLILWVLGLFPLFLEAQLLVTGQVRDAQTGAPLAFANVIYDIQGKQGTVTDMDGFFRLELARRPERLRVSHLGYISQTVKGTELDGDPLRIFLSPAETELREVLIAVERDPARALMEQVIAHRNRHDPAALPAYDCRTYTRYLFDWLLADSMERPPLVARSALMVMESETERRYLRPGRVAETVLANRVSGFRAPGFAALATDIQPFSWYEDLLPVLDHNYLNPISPGGPGRYRFQLVDTLVQAGDTLIGVRFAPKPGATADLLQGFLRIHKGDYVLFSVEAGPADAGLIDLRIEQRYQQLADSVWFPEQLNFSLRMPDYPQKGLGVQAEGRSYVQSVNLAPGWRRRDLGPDLVRMAPEASTHGEAYWDSVRVRPLSPREEATYEVIDSLGQANDFDRKAEAVAKLSAGRIPLQHIDLDLNQLWRFNSYEGNRLGLGLTNPQPWWGRLTVGVYGAYGFRDQAWKYGSSAGLRLHRDGEWELGLLARRDVVEPGQSQFREEVGTTDLRSYAAARMDSIDEFKGWMRLRFLTYATLKTGLAYTRRDPAYVYAWRRQPGETAQPAFAQLAWQGQLRYAYREELVEVFGRRVSRGSRFPVVRVAWELGQARWQQSAFEYLRLEGQLTQRLRSRLGDTRLTLEAGWVRGEVPASLLFYGSGARSPEVWLVAPDFFQTMPPYAFLRDRYTQGFVRHTMAAPLWRGMFSQPRLSLFQGVGWGRLSQPEQHEGVAFRSMEKGYFESGLLLDQLLRLNYLNVMYLGLGGGVFYAYGPYQAAAWQDNLVGKVSLTFSSR
MSFARAVDGLAAAFSQIPIQDDRLSPCPATTPSATVAGLACGPAKIALVRSPGLRSAFWKPGMRIVLLAFPFRILSDPD
MNKAMILIAFFLCLILIPGSLAALIISEGEQVEMGLKDLRITFLNQDPDPVEPGEQVELRFRAENRGQYRIEDIEFEILPDYPFELLETENAKKNIGSLDAAQRGKDSAIFHWKLRVDNEAIEGDNEIELKYYVEDRPASIKLEPFLINVKSRDIIISVGNIKMEPKKVAPGQEIELTFPLRNLADSDVDDLRVKLDLAGFPFATLGSTNEQVIKLLRRRESDNVTFTLVANTDAELKTHNMPLSISFTDKFDVDHELKGKFGIKVYEKPDYIFNLADVEMETGEVFLPNTKGRIIAAFSNTGRGDINALNVKLLPSDKYSIITKDELYIGNLESDDYETAEFDIFVKKVTGNVPLKFQLEYKDSENTRFTDNIDLMLKVYSKREAARLGLIKGTNVVGIIIFLLIVAGIIYLIFRHYKKKKKKKK
MIRVRSWGAVASAVAALATTAAAADTDPLSVDPSGDPTGVVDTAAVQRALDLAGQVGGTVRFGSGTFHIARPLTLTVARDTPLVRLVGQGRDATVLASVQEPTTLPDMTPVVRLDSSTKMGLPGPTIEMSGLAIDGRENGRVSDGIKAWGGTGLRLHDLAVRNLAVSATMPGVVGIRLGDTHDSVIENTHISHIAPDSRYAVGIQARSGSSDLDIVGSTVDHVGASGINCANEATAGSRNISVRGNTVTNAAMSGIGFGIELYRNCDDSTVEDNGRVDHGISAVESDRVAIRRNIVQGGEYGIETGGVGRGDIVVADNTTTRSTLGLVLQDTTHASVLRNRFVDSRTYGGWLKQNTRVHVSGSGCGDTRCGFVHSGSASGLCEVGLRPSAALYVERDRYLTLDGLTLAQPGGYGISFTGSDHGGSDFLSVVGNTFHDNDCGPISQWLAPTEVEWSVNEGATFPCAPPGAFDCRTIGDWQARPTPGAAIAVAPTVKAGQPVTYRVRAGADTVWQLIDLGVGSPRSAGAAETSGTVVFPKRGRYQVSVVVWDSYGRSGLTSTMVRVN
MSSIEMQPGMAVVAIQGIDGDDSEPIEVWLTLDPDKPPALLNRAGVAEIGQLLIDQAHRARGSI
MTALKRVQIHFQNHPIALTFVLAGFLGLFTLVLHGYYSPDMPELLWFKVLLAVATVLLGLPFVEASYAVFSNDPRAGIRNPYFWLYAFNLGYFWSLLNVIWNLSGPESLTPLFIQWGLTGILFGIAMACLQRRTPLSKSDNWNFERFDRQKPWARVFPFLWPLLVIALIVGNLVDLKAGRTSMTQVLFIAIFMGTLVRPIAQKTSHPWSGHALITASPQITGVLIVVVLSYWQ
MPFKAAELLDYLVRADYRFYLSMALFAVFLMLQASIWVLIVNAVGMGNGKVFGRMRTGLSGRLGLLQGLRIFIDSQFAKYIPGGFWNYAGRIVLAMREGVPLAALLSSIVYENVLLVAAALSFALVLAVNLNVEPMLLLLFTAFVVVMAYVYYDRITRGVGRIFEGVSRWKPVRRLLG
MTYEVGEAECFTSMPKLAAAAGLKERQCYNVVAQLEQLGFIERPEIFNTPTKKGTVFRLFLSPQDFPAEPNRRYHIGEED
KLIHISIYVLNHKQLITKKNKNELSNLRICRENDTGKGLTNFHSSTSSIWAYPTPPLHRQQVLNVGEEEGLCQEGQTGSEGAKQNSTGQFPQVNEGPYKIYRGFTPGCPESYKKRVWKEPCEDGESTFYTNNERKLSPLL
ELDTDAGDHEVSLIAGAFARRIHSYVAPGDELARGQKLGHIDFGSRADVLLPPEFGSEDVVVEKGESVRAGETVLARRE
MIVVVVDAISTGVDLSLALIERGCLCIQVCSKVVYRQDNISFLKTLVVEAPQALDKAISEDFFDNVDMVVAGSEPGVTLADEIASKLSIPYANDSKTTELRRNKFKAQERLAEMDVRSIHQRLISEFSDVGKYDIQFPVVVKPINSGASDGVSLCLDDEAFEHSVMKNLGKTNILGHINSELLIQDYIEGVQYFVNTISWDGDVYTTDVWKTYRRKVPGAMFIFEGMKLCDPTSPEVKRLIEYNNRVLSELGLKFGAAHNEIIIDKHGPVLVESNARLMGASINDSAFKAAIDNTQVELLVDVYLNKGFGEDRRIYQMSKSLAEISLVFEKTGVLEAFSAKDSVCDLDSFYCLSGIPEIGSKVSKTNDTIGHAGFIYLVNDNPDQLDKDFNYVLDLVKKDQIYKILESKNKEQILC
MPATSPWTWILACFGLVAGALGVAALWTVLALALGGMSGWMALVAAVDAAILLRLAGVRGHGARAWAAVAGTAATLLLANWFIVAVDLGRALGLRPLEAVSRLGADTAWVFAVHGNGALDWLWMGLSLPLAAWLAR
XPPSMGVNVAGAYPPGPHTHPGLLRGGAGGLGIMGLPPLPAPGEPCPLAQEEVIETNRADPRPNGDPAAAALAHEDCPAIDQPAMSPEDKSPITPGSRGRYSRDRACFLVTDYAPSPDGSIRKATGAPPLPPPCWHKPGPPSFLPDLNANAAAWISP
MAKKAMVLLSSGLDSTVNLYWACQEFDLVSTVTFDYGQKAVRQEVYHAQLLAEKLKTSHEVIDIRWLGKVSSSGLTDSSMQVPTGPEVDIDSREQSMKTAAAVWVPNRNGVFLNIAASLAESQGARWVIPGFNREEAATFPDNTVDFIDATNKALEYSTNNRVQVKCFTADLDKTEIVRVGVELGVDFSLVWPCYYDKAEICRECESCQRFLRAMKAAGVAG
MPAPPEPPVFDPATTPCPAEAAVCVDIDGRRSWLQQDGAVTLGPVPISSGRPGEDTPRGTFHTVRKVKDEVSYVFDGDAMPNSVYFTGNGHALHGGDVNEESHGCVHLPEDVAAHYFDTLGIGETVVVY
MIQRHLEGVPVPFPRNVEIHDRVGATGPTTEADVIETVNAALHEWNLTPDGRLVHNPCPPHARACVDVDNRLAWLQEDGRITAGPVPTTTGMPGYETPRGEFTVQRKVRDEISYVFDNEPMPFSVYFTTTGVAFHEGDLTQDSHGCIHLSHQDAVHFFDVLEPNDIVVTI
MIGLTTLLALICAASANTLQAQLDYGSFQGYYDADYNMSYWKKIPFAAPPVGENRFRGPQPPVQINGTYDTDSDFLGCPQKLSTGSEDCLYLGVFARPWSKGQKLRPVFVEFYGGAFVEGSASSALPGIFYPLLNSSDENDFIAVGPNYRVNAFGFLPGQEVHDDPMSDLNSGLLDQQYALEWVHKYIHHFGGDPSQVTIIGQSAGGGSTVAQSIANGGRTEPALFQRSLSLSPFWPKTYRYNDPEAQAIYDQFARVSNCSSSKDTLGCLKAASLETLMTAAYNITYSDEYGPSSYTWAPVIDGRFLRTTLTEAIKHGDINGDVHWGMYNTYEGENFIPPSLNNSDSSSTSDAAFKLWLSDFLPGLSQDELERLETVYYPPSGSSENIESYNSTFVRAELVYRDLVLACPAYWTANVADTGYLGEYTISPATHGSDVSWWSKPNALQTEYPMIYQGFAGAYASLIQTGNPNTNKLTNSSEAAIPNIRTGKEWVIAMSGFTTTKIERTDDNDLSHIIVDRRYETYKYCKIRSQHQTNGSIEEQTELEDINMSTSNDPPFGAPLRSYFPFPSNYFNINHGSFGAFPISIRDALREYQRQTDAEPDNFIRYKLPDLIDKSRSAVAELINADVDNVVLVPNASTGVNTVLRNLIYKPGDKIVYLGTTYGACEKAVIHIVDSYVPEGTVEGIKVEVEYPVSSEEILRRFEDAISQKGVRIALFDTISSLPALRLPFEKMVALCKKYNVLSLIDGAHAVGAIEVDMRRLDPDFFISNLHKWLYVPRSCAVFHVPARNHHLIKTSFPTSHGYQPEERPGRPKIVNPLPASSKSAFAQLFDFVGTIDYAPFLCVPEAIKFRKEVCGGEQKLLQYITTLAKQGGDRVASILGTEVLGDDDQRKAPMVMVRLPLTFTADEIQQGKQHLLREQIEREISEKYRTWIPLIYHGGHMFVRLSGQVYLTLEDFEKAGQILSKVCNAQQKSKL
MATQLPEIGRVGNRRLDAHAGSFLSLAGGEVNRSGCHTSQFGGSSASVTLLAGVIIRTHLTKRGSSRVGFAGFGALVHPAPAMASRARHPTTRILADRERKTGFLQFLYSGRSQRTSSGSDPDRSDPRRTREGPEERKDVVMRNDPPVRSEQGVQPEGLRGSRCPQ
MNELIAIGLSNFGQSEIKTVNARDLHSFLGAKKDFSSWMKKQIERARLVENRDFVTVTLPGEGGRFSAIEYHLSLDSGKHVAMLSGTDKGFEVREYFIECERQVQAPKALPQNYKEALLQLVEQVEANEKLQITIAEQEPAVKAYDRMCLADG
MSLRLQQDIKKKTFKIQDIVVIGMMAASMEVAKLALSFLPNIELVSLFIIIFTLFLGNKVFYGIFVFVILEGFLYGFGLWWIMYLYCWPILALLTKLLHKQSSIVAFALLSGTYGLFFGALCSIPYLFIGGPVMVFSNWVTGIPFDIAHCLGNIIICFILFKPLFYIMERVTKENIDASL
MLDYIITLLQDKPSLQSFKKRIMLWSYFSIFMGILTMIVLLRSNYESYTKGFLIGIAISSVPLGIYGIIIANNSEKLKTLYIKNVDERNQEISKISAVVTVILTIFMLIICICLNAFLNIQFDYRLFLVFLLYFVIIVYVAGQRLVSRYL
MYAAYAAACTSVRNWAPPGGEAGSRRNFSCATVLAALENAAGERLRQAFTDAFGGEPPGVRLRLITARDEAAGALVALADRPDDLLVIGTGRRGGLRRLFHGGVARHCLAHAGCAVLAVPPSELIRDLGRTTRVLGELRLRHPA
MNLEFLYYQRKLILDGFDPKEFELGNLRITFNEFMQSASLSDVIKVIINAYKEQYAQHKFFAVCFYDEDTNWESPKYPDNLGLRTNDFYLQKNRMTRTDIEYLILRILKDDYTKTNARYLEELELVFAKPMYNLETTIRESLIGMEFTEESTMNVKIFTVNDSPIDEIKISNEKFILKINRDKWKAYY
METTKRVALTREEIAEIVRGLDPIDWVQLRLIAQLPPEEQIMAGMRAAEFARAIVRGALMERFPNETRSQINMRVLRHFTTVRMESK
MKKILLLLALGAFATGIAQENENKTEETTTVKTKVKTSSGEEVKTKKMTTTAKQKIAVAGDGTNQRAVKLPMDVETNADYFYDSKPIRFEEASVGYVVFEMNDGKKVNIGRIYPTKIEGTYKLKIGDTVSYGTFDADNAFQKSDANAIQQAKRKLKMEKEKQMMDDSNDDNK
MKSRSARMALDGALTILLIASMLAQLTGAIAHEIIGVVFLLAVVAHIVNSFWWMKTVAQLVENAQVKGGQLALFVVAVLLGVAVAVLAVSSVPISRLLYQVGLAPKVMSSMWLAVHKASAFLLCILVVVHLAMHWASI
MSVARAGQAPRTARRSCVEDVAQECRDHRAIGGLALGERPLDDAVRARRFLGECGNVGLAHRARLCGLGRHPRNSFYLCSDISRTPRVYSTAFVRHNGVVVASDAGYTEESYLRRVTRAHQRLHSATEEVSSQFAAANSLHRVDLEALLAVMNAEQAGSPLTPGQLGAAVHLSSAATTGLIDRLEKAGHLERRRDAVDRRRVHLHYADHAMRMAEGFFRPLGEVTTDLMRQYTPEQLDLILGYLDKAADGMSAHADRLRGGEATE
MAEPKVLIGCPVSDRYRYCIDRYLRAVNNLDYKNFDLLLVDNSADDVFFNELRARGVSIERIGYAETARERIVRSRNILRERALAGNYDYFLSLEIDLFIPKDTLKRLLSHHKPIVTAYYGNNVLVNLESKKTGRTEERAINVPLIYLAAESGKVKRANPKDVLNKGLIEIGAMGLGCALIAKEALETITFHYDPNKKACDDMYFCTDAKKAGYKLYLDSSIIVPHEHQDWEGIKR
MAELFTVKLEVGSRAPVPLVAGLVADLNVVTQGALEVATHAAEAEAEAHMAQLVREGGVTALVQEARRREIPLVAEEEGRDLEFLERDLEEWLYFPPGRRSRSLYWLLLGSSRRYDPLSRLMGQLRAGEVADRLPDVPYRFGSIRYSNPFVVEIIAALGGAAGLGAILGIIRDWGPRRRRQQLENDDLQDANWFKSQMRRAYLRAVEQGQAPPLLPEDLERLSSADLALAVDRLANRELEVQHSSTPEEG
MTYAQLVLEYLKVLLSAPVIAGAAAIVLLVLFREDFKALLRRVAKIRLPGGTEVSTSQATKAEEAAESKPLDKPPLPADPPPQLPSGLAPNEIQEIRQLFDAERARAFLWEYRYLNYFLVYHTQLVLDWFASLPSATSISLFDSAWLPLIPSAEERRAVIDALQAHHLVQLHGDHVEVTPKGREYIAWRGPLSQPKA
MRRIDDRWTKRTLEWIPRDAKRPRGRPPTRWGDVFATRMDQLRAQLDTAQGPRQRHSRNLRISWITVARERNKWKRCWARTSSEDGPSKYLKLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLFTIFHQVLTTRISAFPLNFPNRLENYVRDVLPAQIFLGDYIEIFAYTLHDDSEDSRRKRQPVPTLVVKINT
MYGNYDSKNEVPEFAITVGFDELDITFENVSQIRTVEIIQVPKTDYIYVCLLKSGSTIPFISALELRQLNNDTYKIQSGSLVLATRLDLFIRYKDDMYDRIWQPLNVSSWKPISAQYTSDILSSNVYKIPSAVMRTAVIPADGGKTLKFTWYPNDPTRWFYIYLHFAEVENTQVSQKRILNITVNGGPVDAINKIKSVYTVNKIWQGDPCAPENYSWDSVGCRYNGYSSPLIISLNLSSSGLEGTIDPLFSRFTSLEYLDLSYNSLSGSIPESLSEITSLKTLNLSGNKLSGLVPSVLVDKFDKGTLNLSVTGNTDLCFRNPCQKKKKNFTVPLVASITSILLVLGVLAILWNLKRRQKLGFIFNSKKDGKTLEARNRQFTYSELVAITNDFRRYYISNRLNEKSDVYSFGIVLLELISGQPAILKNHDNTHIVQWVNPMLGRGEIRGIMDPRLGGYYDINCVWKAVEIAMACVSSSSIQRPTMSEVVVELKECLDIEMAGRNNSIVKNDSENTASSIEMMITDIETSLGPKQVDEGEGVPSESKAGKDVIDFKEVKRIDHILASLQRKLPPAPPPPPFPDGYVLPTTEAEKVTGFEEEEKKIPVDEKELGLDGGFVVPQTNSFGQTFRDYDAGGERQPGVENFYRINHISQTYDFVKRMREEYAKLNRVEMSIWECCELLNNVVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLLPSFGELPQWAVVGDTFPVGCAFDESIVHHKYFKENPDYNNPAFNTKYGVYSEGCGLNNVMMSWGHDDYMYLVAKENKTTLPSAALFIIRYHSFYALHRSEAYKHLMNEEDIENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLKW
MVKIHPPGQGNGSPPDSAFAAAEPMSDSVLTPEKNAASAKGPESNPDFRTIRVVSRVVLFVLKKCWMVCGVSFVTIFVIYWYCSSVLALLLLVFAITGLVYQVGDWLLYHPEQPAHSRLYVPSPGMLGLPAESLQLKTRDGVVLHAWLVKQPPELFSQAPTLLYLHGNAGNIGHRPVPGRSVWAGVPAVAPGAGPDAALCLVGRSLGGAVALDLASRADHARHLLGVIVENTFCSIPEMARVLFGWRLLRLLPDFCFKNQFRSIDKVPQVTVRCCLCVACPTP
MRTDNGSPSAMARAVSFTASRQVKRPATSAPLGKAASRRKVQFGNRKVAVGSLQRLADSTPLFRAGDWAALRARLHADGVLLVRGVVDRAVAVDARRLLLKTVEQKGALAPGSNYQDGIIGTGKDGKLVPGYTVDALSGGLTDERDDDQDGWLAVGTSTTMSSVFDGGNLRGFLAGLFGGPDNIETLPENTWLRLKGRGDVTIEHADYFYFKRSTDMFERYATDDEKVPHDGSCGACGRTTGSMVHCGLCTRGWHTSCMDASARPSGASSSVSTWHCSSCADGPLSVFTCWCALGDIDLQDSTLAFVPGTHTLTGYDRPRAGEQVPSSFKGGSARWHVADGTLRPGDIFIFNVKTIHCASKNGTTRFRASLDTRVELTRPGRRAWPRLVAEAAPQLK
MITEDLIAKGGYMAMKNAVLAIPPDMYDALRKEYRKERSPDARGAYERLFEHFDHQTELGKTICSDTGTIMYYVSIGSRARLDPELSFWRALGKVTVALTRESFVAPKSADPIRRINNDLNVGENSPSLQYKYVENLDYIEITAVAKGGGGEMSGSRFRMLLAADGLAGIKKFVIDSTVSSAMGGLTCPPVIVGVGVGGGLGIAGALAHEAAVLRPAGSRHPDRYIAELEEELLKAINRSGVGPYGLGGGCTALDVHVEFSNGHEGVVATGVVAQCLMAHRATVRVHNNGAIEPAPYPVAWFTRPWLTYQEAVTQ
MAAVAGIGKIRFRDTCAEAGRFLKRRDLGSNKEHWGVLAKLLQQEEKRWELLSRVWVELLSYAAGNCRANAHAQQDLKLETESEVAYVNPDLKNKNNAAQLHCCQLIRVEVGFSKSKTAHGHGYLAARSCS
MTRKRRNMALSAAAAVTLVLGPALPAHADSVSWVDWCLTSYVGSSLLYVSSNFTYAGTSKGGGTCNYLSAAVRLYTNPLNRVESTSLTSISTTNPGLAHGGYHYGGMINLLISTYSSTAS
MDADGLLAAMAGRAVVLLGEQHDDMDHHRWQLQTLATLHTLRPTMVIGFEMFPRRVQPVLDRWVAGELTEAEFLQQAEWGKVWSMPAELYLPLFHFARLNRIPMLALNIDAELTRRIADKGWDGVPEGEREGVGRPAAALPAYEDFLFEIHSQHAHMRMHGKDKARPARSDAAFRNFVESQLAWDRAMAEALVAGRARHAAADGSLPLVVGIMGNGHVRHGHGVAHQLVALGEPSIGQLMPVEAATPCAELPAGLADAV
LAVWSGPLFKASQTKDGAIRITFDQVGDGLKARDGGPLKRFEIAGEDKVWHWADAKIDGKDSVIVSCAGVNPPAAVRYAW
MKLFGEKLLKNSTYEYHKDQTEYLNLNLKENVKKIHMDLGESNDLVIRDIKLEDKNEIDIAIIYIEGLTDTVSIQNHIIEPLLLEKKNS
MKNGKGYLMFDWLLKKLKVFASGNAKPSSQSAPENGLSRDLGQNLLGISNALGQSPDLTTRRFTIELDQGWAAALVYIDGLADTTIINDHILRPLMVDTAKPD
MLDESHFRGIPHGNPTFAIEHTKLCVIFSKAMRRRVALRATEADRVAATKEADEELAEFITQLPKSLQIPHSDPDTWQATLHLSYHNFLILLHRPPPHQDPNRVSPHSATDHSICGDAVVAINSIFESLRSRNSLCNLWLPSVHVLFTSLLHVASELNSPNPLIAAKSSRMFDSLIHTLRDISQYWIYAKSLLRLFEERAMWKKRQAVLVQGSSEDRTTQQSQESSTTRTGVSEFSLRPDPLGVSSIMGDAPSTLQPSSAPVPGPAYGFNFDSGVHQGLSYSEGFSNGLDIAGNGSEAMDLLPVPSVLEFLLAGVDNQYDF
MAPVLFMTAQRSRALSMHARDFTIIHCHMREPQGGADGDARRDAEASGKTVFTCDLDVLDVVDFPCLVDDETLEFLRSHGRIFFLSRGPPGTGKGTVASELHRLYPESRIYWSDKLFLSPMAPERTKETVKQSHELCQSKIEEFMKESVPVIINRNTNMSVWEISPYLFLAAKYGYTTIILNIDKNLEFKPHVLAITNSKGLNQEYMQNRLKQFEQVYPFATGWCPRPRDAAKLLGRYRKLAAKLAAAENGKWLAAHFGSIMFISWQWWASGNLLPIKHTQIFPFCLARICQFGWRKQDKEYFHSEPVAKAYGSKDTITILGYAVLNELVVAVVHLSEAQTVLAAGNSEGPPLLNALDDDDDDEVLASAFGSRVNVQECDEVRCVLDLDDVAMPGEESADEEEPLEEVPAYSELPPSPQVSFIVLGFTGEPMLYSGAVRIAALVSADNWRDAAAADVGGVKVYGESYNHCIVLDEKQSRWTPSLRGTTSLTQHDRHRVQLPSIMLQGDDSRTGANHHAIQPPSVMLQGEVVKTGMKHHAVQVPSVSTQGEDTTTGADRHVAQPPLTPSTGVLTSE
MMKYTSLFEAGEPLIATLNDLEVIDNPFWGVKGGKTVNLTLMWFNLPEKFSSQIPKDAAEFTSEEFKNVPELLVDGTDALKYSQPQVNMMGYLGSWMVDHSWDGLKGLDGEVLFEGFAKIFGEK
MSLPNIESIEYMPENIKFWDKSLSSSLSNLYQHFNIHISILKWIGAISILVLTASTLTVSTNLYNFEKSLPNSSNDINVNNLPSFNDTICRYMKLFRLPGEYFVTVCKYDNNIHLDIRQLLNNKPTIKGVTLTIDQWKYLQSIRQYINRATEEARHQ
MSSLPNTSPSLFSGKIDTSVLSEVSKTLHDAATHLPQRGFHEPVRPQSTLPRSEPAVSLDKQISKIHSALQKMFPPQSHYDEASESVQAGDLAQVHETLLTELVTVLTCEDTQGCQPAQAKQETLRVLAEELCHTSDDIDILSDPLTGNDLKSNFQAFRHRMSSLINDAENNFREAMITRGANVDLSAIGTETIAAAWSAMHRETDGLAMEATKCLQSACSDFVTSNAEPLRLRASNVALCCAAALAADKWVRGDSGAKDALVERLRSQQSANRRAWEEWRID
MMAETDATSTQDTSTDGASHHAPAQNELMEISQAELDRAMEIKAVFAQDERLREMPSDFMCVQYALCTGDESLEILCDRAYLVQCFKEEYKIANTVEEGIDLFHQYTLLQPGNFLAMEYLPDEKNYFIIVDAAAFFPSAIKTDDQVRTWIGSTFYWWQATLPDFQAIRNGWTQMFECMGASFDNFDAALTERAKDLMKAYPKNQRVLYFLNSPTVVNIFWALWKRGLPEREAKSFVLGHSIPGWEGERIDSLYKQPTEEAAREKMILNVYDFLQLRMKNEREFSLDRAANLLNERNTEMFEENGSQA
MPRMDGIAATRRLLDGGRCPARILVLTTFDEDQYIYRALRAGASGSLLKSAPPCELAGAIRAVAAGEALLAPEITRRKIEE
MPVEDGIAGSKNIKAEFPKIKVIVLTTFKDDEFIKGAIFYGADGYVLKSSSSENLVESIKAVLQDKFVLAKEIAKALPRFLNEESRMGGLKKFDLTGKKEILKLVAGGFSNKEIAKSPLFNRGHSEKLHISFA
MAGLLPWPRSGREEDEELQVKEGNISGDGAAPFQEPSSSCRAGWRLRISSPGSLQRTTRAAGQVHGADEEENAKGKGECRLLFPEAGRRKGGEASYFMPIHLNPVTVIYILNNFLGGKHGVKPWDKICKSIQVRAKDLINQILLSFSPSGSSLIHLACDSRAIDPAWTQQLSYVTV
MKREAKLNLLANFENLDSVPFKEITPNDKGDWINQRNDDFEKLIPLKRDKKLKIFNTIFDLNSNGVTSGRDPWVYNFSPNALKQSVQNCIDTYNADLKRFNERFREAFKQRTQGVKKAELYRHLSDREITTDKTKIAWTRSLKKGFIKNENLPESGMERVRLALYRPFNKQWLLWDKNLNEEQSQLPKIFPDKSARNVVINTGVGSGKVFSALISSEIPCIDLLFHNQAYPLYYYDDLGNRYNAISGYALNLFRRHYKDNTIAEEEIFYYIYAILHHKGYLEKYKNSLAKEAPRIALSEDFKELSVLGKELAELHLNYENGEMHDSVKHNLLENAGMEGYYDVVKMTKKGDRIIYNNHITITQIPKKAFDYVINGKSAIDWVIERYSITKDKDSLIENNPNHYAGGQYVFELLCRVITLSVKSVDLIEKISEKRFE
TVNDVITGTVFYGIQLYMHRMSPGSESLRATALVLLNTRSLSKHLYLEDISKDGAEASWGNQFGYIHVPLPECKCIKKANPNRL
MFHIKREGDVFSLADNTVYLYLHACRENDVNNAIKHLFKLQTSDFFVQQNVISDHFHIQQECKQLRRLYSGKHVTDYSKQLTENDSYKFEPGVSKGITESLDTPEFTNDARPDAVSFVMRLKA
MGLWRPSIHCLAAASLLFGGTDAVLRQELLAQRRSQQSEVLKADPPTPYPEQFYSEQILDHFNKSDGRRYAQRYFTNFDHYKPGGPIILNIGGEGPLDEGSVSGHLSNVLFAKELGGAAVAVEHRFYGKSQPFDSLATEHLSYLASRQALADLAGFQEWFVAKHSLQGSQWFCLGGSYPGSLASWYRLEYPEKTSGCWSASGPVHAKEVWPGFGEKVWEAMSTSVDGVRDETVAMKLYAGYEQVAGLIQDSTPEAFEQLESVFNVCPGTLVSQQDRDNFENTVSSYVGLVMQYNNTRVPRLADIRRIVIEATTPLDAALKVSQFVNLTVGDGPGKCVGNSISSFYKQLLDATLPQDGSGNAGRAWTWQTCFEPGSLAAGLRGRFRPERSYCERQHRRHQCSVRQHGPSRHFPSLLQPWRARWLEPSWNHLLSGQHSRGLRSSSTPGLSLCRPISSDGGGSARSHSRERSGLGALQAVALTLPRSGDAVCVRGCMATKQLSIRVRSLCLHACVSSSYDFAVFWLLVLASCGISIGRIVLAYCLSLIVNRRPNSWPRDVSRPQLCV
MHITLLPLHHYIHITLLPSTTTIKVYIFHNHPHIIHILLLPPYHSLHITFQHHNMHITLLPPHHYILIFYTPRHNIHITLLSTHPCIKYTYHTLAHEAQR
MPFLRFTTNRTLTLQQDRKLKEAAGKVISLFPGKSERALMMHIEDNQIMYYQGDEQDAMYVDCKIYRHANKEERQAFTEALMDKVEEITAIPKSNIYLTIQEFDEFGFNGKLNG
MVAFIANYVSRLIKKQPLYETMADNYKKITNP
MFSNHVQIPPSVQSLDTITCRHVYIKPFSGSKGLKPSFFRTPTIPPSHPLYDVPSPFWAWTMPGPRARRYRDHINEVIAMIKTASDLDIDASNLGPVRFIDSAQTATGRSRSRAST
MLLRRATIKHGRVAVYRFKLNVRDDFWTMNRKNRNAVLQINACWRVVIQCLEAVAVRRGELHLQLDRSPVKDVVRRQDMTRKTLTMPLAAMLLAAIPGTAFAWGGGGGGGGDSGSGLSPYAALSGNDRSAGVNNGNYRDPALDPYIRTYDPEAAARYAAPPAAQPRLRVRPYYGYPY
MASPKQEIAALRREISRHDRLYYAEARPEISDIEYDRLFQKLRDLEAEHPELVTRDSPTQRVGEALTAGFASVRHPFSDAVAAELV
MKEDEIYGTKNPTPHQVLLDTRFELPFDIPDDEAQYWTKKLERINSMRTHDEYSLQDEAQRRQLPSAPSQCCDWTYFGWNDQQTFDDHDSAVDDRDSDYRSETNSRPPQYHTTAQPNSSVHQYPIGRRLQNHALSRTDSIQSYDLDYREGREQR
MFHLISKLDTAFLSDYTYFCKRKNNRNIVADDSLLKADAQEMLRSSTDNEKINYKKITYYETD
MKKIIAIMLVLVLALSFVACSKNSDKNENSNSKFTTKMTETTTEAKSVDLDLTELSSTLIYSEVYNMLITPDDYKGKIIKMKGQFNQYTDEQTGKTYNAVIIPDATACCQQGLEFELSDKTNPNFEQGAEITVVGTFDTYSEGELLYCHLKNAKIINEQ
MNGKELNGKQVYVGRAQKKSERQTELKRKFEQMKQDRMTRYQGVNLYVKNLEDGIDDERLRKEFSPFGTITSAKVMMEGGRSKGYGFVCFSSPEEATKAVTEMNGRIVATKPLYVALAQRKEERQAHLTNQYMQRLASVRTVPNPVINPYQPAPPSGYFMTAIPQTQNRAAYYPTGQIAQLRPSPRWATQNVRPQHFQNMSNAMRPSVPRPQSFGMRPTSSQVPRLMASQRVAAQTMGPRPSSAAAAAAAAPVHGVPQYKYAAGVRNPQQHLNAQPQVAMQQAHQTKEDTKMNPRGPSYPMASLYVGDLHQDVTEAMLYEKFSLAGAILSIRVCRDMITRRSLGYAYVNFQQPADAERALDTMNFDVIKGRPVRIMWSQRDPSLRKSSAGSIFIKNLDKSIDNKALYDTFSAFGNILSCKVVCDENGSKGYGFVHFETHEAAXSVLSWYSSFESDFCFRFVGRFKSRKEREAELGARAKEFTNVYIKNFGEDMDDEKLREIFGKFGPVLGIRVMTDESGKSKGLGFVSYERHEDAQKVRL
MAEITPSGTVWTWIAYFNTPYNKPLIYCPTAVMAAEAYPFLDSGQISGMLNGVIGAAQYETLLGMENERTYAAAASWALSSAHIFIILLIILGNLGYAIMKRRGTRERGGTRIG
MGVNQSVSFPPVTGPHLVGCGDVMEGQSLQGSFFRLFYPCQEAEETSEQPLWIPRYEYCAGLAEYLKFNKRWGGLLFNLAVGSCRLPVSWNGPSKTKDSGYPLIIFSHGMGAF
MGSYISSPQVLTRQVSGQFQVGCKDLMIDGTVLGDRGLFMRLYFPTDSQAADISSYPLWLPKPQYAHGLGEYLGQSSQKMNVITSTVVGEKREDCIENAQMSTKCDKWPIVVFSHGLGGSRTFYSTYCTSLASHGYVVAAVEHKDHSACWTYQLTEKNGELVEQPIKIKLIEKNEKNEFKIRNQQVSVKV
MTVRKPLRSIPLDAHNSCPELRFKRSLRPQLNVYKAHTCSISPSQINSNAQPRYSPRTELTFLIESGLPLAWRPPLLTATYASVASSGSPYRCHARTPLNADYYDKLGSGRLDGYRFQRAPFDVAIQGYAVASTMLYAIVVHWCDWYFSRASLKWGWVSETSNKGDGTALSCRLKVIALGASSHMGAYYSRGAGAVGRVWPLVGSPFPFRRVYALCQWLLRNGELVKMVGFCSAIGFVRIPWRGLRDGHTRRVEDG
VLVLPWHFREGIVARETAYLRSGGRLVFPLPRLEVVSAPKPRTRA
MRVTTRMFFDRFLSGFQSNMEAILKTQEQISSGKRVNRPSDDPAALSKITAYKTQISRIVEYKRSITTANSMVGSLDKALLSLTDTLNRARELGLEGADASATGSDRLLIAKEISALFEHAIDIANTKVGDRYIFSGYKSDTAPIDKNTGEFVGDSNSITLNISANTEIKLNVSASDLFSFKRVNPSDSANLVLPSYNVDKTGTYASTDEIYEDADPNGALHTTQFIVTGANNKIAGGTNGDATPDFTATLTAGTYTADEMATEIKRALEAADGTGYSVSYNSTTKKFVITNNRGAARDLLWADATTTAESLLGFAAVNTLAIANGSSDTSDNVTTFTSSTATFSTSGGTLTIKVGDDDATAVDVSISALATLADVRNAINSADAGVKAEIVNVSATSIADYRIVIASDPAGRQEELRVTATSDDAAGTGLHALVYMADNDTITVSSSNNQIRIWEDINNDTIQDAGEVATATISTGTYTADQLAGAVKAALEGTTASTNTYTVAYDSTNKKFKIISDNGNANDLALLWSDSNTTAATVLGFDATDTTGITDNDYDTSDNALSYGVQNQTLGTDIANYNYITNPSNDNYYSFNNNYLNETNILRALNFLKVSLENNDAGRVEKAIDYLTKTSDRLFQIQADVGSRMSKLETEETYQVDREFEITTYMSNEQDTDIAKAITEMTQRETALEGLRTLSSDVLRTSLFDFIR
MKKINIAAVIAAALGAFIYYPMYRATGPHYGTPAAYAPFLFGALVGIAAWVAALVISLLMRVIRFAASKRARDAARGAYRNAVDSANNKIDLLAEQGRKRN
MVVLSLASIVRYCFTKLLQKKRWNFYEKKKKVAKIIAIMMTLLLFYNFEGSITAYAHGTSTITSLKLENNGENVQHADEQMINVQSVELDTNIISNDVINKNDRQDVQKTYCINETSRYAEDESENTDPNYALLIEDENVVQGTLTEQGEMRWYGFILEQRAKASIMLQLVSNVDADIYLFKLNQDTHELNLVGESATSGLGVSEYCTEVLDEGIYYFGISAYEGCGRYAIAYYQSTQDIQYEVNDSASLATPVDENTSISSFIDNPFDCDYYCITLDEASAVRYSLSSLSTLGYKIEYAGGTGGNIIKGQLVSLKAGKHYFKVSSPNGTYSRTSSYTIKFENIAPVSTDSTATIFACCEKAGIVFQFNSERTKYYVNGNPIDFSYSYTKSLSNSAGVQNYNINLKKTENFGVCLLQEEGTSISPELQQTIPDVARYHSSTFTGISNKDVLTLSVHDWSNKCYTIHNICSGAYAENYLWADLNMCNVFIDPDTGKIIDIEWYNYFYEVGNHSITYYRPYTMKYYYPYWNGEEPKGGDD
MEECATSKLDNLQRINLNNNSLRFQQNPEPSTSYKPTKSIRDYNQPERFEDNKFNFNKLNAELHNLSYKNTWVDDFNQSNVAKNKNTWVNEFKISQPKASVNPNKFINHNQLSHSSTNYVNFNQQQQYTQNNYTSNQHNWDRQFDKFDKPILNEEPKSFSQSQTPNDDLARTARNLIDTVEPDKYDKFRNSEFFSFMKQLSTGEYRLENDEFVSNNTTSENNWTKEFDIPNKESAITGSATENPSLINAPEPYNKLLNIFGPSTSSNDYDVKSSLNGDLEQGTGTGAGVKGPQEAEWDTLQQGLDEIDSTFSNDIKRVDNLGLDQPREYAFEANKSKPDYLNHISHIESVLRENSNNAQAWYELGVCQQENENDSQAISALLKAVELDQEYADPWLALAISYTNESEKVSAMNAINNWLQWKHNQVKSTTLNNQNITSDELIRCLCEIVVQQAKEDIIDADVQVALGVVFSLSESYEKGIDCFRTALSTKPNDWLLLNRLGATLSNSGQCRSSLEFYYRALDLHPTYVRAMFNLGIALMNLKDYDEAIRQILSALAIQSEGNEQGGTNQINFNMWDTLRNCLLQMRRSELTQYCNTRDINALRKHYEF
MASIRMRRFATAGRRCGSGCCAVLCSAGLLVCITGLVLDFPLFGQTREVMAVSNLLHGIGAIGLIAASFGHIYIGTVGTEGALEGMKSGYVDESWARQHHRLWFEEVKEQFDKPDGR
MGGGGLISGIGSVLKSFSPHTRVIGVSAANSAALAASMNAGRVVETEHLDTLAEAVAGGLDEDSVTLALAMAVIDAVVTCTEAEIEASMRELLLDEHMLVEGAAALALAGFRQVAEKYAGQTNVVLLCGGNVDGASMLPTLLR
MGTKTPSGRRIPVVDLGQLGLGWDKETSQEEWQRVARELHEAFTDIGCAYLTNHGVPDDQVSRLLSSGMDFFSLDRNIKDQFAYNLETNSGFISGDREQ
MKTPRVLLLGLLIMLVISGCVQHKPVVHYPTLTPQPTNTPEPTPLPSPTPYVVIEEDKYPAVMGYEPNRDGDTWDEEEWMSTLVSAKRFSEMIYIKCDDFIEENLADIENAGFKAGVYVDPVYQDIMSPLKCLSKAVKNKAVVELGFGLWGPQIDPKRDEFIQAVLDFADGADRKSFVFATSDELYIYGIKPEDVDTIVVIPAWEGLFDYSYEYRLAVNFIARNWPNALIGPAVFSFDDNTFNLTGESNKNMFAMSVSVNEEYGFATVPGMGLLGGDPPGALTYDPSDYPDAWPIPGPIDGEGTPEIQLDPIPKIGEPYKTISGKVSHVIAPYFRLFVYVKVDGSWWPKPYYGEESQKIAPDGSFEIKTVTGGNDYLATEVVVYLVPAGDTIENAVAKITVVRETK
MSKSIKKRYLKALNRQLKKETAGKFESAFVFYPLGAKPKNATGVTGSPRCAGPGSHGCNSGSHFRQG
MAVKKEDFKYVEKVWGEEIWLVNCDKYCAKLLIIDPKAQMSYHYHIKKTETFYCLEGYSTLRSDGKDYVMAPFTRPKTITAGTKHMVIGHDEPCVILEVSTHHDDSDSVREICSKAGY
METFRGRFRLNGFRHGARPPPSRSPTPPPSSPDPSQFQYFHAFPDPTTLAAPIGSFEYDSTSTKYPYRWESWVDFQKWRANEERQYCIELRLVTTFQGLLEYKRQCRYVCSRGGTGGVKAYERLHPEWNHKREPKRTDCKCVLTVKEYPGVTTVLGSYSSNHNHPTGNANLPFVQIPKETREYIAGLLRQKIDPTHILSIIHGGVYDQDDLFEHDETVKAARSEFIELRDIRRIEKEIEAENVRLHPDDGESTLKWVQILRDKGYLLGFKSRTDPPPRIPIAWMLATSGSQATITYFLKVHRERSPTTIPRKIMSDFCWPQINACRDTYMVFILLCWWHVLHAWQQHFRLQANEELWVLLKRWIRMTEKSEFDATWQKIQDIAPEDFVDYLNGYWMSEEVIKMWSAVYCTPRSMLEDCDTNMLIEAYRRQDLGFEGPDMEVKKHREIVQRSKVFTAADITHVEDETYTIRSRSDPSKVYDVDIDAYTCTCRDYPLISYCKHICVRQALF
MSQHSTGVSLHAQGDVMINSDFGADSAESGIDKFDKEPQCFYTLCLKTTCCKCIPFGKFKDRKHALYCWVFTAMMTCLFIAIIAPMVLYALADYEIMQEVVIDSTSAPQYAAWQNNVYGDGREHVQIKYNLYYFQVQNMAETLQGARPKLMQVGPYAYDEYYVKFDISWSDGGDTVTYNTQKYYLFNQDETGPGLSQEDQLTLPYATVIGFNYLLSTVDATDQMLIDAGIHSKVETQQVQLISMINMLYYTVLFDNNIPAAERAVIIKLIKRTNSTIDVIFDDLYDFVDRSNVGDLLLKTMLCNLGNLSPFWKTDPFHAWFGWLNDPLLLEVQALLDVVANKTGEVLPWTSAVPGASTNWSSIEETRRRRAPDVFKTGKKNANQVGQYVYYQNMSELWTCVSAMDSQNTSLYTEGEQFPACAYFQREWNDSTAEKMGYRKPFATPYANRIHGTDANAFGRPITSDKLGVFISDIYRSVYLEYVEDEEWNGVTTRRFGIQSKDMDNSTDNPANAQFYAFGPSGLENTTAATGVPVFVSLPHFLHGDDRLVAAVEGLNPNEAEHESNLDMEPQTGLLTQAHKRLQVNYQMVDKTFPTTKPDTIDLAHSVCNNISLIISLIDAQPNATQIPLPDCNLTMFNTLFTCFGAPVEWKMYNGEVFFPYGWADEHFKLPDSDADDIHDSLFMIDEVGEQVQFWSLVAAGILFVIILAMLFRGHLDMLARHQTVWHAFDPTTSYKGPGSAKEEGVVDELHNPIAQPREGAAYMTGKGSAPLLA
MFDVSRKGKIEFPEYLQGFATLFKGTPEEKLRLAFRALSVTPSESLCLLDIEEILRGMAQVLRASMGVNFMNPESFLESFVARVSNGEAVSAVRFVAEAHKHLLFFESLGLHDKGDDHVLEQQALDKFQCENCISFGHHHWQLIQFLMIGIRISLAEIEAVLKDVSKFSSEQDLDESVIFYLFQHVGKTNIKWTFQDTARAAFRTIRQMSGISASSYQFSMGPETILGNLLVGNFSTMTEVASTGRSGSIFFKTTDNKYLLKT
MTDPFPKIPLTFRVPTIPLEKSISQPCPECKRSFVIRSANWKKEPFALCLDCGNEFELADTPVTGEKAPWEDLPPELIKTLGIEPPHTPGGLDADALRELQEKGFKLSDPESPDLAIRVDKVIQQVPGMIYRSSKKGDELSVDEILRLAGNPLPADQRRRCPKCDAVIAQDDKRCNWCGQTV
MIADPELAIGSSKELVEAVCALLLGDAGVAQDKKWTAEQLFKEALKTLDLSIDAVSDTKAGADSIRKVLRGMHQAVIGTAELRNRFGTGHGRHRRSSGLGSRHARLVASAALGLSRFLLDTSAEKRARDELDAA
MLPLMVIWLSLIHSSSSSSASTLVTTHIHISYKIVDAICQVTQNPEFCKTTLKNFPRTKQADMHELGVITIILATEQARLNKYVVDQLLHGGDQGDQDDVAKNDLSNCLSDYTVTLGKLQGAYRLSDNKDYKGMTKLVNDAMKMTKKCEYRFQKPPTRPFPLGDNQQKMVWLNDIALATLDLLNG
MTKASKTTPKAAAPASSRIVRAFRWIGQGRLVAIACMLGLVVFRLWDPALLETARLRTFDFYQILKPRERAPLPVVIVDIDERSLRENGQWPWPRSDVADLLRTIGRAGGIAVAFDILLAEPDRLSPDRFARSIARLSGEAAAELDRLPNTDTLLAETMGQMRIVVGQAARGRDTAQNAEQPGRRSPSSAPIRARI
MRLPGRAAAGRAVLPAAAAAVVAGIIVLAAPGLLRVLDRAALDTFIRQTPPPAPSRDIAIVAIDEPSLAAHGQWPWPRDLVARLVTALADRGASVVAFDVIFPEQDRLGAPGVRPGDATSTDAAFAAAMTRVPVVTGFALTFETTASSAIPCASRTPPMIRQRTETMTSRLFAATGAICDIPEIASASASAGTINVSPDEDGMLRRLPAVTASAGLV
MKALVKKQVSGKRIVCLLLVGMILMMVVAPSAYAQLTFDQGEEIIHELEEIAHELEHVEEWLQLMMIASFGILVVLAGQLVVQYMEYKKK
MFIDGRTPFCKIFWILKMKIRFKRSLLASALMVISHHNAYAAHNSPTAFGDNAIAEIAGTAIGNDAYAAPGGTSIGSNSNASGQSSVSIGGQGGGGGAFGDHSIAIGGIAKSEGESSIAMGIRATSTADNSMAIGAYSTSTAENSVALGSGSVADRENVISIGAAGNERQITHVAAGTSDTDATNVAQVNTAKNEAINTSTSYTNNQIAQNNLVINQSIDNAKNDAINTSNSYTDSKITENNTVINQAIDNSKNEAINTSNNYTESKINENNEVIHQTIDNSKNEAINTSNHYTDSKITENNTVINQNIDNSKNEAINTSNHYTDSKITENNQVINQTANNYTDNRITENNEVINQNIDNSKHEAISTANTYTDNKSAQTLIEANTYTDNKFGEFNQSLNKLDNKVERNEKRANAGVAAAMAMTTIPFVDAQTFSAGIGTGTYRSETALAAGMQYKISPNVNTRLNFSWDTSDGVGVGAGIAVGW
ADKAQLAETDKRVDANAHNLAMHQTHIEANRDAINGLNQDKADKAQLAETDKRVDANAHNLAMHQTRIEANRDAINGLNQDKADKAQLAETDKRVDANTHNIATYQNSIDNNTQAIDWLDKNKVDKAQLIETTNLVRENTAEINSNREAIDDIKSSNILQENLINHRTDNLINLEKISRDEGDKRVLTSSKSYTDSRFSSAISYADDKFGQLDNKINQTEKKLNAGIAGVTAISSIPYVSENTFSYGMGVGNYQNGNAIAAGVQLKTIYNSNIRVNISWDSSSNTALGVGISGGW
KIYTKYIQLAKILNDMNYKLLCIGSINDNNLCDKILPNSSKLNFAGKFSILETAAVLMHSSVLIANDSGAAHIASAVGTPVITIFGPTVPSQGFYPWGKSNKIIEISLDCRPCNPHGPRKCPLGHHKCMNDIPIEKIVEAVKLIV
MKIPLSLNIHGNWRTALLQRLIATDFRAGYNRSGQRFFYHYHKDWDPGREHMVEYFLGWLPELGIESPEEIKLPEFKPAELSPEKNSELGLAGQPYLILNPGGSWPTKRWPVDKFASLADEFIKETDLDLVLTGGPGDIERNNQILKQISAGQEKRLHNTAGRTSIADLMAVIAGAEFMVSGDTGPVHIAGLVRTPALTIFGPSDETLYRPYRPKNNYPIIVNQGLHCRPCGQHECPLDHHKCLKDISSEQVFSKIKEGFDERLF
NDEKLLVGLHVGAGIPAQKWSLIKYINLIKKLKENFPVELYLTGSRADKEEINYMKKNSEVSFGLFLNHSIPEVAALISKSDLFISNDTGIMHVAGTTGTPQISIFGESNPFNWAPIGANKYFIRKSELIDDVAVDDVYHLCDIILNKSESEK
MECLHPEKKVKRIIWKQSKEKSNGEDSAENGTSLMKMEDSVKKRPHSDTMTSGVDRPCMMSLLPLPNLEECNSGAPAILTGTACKGVTGPPVGVVDIGVSISAYYFRIALPGVKKDPGEFNCEIEKDGKVLIRGVTSTGGRTISRYSRVFDMKIQQQCPSGAFTVSFSLPGPVDPRLFSPNFRSDGIFEAVVMKYEQ
MQLSLLADQIIDERLNGPKADFKAWRSNIDTVDESQLSKAYVALIHALQNKAVDLKPIVTNSGAPLLSLGLDHPEQAICHPAKGLELSILWQLVGYLTKDSQLFQIGVNAEQFIRSFTDRYKRPFIG
MATVAAAAPEHIEAMEQVEGEEQAARAAAAAAADDVDVPTDATEQVGGDESAPAAAAADDDAAEPMEQGEEEEATEIDAEEEADAGAEAHMEASEQAEEEEREEVHAVAVAKEEAEAQPLQTVLPLGRVKRIMRVDSEIKKVTAEASLLVAAATELFLGSLAAGAHTAASQGGRRTVRAAHVRAAVRAHRPTADFLLDCLPAAAEAAPRVARSGSDGAAAVAEAAVPKPLPRGTRRIDGFFQKVT
MTPKFDNLFNEHIKLKPGDKVENVNSDCDHYKSKGTVKKVKNLPHDGDKKVKNGRNVPGQVVEYEVDNTSKNYEDGDKLTKTEIQLKKVSK
MPPPQHAHVPQHPSLRHLSGRKARRGCPQLSHLERSCILRVVCGSWLGWRTIQGLSEQLAFKLRLGSREVVRVQQSVRHSAACRIAAAQRHVVLLHWGPHGGRCVQLDHVVTPLLARIGWCAQAKAQRCARPTFYFSPSSAALADGDDSSDDDVDVMPPTKRQRNV
MNLFIYVLLLSIWTSSCLDRNESNGSATAVTTHAEFKQTKLQELRRRLLIIVIGTLITGYMVSCTCLLHYSCDSEEAHTAAKDKKEDITIKASRSSKISFTDSKSPTAGLGDPERQSVVSRIDKSSGPSSPRKVPSSAEKLVRPSSQKKPSKPSAPKKVLGSPPQEKLHRTRSPKKAHRQAHAHKPVSQVSPSYPEKAIKSTWPPSLQCRAKPTKTPLPYPKNQSFPEQSSVDKLTKRQRYLKLKCPASAGRAEILSRPHPVKFCRCYKEKCLVCRAVSEPFITHVSDANKKHVPVPLFSRELKHFYKSYKKKQPKYNTLYGNMSDSDITTYNSDGESDREVIIMCNIKCKEDIYKNSRNN
SGQALIDASEAMKELGEVKDALDMEVKQNFIDPLQNLHDKDLKEIQHHLKKMEGRRLDFDYKKKRQGKGVQDEELKQALEKFDESKEVAEQSMFNLLESDVRAPLTSAAALQLAQACKDNTSAHY
MLSTVSKIHNQGKALEFPQSEGLLGDCMLKYGHELGEDSTFGGALIDMGKAMKLMAEVKESFDINVKETFIDPLQLVHDEDLREIAHHLRRLEGRRLDYDYKRRRVGKIPDDEITQAMEKFEESKDMAERSMFNFLENDVEQVSQLAQFVQAALDYHQQSADILQLLQRKLRVRIAAASSLSRNNFRPQPLRRCPSALNVSAASSSRSKGEMPALGP
MAGSRTQAGLSVSVRMLALADRLLLGQNQQKWAPGLTQASGGWDDDQNRVIILARNDAGENSAWTERVSALHDDRVRLQLFTPKPGVPLVEPLGRLTDHEPWYGGDWLHPRAITAIYNNSICTSGFNWKLWSTGRYLGSTANHCYQGTDIWYHNKQVYGEILDRFPAVDTMLIQDNSNPYAYYAAVWVGPVDTDVSRAVRGIKTSYAVGQLVAFSGSRSGLHTAHITHTRENFQRYPRRCDGWFFRADG
TITYLSDIGCLEIQGASLCIYMGWFVYPPGIMRDIINFNTNCAIVFHACPPGRLFSACRKKSPEKTAADKKEC
MFHQGSPIQYTTNNLVILEDGVVKGYTIRPITSVTLETNVVFIIDVTGSMSGTISGIKESIIAFLNNLKSKGLNVKCGAVAYSDNNDTRIPYSTNGIASNTDPGAYTVVEFHNLTANFDSSSTLFSFISGLYSSYRGYDGGDIPEGGFDAIYYAYQNFSWSPGAQKVFVVLTDAPSWGKYAPLGSGTTRSPWRTDSLANVLAGNATVHVVSPIPSKISSNIYNGSYDMHYLATPGTFSQYGINYTSGGTGGLWIDLYNVDLTGRVDLTTLPISTVASQSVRAEYITKTPGKGKHLIRVVVDIGTANGEVTVEADY
MGRINDAGNPVMLAGGQHTLAIFAKGGNLGYAPGSTTEYDLGAAQEHEPSWLSVGSGHNLFANYVDGTGLYVNGDAQPQGTAASFTYGGSGLAWGGRIGATNRYSNGAHTGAFAWDTAPSGTDLAAVHA
MSSAASAWDGMKQSSGYLSINSVPPCVLAAPPSLLTATLLNEHNQPNCSLPPSLYLLPQSPLSLPSPPPSPSLPPLSSSTFSCSFICYVHRCGLVAN
MMTCKQAATLLHSGEAQTLGLWRRLHLRLHLSMCGPCARLHRQLEQMRQAALRLRATFAEESSRDHGAAMAGRILDKLRNAPPNGESTP
FWLKPELACCTPASGLDGSRTLCPKSIAEGYAGAECMLDFDDLEAAEGAQEEGEDIVVFPGGPRPYLVVHPHVVVRLAPQIPHGRGGGLQDCVSIRIRGQVVLAEASKKSWLRLARVEELLFSDEPAERMEADDTQRLQSLPGSLHHGLSPGASWILADGDAAGLPGVPLLI
NELGVKTRNHVVEAILKLKEINPEMKSVNVMGRIKAIYGPREFTTKTGTKSKVANLEVIDPTGVGRVVLWNMSDIEKIEKGIVNVGKVIKVKSGYVREGLNGRLEVHVGNRGLLIENPEDYREDEFPKVPSGVFTISELQPQQPVNLAARVVNKYEVKEFNSNGRSGKVANLIVNDGTGSTRLVLWNEKTDLLSQIKEGDILKIENGYTKEGLKGIEITVNDRAKVTINPDGITLPEIKKESAKRVPISAVKADDLVELKAAVVSTFGENFVYDMCPNCKKKAESGICQKCGKINPDKLLILNLAIDDGSGTLRAALFRDTAEKLLGMSAKDAAADKDRVKQKLNSLVGEEKVFEGRIKDNAQFGRLEMNVYNIRDINAAEEAKILVGE
MQILFGVFDGIAHRFPSKGKKKMRLMARNSFVSNTKKTGCITAAGPQKIREL
MATYSYAMLFYILAENPEMPAMEALKRSKEMMYGYRL
MIIVTLENLIETFTGLPETTIFLLDLDDFSKYNSVYSYENGNYILNKSVEIISQIPTLINCLKFGGDEFLFTCLGNFETSKNFIFSMLQSFESELKVTVSIGGVQNINKIKNSQHLIELLKTNVLLAKSNGKNQICIS
MRTSKTKENTPEIARITDLIESAFGKARDAFNDNDVEAAAESLSQMWKYQKDRAKKLEKVPPSAPKSPEHYFGAEPLNSEGKPPAPVKSKAIPYSGVAPVLETLPEGIDDTEPV
YRVPEVSRGGWQDVTKYIRWIVTLLLALATAGVAWFWTQSFEGSLRSARFLKLKGNVALERNKTVLDGTMIEIIELPEVFAQQLGNVAEPVASMDALVGLRAVQDVPAGAILLKQFFSPESMRDLSDQIEPGHRAITLAVNAESTVGYFVRPGSRVDLIGTFVQPEASTTSFQDRLSTRARVLIENVRILAAGPALSHNEYQRLSERGYGTVTLEVTPHQASMIVFAQQQLNGPLTMVLRRNDESGSTGADGAAVDWTTFSKERTAE
MYETGQFGRMRRITIILLVAALGIAVVTAFLAKRALNRPPPPTAETAGPVKPAPTSQILIAGRDLAAGTVLKDADVKWQVWPNEAVDRRFIVKLGQGEEARNAFIGATVRQAFLSGEPMMANRVFRQEGAGMMSGILSPGMRAIGVVVTAHSAAGGFILPGDRVDVILTMEMRQNSGNSEDPAKTAQAETVVRNLRVLAVDQKMDDVQSTAVLAKTVTLEVTPKEAETILQAEKAGDITLTLRSLTPGPGDEAGLASAAPEPEPPPPPPPPRRQVSQDGGGNGGQVKVYRGATSTMEAGR
MHFPSFASALKFFCSNIREARVGCEIAGNDAPECHRPIGKVSLGLNAGFQHQLGVVAWVREDAFAQSLEFVRATLEELHEAAAITISALNEQQHSRHILLGIVGSARRKD
MPFALLLAPVSKSPTCRMRRAFWCSCIVIPALTLYTYITKAALYTDSSQLPSLEYDFVVIGATDTSRSDEGILDVHVPFLAYLDLPNSSVTWNYTTTPQAALNNRVLPYSRGRVLGGCSSINLMTYTRASNDDYDRWAKITGDRSWAWENLAPYYFKVSFFTFSARIAIIPLSF
MSSAWLTSLKRSVNCKPKLPDVKEPAADDSTEKNSPCLCSSGCSRSLSNLRDVIHGSKRQADKAPRIGSPTSIASSEIINQITHQDVFTDSKCVLEIKTQCSGNDDGKGGSTFVGTLRPGTPGPGERFMEPAYNSRRSRKIIAGPSLMRSSCGISSSKPRRSLDFESQRYVCQKCGEIFKKLEAIESHHLSTHAVTALSEGDSSKKIVELICQTSFLEPENKFGEIERILKIHNMQRTLVQFEDYREMVKLKANKLSKKHPRCLADGNELLRFYGSTVACSLGMENTSSLCTLDQCEVCQILRQGFFSKKEANGCWGVLTSSTSKRAMESVELDKEQHSQRKALVVCRVIAGRVVKGLEKMREMEGQSSFDSVAGKADCHSNIEELYSLSPRALLPCFILIICKN
MEQPRARIHQRAHFPTGRADRPEVISARAAIVGMRDAGMPLKAIARARGITIKTVRRWIRRWDGEGKVANRPRNGRPRILSEADDRRNVEALMETPHTSAVDLTQKLQLACNPETTRRHINEAGIKCSFPAQKEKLTKANRDMRLAFARRYTQDIYDQEFWNTVIWTDENSFSTTAARQHVCWRLPNRRYERRNIQEVQRSGLCSLSFHG
MAEASFFKIVVGSGDAQQTFTEKKDLLVKHSGYFAAIERDGFVENAKQEVELPYIHAETFKHLTVLMALSEMADSELLKNHITGMKNRPYALHVPTHHKQDDEPVQEGSAAAAAASSEGPPQKKHEPDGTMVYGGLLYLLAMCEYLGMEAHVAVILDVLYSCIPEWTLGVAILVLELKPNLMTSTNFPQDRVAESLAKEVVKHPPEVWKPQMTKLPAELTMEVIGCLAQRWKKADGVKGAGRKFLVLPPSR
MIDLSSCLSESALQISDRTSCSRNSNISCISPNSVPSTQNTVTCLYRAILSTQEQILITVTWSKNSLFQGLNITIGEDPSVSIKVNTNSRLFRKLKGSKSLEFNGCEVEVSWDFSSARYRSMNEPEPVDGYYIIITFDSGVGMFIGDKARETLQKQAKSDPREAKFSLLTRREHFSGNTHYQTKAKFCETGAAHDILIRRGGENERMKNSELSVSIDKKMVIRVKSLQWNFRGNQTIFLDGLLVDLMWNVDDWFRNPDSGHGVFMFRTRSGRDSGLWMAQKDDKDGFSLIIFAAACKNM
MIQRSSLFWYLILIAAFCAFGFYAFQSTYLLQQKQTTQKEQQAREHLETLVQVWESGVFSRANSWFDEIEQTQNLQILEQRWRQSNSWFDAVYMWDAQQFLYPQKKTNEVHAIPQQCNPKENPFFTRDCVPPFSSLEESNRLANIIGAALIEDNKNRKAIKILTQWSPSTDYLLEQINQTVDATIFISRAIQALRIEPKSVPLGSFSANLLSLDVLALQEIPDIQKLPIQDANFRLVLERLQRRISAYTEINEHLRKTVLAKELKVHADPYGSSPYLFLTRRQSSGLSSAIQIDPFLLLKEMFQQETKTSIRPVVLDAQGNLLLGQFAPRKQNEEIWVQIPCGRLFPHLRAAYIRPKEIPNTLSTGTLSLFFPLILSAIIAGLAIFGSVQAERKQIEFIERQQAFVARVTHELKTPLAGIRLMAESLQLGIVQTPEQSLQFAERIISETDRLESRIDEVLEGTRQAQLKKKEMLNGKTLIEELHKEWSIRFHEAGGILRIEHEDTPVFVADKMLLIDALKNLLSNAIKYRREDRPLRCILKVSTKKSWVEFSVSDNGLGVPLAYRKSVFQRFVRVEGPHRGLSGGHGLGLAFVEETARAHKGSIRCIDGILGGAQFVLRLPKR
MRKIGFLLIMCLALIVIVTPSASVKAYTSSWQDVEGYSNCEVRVWTDAQNYYEGATSINAYAESNNCPKLYYDMYVMDGTTDAISDIKPGYFSYRTPTKYFNITSNYPYDVYIGVNLYDSPSHNNLVDVYRSEPLFVH
SHKEILETKARCYSRDIQITETCGSIEFLLPFEIIWDEKAVIIHYELIFITIDGKICNPVICTKSFMRCYLCGVMSKQFNNLDLVKHKELTFLICELLSLHAWIYFFEYLLHLGYKLDNKKWQVRSTEEKTTLENRKKKTIQKRFKTQLDLLVDRPKPGYGSSNDGNTARRFFSRELLLQRQKRKGFLHRIVTGDEKWIHYDNPKCRKSWGKPGHASTSSAKPNIHAAIRAKT
MKKLKKFTALFLVLIYTIVATSCKASEKVLEKINAYLTEEYPERSFSVMDYTKRNETSGRYEVNAVCLDDDIEFQIYIYSTITATDSYAVERANMQMEQAIRKEIDLFYKDLSEKFSSIQWLNIYADNAEGYSFRYMDPNKDYKLSDLNNSDIYRVELAEGLTISEVGGAIYDFMDDFYKYTPYIIGKTTFVYTLNQVTYEFTTDAKTTNDLGKTGVVNLLLSNTVTSAEKNGKVIIQPVEFTFISETAEENQNANDIAQ
MLATPGPTLAFVVAMLTATVIGCSPKAGSSVTDNSLPPLTEDKPVLVLDANRTLPDGCRKIGNLTADAGGAECHYSTVIANAQTQARKMGGNILKITRYWEPAMGNPCYKIRADVLFSADADQLAAADQARKDSLHRAKFGDHPNYAILYAYRPSGVGPLIGYNLHLGDSVICRMKNNSKYEIRLYKEGPTTLWASKESKSSIPLTVKFGEEYYLKCVLLMGAFVGEPRLGLIAKGPGETTYESIK
MTLFSGDGWRSSQDHDGKEVNKLKKEGKNKKGRKAKTFTKLAVFIAFFAAIMILNIATALAQVQSHRLSEVSNIDTDLDLNVYQLNASQVNSTDAYFSSNVGIGIASPATPLEVVGTGIANHMRLTNTNTSEVGPAVYFNAANRDWVIFGSNPVAGAGDQKLVFRDYSGASDRMVIDSSGNVGIGTTDPGARLDVAGSINATGDICISGSNCLSTAGGGVAGNVSGAGSANYVAVWDDAENLTYDSDLYWDNSTDRLGIGTTSPYAKLDVDDPGGIAVWGNGSFVGVYGCHNCTGSL
GGDLVSSISSLSIHRGQAVESAGRDDNNTPSPGILTQAHRQSSGRAPGASTISQGLSNRATRQSSGRSVASSSQRPSGTTNLAVSNQTPSQSSSRIVGAGGSRPAVSSRRAASSVSRRGSSQTQTQSSSSRVSAGN
CCGSGRRRSRASSRWTAASPSRPPATSSSAPRSTGGRRTSATT
MKNHRMCSCQISFCCITYKLLQWDVQKGMLRSCTDFKTSFSTRMPENCCRTQIKSLVLAKFRRGNGISFGNFIENQERDLKNHGRQLGSPNRLPDPGGKINKSSFLSEQGDHQYLFCPLIFLLLLSVIRVQPFFGTYICSLENN
MSKKSPSLDRQLVIRLLFLSLLSACVMLAIGVWIYQDVNKRAAQARQRSAQEHYTNVIADLERNWGREAFNLKTRIESLQFFDGVEHQRDQLISYLTSQGSSLEFPSLRIEDGKGTLIASFEQVRRSVPKVKFLPGQESAWVYDPAQGSLFLVFRQLIWLGKENGYLLLFKNMDHALLNQHSYPSTRLSLWWNGAPVSSSEGADGLAATASALSKSGAEARYSRLKWSEANPDNTPELIIETTSPPLLNIMQLAIPLGIGWVLLTFAAWAVIGKWFALHIGRVAMLGAASKNFIKAKSFDASIASDLEKARAEIDDEISALANNSESVMREAGKRFVHSRFH
QCKMYLGHVKVLLGMFLSLKTLYRKRTVIWPFYFYTIIRTLSGLTSDTWNLKYKQLKNINIDIPVLEEQEKIGDFFKKMDILISKQKMKIEILEKEKQSFLQKMFL
MDKLYKLARVTALAALSLAPLAPQAAGVPVPVEAFFQPRDLSGARLSPSGRWLAAVGAKTGERKKLIMMDTQSEDPPRVIALFETVDVVAVEWMSEDTLIFWVDDTEDVDARSKAAGVFSIQRDGKEMKRLLRRDWDNDFSQRGGKPLEPNFGLIGYGADGSNEIIVGDHHFLKRELTHVTPYYFNVTTRAKRLVTTDDPPHKRVTGWWFDPQGRPRLTSATDGGEVLYFYRGAEGGAWREIGRFPWLHQAFQPAFVDGEGRFFVYMLDKLGNYTELHEFDLAKGAPKPEPLLTTPGFDAEVTRLKEFGSTRTLGYRVTLDDSETVWLNPAMKAFQQQVDKLLPGRVNQLSCRGCDTTDTVLVYSYSDRQPGEYLLYSGKTKTWQRLGQVMAGIEERRMGTTEFLRIKARDGMEFPIWVTRPAGSKPTTALPTVVLVHGGPTARGRSWGFESNAQFLASRGYLVIEPEFRGSSGFGDKLMRAGFKQWGQAMQDDLADALKFAVDKGWADGKRACIAGGSYGGYAALMGVAKQGDLFRCAVSWVGVTDPRLMFEVHWSDISSDAKKYSMPETIGDPVKDAGMLAANAPIELAQRIKAPVLLAYGYQDKRVPLVHGEKMRSALVAAGNKPEWIVYDEEGHGWSRPKNKVDFWTKVEAFLDRNLKQ
MPDNGGRAFSAAYPSLALEDFEGSSATPGTIKYRTPQAALSAGNGAGC
MEVSTCGKGRRVMMTLQSNTTSPGRARDLAEQARRAWTLFGSRSPRRRDERRDDDDDDFPRPSAVVTLFPLLRLGASPLPA
MEQQLRWKRNRLRFTISSSTRSPLLSPTNLLVFLILLIFAISGINSSGRIPCSSSSSSSSSITGGDNLCSLAGKAQRDHQEVDDEEIREAKMEMEEQGAEVEFELAAGTATNNNNIDTDDIGRMGIGGIAGMSISSRRNLGGPGSSPPRCTSKCGKCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP
MIEELGGDFFQQLRGFYYTARTGSVRKAAQLMNRNPSTISWQIRQLEQQLDTVLFDRYMRRLRITPEGERLLEWTISTFELLRGMKSDISSPGGTLRGTASISSNLPFSVMAVGIVLAFRKTHPEVHIKIRRALTYEVVDDVASSRVDFGLTGMTTDAPHCRLEELFTARPLLIAPRDNAFGLPERPGPDDLTGLPFISFLAENMEESGDPYFDTSLRSLPHPLDIVLSVNNYHLMLRYVKQGMGVAVMDEM
MSAKHPRGPLLQRRISVRGAVVGLLGRWGTNAPKTLECSFLPPQATCLYTSGLTRQMNPDRMATPATELALPALIAGIGFALACRLRGMERRAAQQGAVPAISGTADRRDTP
MNRNTLYMMLFMWCFFEVSAGVNFDIRNRRRYVSLMTINEQAYTRFIKTGAGKFWLNRENVVSAYTGFIVRMYQLS
MDLNLFNLGAIELQREVFNLVGSVNAPTLSAMPCLNTK
DNSKGQNPCLVAAYVCSMCSNSLATVDPLQPNHYFPGPTIDGANPCTCSSITYNLFAACTLCQNNTYLGTWGYWSTNCSTAYPGVPYDLH
MEGMTDLQFKAFLKSILEILESSKDLEEAKSKIKALLNEIQ
MSITSIFGSGTGTIYRQYRNITAALGSHLCRSMRGCRVQLLRSTELRMPARSFLDVERTAGDHLSPR
MDIQSNLGFNLDMGSGYSVDVKYALEKEVGKLKWREHMLVDFKGMMYAHFLAALLSFLTVYWYAGIWLLVFFIYSSTQCPSYSHIIGFVGASGFWHKRYMIIYGIFSLVIFGVSLGLAINILFQILTHGCADWEFTGGCSHQVVYSFVFFAMHFIFVFISNILSWMLLHNFRFNTGDILEKYLVLTRDIEKVIASAKSGKIKEQRNAAFELASLATTGDDSKFRIVTEGGLEVLISLGLSPDEATQEYAAEAIAELLTVPAIQDQFVEMGGVSTLTTLLHSNDQRIVQEAATALSYIVSDSEDNKPHIVSDRGMDDIAHAAKNGSVATQRIIAGVFLELAFNADIRAQMSHMNTPAIALIELCKSKDNETQRLALQTLELIAIECADVIFFQDNMLDILLGLATSTLDEGIYLLAGKILLYYAENTDTCSQLLAKENLKDSLSQFAKTSDPILQKVVAKIVLSTVEEPSLAIRAKEMSLQDILVYIRDNAADRDAWNMADEGIQIFATDDFFRLQPHPGSSSDSMGSLSSITKRPIMASSSSLTA
MDDMVIVVIIVNLIYFVIWIGINKLRNSNITFIKEWDNGNEFYESLNENDKRIYWEQDTHILNRVLLIFFPFMNLALFLIDNKNYYWIICLVIGLILSCILGVLMSIKLRKRLE
MPFRCRPAFRAIRPLARTVAMALAALLVLAAPPAPAQGLFDQIQELGKKIQESVPKNERPGTAATTAPRNGTGSGGISAERRAAMALQQGLNDLGFDAGVVDGLPGAQTRAAIGRFQ
MSLAQNIRYLRKKNDLTQEQLARKLGLNRPAIGAYEEGRAEPRLKTLQALAHFFEVSLDQLVNEDLETGKATEPTALPLRILSITIDRQTGRETIPLVPVKAAAGYLNGYGDIDYVESLPQFQMPFPELGAERSYRIFQTRGDSMLPVPHGAYVIGRFTEDWQQIKNGHCYVLVTLSEGVVYKRVYKHLKKPGVLVLHSDNSAYSPYEVPLKDVREIWEATGFTSFQLPRENEQTMSMQQLTSAFLELKKEIGEMKSNQKN
MENLHARLKHCRIKLGLNQQTLSQRVNINQKDVSLIEAGKRKYIPEEYFLYLSSEGIDLNWVYTGKGEMYLKDTLQKYNSKQEGIPSMSSTALSAPLLGKSTLQLVTVDKQGDSVIAMVPIKAAAGYIMYCHRSDYLEELSVFSLPDFRSGNYRAFEIWGDSMEHTIYSQDWVIGERLEMASQLRDGAIYIIVTSGNIVCKRVVNRLDRLGHLVLRSDNTEYEDQILEPSDIIEVWLVKARITRDFRSARAALVYFREEVNRLDSLLNGMVHKQ
MGVSTFKKSKGLQEQIDIQEAFNIWNSLRVWYHSKETVQFMNNFVQDHDFDLLLGKWQGSIEKYEKLAEKLQLKVPKNPPEDFKTSMRINELRDDLIYRRVYHDLTAELFFLTNAYRSSTTNDNVRQVIRHDLTSHLNDFELLYKYGKLKGWHDEPPAYKTAKPVKNESLAVSEAFHILDHIGQRYHQLQLTFCQVYWEHWLCIPSVLLCIFSGYHGRFILFH
MKKEEGLPECIHVDDSSVPEGYHMVEVQGKCGIIEMYFPKAPITEEEREYLYRRWAESALRSYKKMTTI
MNTVPLRQTLTLIAVFVLVSGSFIMLDRRSALQPIRDGLTQIMAPVSDRFYGLADGSERQTDVEAELARVTLERDSLKAENAQLKTDNRELGQLRDQLD
MAVAAKNVAMKSSKIKWLRDLDNLEVKSPDQRQDRSREIWFKIKLYLQNLPVIRNIRLDFRFGDNRTSETLGTQHVTIPRIYEMVPDVPPPPVIRPEEWEQSIGTRDNQGLGSVRRNNEIFQTSSTNPPTNRQESYEISP
MQPQAVLVTLHPFWAALAFSNKLVLGLEQVGHVIEAWLSPGQDTGAGLSPKLQKPVLCELFRQDQVFLFGAYAPAITAYGRSHPPKSTFWLPVKLDFSTKNFMRRHCIPTLFDPGWKR
MLWLAAFVVVRTVIILVFAVTVMRIKNPKFWVGSAVVEDLQPHHLTPSLGIKGVRGTVALGAVGREKRGWSCVEGFRDQCGYPAKRRGCVEWWPRCGEYGKSKWQRSFGRTVGLHEEAVRGRVCTGDSKLDCIELACKFPMAQTNDQARKDEESALAHSRELPDLEQSKDFRATESEESPSGGSFELNRKNLATFVASVIIIVPIIVFSVLVFSTKNPKFRVRSVVVENLNYSSSSNPSFSMRSVAEMTVLVPGARVGGLGTRKITATMDLNSNNVKNNTNLGSDIRSGFLTLTGQSKMSGKVYVMSSGKRTKVNSREDLQQ
MISSKSIAGHYLKGFIFIIVLPVLVINIVFNNFYIHTLQNNASDQILQAMDQISFGLADEGKRASLLAATIANDDQILSLANQWDHEKDPNRKFLLANQIDSKLDYLFNYTNDVESIIFFFKNSGSYFYKTPLAVEESLVRKDSGFIGALHTRNKAILTGSLNWVLLSNSPRYVLSVWITPTIANYQNDGMVIYCAFRSNVLNNVLFESANPRLGEFLLARSDGQI
MHIGCAPINNTNFFEGLIDDVRVYNRPLSTSEIDSLYREGGWPLPLVANFTADQTNVPIGTEIQFTDQSTGTPTSWEWDFDNDGTIDSTDENPVYTYTSAGTYTVSLTVSDGTNNDTETKTDYITVTTDNIPPTINLPDDFTFEEDGNLVVDFTPYVFDADGDALTLESNGTGTVYVEINDLQVTFTAEQDWNGADLITFAVYDEGGTSTEYVAYDDVEVIVTPVSDAPTITLPDDFTFEEDGTLAVDFTPYVYDGDGDALTLESNGTGTVYVEINDMEVTFTAEQDWNGADLITFAVYDEGGTTTEYIAYDDVEVIVLSVNDMPTISLPTDFTFAEDETLQIDFLPFIEDNDLDDLSLTYSGNSNITVSIDGTLVTFGAVQNWHGSENITFTVDDGVADATSSDDMYIIVTSVNDAPEGNDDQYDMCEDAVLNIIAPGVLTNDHDIDSPSIIAQLETTSSNGNLLLNSDGSFVY
MPTPLTSPHSSKATCHTLCNDVGPTSTSDANPVNLAMSPPFVANDNVSSTRNLTEHEVFLCGLGDSPADTYRPPLIGGVCLNGTIAEDTRLATWSEKSAISLEMFNEISKTANCHPLVPKTFRFPLGTTPQTTLTAIGVCALSIRIGTKELSHYLLVVADLPHTVYVGADILVRLGVKLDTIHQVLWSLAQPNQHALSFDPVRMASGQTIPEACKTITESAMLIPARTTEVSVRLNLAPGYRMEGTTAFFQPSPKLFDLGLTINGNPLLELTTRSTYLLVQNLTQADISIPRHTQLGTLIDYAFHDFELVVPVIGPLPSSLDLDGEGGSLFTCQSKAIALTPALPLDNTSAFRLDVDPDSNLLIYSIVTEDDIASPPACEVHSCEETTDDSSKGDMPSPPDEDLYNVAEPYPGFHAQVIQLLSEADALVNDTERHQLRELFNKHSEIWSTDSLDCGVMGIHVVRIPTPPGATPTFVRQYKIPLAAYAAVQDIIDSLLAKRIIRECNSTYSAPVWPVLKTTGKWRLTIDYRQLNKLVPLSRWPMTQLDQELPKVANAKYFSTVDVANGFWTMTVDPRDQHKLAFSFSNKLFTFNRCPFGYANSPSEFNIFLHKAMPDAASRGTIIYVDDVLMRSETWSHHLNEMDHVLTQLGTAGAKLAIMKGQWCRTKVNYVGLLVGAEFVVCVCVINVNKCVLYYHTV
MLGRFAARHAVRYSKASNRLNQCHSLSRRNVPAIRCLLAVGVQQRTFNTTASMLHRTASTEHGSVLRPRFFISVCCFLRGVYV
MQLVINPVSLRDDIVAREIASGTPVNEAEETASRRLESVAFDLVDMIKKTRNEVGVLSLSERSDGLLMWAHYAQDHRGVAIGLQLEQCLLPSNQDPNSLVWLDDVKYLSEKIDFIREGTAPWKSLLRKSEEWRYEEEWRIIRSLNTLRPVTSEVYVAELWPEAIKSVILGARMSPAEETAIIALLEASDDFSHIEIFKSVVSSKKLALEIIPFKEWASRAIYSEFHFDENWREIREWVDLEALGELLTSSSKAD
MNGQVYNKGEMKIEGMPTILYKYRMWKEPCSEHQYQRRVLTENEVFLASPKQFNDPFDCSLPFRYKEEELNPGNIYLKLREIAKRNWPNLSNEQIEEKCFIKQSSGVFDSADYWKDFYPYFVENIANEYGILSLSTKCDDLLMWSHYADSHNGFCVGLDTQLLFDTIGGALAEVIYSDKFPELGMFDEPLVNTLRLLNTKSMHWSYENEFRLRKSNSARTIHRIPDACIKEIILGCKMSREIKTEIHNIHDEKFSQAKIYEAEINLNEFNLI
MARKKKDDVLSEDKPLTQQEKLFVDAYIQTANIQEAGRMAKYKMVDKQAYAVFHRPRVQKAIEARREEMMFRLGINPERVLAEWSKMAFSDLSELVEIKGPLVLLKPDADLSKLSEIICNSEGIKYKLHDKKAALDAISKHLGLFDKDNDQQVKGIADAIREAAAARKKGSEQ
MADQGAASRSIRVAVRVRPLLQSDGVTGTELLQLDRNNGVVLSSGSDRRGGRRYAFDSVFGPDVPQDDLYVRAGVPEMVAAAAAGFHATVFAYGQTGSGKTYTMEGYRYVGAAGAGGAGGAGSAAAGSAAGKAGAARSAPHADFTSTPEAQLGVIPRAVRDLFAAVQKSCDRRYTIKCSFVQIYREQVYDLLNPASLAPAAQQQQRQQQQRGGVAGGAGAAGAVGPLRLRWSKAEEFYLENLFTPEVETAEEAMAAFQAGVANKVMSSHRLNAASSRSHCLFTLHVSSSPSASALEMTSSRLTLVDLAGSERAAHTGATEGALRDESVAINKSLFTLRQVITALTDAAAAATAAAAAASGAASADGAPAQPASSQARPAGAPPAAPHIPYRDSKLTCLLKHSLGGNSWTLMLACLSPADRFYEENASTLDYAARARKITNQVAVNEDPRSRLIRELRAEVAFLRQQLEAAGLGAAAAAGADAAAGSGAGPRATATAAGSGARGAARGALAAGAASALGAGGVSGPGSGAGVGGLAAADEDDEAVARRLRTSDVEGLVRSVIEASRVAVSSSAALAGLRSAYARSSSALEALRVEHESLQAEDVRLRDRLALLESLVGATGAGGGGGIDILGGAGGGGGDGGGAYTASTAAFIELEELRRENALLHERLQLLDPGGAPPPPPRTPAGGGGGGLLGRSSGGGGGGGHASGRGTSRRPGTGASGGMPPRGSPAPSSPLSAASRGLNRAQSAGVLRASGRLPTAAASAAANPFTPREVLLAVGASGPGTGPGSFSGTGRPPLTAGGGSGRTLTVEQLRSVLQMGGGGAGSGPTGPPTEPGSPLPPQQQQHYAFADSRPSTSASALSGGTGSGTGGRLVELGHAVASRALASGVRLSTTGKLLPAAPARMAVEAGGGGGGGGLGGTAQRPGTGAGSFSFSQPGGYGGEGGGGALGAEGDGGMEVGGGGGWEREREPPLRTGSSSSGPGLPAEAAALSQLLAERNAMTRQRLGAG
MSAIIAQLSFILSGEKADSINSMNPNAGYITYKDDLKPSFNGNIPISNIKVLDNMMIPLLRSTLSHS
MTDSGNGLGRSERHYQALGKLAVAAGRLEYVAYDIARSMGGRNVELETVATALKAARKQALREMPPWATTSPEDLVAWIEQVLDRLQQGQRLQHAAVLQEVEQQGAVVPIAVEPRDDAHFDIEPNRISAQADIEQIDNLTASIQASEVEGHSLLLGLWFLVRAGVFARHPGLAGESTNQVVVVWDTEGQRWPSQPSNQELSDWYDTLEATAPPDWEYWPDDAPPVPH
MATRQDDESLICNICNFYTNEDIVSALKTLKSEFDNFKDEEMEKSLPKGATRKNDKLIECLVILKVMKLNNILDKCTIFASANMNKVPSLDKVVQINFENIRKELKEMLDMQQACLFRTLDSHAKQISALKNIINNAAPNIEKVRTSESVIKNNAIVSQDKVNPTTNNTRSSNNNSTNSNLWSMAVVTQTEKNRKTFHAG
MRLRLIPKYLILLLSGFISTSFQAPKTSKIEIKNLGLSKAESAKVLLALKNDDVLKLTFRDRNGNVVIDKNVDFTIKKIPSLEIIRTGKIGDRLPNLNISANGDYILEVNTQNKKDQFYNVTLEKISGKWLGDKPPITVKSTLLEPPSKGLDNKLCISYPVSKNTITALVGEGKNANGITVEVPQFQIKSTMDKGFQQAITEPLQLDVFMYLDPKNLKSKGVIDKIMSLGKKDKKANLSQFCEVKTFISETKQNDATGNPLSSKPASAGGGGGGGEFPKTSEKPLSIAEMNAQLEQARKDSQESLKQMVEGMKDVMRSDQKVMLLPKIQPPIIQNLLNQFDFTVNEKRKCTPVPYINESDSQFFGYWIGISSEHYRIYRLITQELKLNDPINNPTPLSEYSNGIFYQKGIHDQATNLETRPFPIPLDDGSIDNVEIALVDNSNKLLFESGLNYASMTNGLSGNTGQLFGFKSITNNSPVFLCSCNHNKQSPVSIYAIFETYTLPQIQ
MTNGKTPEVGKTQDVLHFTPTLVKIIDSPQAIRLLEDPNYYIIIEILRKRPMTIREIEYAYKLRAADHEIVGSKSYNTIYRYLKALEKEGLVTPGGKRVEFGKTASETLFSRTAKLFHYGLPPEMSKEGIDLINRVLGGLMILHGGSKETESCLREVTNEISIAMSDEITKLAEADDKGKLDEILSGEWGNMIKTLDYIAFLGIILNHPELVKKLQDCF
MKLFRLATIMALVIIALAACAMPAEPERKALVYGISRYDVSVPATFDPNLTWTDEDAISMSKLLSDSGWMVTEGIADSDLDAENLDASRNKMLTDIESLKGFKGTVLFYYSGHGFSVNGDSMIIPYGTAYNSSQWIKASELYTLFESAELSNVIIILDSCYSGGFVFEGATVDAIPPIFGTYDPVGEVSYTWFADALGDSVQSYVNYEQNSKYVVISAAGAGELSWESSSSAYGSGHGIFTYFLLQSEADRDADFDGDGLVTTGEAFAYCVAKIDATWNTDNATLYDPLSGTYADYLPHLSGTAREYALWATE
MKKIVIFSMLALVAVITKAQDYKKVQTAALLNKYEDAKTEIDKLAADPKAQTKAETY
MIYPKNFEHKTGFDQIRQLLKSKCLSTLGEERAEAMTFSNCLEEIEMRLNLVTEFTRIIQEENNFPDQFFFDVRPSLKRIRIEGMYLNEQELFDLRRSLETIRNIVHFFDKDKEEANKLYPNLKQLAGNTVIFPQLLVKIDAILDKYGKTKDKASTELARIRRDLSNTIGSISKTLNSILRNAQSEGYVEKDTTPTIRDGRLVIPIAPAL
MAKYFSEELLEVAIKGIRNIFTQKDLGLDTNGGGKAVQGATQRVSAIRYALAFDKYFRLNKTDHIVLENTSSNGWHDFVQYVGEVISIDQQKVYTTNLVDNLRENNDFSVSSNLLSKDIKNSKLKTAYYPSLETKRLLVINDMSFSFLPDYIEKLKSVYNFDKSGFSFLLWLNRFEKFESDVDVEDVFNLLQENIKSSYSKEIADIIIHSNFEEFVQLISQVDSPFSSSKPSLEKLFVTNNENLAVEQPNTPTAGDTALLDLSNVGNLPDLNKLPLNILLKGVPGTGKSYLIDKIITDSLKLGRNTKNVKRLNVHSASDNTTFMQGVGVALTGSSSDTSITYTEKRGEVLKHLIEAIKHPNQPFVLVLEEIQENSLNELIGDLIYLIEPAKRIDISAHTALLDGLSEGDEHEMLKILAEQSDTYSVQMPALIEDVNGLSLVFPQNLYVFCTTNYRDDKKIVEDNLMRRFDVIDLFPNTHNSALYTGENIRAYLERLNNSIINKLKDIETHPDRFLVGHSRFMKDVVYDQASFCKALKKVLDEFKEIRDIEFHTFKEILVDSKLEQLESVGLLDSTIIEAIEGCEDYRSLAETLQSNSGYVFLQ
SMSTPVYMTKKLPIMQVYNARINFVKQNTQLKTGTVNLLKQLENLITFIESTKDNDFRGHIIQFAYRCLDDVILMGMTDGFPTVKQLFLSVAENLSIGSANFILKPLEKILYDKFDRNCFDIYQSCFQTLQPNQIIDDWEEKLVFFINQITQCNSSHQSLFLHQILQCLNKLFVSFDQKFVTAHKNDIHLKHYIEDLINLLSQSLQIKSVQQSVKQSIQLISQSSLAHIDHQKLIIKLFQINQNELTEHAKQELNLLTDYDKFFTQFAQKPLQYKSFRRGKNVFLTQTLQQEAFQPNKPSQCKILQSRQSNLDSCRKLKLYPKLHSLAKGTQLSFQQSQQNQAQQCKKELESRERSFLLQTLRQAPSSHRHIRHQFQLRKLQAIESQQTIDQLRVLTQKYQNHFSQKAFSEFAPLFDRKPCFALINEELQGKVTKVLCKMLFSNAKVVDWLQNAAQEGVQLQIEEIEQIKAAVEYFNRVKHPKAQELNHCYIMLKQKWK
MLAEAALPVQAEGASFALVREAGLAVERDGAVIRVGRPEGLAAQLLRAQITDATCETGAAASLRLIAYNLRATVAEQRLALAAALSAAFEGSPRLAKVRLDPTSLPGAALAALVASGVLDSEGVCLREAFFPAGASDVPAAIRERT
MTTSKLSSRDRLDGFFGIRASGSTVRTEVLGGLSTFLAMSYIVLVNPAILAKGGFPWYAAFVATALVSGLATNAMGLWARLPFAVAPGMEINALVVFSVIGTHGFTWEQALGLVFWSGVLMIAVTAAGWRGRVVDAIPEEVRPGLVLSVGAFVGLVGPKVAGLVGGGPWPQALAAGAVLALLDRENLGKAVEGISWPTILLVAGMVTYVGVLEHSGTIDWVAHGAVGLGAPLLVALVLCVIVGVTSAFASSTAILTATIPMAIPLLLTGSLPVVGVVAAMAISTTIVDVSPFSTNGALVLANARGVDRTRFYRQVISYTCVIVGLGPIAAWAVLVLPGSF
MSSTLLSDQNCYPKWLWTLLFSISLTLCFPAYSDSEEQQFGIVGILHSIGSDTLDDVITQWASAFQKRYPHIRIQIQTSGSSAAPIAIIGGTASIGPMSRPLRDSERQSFVKKYHYEPTMLTVGIDAIGVFVHPANPVQQISQYDLDAAFSVTRFCGARNNINRWWGLIKSNDLTEFAQSEIRLYGRNSASGTYAFFKQTALCAGDYKAEVHQQPSSSAIIRSVANQIDSLGYASIGAISNLVKPLALENQSGEYISLNEQNIQSGAYPLTRNLYLMINKPPEADLSPSLRAFLRFIYSDEGQSIVSQNGYVPVSDAMRRRELAKFAPLKTKQILEVIR
MNFCACTKRSDIAQTPQISLSITSKQVSVRYLLFQYLHHEFHHHQNMLYKDVKD
MCGAEGGAPLALVMFPGNCFKQFASKLTALNDSLIGIKRLANGSLRTYLIGAVVLREEWKSTLSLQGLKKCPRNYLQALKAIPRTLRMMTLYSDEPARVSLDGQLVNANEASSARAIKGGLNPEQAMAWELFSPIQRFLFVVVIVVVISVAIAESKKNRHIMKLKKSVELSVCGN
MSFDETKARERDKNVSTTLCKMGPRSDKRLESRRLKGRTRNVVDKVASQLHIEAIETATRPVLSFLGHPSTHRREEITETFGGVAENKLLQGNRGQQQPQQPPQDHGSTMNQGYSNQSGGYAPPSGPGNAGYNSYDQQGGYPPQSGYQQPGGYPNNPPQGGYPPQQGGYPPSGGYPPQSGGYSPQSGGYPPQSGGYPPQAGYPQGGPPGMPQPSGYAAPRGPSPPIGFNTGYPDPSSAQPYPPQGGMGYPSQPGGYPSQQGACPKEPYKSRMINYGSPNPKIAKKGTPKSSRRGIRPLVMSNTLYQVERSSKKP
MGRRWRVMFADVTVHFTRELYRDTMMENYGNVASLGKGFSIILADGSHQDSKPQLMAHTLHNYNRTSELYFIFLASDTRMIHSYK
MLSLHHLPPGLLVTLSAPLVTSLRSRPLPLLRFATGEVFAATPQLRCWLGRYHARTTESPSCDPLIRMGTEQHNVPAPSNPPNSTSVASPVL
MRRTKLITATLAAAALLAFTGCSAGASFAGTWTGSGAEAPQLEIAEDGEFTGTDGCNRLMGTGEIAEGTLDFGQFASTRKLCEGVDTWLSAAGSATVSGDTLTVLDTSGKEIGTLTRK
MIRGMPNHTTLRTLATARPASRLALGLAALALTLPLAACDTGVDPRNPSSPSPAESSETAAPSDDASKMDPTGKWSSPEKGDPFLEFAEDGSLEGSDGCNAIVTTWKVKGDEIAIDSFMSTQKACAGVDTWLSKASTATIEGDVMKVKDSNGKVIGGLEKDDK
MNNDFFRVAENSSASRAGPVGDEAQPGTLAPRVRSRRRAGVGVWLGVVAAASLTFALAGCATTSGGDAGSPAGTWVNGDAQLDLAEDGALSGTDGCNQLSGQWTQDGDTIDFGDVASTMMACEDVDTWLNGLQSATQEGDVLRILDASGAEIGTLSRN
MSSIVGRWQNIFSGIQLAFGIISTVGEIHSNEYAIQVSEDDLRWQGKFPLVVSFMTPSWFLLLEPKTAIIAFGLQSTPQTCTKFVRSLGFEMNIFETTLGNDECVYISKHPPNLTSIASGHCFSRAEHESPGLAHGEIKTTFSAIVLQPEGRITALSGCIDLLSERLKRSLRSGCAVKTTQTAPCDFEVAFNGEDAQIASSFPVPVCGERSKIRIARKSCYIEVEAPLHWSVWTSFPVFSPSCIWGRTANVHHIDMRVLPVLDRNRHDQLKWLSPHVAGMFSKHERKQREQSLSVVSAIPPDARVGFKDSLFSLFMHFTGIQGRQSHIFGLDNPTGGGVHFLIFVSCIRLDLGSQTVVLDTGVLPITESLVPRIAKFLSAVTEKGFCSIRVDDEELKLWRQTLPSFTERCRIWKHHPACNRDAGCIQQTQDDVNDVFCACGRGFLPEDFVPDVPNWADVRGLVTGAAISPIFSVPMIDPPFDGLLNLDPVQGGCTVCGKGRSIGGGKLLKCSACHITKYCSSNCQKSDWRSHKRICKK
MSKNKEKNNNTEKLKKINKEINETEINFNQVVSDEVESEKIKRDTARQMGMVSDQVMLNSPAGEYGATGKSQLKNIIKASNKVTEKNKDKDNKE
MYAPSDRQTVSAVLLPTCKALAVALILGGLIGCSGPDADLAPVEGIVRLDGKPVASGMVTFTPAAGRSASGWIQSDGTFELGTYGDDDGALVGMHQIAVTNASKTASARPDFDNDRPQRKPPGSVIPPMYSSAEASGLTFDVKPGVDNHVELDLKTK
MKYLYTLFLLIAACSCAGNGVLPAPEDIPVVLQPGVTTASVSIADSRSVVNGIGTAADEINTVGIYVARASDNTTYPGTLNAGSIFTAPQVNGQPWRPLPSM
MWKALKQIHMQQFPGTCFHAYDDLFSIRKGEKDNLQTLINRGETAMKKIQDLHPKDFDIAKLDNELASMVVIRALPDDFSAFVSTLLLKDDLDKAKIHQAFVTEETQCCCCSDESAAAIIAMSAALAKVACVFFTLQGHTQANCYKYKPAQ
MRNKLETSGDHSPILNDCNITMYNQMKYDSVMARKNEIYIPIIEELISIDQNIFKAKFSNRIDNKFIKEVISRQYKYRVEDALLNKLIKIDGFISEFNKIDIENISGHIIVEMFTKGFIELYGDINDGEVPIYYEGYIIDYDTVYPEEYENIELIAFNSELLKGIIQDADDYIEYENELYNRSLINMFEFALAARNKKYSPKRIPIIDWDGIPEYYIASQSDFNELYNTHSSIIAKRSFKQKINDLCKELANDVDRILKEIFIKYEKE
MKNPDAIVIGAGLVGACSALSLVNAGLRVLVLDRGPVASGTTGAGEGNILVSDKEPSAELTLALRSRDAWFEINTDIGGGFELEDKGGVVVSRSEKGIADLKKLSALQAQNGIQVVELDAKGVRQIEPHISNSVEYAVLYPQDAQCQPMLAAAQIMRAVKKRGGAFIQGENVKSINVKSGKIVGLSTEKNTYACPIVINATGTWAGEIAKMAGSYLPIMPRRGFILVTAPAPKIIHHKVYDADYVANVASSDADLQSSAVVEGTESGTILIGASRERVGFKSDLDVAVLRQLARQAISLFPILSNIALLRAYRGFRPYAPDHLPVIGEDANVKGLWHAAGHEGAGIGLAPATGELITAQITSRKTFMDPTPFSPKRFKEASNVAI
MSTKKVSLNKLKQGETGTVVRLIGGHSFKSKLDALGIREGKKITKIGGMIMKGPVTVKVGNTRIAIGNGMADKIIVEIPE
MEVSVEQLATAKMQVTDGKGQGFSSRQSLAELANGIKFGQPTTSLGTSDYTKEYKIKINDVEMTFTGQDTVSSILAKINSKTDLNVKASFDDLTGKFTVAAKDLGTTGILDVQPQMPEIILY
MGQYKFDTFFRLFASLEPSRTRLLIIKVYRTLAQLFPYVGTSKTSSTSVN
MAALPTMGWRSLRCVMAAAHFSQMRDGTSGTSGLSGEAFATGDAMGAALLEERNNCHGYAPQVGHVR
MNALSLELETASYNPAANQGAHLISQAPPIASSYARKNYALAQAVYRADAIRRIAGAQAARTFLVLAGADAPLINRVVSSSFSERRR
MLKHTALLAMTGLLLTSCGGGGDAPSGAPTPTPVPTPLPTPVPTPLPEPSPLLKLGAENRFAAPAVAVYAPISALYVSGDLVKLPSKAAAPAARALLRSKQAMQKRAAASAEPAVEVEVEKCAVSGEIVIEYRGDVATVWFKACRETQAIEGGGTAQTEQTGWARYSWIDAVGYDEAYVLEEQTTFAVDAGPMFSYVEKVQTRARLFQKGERLKIEDMDFTREDRGSWAGVAYDYIEAAQRLRFEIDPLGGAILQGRVGGWGTHFTGGPMLNGMLDVATAQPLFFDADDFPVAGEMLLKGAVGTSARVALTPSGYRLRLNQEPEVSLPWE
MNSMLIWPLEHLSALLEIQSVSYESIIMPVCV
MTGLEYVLIGAVLFVLATSIVMVVRQRRAELIAERQAAHSLQRMAAALQGLQSELSRAYEKPQPATDLDVSPLRVAQDGPIPLQSELERAVRDHEILHVRLMLDRAGAADLAAQRVSLEWTRTAAQRMLAANHEAGSVVDLWEYVTRDAGDGPSYTDLMGSTFDDAVVHATQVGVMPNDQADVFREWAASTRAIKRTPRGGKRPLNEDVRDHPYIHAVEREAVG
MCPPYADPGYLASAHFAKARLFADSDPTAAKDLLQRAITAWQPDAGMWKRELGEAQALLAKLSR
MCLRLAVVFPLLLGPMTCGHPPQDVSAGRQMVIVQADIDSDGRVTALSVVEGGQRFREAALAAAHSIRYEKDCDEGGRPIPSSRRVVVWFGPDGKLLKTEGERVIRVGDGVQSSKLRHWVKPDYPAGLKRARIQGLVLLRVRIDKEGNPTSVEPVRGHALLIPLAVAAVQKWKWAPTYVRCEPVPVIATATVNFVIP
MTGFPLRPLWTVADEVRLVVEPATIDGDVVHYNIPALEATGEPTVEPAANIKSGKLLLSGDEVLISRLNPRKSRVARARPAALPLLASTEFVPLRPVDCDPRFLTYCLLSESTRQHLDSQVRSVTRSHQRVEPLHITHLQIPTPNRDQQERIANFLDDQLSRLDRLTSARVRQLSLLDERLIARLEQRVLDLAAEFDVAPLGRYFRRIEQGWSPQCEDRVPSEQEWGVLKVSAVKAGTFRADESKALPTDLSAQAAYEVRPGDLLISRANSPRLVGEMAVVPNGSRQRLLLCDKLFRLRLKDDLDASYVALIGRTRQVRDHFALSATGTSQSMVNIRTDDLRALALPVPPLALQETFVEDAEADAMQNDLLRSLLSRQMALTEERRQSLITAAVSGQFDVTTARAVA
MKNRMIMMLVLLLVLTITAPVTAYADAGGTGPLQYEVTNRNEIHINLQNLTDETMRSLDIELKLEAATGSAGEIKFDYSNQIKSRALVYEAAYDEQTGLLHLIVSGREYLLDEGQTVLELGIITVADENQAGVNLKITPEKLNTAGNTREVQKLAAEQMPGASTVVIPSADDQKPGESPAPTASPAPTEAPQVTASPSPTPVPTVTPQTTPTVTENPKQNSTASGSNSTDQTRTVSAATTGDYNPIAIYIVIGVLAIAAIAAVIVMRRKK
MDSAFTTEVHSINGDGEDTGIVYCEMQTRENSGENLCNLTMLRSMYHGHCGYKSETGGLLKNLRESTTNEKVKAYHKEFYRPEKLCVIFVGQVNAEKVFEALQPVEERISKDSERTPFVRPWQSPVPPLVEFTTLEVNYPSDEDEHGLVIAAWRGPLANVSKVFFVKKKEWR
MNIKLLAVGKTDNPALQQLIDMYEKRLSYYINFELQLLPDIKNSKSLSEEQQKAKEGELILGNVASSHHLILLDERGKEFTSVAFADELQRK
MAKRAKPVSRRTFVGLITGAATATVVGAPAIAQQARTGRTDSDPNDRAGYGRSGITDSDANDRAGYGRGSSGVTDSDSGSNSDCGGRGRGSTNGATDSDPNDRAGNGRTGVTDSDDSDGAGRGRGRGSCA
MEILATGNNHSVNIDGGAASDAGAPVVYKISFALKTVAFCMAVLSLSWFFQGWREVNQAPGIASVALLVFGTSLTLLILGIMGYWTYFEEKSKGTLKKKIKLYEDIHIRLQEERKLRRKEHHE
MRQIGGILVAVLGISCAIWEGGSGNMLSWDSPEFRQARMALIVLRAIREDSSPLGEGYMFHPSRQVLVEHDAAAFLANQLKPVLCTSSAIEGSLSIDCRHLVTDDSVRPWDVQGEQMLLELREALRDLNPASQPITDSSLILVTGPRESELFPLTDLEAFIRDFRIPFSFSIVPPHIEGRSRGAVAVFSIGPTDMIETGSGPKP
MLLPSTILTAVSRCLGICALLAALCLPVVVAAQTGGPGGILDPLPPMPQPPENPLTDQKALLGKFLFWEEQLSHDNSTSCGTCHIPEAGGSDPRVQQPRSIHPGYDGIFGNDDDVVGSIGVVLQACGGDTIDDGVFFPERQVTGRRSPSFIAAGYHPTLFWDGRAGPEFVDPETGQVLIPVGGALEAQAVAPILSMVEMGCDTLGWDSVRQKLIAITPLALATDLPDDIIDGLIQFPDYPALFDNAFGDPEISAARIAFAIASYERTLVPDRTPFDLFNEGINDALTENQQLGLLLFADNCLPCHETPALGDGSFRNIGVRPAFEDEGLGAVTGDPEHAGQFKSPSLRNVGLRAPFFHNGGKADLDEVLAFYNGGGDFEPADPLIVEMNLPNSELAQIKDFLEQGLTDPRVEFALPPFDHPTMQPFFVRGDSNADGAVDISDAIASLQFLFTGGVILCEDASDSNDDGTIDIADPVALLARLFVGAAPLPAPSDISFGPDPTPDSLACLY
MKLKGLITTTAVLAALASSCGGGGSAPQQDLDNELRQALSTYGVSELATLPMHSAEKVALGRALFFDKLLSGNRDMSCATCHDPREAGGDGLSLSVGVGGSGIGHARTLGDGKEFIPRNAPEIFERGGPEFTSMFWDSRVHEDEQGFLHTPAMGMLPQGLDSVLAAQAMFPVTSRAEMRGFVGDNDVLGDPNELAPLADNDFPGMWSSLMDRVMAVEEYRHMFAAAYPAVDEAEFGFQHAANAIAAFEGTGFSFRDTPFDNYLSGDDSAMTDTQKEGALLFYGAAGCARCHTGSALTDLQHYNFMVPALGPGKQDGIDPGFALTSHNDADRFSFRTPPLRNVELTGPWMHDGSFTDLRSAVKHMLDCQDSVHNYDPLTLKSDVRETLNMDQALMDEMLATISPAAAERRELTEAQIDQLMAFLHALTDPSAATEMPALLPASVPSGLPVD
MRNCSCAAFIPATSRRRSPYLVEPIPVTRRDQLRGSLRAIPLPSLLGLIADARLSGQLNVWDERWTGGLALLEGRLVGASLGPERGPSALAAVAWMLRAGQFALSPATPASLPSDEPELDLSSSELRDVWVEGEALSLVVPGPSVVPRIALERMASGADGQSFDVNTIKTLVAIDGQRTVADIARGRGFVRTVRELAALARAGVVDFGAGESASIEPRPAPAVATPSPRGVERLAGLFLKPPKTTDSDRPKASVSRV
MIREWQGTERILPGVTLVEAGGHFPGAAGLVRRLVDRLEPYAFDRLYALTGATVPTDAKAAVRRSAERYIGWVDGTNDHLG
MIIRYINVYLYYYNIIFYFVFFSYVLFYILNIIFNSLLFIL
MTEHVNGQWQTEFEQSARLQALHEIIATCPHIDAQSAKLLASLLCLHQWSGSERQLQTALSVIEAAAPLVKLCAVLQQLGFRLLKRPPQRYAGRIGQLLVLPAGLAVDLGRQQQQILLHDGLSLHAVSPAAIEQVIELEPDPLLSQPQHGGAAWLGDWLQRAAPELRGVGVLSALINLIALVVSLFTMLVYNSVIPTGAYDTMLAMSVGALMLVLGAWVLRLVRNQVLSALSARTGQRIAQLALQRTLDLALAASMRSGVDNNLSRMRSLESVRQWFSSQGPLNIDFPFVLLFLLVIALIGGLVVLVPLLSLLLFALLAWPLNRWLGWHAQQTGTANRQLGALQLALVQRLRSVRHTAAPLLWQQFLPQKLLQSAKASRDHALVQGAVLAAGQMLSSLTVLATMAVGIWLVLAQQMSTGGLIATMMLIWRITTPAQQMLQTQVRLRSLHAANQQLVQLLATPSEPEMPLGRRLEKGSALGIELDRLYLRFAQDREPALQGLSWVLPAGASLALVGPNGAGKTVLVEALLGLHPPQNGRVLVNGTDLRQIELASYRAAVGYLPQRMPGVPLTLRESLTLRHQQATDTQLLAALTDVAGPDWYRYFNAGDAQSGLAQQIMASRQDLAALRGRYIARLASALLGQPPLLILDDPLPDRDPELDPYLQALLRRLQGKTTLILITHRPELIQLMSHIAVLHAGSLAHFGPVVVADSVEARS
MFDYGSHVGFWRVLRILAERNMTATMFGCALAIERNLAITQAIKANGYDICCHGYRWEQHQTLTEDQERERIRLAIASLQATMGARPTGWYCRYGPSVNTRRLLVEEGRFLYDPDAYNDELPYWTSVDGKSHLVVPYSLANNDGKFVRGGVATGSEFFLFLREAFDLLCREGATAPKMMSVGLHPRLIGHPARAAGLEQFLDHVLTHPDVWVCRREDVAAQWYANHAPQVRC
LQKENEDLRKKIAANINLITRSEEILKSVVAEKEKLKVEKEEAVTEISIKVNELQVENSELSNKVKSMIKLLLEKDEEIQAKDSLMSDLQKTRFSTSGPTTPLNSALVEELQSDLNRRKSNATNLESKISELSEEINSLNKQLIEKMKNEPADFVIQAEPPKPLEPPVIKPLPPEPSSSPLEALCKDLQSDLTKYNR
MLPSISPSFQIWHFKGETLNGQRLIEFRLGTEAESEHGLSVAEAELMVRAETAPRARRLRYTLWAFTVHGNSTVGEMAGATEVGGLTGGGRGWQRLDVTSVARRWAAGGAREPLRLLLDCSGCAGRVRLRLGGAASARPLLRLRLAPHAPRRRRALDCDAAGHGRCCRQTYYVSFRALGWDDWIVAPEGYYANYCRGACEPFLNYHSQVVEAARLERAACCAPVRFSALSLIYFGADSNIIKRDLPEMVVEECDCP
MTSPRKFTAKDVRVAIEVVRELPYLRERSTLDLLQAEVNCQRISADLIKLYQEMRDRERPKVQVRKRFSTVAGRDTWCLIEPGLANRPPMWPPAHWGWRETFDRALRLANERTEH
MENRYRSELHPVPYSVNSFVKTVITLSVFALETIRNLKEIDCMHL
MLTRRIHKAFTQLRPPYAALRHIHSQPGSPNSDPKDDARDSTAERDAEKLPTEEEVEANRSAAANFAQNSFIGGAKYTAVPREKGSEPSKEKKQHVRRGQSHGMVAPVTLQHLVGLPTMPIEKNLPQLMKVPGNAYRLDDTFPYPVHPSTVSQLRATVSTMLHPTLHAAHVERLPYLREGIVLLTCPFKGSEIYLRTLATSVAAENNALFVSVTNDDLFERLSGHKVVTGPPLKTDQHGGMYGGGGGQRPMGRRRAPSMLAVQLVPTLGGGGNISAQPQEEDADLNEDVPSVPYPWFKGYQNSKGERLVLARNRSGVTEPLGDAQKLIGDAFTQFFDKIAAESTGRPVIVYYEDLLALLTSEEGKLPDLIASLSKSLEKCRDEGTNILLLAPHTSTFQKTSSQSNRMGGIGNLIKALNSGEEDGPPDEEPGGPGGFNIMGGQRRRPKGLSYDTPLDKYLGVTAVNVFPPPGGELKRLQSQLEDDLRILYWNANQWELALVAGAADVELPLADERLSKLITAPPRPSNASLALLSDRLLSPLEVETLVFLSIDAYRQRKPDGRRKLENNAVLSITPDDFINACSIGSLERITREDMKNGTAYAGVFKNPGDRTRLSQREEQLLGQCLVKPDPAVASFQGVGGLKKTKQTIQELIRLPLQRPELFETGILRQSTTGILLFGPPGTGKTLLARAVAAESGANFLNVQMSDIQSMWVGENEKNVKSLFTLARKLRPCVIFVDEIDALLRARQRHQAHHVTNTINEFMQEWDGLQSDSNGVIVVGATNRPFDLDEAVLRRLPRRILVDMPDYEARCEILGIKLKDDPLGENTPAERKRIIEEVASLTNGWSGSDLRNFCIAAAYASLRPHVESGSDLTVRPSITLEDFKTVLESGDVRPSLSDRAELSKQLKDWDKVYGTGSGGYGRGGSEWGFDLVLQQPTRTSSDSKQLE
MLVIRRINQWTVTRYRTLHCRVSLGSPSPCRISLLRRLSTSLPPPQQRRRREEVSTTQPNPLHRLRLRTVRATENKVDFGDVRSFPYGMDATVWSEWVDVARGTMTQDGRPFRLSKDLRGRPPITPALLLAYTGTHGEVLLKTVAMGLAKALAADFLAINAVEFAAIIAALNTESRTMRGMPPKTDSTDESLVEFVGNETLFSGSPMRTAFFNRPTSMALRYAEHFMTGLDGAASRRVIYVQTPLGLFQHGPILAEVAEMLTARRNKETGTLFIFSEGDQYDPVSPKGLFDLSMTMDPKAPLGEPLKALIDPSAMGSTDMTESDGIFSWMIDSRLRAPRIVVSPPEDAQALRQHQSQINKDQSLSIFIDNYSGILSRLQELSAVGNLYGELLLSLPTANRTEEIRSLLPSLNRRRMSTQEMTAFCLSVPLHSSQLRDDPVGLFAQSVDRFIRLRETQQRTVSFLKTKTDPKLETLTKHEKRLVSCVVTPAMVGTSFADIGALDSAKRTLHELISLRIQRPDYFSKGILRDCVSGILLFGPPGTGKTMLARAVAAQSGASFIAVNSSSIFDMYVGEDSRANSVGRASRVEIINEFMAEWDGLLSQNHGITVMAATNRPYALDDAVLRRLPRRILIDLPDAAARMQILALLLKDDFLAASVSLMDIALRCENYSGSDLKNMCMAAAMRSLRRVRAVDTVGVSVGIAANMSAGPTSERSMKSAEMTTGYPAEVVMEITNADFEGAMADVPASISDRMVTVHELRHWDTMYGEGRVNKSTVQFGFSCQ
MNGQFCLLKDANTLYLILGTKNCPLRFSVYPRRKKGISTKLLTRWEGPYVILNILNDCNARIKNLENNKVVIVHIDRLAKCPEGDENKHTDLTSAWLSYAT
MKIMQVQKRISILILRSVRIFFKIRKDAVKNANRAVLVHNDDSSEMPKQPRGVKYNSLLKYKSVASTPAKALTAGLYRIGFTTYKTGDVKGIFLAQVAAPIKLPGVVMAKTIEDLKNIYN
PILAYRLSTLERLDKIFVIHKTHFIEQDTRNELMTIEHRIYRELFRRQEMNIDDD
MSRIRAELAEIERVSRQMAEGGHQVGQTAQQLRGRIQGMSWQGRAREEFMQRYGQTEGMTRQLAEMMADLSRELQHLAADLRRAEEESLRQSRS
MTDSKDYFNRHADVFSAQYSTGRPDFVERVAVWRQAIDRYLKPEMPVIDLGCGDGTLSRIVAQSGNECVGIDQSPKMIELARALAQKQGLSPLLHYEIATLPYLNNRDIGRFGLLLCSSVLEYVSALEPVVQSFSKLARPDAILLVSFPNRSALYRRYERLKRILPMRRNDYLKYQQHTLNEHEAKVLLQKCGFITEEVHYYGRPPMPFLFEHGSDRDTKTLFLVVARRDDRNQ
MAETNFSGPLLVTRAFASITQPNGGGHILNARSALSWPADRTPYSASKPT
MEERSTAAAAAPSPGPSFQVSKFSSSGNHGKRRDRLSRWEESSKKSKGGGDSPGFQRGRDGKFRGHQNRRHQHQHHHHQQQQQQQQQQQQHDARIDGGDGGGGGDFNHLGMLLVNQIVMLDGSVRSYYSLPPDYPMPPDFRLVFPPEFAPVEGVWTAAAAAPAAPPYHPGVIVDAGYQPQFVAPPRHDEINGGSSQQHQRKRIWHTSLYAGQPSTTMPGWLGTWPEDSDHLMRVPSPRMRSRRGQGIVVALLPCLAVPKILAKDPPGTDETSDH
MSLTGSTTNLVPVSNTIPNQDPQPSYCLSLTGSTTKLLPVSNRIHNQVTACLYHNSQPSYCLSLTGSTTKDSAAVRGAGLSEARGCPRSEAVRGAGLSKERGCPRSETVRGAWLSEEQDCPRSGAVRGAGLSEEQDCPRSGAVRGAGLSEEKDCPRSGVVRGARLSEERGCPRSGAVRGAGLSEEQDCPRSRTVRGAGLSEEQDCRGAGLSEEQDGPGSRMARGAGLPRSGAVRGAGLSEERGCPRSRTVRGAGLSEEQDCPRSGAVRGAGLSEEQDCPRSGAVRGAGLSEEKDCPRSGAVRGAGLSEEQDCPRSGAVRGEELSEEQDCPRRRTVRGAGLSEERGCPRRGCPRSGTVRGAGLSEEQDCPRSRTVRGAGLSEEQECRGAGKSEERSCPRSRIVQGEGLSEERGCPRSEAVRGAGLSEEQDCPRSRTVEKQDCPRSGAVRGAGLSEERGCPRSRIVRGAGLSEERGCPRSRIVQGEGLSEERGCPRSGAVRGAGLSEERGCPRSRTVRGAGLPRSGTVRGAGLSEERGCPRSRTVRGAGLSEERGCPRRGVDQSH
MLFRGGAEERIRTKLLKDGHFDTVIGLPANLFYSTGIPVCILVLKKCKKPDDVLFINAAEHFVKGKRQNQLTDEHIAKIIDTYQFRKEEARYSRRVDMAEIEKNDFNLNISRYISTAVSEEEIDLAATHARLMEIEQAILQANTKHNAFLKDLGLPLLP
MEDRNAELGPGNTFSMNDNSVINLIAARRLDIEVDPGGGTINSLTPGGPPIWVRVGQDGSPGLSDTLTINLDQGNLLRAYVQEVPGGTPQILNNVNALGHVAGRAEIEAERANNDTLDGFAYFRNVRLASGAILDLDRANSSTAADLTLEGLATVSNIGNGTTIFVADVTGTATGATLTVGGANDINLVGTITDAGIDVTNTATTYLLDMTP
MNALGNAFSLLAGGERETWWTIATSLRFSLWSTVFAVIPGVPLGAALFLGRFKGKRVAVAMVNAIMAVPTVVVGLFVYSFISRSGPLGSLNMLYAPAGVIAGQALLAFPLVTAMSYTGLQKLDPRYNETLLTLGARPWRRMIAIIREGRFVIVQAVLAGFGRVTGEVGVSMMLGGNIRWYTRTMTTTIALDAGKGEFERALSLGIVLLGVALSINFLSHLAVRDAR
MGIEQRNSRSGGRQAALRSARVRACGCQQCTRRRGADAVRWALAAGVVPLWRTRQGRGARGVHACTAIGQTGRDRVGRE
MTSGMVFGWGGLRDVWRIPAYVREVNADPRELRALEDLQRTRSRPEFNPSHVSAMTSLGFWYYYLVGALASWILPAPAMPLAYACAAWAMGTAGWAVMSCGRRVACRYWITTGSTVVAAVAASYVPSMPTVLAAQAVCAVAATTTRRWRRDGVFGKEDRPITFRAASLVLLALLALAALSGVAMYKWAIDLDVYSPDPETGEWRMDGEEFAFRLRRGVHSTWTKRGFAGFGDLDHFNSGITAAEARALLSLDRGASAEDVRAAFRRESLRWHPDKYRGDDPEGAREMQHKLAMARDALLPGRVAFDEDLAPFVRYTEYTEVEVPDEREGWGESGEGARTREPEPAREPEPTPEPEPEPEPEKVKYYREEEPDDDDGGEYDPKMLEEELRRAFATYDRDEDGKMARGEFRFAMSKAGLRYTNDEFEKVWSTEFNGKPSLDFDDFARFVAKHDVEPPAGPEHAGGHDATEL
MYHTKEKVHYTYDICHKGDESFIGEWGASAPLTDKEMSRRKTYSYELCNKDISQKHNLLKNMRVHTKRKSYTCDTCSKTFSKSSYLVTHIGVHTKEKPIQLSNLVSPCEKNIQGARSHTAVRFATRPSHINSSLVIHMRVHTNEKPLQSEICNKPSIQTKDVEMRLQLVRHNRVHSDGNPYECEICSKAFSARGSLVAHIRVHTKENPYSCEICNKDFTEKSKLTRYMRRSHVVRHMKVHTKEKPYSYEIWSKASS
MSDRVNAEPGIIMQALADGGFRATGRFRLIFCSAPRGPRQPQFVYRRGNLHPRGEDGEFTAGKALRETVTAS
MSELIQISANELKFLVKRSVEGLGFAPGDQLAAGIKAASAFQLGLANSGLLNQALDALNSNMKAPELIASSDQELVFDAHGQSALVQVELALGLGLARCSPQVRVKNVVAAAFAASCVMNWLTQPLSQPLSQQCYALYFTHRSGAQRCVLIAADGQPLGLYQPREQRVEAKMRVLFHSGSCFYYF
MRFLIFIWLFCSIGASILANPGASYSTGKSRTIGISGKQDIEKKLPGSKDHFNKVALLKIRSVRTEEESESVVAKNTPENNGFTTSLELLLAVQFRKKEHSWIQDAILGLLYPKHHFW
MRGALSILFALTFMLLCMESFESDYSYSLTEGSCHGSKLVQEDIVLPAQHYTVRRKNYSWDSRGIYRISCFRVTNNMPYNSDAAQVRLTGGGLGYDYLRLELISRNSRSMDYTLEIWGEEASRGSYKTYSEIYVSGERYCRC
MIVVSVRSFLASILVFSVVYTCFILSGHKYSLAPITNKLNSFVAQLHPNYTLHDPVIALIPRHRNQTINDKQWKTSTGERLSNIVLASTGSSITEPSNNLITTLTLIPRNQTINDKLWNTTTGERISNTVLTSTGSSITEPIYNLSYLTSPNYTSAPPYQQINMTFTDNRELPFSSHHHTHVLDQEAVAERFRQRQARVQHVCRGRGHWTLPNTLRDWIYYAPKHNILVCVTPKV
MFPPAPTPPGRRGPQHARFSVLLPQAQINLTVRGSSRDPSRARYVRRLRSGSEIGRVPYQTSETSHASTPLLTHCTVAPLSSCPSHTTYPFVAHGYLKLLTLDACKYRRALIRIGPRSACCCSPQDETSGLLVPQGDHKDGRFRLSRAVANNWRFDDAQYHFSHPPT
MRDHFVPCERYPDDNGCLEHWVEAEFHYYLYTRREGKDTSCYLYAWQLKPHRAYVLGVFDTRSQALLFVDLHRGNPLKVPALITPLEQPRFVADGWHQPYVGVYRIGYKSYRVSRLEAGTGLHSVDFLDRYKVQHLGVFSEKESCLEVYSHFDTRLRGCSMC
MTDSRNIGNHGGNPKSWLAVITILIGFTIGGVAFTMGPNWALIWTGVGLCAVGGVLALVFDIFSDVIIEAPRVMTPQALPVAAEKVAD
MKLNSITANITCRIGIHQHSGDANFCTDCGVQLKNVTYKSYLVILRDKRKLLTSALSERHALLNVTLGDPDFPEEEPSIHPCEIKKVIEVTSTRFSDLALL
MEVSLNDTVNQSRRTEYQCRSRGLLQERVDMEKVKAVLSTSENGHFSVIKNEAYNGFYACISALRHAFRWGTVPVVKVAQRDKIIQFPPELDLPWGFIRRRYGITSQGGNVMSNLQCNIDNATRRVAYAINGSMPEPIPSAEYYLIYSFTEPERKALPVYYHLVQSIRCYEKGQRQACLAHLQGISIHLKSVFRIYYENVVESKIPRHVFTAYIQGFHGWAAGEFIEDEYVEYDGTSGAHLVLFNVLDYFLGLEPFFDEQDFMRYFSHSQRRFMASVKAHAFRTAAEQANNFGLTEQFEKIAKQLRSWNYALNTVILNPPANGT
MSQAPYPPYPPFPPYPPFPPYPPVVIGAMAALCPCPTPAPVAAAPAPVPAPAPAPTPTPTPTPGGGGGGGGGTPPPPTTTTTTTTVAPPTTTVTIAAVVVIA
MSCFSAIISYYSTDSYDALVISGGMGEGHIPADAVPKMIDVVKKGGHVVIVMRKEYLTYVLDYKDKLLPLFHRLEEEKKWKMISDKEVPNYSFNKTGIVFIFSVL
VFRCGGLLLLAGGRTKTWLVGNKLVTITTSVGTGTRSLLGLDHGERHNGAESSSDHSLQVRQSKEAPAKLESQAGPQVVRTRNRVRSMSGGHALRVGALDSSASHFSAGTGPQAPPSSPAPAPQTEKANLAAYVPLLT
MIALPFLSPLMWRRACALAVAAACGAAQAGPTVHCEVRYASQTWQVTAQPTSDPLSVPSVTLNKRFAFKAVARGAGERIDQVSLYAYDLEAEGAPALIHQQRLSPPFASGTQVPALTGWQHVYSSVLGRELVYGCALRQGQAAALEAGPAPVSVPVPEPLSPAAA
MNLSDSEEFELKPVSQPSVTPTPEVVVKVIEADKMQTSNDLLALVLASSKFLKTSDHPNCTTSGKPVFRFCLKVHWELLSAVALSRAT
MVRQARVLAQATSDLVNAMRSDAEAEVDGAAAYPENEDQQQRLREAAEGLRVATNAAAQNAIKKKLVNRLEVSLQSRGVLLSGMFDLQSQHCSSFLHGNKDVT
MKISVTKMLQEDRSNKSWHSVALDEWAPDNVFETVYFPCLNQFSDYDVALKAFRRIRRTIDYARLKNPGIRGVLGLSTHRHWTPYRYETSPRGGKPKKVFNPAVRYKTRPHLHLFLYGQGARSLTEKISKRELGDEFNKHKIQVYKNGFPRSYVIQQSDCFREWQ
MHLEDAEGFKVVEVFQAPLHDDDGMLWSTLSRWPGQTGELRPLGEVIKPGDEVTLVVHLRRSGDRDDASMSGLTINYADVDGDQQRTLVTDVALQVAARC
MTYSFKKYSSLFALVGSGTLLVFSFMTFSAYAQDTLVSRDVQFIFTPPSPGPHETVRVDARSFSFDMETALFTWVVDGVTVESEVGKKSITITTGPVGSETRISLTAIPKTGRRVEKSITIFPGSLDLIWSANTYVPPGYQGKPLPTRGSTVTVTAVSNIAAGFGLLSANELFYEWRLNNIIQPQYSGRGRSVFYFTIGTSPNIEHVVSVIVKDASGATTQEKRVTIKPQEPRILFYEINPLRGPQFNNAITDRFSLTSGQEAQFLAVPFFIRTPLSSFTSFWKINTDILPPDESDRDILNYRSDSGSAGKQTISYEIENPSYIFERARNSFIINVQ
MKNQRIILLLALIVLFALTGCKKKIEYVDEEHIFGEWIDEEKKTCTTDGILGHYHCSHCNKDFDQFFNELPSIVDKATGHNLIFNKEIPATGWSLGSKAYYECSRCGHIYEDDKATTEIPKTELTLPVKVVTVSEIKDCPDYQAVVTLRAIVVGATSNSDGGYTYYILKDLDSNETLCLRSCREDDIPKVEPSSCIKGYSYAPNMVFPLGSIVEIPVSYQINRSGKGGETNKGYLIWRGDDYEDAIGYGYMLEWKAQYIVGYTDDYAINHDEVNINISSQEDLANFLSKKGGFQNFTVCFEGTPENPLKFVTGVVKEEAKGDINREYLYFYYGDASSLDEIRVNGTNPVFSNFGNTFNMISPLSCILAGQTQFEQPDFSKPYEFVGKIYATCVGGNSSFYHFVVLSEDDIINEGGNGSHEVIGSKIAKNTFYKYMEEFAATLGIDVHGDITTAVGTTNIITTSDLCRIGIKGVHTDLLKNIWNAMSYTGEIIDGNGVARQVTVNNVVLNKDDCKKYITPYYTIVGTKSGGLNYENEYRSFINNLIMVVEGPDDTYIVGAIANQSEDAASRTYPSMKALFDLLVAKYYGQDTTEIEKDIISMAAAGVIIPKENCEPDGYDWFGPNSKYINYTKNAEQTITTASCWKTLTACTALSYITEADLQKLIYVGSTELNDIASTPTFYGNEWITFEAALHYMMLPSSNVAPNVIARAVGEMMLRERLANSN
MTTSRSSWSGERSTVENSILSISSLSNLFLCFTFQ
MQLIVRQDKLSIDTEGFPNIYPLGQVSSRAVFNVHLSDDIVKFRCLGLHRMGQRRQKNTETKNDNLKPCFEFLVHTNFLS
MAALTGTLAGTRQGMISFTQQNEQEADRIGIQVLQRSGFDPQAMPTFLEKLLDQARYSLASAGNFIDSPVAGKSSGRCPQPC
MQQQRLLSAISQQRYQRDISNIQIRDDLVQRFEFTYEISHKMLKRYLEHSSASPEQFDQMPFADLIRSDNEQGLLQSDWPVWRGYRDMRLAYWLITIRQRLTIWLVLVITG
MIELSAFENALSSLVRVYLRSQREAADEDIRDACIQRFEYTYELAFKMLKRTLAQSLPSSEELDQMGFRDVIRLGARNGFIESPQSWFEYREKRNKTSHTYNEDTAIEVYTVLGRFIDDATTLLALLKKPDA
MSTEVRWHYRFRNFSRAYTLLREALEREVAELNELEREGVIQRFEYTFELAWLTLKDRLEYDGVVLATVTPRNVIREAFAAKLITDGAAWTDMLTDRNLMSHTYDFARFETVIENIRNRYLALLDDLYQRFGLEALE
MNTLTPPFESSGWSMSAQLLAFTHAVYICWENTLKYSILFKMYTPQSNFVYTETRSNFGTYNRYKNDFVHVPFSSSLTESRNSLFNEERCLIIQNLNLSSYSSTTMQETGSSALQNLLYGDNSKFYTQHVPSLEQPCDLNQRDVSDRFGLFGEKPKLNCHRYDKQDTDSSFCEFKTKTCPCVPDCYIKPDYNESDRCDGIYGVEVNSSKFLDTSFFFNLSCGELNNQQLPQRSSGAPSQFPLTRQPSFHSNFQTKGCTQNPIRLDKLQLENQNLCRSLKNSHTDLLASGRRCKCLQNSAVFSSSSVTLSACENKFYLQDTQNFGRNLRNIPGKHGVKRLIKPYVLDSDKVRGNPCFSSLDPTKPTDSDSELSVALEHLENTGLNTISEDQGVETSTASSNQSDLSTPSISHTLQHPTSFTTHYSHSTYGASPTPCLTSIHRPKTTDNSFCTTSSKTLLSSNSLYETSAINKANVDSSTNPAVKRGRSPVLTSTATGRVKRIRRHVPHAMRTPAAVDKRNSRERRRIGGVNHAFEILRQHTPTLSHLERASKISILRQAQAYIRELSAILARKKVYL
VAMPYLVVSVEGALRSARDRYEVVAATLGARPTTVLRRVTLPLVGPAIASGAALAFARALGEFGATITFAGSLQGTTRTLPLEIYLQREVDTDTALALSVVLVAIASLLVAGTARLARTPSVAP
MKLRKIFMICFLFIFTLTIVTGCSSSQSSTTVKNGKASSSSKNVEIEVENAEYVLPLSGSMGGINKDKVLKFKVSLKNKGDEMLEISPYLFTLYQDDEKMKKYDKADSDKLHLIELEPGKKTSGILYYEVNKASSYELVYSNEETKKRDDETEKVSFKIDTQEIEKNTKDLNKPAEALKTYINAVYYDKDIDKINELSGEDGKQFTLNIHQEFKKDAMSSTHNGISDQEFESYYKKLKSVLQEKVTFTVKSVGSSPEEDKVEVELKVKPLLLSELQPKLNKENEKLLKENLGIEQPKLFSQSFSYFISILPEAKVSDKEKVIKVEMERYGKEQWRFSKGKVTDSEDVMEIMGEFLKQ
MKIQDQINYVNNSLSIIKSKVKAVFGVNLNIDEINLSAPTKHNSFYSSYVIDAEQEVARVVDRLTDQLQRQNIIKNVDTLDDWDDAKRFLDFVVDKLQKY
MGKIQRYLLYLLPWWILVTLFSTALYLTRSEADRARQELTYAERIESAVNLLDDGIARLRRDTRLASQMAESYLAANNLASLAEFFRNFLIAHPGYLQARLLDDSCREILRLDRGPNGPRRTPEEALQDKSGRYYCSEVLKLDAENIYMSPMDLNVEDGKVVEPHEPTLRAAKRVLLPDGTPRGIIVLNLDSRPILDAFKRSGSTNLTLLNSEGGWLVSPDPADEFTFALGAPEHSFSRKHPDVWEAIRNAPDDGGLTSKHSGTWVYRQINAPDATDGNVFPTWYALARLDPAQTPQGGSYEKYMHYFVTAAVLLALTIISTLFARSNTRRVELADALAATNAELKASLDQLHESLDERVKSEKLASLGLLVAGVAHELNTPVGAAMLTCSRLQEQMVTL
MSLLLKPSFSFQLLSQLFRPSCSSRFTRTLSAFSSSSCRPIFSINNGTISSQSILLKKLPSTTSLFQQPCRFAHVHYTYSMILERVMLVLRLYDKINPEKLHLDSSFQKDFGLDSLDQVEIICAMEDEFQWEIPDSDGELFLTPRHIIVYLCDKFDVYEHVVPESSSEINHSTTHH
MHRTLAKNVIVLEDSHKVSFGGGQKGTLEVMEALSSSYHIYLFDSTKQSGFYQKAIQRKYKVYQLYSFGKIVGGKKASFSIGILEVLLYPIFTFLNILKLVFFLKKRKLTRHNTVVYTSAKKMLAPTLFIHKIFKIKYVYHARNYYDRNSFYFKVLTFLLSKATLVISVSHTVLQNLGHPRSIVIYNPIKLNTPLPSPRQIDPTKVIVAAFASLIPWKGLEYFMKSFSFLRNKNNVQYHIYGKGQEQKYLSKLTNDQVLLKGFTDKTEEIMEGQVNIICVPSISEEAFGRVSIEGFKFGIPAISTNIGGQKELIKDNFNGFHVPIMDPKAIAEKIDYLIENPTIYTELSQNALAYVKEFDYTSFSKRIKTQFDNIFSNQIS
MAERRVAGDGRVMLLALALFLGLAAWIIGHLSSSREPYQPPYSSYSSNAAGVRALYELLAARGNDVSRHLLSEHEYPQGSCVVIADESALNPFKMLMNPLDVKALELHLRDGGSVVIFCDDNMAFVSELDELLSSLHAREEDWTPGEAAALAKRGAAGGASGSGTWRGGMQGQQFDMAQSRPPLLQGVDKVEIAHSWNQPQFGFEPLLEIEDSSSASGFSPIVSFSSLEGGRIVFVNAPELVTNDWIERADNHRLALALIEGTAQGAPVLFDEHIHGYDAQRMTAGSLLTHSTGGRLTLVAICCILLMFLSLAILPARIQPSRTPPRRQASEMVLGQASLFQRAGFVSGSLRHIVDGLKHELLHGHYYGHLPSDTELLDWARLNMPSGWKIDPQLENYLRGGGFPSSNHALMKASLCCDAMRRHAWQRPG
MSRNRMGSLRQVAEPDPAGIAASLLNDRPEVQWTLESLAREVHISASQLGRVFARRYGISPMKYLTRVRCVQLATLLARSRRPVGELMHEVGWRSRGNAARQFRRITGLLPTEYPDQSQQRARPVTPLTDGHAKCPHCGQEV
MADAVHADLTRPWRLVELAEIAHLSPSRRRQLFVEILEMPPIAWPTLQRVRAIARTISATDTTTRQAAAEVGWMNQAHAAKQYRKLTGRSPTEYRAAYRDRGVRTSPWCGHVC
MRIMGDRDMRQLPLGDRDMRQLPILSGDKDIRQSAADQDYRTLVNNRPFDPRFRNMQGSDSAGSRGSSFDRMRTGANSDPRTAFEGRVAAAARVEDPRKALSAASVNSRAGIVSASPASTVGVSSSSTTQPVHHSVAAPAPASSALAPQDQEKAALIMQVLQLSDQQIAMLPPEQRQSILVLKEQIARSQIS
MTQEMGIDPDTMDVHFINGVVKISENVANIEAEVAAKKLQPTLVIVDTVAAYFEGDDDNDNVQMGNYARLLRSMTNLPGGPCVLALAHPTKRAADDDLIPKGGGAFLNEVDGNVALRRNGQVIAFEALGKFRGPSFEPVHFELVTVQPPKLRDTKGAPSRPSLRCRSRISALWSVSGRTSVTRTRCCALSISTPQEPPRRGEAARNERQPRVPDRAEAGAAKADPRGA
GTRSRLGDRERELDRDRDSIFRIRDHRRRLETSFRDETLKSLERDKIETTGCDVGKKSNLPAQNPLVIGEELQYWTDKDGDQPLFSQIEAMHSDLVAVGRDGRLYSWKWTESEAYKNPENTNIHHPKAAALGLVQEKITLLSTCMVRASVLTESGKIATWLDESLSSVSSKLEHPAQHFSEFQSDGVVSLHTCSLYSCARLESGALYWWGVMPFTQRKKLVERSSKKKK
MMLRIWAIVGMLALAPAAWSQLEIQVTRGIDNPTAIAIAPFAWDAVGAAPVD
MSLPKDVNAADYNNPYILQMPELVMKQILEHVDLVSILKLRKVCHAFRNFIDDNKSGGVMKKILIRVMPDALRVQLTSKDNFYPKCEIIYIEKNDGCSIAMYKGVNTTGKYFKNGKFFGFFTEDFGFLLRNQNMKMEEIEVMICTKAYNDTNGKPKKENVKKEFVTSFYDWLTTVLKSRETPLQVKTWDLDIFGRDCLMKLLPNLGPVQKLNIRRIYVPEEWFLNKEPDRGIDLEGIIDWKNVGDLFTSGFFVTSPLRVVANVLKIETSFITVDIKDLIIWKETFLTNPLK
MSDPSSIFAPDGPLAAAIPGFCARPQQIEMAQRIAEAIRGNRVLVAEAGTGTGKTFAYLVPALMSGGKVIVSTGTKTLQDQLFNRDLPTVRAALKVPVSIALLKGRANYVCPYHLERNERDGRFLTPQDAADMRAIARFARTTHTGDKAECTDVREDSMAWIAATSTRDNCLGQDCPNVKECFVMQARRNAMDADVVVVNHHLFFADVMLRDEGMGELLPACNAVIFDEAHQLPETASLFFGESVSTAQVLELARDTRSEAVAGARDCVALIDETRALEKAARDLRLVFGTESARLAAAQAADRQGFNDMVAGLGAALDSMQAVLDTQAERSEGLANCLRRTEEMVERLTRWGNPEVKDLIRWVEVFAQSLALNATPLHVSDV
MDNEVAKDSTANVMIEALFNTFNSTLQNSNGNSNIERLCTIRMILHCLASLTKRKQMRRLIARMVTQNEDDTNVKNESNQNNNSLMSLLVFFTEISHVIKNITLPIETEYREHEKQKDRKKMKKCALQLATCTLSLDITSQIFQNGDENTLSFDQIKYIFKQIQYLPSSDPFPKFNLIFTQQKQSQFIENKTERTQTKLILNLGDTIDIIHHLLIIFINLSLLTRIKYIFVKPLDQQGQIVKSEQNDEQKQQSQSCLDSMLLIVSSMTNMLKISERKGPKLYNWKRREKQRKMGALQQVQAASFATPRSDAPSFATPRESFSQFSTPRIDMSHNRLNPPSTLNSTRSSPNYLNSTTNSEQIQNKGQFNTLPRIDSRAFDQSDDEDDDFDDDDTIKESVVSEKTGKQYIQSPLSAQDKLQDESSDYFRSILPPPPLFGQVLLLLFRLFTNLLYHPQTQQILLQQNFIDLSAQALKRHFSMRQLWSGVTENQAIEEDDEDSQIYESVKYSLSHAQQASSTSPQNLVALFECTVFSCISQLADQINISVNGNLASSLIFQTLPSSSSQAMLSLSPNALSLLNQFKRANFVAFVTSLIKNALKAIEREKDEKTEIQQNESSLLPLSGSKSLDLLCSSASIFLIFSADAVLLNSITPSVIFAIPAQIPPVPAPVTVPNLPKSN
MKEVSILALSDLSMDSRISLVLYLLSSPGTKNPDAKITPSGVNYIINKIENKIQYVIKKHKLLSIQTI
MTSRFYGERRFTDYAITRRLSLFTALFLAAVSIVIIFVARDNLGNLFGTSDEAALEIAIATPVFLVGMFFYAHSRICSSAFYSTERAPPILRLHLCRTYHANRFTFDYSKILLTYMCMVLSQILTACISFYLSKKNHVKRDRSKGE
MVSINFQSRSRARRKWRHTGYGKHTMEALRRIMKRLMRRLKMLKRLLKKFVWNKKIDKLVYYHDMFMKVKGKVYKNKCVLMENMHKSSRERKFSGSEMRLALEPEGEKTASTPQ
DVNGTARVSGDALVNGLTTGKGGGNISNNSAFGVSALSTNTTSSGNSAFGYFALKLSTGEQNSAFGTISLGVNSTGIQNSAFGSRSLESNTSGNSNSAFGFRALSGNTTGSNNIAIGVESLTSNTSGSNNIAIGKSTLIGNTTGIQNIAIGNNSNATTDGIQNTSIGHAAFTANSSGGQNTILGAFSATSNSTGTNNCTIGYNSFPNNTTGSNNLALGVFAGKFISGGSTDNTISNNSIFIGYDTRALANNQTNQIVIGYAETGLGSNTTIIGNSSTVTTAIRGRMLLGTTTDSGSYQLDVNGTARVSGNVDATSFNATGTISKTSGSFSFLDFAGGNGRLAAYNFTTNQWLPIVMGDSRLVMSNSLGSRTERLVGATAAFTGDVKMLSVNTFFGTTENNGIFAPSTYSNANYPVVENNSIGLIAGIASVDNPAFIVAQKISFQIGTGVNSKVAQFSPTTGNFIIQNGGTFTDNASALIQMNSSSKGFLPPRMTATQKNAIGTPASGLIVYDTTTNKLCCYNGSTWNDLF
MTVQADGRILLAGDADGQGLVMRLTSAGVLDTSFGTDGDAELAVGSSTFLAQVRVQADGGIVVSGHASAGKSAALVARLQSDGSTDTAFGISGPGYTTITHSTGTDRGFALTTQADGKLLMSGPAADNTRFATARLTTTGALDTSWNNTGPLGGSVAFTENGSAVVLDNDVVAYDMELATAGNYSGATVQIERSGGADAQDVFSATGALGALIEGGALVYNGSTIGSVTANSGGQLLLTFNSSATQANVNGALRLIAYRNTSEAPPASVQLQWTLADGNTGTQGAGSNLAGSGSTTVNITAINDAPVLTAAAPAMAPITEDDTANGGQTVASIVGGSVSDPEGTAPGIAITATTASLGTWQYSINGGSSWGPSARSATPRPCCCAAPTSCASCPTAATAPAPASPTAAGTRPAAAPAAR
MPIISQENLPPLSYNTAFENCNMLEEKIADFRTISKAHYKNDLKNNLSVISLFGNIVMPRSSAKLVQKNKSVVAPPNHEMEVDNTAKRKRRSRKRKNNREVDDVEADVPTSCASGKESAVDFSPRPEVNDEEDFDDGSDVADDLMIPPFVSSDVERIEDEEQDEPVLYGKKKKRRRKKVDFAKNHHGELYDMLPLRYLRKPFKRKRKRKYLVPNTSKWFVRFTEAVQIPKNTPRTKWQDVVYKSPFESAFKVSKISKEFQLPEKYVEFNQSGRADEQSDNCVSGKNVRSYCYKIDDMDQLWIDTENAKRLQSGEKLFKNADFLEILDVFETDVYLGKTKILLQQVAFNRCIFDCSKEAACDVCYDESCADDDNILFCDGCETIVHQKCYGIHNIPTDEWLCDVCLLTKKKPRCIVCPLHTGAMKRSVCRSGFVHISCALWVPDVVFDDPDNLEGINFNLRRKNLRRALEICEVCNVKHGGCVTCSAEGCKNNYHATCGLRTGYYFNIDKEDEDDVKMMSYCRKHTIEKLPPNTLMYNRFIRNSPQISSDELEQHFTLFTDYENNERLKDMNKELIIDVYEYWKTKRLDKGGPLICIGKVFEEFVPVFKEEPLKKNEIRLRLEPNASRMAADNMRTLMWMVQDRESKKLAASRNLETLLKNMINDEQNAQNIEEIMEVVTNFLDPVQPAPVPKAESPINWTANDKKFDFKFDVDEYFKFKENLPKESTIRELAKKKQTVSDYNEHFPVAGKKQVKFADDIETIEPSTSKISGQQKKSKGFKSRRKENKSNDCGTDSDQDGSKIVKGCRKQNEPSTGNLRNKKRFWKIVMIDSGQYQEEMEVEKVEKVSVKKSYQPAKIVLRSSHKK
MIDDATLDVLREECAACFGTAAVWPLVEQAYREPQRHYHTLAHLAELFEQLLPYRDAPHRLAIELAAWAHDIVYATTLADYADNEARSASWLAATAAALCPPAWQREHADALRFACELVLATKSHRLPASALADPSRRQAAALFLDADLAILAATPERLLAYDREIALEWGQTPAAPSAPFRDGRLRALMQLRSQTPLFLSDEFAPLTTVAHVNLDRLIRLYSPRPGD
MDSSRFHQLWQRNVSGGNAWEPGRVFDYLADLYAEPIRNYHNSDHIELCLEWFDRYRDLTVDPDAIELAIWFHDACYGPEPIGHENRSAGLFRKLSADGISKDRQDKICQLIMDTTHQQPPSNDDASLLVDIDLASFARPWHPYLKDTARCRAERSHLDEGELCRCQIDFLQSLMSRPYIYYSQAFRLYHEDQARQNITKLIELLSLRTCS
MTGVTEESAEARRLRYWGRIEPAHEPDAFAALDSAYRQPHRSYHSWAHIDELLAGLERFGALATRPDLIAAAIFWHDAVHLARDPDGKTRLDRQNVRDSVAAFRRHTTLEGSEAQAVEDLVMATSDHVGARATTEHYPGFAADLDLFVDLDLSPLAAPWEIFAANTQKIRSESVGVEEAEFAANQVKMLEGLARGDAPVFRRAETRAAWDATARVNLARCIAGLRAAGR
MTSLFDVGKHRSDPDADNLVKHILDMPDGDFQDLIESTAEGVDIIPSHDMLSDFTSNLEQKISYETGMQNMNREEYPRFELLYDLLWDTHQLNEEYDAILIDPNARAEDLLYNAIFALRTLIAPVKPAGKGNLSLEGLEELVGNMGTQLXLIAPVKPAGKGNLSLEGLEELVGNMGTQLDIEIGLSCVIPSGVGQTNAHQQYQQQFENTEAFATPVTIGNXNNISNSLRIRKRLRRQ
MSMVSCQDQPMPKAARSTGQAFDLVGFLDREAQALSQSNAKVKKTVLEDGQVKETKVLQSIDWPQELGTFADADLNKPALLGLFAIEKTTNAQGQQVHHYTAKEDADAIVKEATYVLDEQGRLVQFKATMLQKNFLFTTHKQLVVKAQPGATPALQAYSLDESQTLLFSNSERYGVQGEIVR
MLLTQCVVFMTLVASVLNVFPQRCFVGHEIICPKSNITSLPTKLPGVITKVLLQENRIQTIHDLSFFRHLVILNLRHNLLTTFPWESINHLRRLANLDLSHNLLSYVRLDLASGNLQSFYIDLSYNKLTTFSEANLGIAAVLRLRDASWLHIRRFIHGNQIHCDCRMFWLSEMASAFDKRLQAIPQWCPEDRGPLYADFCSNGVPKCSSPGSLKGVPIHRANLRACSAKQDVSTDNYNRAKTELRVYKTDAINTTTTKLPKTEKHTSKASKTTSVNPVTVIIILDCGKVMV
MNQQLRAGPPGPGADPRVPHSTPPFPPAVRGRRGGGRANCACATRAPLSLATLAAPAQVGVSRAWPGRSARAPGPHPQLPASCSLSPPRLAPAPALQGPCPSSQTPAAFSSSSARARAGSEWWRRWPGPCHAEALFRQDGAAGVPRFQLGGPGEARGGNGLAAPSSKGRSPPPPSRSRPRAARAPPAPRVPRAPPGEDFAACWRCPAAGVEDSGGRETGDPVSGLSPPPSCLRSCKAGEGKGGRPASREPKVFHGQ
MSATKWETVTKVVLPSAAPGILTGIMLSIGRAVGETAAVIFTMGSSLRLPTSVMESGRTMAVHFYILAREGISMQKAYATALVLVLSILFINIIAYYIMNRVVSKYS
MAAGVAKLTSSEKIYVLMQCTPDISQSDCSDCLQNSVTEFRRCCFGSQGGYVQKPSCFLRWDLYPFYQVFSESPGPPPDFITSSPSPTNTTSTINEGKGNNSPRNLVAIIVPPIIFFAFVVLACTFFYFRKPKQDVERK
MKKFVLPAIIFLTTFWIYFGISTQWSFRPKWVLDYFNPLAESLLHGRLDIVNPPVTYDLVHIGGKWYAPWGILAALFLLPIQAIKGRFIPPLYLTLLFASADVVVFYLLLRRIRLDFLAKLTSASLWLLLLLFAYGTTHVYVGTLGSVWHVDQMVTNVFGTLGVYFIFKKKRTINDYLYSICSFGVALLGRATLVLLVTLPAVLYTWDYLLGIRVSPKQRKQAIIRGIFLFGIPLGFFTVLFFAYNRLRFGSLFEYGYQFIVES
MYWVRDVASGLEGSGRVICLFHLHSGQWTVHGGVPPQVPEKLPAAKGRRLPWTRGFLKTLGLSQVTALERQVFDFLGYQWAPILATFVHIVMVILGLLGTIQYRPRYIVVYAVWTAIWVTWNVFIICFYLEVGGLSKDSELLTFSLSRHRSWWHEHGPGCLREEPEAGLGPPDGPALGPGVGCVLERGYAEALHSALQILLAACISKEAPIPPVCDLQAQGPPKTVGRLTWPPYSQGPAGGQSICPRGQVMGHQPGHHGQCQASDGCNFKTIYNFLFLKKQKQREDTEASSSAGISVTHSRPGTAVRRAHPGCLRQPQAPRGCTPRGWGRGGHRDFETGKCDPTTKVSVNPQ
MRRLFLQLLVPKLYWLPLSSSLWPRPTCPTRDLGRQLVTYIKSVFALARLIVFKVPSRFVVPPKYENLIRIRRQRRQ
MKKLIAAIYLVGFLGGYVEAAPNDLYDPNDPTGNISLINDIEVESIPYDLTNLHESSYTNLYIYNFTIDNNHPNGFIIEISSNNDGVLKNENPGENIEDADTLSYTISSIHNTEDQSNSGGENQTYWGFTSSYNHQNLIATSRSLENPITLTFSGATHGITQATRNFKYRILISTNSKRELFKGDLTDEITISITSIE
ILLELIGFGLAPWGFGWISGLLGFLFAILVILLGYKPIHYTPVFLGILGILLLIVGILIGGVIVLIATLIGAIS
MQWPNDKDWALHKHNIWLLYIGENLPLKEVIVQMRSRHGFKGTPKMYKSRLALWGFTKYKKRVGKPTENEDVSSVTVSSSSPLSVAAVRRAFSSRTVSPATLQSPLPPSVGESIFRLVSGYYRASCDTKLWYTCESGRFTTSKDVARSASNPDKFVGFWTAGLSFAKERDFKNTRQSLSNACELIPTMVLAEHPATMRAILELLLLYNREGYYELAQLVLQQIYQMAGLYLCHSHPLSNICTLFLRVEPSELDELFE
MNNQYQLVLQWRGEAQPPFDDLIDLEETLIAGLNGVGDVDGHDMGQDESNIFVVTDTPEHCFQRCLLLLRSAHYEQGLAAAYRANDSETYIAIWPEGTINFHLT
FETTQETNLGQREAEIRDLRQQVRAAETEAREAQRQAKEETEALSQQLEQAAREYKLKLDDLREALDRQLKQLQTTEAELQHQRKHYEENLGRLTRETEDKIKTLEAEKRRATEEAARATLQLKQEEQRNATEVESLQEQCQNLALQHAKSMAKCEQLAKQAEGVQSDCERRLNEYERLRGDFSSLEETHRGLLVEMEGLNRAKEQAEAAVAELRRQLFTEQEKRDQMRQQIEAVEADAKDADRAKEEAQRQSRAVAAELAHAKKAIAAKLTSAKKKEEKLKSSMRVLLDRTNQVMTERNGLWHTLLKVKDDYGVHAKQIESQAAARLRLPTCWASPINTGNLPSNATAYPAMSQGPTRLPSFEPVGNPGALPSHRVSGCAYASGLPFFEAAANAVSNNSESWGLQGSGPAPGALPPPDAMGPGTAMSAPTGSSAWLPSTLTTSDSAEKHTSAGPLNQDGAQLPAVAGSGFVDGILTGLNFGDSSGSPMLPHSETECLGVPSLFPGYDM
AHPRRRPAGPGRRRGVWGGRGGGGARESAGRDGRRPGDSGSRAAAAVWPGVAVPAGGVHGPRGPAGGHADPRGAGLRRPRDGGGGVVRPRAARRDPPHPLRHSRVGRLQLLPRRVPGRLRHPPRRALRPPPGRAGRAGGVVPGEPAAGGGGPGPAVHARVDDDAPPRPRPPRRLRAGLPDRRRRAAG
MPTKMTAMPITFSAMPGFCTPLCPSGLPLDGKVLDRDQLFEHCCCVTDVPRNI
MKKIKHLRTIFWAFLMIMALGLPLTLQKDVLAAEEGSITVKLNNLDTPKNDVGFIAYRVGNWSGSEGKWALDDSLKDTGVELDKLEYATDWDSAALKLASAKGLEKIDSVSGKTDESGTMKLSELPWGMYLLVQNSGESEYGTVSPFLAAIPYVTDGGRKSDLTVEPKAQAPVKEADGRIEVTKKTGYMDPEALEVVDLIPADAVYYVGIFQDKAGKVPYGTDYIKEIHMKGVGTGTAVFENLQEGTYYIFETDSNGNAYIVDEVQADAPYTWVCQLENGSSQEVTLDGKAETPAGSVAFYNLYYDLPSGFFYQGSINIEKKVIVDGKQKTVSDTFYAGVFRDKEGTDLYQNIELVQNGTVTVNVPLGGESGDETITYYVYETDKDGNLIDKDSFGYVVSGEGKAKVEQGKMNTDISITNTKKSRSGGSGDSGDKKDSDGSDKKSNGVKTGDNTPIVFYIGILAAALAVILVLVGNRYLKGRKRHE
MEFTFASLKIMLIIGLNLMALVIVCGGCPDGHTYGFQYWHKPRPFVEYLGYHGALGHFMGFWTTFSNAVYAYSGIENISMAAAETKAPRRNILIAAKRIFFRVVIFYTLSIFMVGLLVPSDDENLLRSTGDAAQSPFVIAATRSGIKIVPSIINAVVLTSAWSAGKTGLLGGSSRSCKLSVTRSSEGHREIRSSPFRSKRCRRINGS
MSPGERCQFNDVRKDCGENGIQDEVVPESTAPDGNCLRNGNTEVFKGKRKVREQCENRRRNSSSSRRSRQLQNGEVVEAVTLFEVISMGKRVMQPVVDDWIEAYKKDRDVALLDLINFFIQCSGCQGKILFLHYKERQ
MADYDDIASEYDATRGGVARAVEAAHAIDRLLPTRSTVLDLATGTGIVARELADLGHRTVGLDMSAGMLALASPRLPGALVRADAAALPFADGTLEAIAAIWLLHLLDDARPVLGEVARALGSGGCFVTTADKRAASRLSLGRVPGEGTAQDAAALLVRECAAAGLELAGATSFVGTGQTGPGRDPVYPVLAFRRA
ACGTGRLLEWASVGVDASAGMLARAQARRLDLPLIQASATALPMDDGSLDAVFSLHFFMHLSREKQAQVLAECHRVLRPGGVLVFDVPSATRRRLLRQRPAGWHGATSLSFSDIRAATAPGWHLAARAAALMLPVHRLPPAVRAPLRWADSLLCRSPLMPLASYLFVQLNKR
QNLITTNKKSGLVVYSLEGKMLHSYPTGKLNNVDIRYDFPLNGKKVDIAAASNRSEGKNTIEIYAIDGKNGTLQSITNPDRPIASAIDEVYGFSFYHSQKTGKYYAMVTGKEGEFEQYENN
MAGAHLGQGLLVQAAFDLPVRSFRLLRHKERHASKAALTLEAMCRG
MYENQKFFEKKAAESSEFVIDLDNDQSQENLSPQKLVEIGDCFVYDEAGNRFTMNQLWSEFKTIFVFVRSFLCFTSKEYIEDLALIQREKLKEAQVRLVVIGCAHWKHIRNFRKLTKYPYLIFCDTDYDIYNRLGFHKNKDVGRPGDSPHVKSTNFSGYFSSLYRAVTSSSSFDYQGDLQQQGGSLIVSPGPVVHYYHADATGRDHTPINTLLAKVGMKPILFNHNNQSEKQILNL
MRSRRTVGTTLAILLALTIAAVVPGGVPAVCRRRVLLVLVPDRQRARLTLLLNRWQRRRPIRRWRSCRGLPATAMSW
MNVIRYIAFMPLIIAFAACSGTESDSVEDDVLVRVGASTLTADDLASNIPYGLSRDDSVKFTRAYIRTWIDNKLVGEIAARNISDTKRIDKLVEDYRNELLMWEYRRQMYAEHAAESIPVDTLRAFYEANKSDFKLKSPLVKGIYIKVPKDAAGLSDVRKWYRSDKAADIDNLEKYGLSEAIHYDYFRDRWIAWEEVEAKIPFDFGGSPDAFLSRNKTFETTRDGYVYLLSVSEYMPSGSVSPFEAAAESIRELLMSRTRVDYDRELRQQLYDQGLKDGDIEVHVDLGLNDAKQ
MQLRRFIAAILTGALAAACSSNAPDSAPPAIVPGMVHIHGLGINPSDQTLYVATHYGLYAVEPDQAPQRVGDLVQDFMGFTVTGPDEFLASGHPDPADRQQPPHLGLIRSNDAGQSWESLSLHGSADFHALESRHGRVYGHDSQSGTVMVSLDEQSWQRGAEIPASDLAVSPADADEILATTRQGLLRSNDGAMTFAEVSAAPALVLVSWPERGPLLGVDLEGSLYTSNDNGQTWRPRHTLNAKPQALLAAGDGQVYVATDSAIYTSTDDGATVNVLTAVE
MPAADEPVVVPVVPEVLPPLLADDLHFATWAVCALVSFFAAIILFVALTPIDFSRHAFTAGSTTCAFALPSVTNKPTPETITRTTAILRSINLLACYSNV
MSQQSSEPQQQNTQFQGTTVPELEAWLQQHGVNTSLYGVGASKPLELLLEEVAVGETILSALAGTASSSFLSSSSSSSSSPGSPQRTVSVVNVRIRNSTGQTLYEATQILPTGIGRPRNLPLSEKMLPSESWREAATRGIDEELGSILPPKAEVVLDESTYMKTEEMKESQSYPGLQTNRQGMHNVHGKLLARNGKYLTDYDAAMLLEGVYIEELGRLAAVAEPLVAVELSSGISNSTSPKNGKSSGASSNGRNRRGEIEDIIMQSAALRKAAEVFLSLSESEAEALVRAEEEEKARDSTVLDASGKNSTSSPCLFYLELNFQRKMIAAASGVENIDETIDGRSSLLALPPEALTGTATTSTAIPQTSSSISVSGQLRTLRCGFSIAITAAPVDTAAYTAKATRYSTMIIIVGLLQIALTLRQLEASSSNTAASRMSLLSLGQQAVQDAFLCLLHLTLAIMVDPLFNSFATAACVQFCLFGIFELRMLLLTWRARRRGLVDPWTMQRELSSLYARFYGTVLGSLVLCYNFRRYMHVMTLILHGFWIPQIIKSAKTDTRPPLLPIYVIGMSLTRLVLPLYLFACPTNLLKVAPSLAMCVALILLIGAQACVVLLQSLSGPKFGPRWFIPRKFLPAKYDYYRPFIHKGDIETGDAEDCVICMNPIDPQSCTGGGAGGGLNGAGATMVAPCDHRFHSQCLSRWMAVKMECPTCRRLLPPP
MIAQEGNYEELAVPQ
MMIWYIVLAIMVTAIALWAYFTAQRLNRLHIRTDAALAALQASLDRRAAVVAALLPEAGPTAAAAEAVPLEYGSFDLRAARERAVTAAIGGLGDKRPAQIVDAEVRVQLAHRFYNDAVADTRALRLRPGTRLLRLGGTAPLPEFFEFSSYPPAS
MESSSPKPVKDKRKRYQLSVDHSLRASLRQATAQKRLTVGLDRCINILTRKDEYYNESASFALQKPRGSYGLSPAARLTWNNQRLNYSNIQHILLKAFCAENRINTVQVAVDLSFLRFVCQLAGRSAEVAAGDKTDGADYNLILIEHHSGGPSREDLIWTAACQDGILCPHTGKNEEADVSITNAAKCQGSRARVTVGEIIV
MNSELLKFWYKITNNKSKYLEVKHAKREQKKRATLNPEVLKTLKQFKDNIDSKTEINLSHSGHLGDLIYALPIIKELSKTKTCNLIVKVNQPYNGQYFKHPSGNIMISERSFNMLLPLLKEQSYLNSVTVYTNQTIDVDLDFFRALPISNQFHSFRWYYHLVGKQADMTLPYLDVKPHNTIKDKIVIVRTFRARNVFIDYSFLKHYDNLLFLGTKDEYEDLKQSVPNLEFYDVKDFLELAQIIKSCKFYLSNQTFAYAIAEGLKVPRLLEAYPDYPVMFPTTTNGADFYFQEHFEAYFKTMYNA
MNNKNFGQNGQNLQPEGQAAKLAVIAGTITTLGDALATIAAILAIEESQQKKWIKVKIKICRNRLTI
MAALSDAFAIAGNFLHCETINSGHINMTFRATCLEPDGTTRRYIFQRMNGVVFPCPRDVMHNVEKVTNHIRWKMLRVLKTPFRQTLNLYSARGAASIWKFPVPASGAATTALKTPTRSTWRTIPARLTKRPGFRRFPTAPVRHESGGHP
MVALVTEARWPADQPFSAAPPLDGAGDPNDLAGTPRTGPVSTLEVGVIVGVVIAVTLLLVGIFVWRARKNKKLEQDRLAAQMISDAEECSSDRPSREDQHPIGFIASIADTDKILAAERPDRTAATRARTGSVDHSAWSDWGSPGNRGQRRDAALRVAIRCISINQTHSNVKPVGSALP
MRNKQKGLTQLELLISLTIMGLLAILLANALNFSSKLLSKGRITSGWTEQLLAQDTLRRLVETIPLDLKGAPATEVFSGTPERFKFVSSSKHYRVSVFHDDQTSILVLKSRSNDGTSTETTLGSQITNVQMNYFGKRSDQPEPQWHPSWSDPKNFPDLVKLEWETLDGHPLPPLTFQPAKSERHNVMSLSSLLPPG
MELEAVQFTKLLKKSIRWILSSHGSAWMDSLTIQRPLLKVVSSLIHLLWSAYPLFSRS
MIKKSFCCTLMAAMAMGAGVASAQTHEVPSPASTDKTDKRFSFPSKTHFLMAVDLQIDARIQYKDVSNLIGIESMQPSDKLAIYRGMRKAMLKHAARKWDSGVADDYGRKLAPILGWRSHYGTHQNELQNRLILFRFAQESKPSKRVLELYEEKVVHSVTLAEKQQAIEKGNCQNKLVSIADYVFYQQLGSTMAQDSDAMDLALMYETLEPCQRVKLERQLANHKPGLSKAEIEQRIINVKSSSISPVILSYSQDQR
MARHLQGTTHRRGTKAARARPSAGRRSPGPHRAAAKKLISCPPPDLSASLQDPQLWGRPAESLGPGSRPRPPRRPREAVWRPRAPCPGPTVPPAYLQGEPRGAPSRSSSRPLAQRRRPGGLPFLQPGARKPPPLPPPPPPPRRPPPAARQQLPGVGGSRVSVRGLRSAEFRLLPLTPELTGARASGEGRGTWSRPSGDAPGPRETPVATPRALGGPVIGKLRAVSEHLGSRGSQSPPQGLLFPTASKVEDVVFSCFPGSPSPVTVTSLDL
MSLFTGDLNATVLKKVLSKWYEKGEVEEVSTGECSRQCPGENNDRCGDTDCDNVVSAYKFKGDNTEDIHLGSTCFYTDPYRNERSTPIRGNCCQRLQFKCQTVDASDGSRQVSIKRCYQLHLNWYQAEEKCRKDKTYLPSYTPSNDKCISQTENKPIFNYKCLAIELRKDRNYTMLAVNCKDKHKALCVRKPKTETTIYTIAKQETTDQGILLKTTTGLVTVSSNRLPRAVDMQNPSSNNSKVELYIAVPLTVAVLLVSVIVTMICIKRRRKRKTNENENEQNMSAVYYSTVPDEVTLKKDSDLPLADDNGACLVNETDVYNHLGDTDQQNHSDVTGPVYDHTGLQDNDQYDISVISEKRTNKDGDDFNHYDLMQVSADINSVYDETSTARKTNGDKSIDSVDIYDHAKQTENDYDTTDTQKQHEQCEHVHEPTYDQSGSCENLREPTYDQSGPSKNLTDPMYDHSAKQSSTNQQ
MLYKSFYLALLNDARRYFLLLAIKIAVTLFSSHQGPPLGLCLAITSIHPRLSCRTYHLSMHHKCGLHQQESSTNGNIRTKQCPMRWIDFSMPRNPTKTSMSNWGYLGDSQIFVQFTPKIKVASTRFSDYHFRNALAVYGKELNATLAERLARWMENGDSEGELQLQCRNKSRPSPRSCILHIVEIAKLIVAVYN
MKRKYVSIYLVSIILASIFLVGFTNYNEDVELKKKMTIMIVPLDDRPVNIDFPKSIADILEVNIMLPPKEIIGSRLEKGDSEKIKNWALENSKYADAFIISLTMINHGGLNHSRLIENDNFLIDFDWLKELKDKNPNKKIYAFDTIQRLSISVNNKYEKDLYDNIQTWAKSYNSVDSNKLKDLENKIPTDIKNKYLYTRAVNLSLNLEAIKLVDEGIIDYLVLSQDDAAEKGIHLLDQYIIKEKIKELAVEDKISIITGTDEVELCLLMKHILNYHNYRPRISVQYINEEKKADIYPFEDKSLDKTISEHIKLCGAFYVETAADLHLFVYNSVESEYVTLDFIRRIRDSIEAGKTVGIIDLTNNVSNFSNSDFISLLKNHITLSELGSYSAWNTASNASAISIAHLLAYWYSRNIFVDTELYHQKFLYNRFMNDYIYKVKIKPDLEKYLISVGTDIYNLDGFYGKEYIETYITNNILKYQYDYLLEFDESYRDKIAITKVELPWNRIFEVRIINSN
MEITKEVVWNGFYKSQLLKLVMQLLQDMGYRETVKHLEKESDLTFEGEDVELLRDAVLSGNLDEAQKLLDGLDVNPKIKKACKFLCSQHDFCMAIYKGSTKEAVNILRGTLCPIGFDGVSFDRIHKCTAILMDPSLEKLEKEFNWKFENSLDKLWTHIRHVLSPAYMIPPNRLLDLLNQSVEFQRLYCANHLESPADENVGRCLFADHKCSNWVFPTKCVQRFSHHFDEIWDVTVSPNGKYVATASKDECVMLWSGIPPFVKLHTWRGHHSVVCVLAWSSDSQLLASSGNDGFIYLWHIAKKEWVAKFETYSSVATSLTWIPNTNKIISASMDKFLMLLEIQHMPPCDVTEELTYYEPNHDTQGPGEEDQVKVLKSIVVGDYKIVKEKKWDFPNRIRSLSVNYNGTMVIFATVHRILHVWDLCSFRQLFTIPETAAVSSVYCSKICNQILLSVGGTLPCLKLWDVDDKSECSSMANI
MIDIGCHWGHLALALANLLDEEGAYLGVEVQLPAVRWAQARLAWLGDRFRFAHVDIQNDFYNPEGRTTRGAARIPADDDWADVIVIGSVFTHMQEDGVRAY
MFGPDLVMLEPDEQFTQLSLSGGKPKKPNVIKALCLLLGPDFCTDIITIETSDHARLSLQLSYNWHFEVADKGSEKEASKIFSVPDFVGDACKAIASRIRGAVAGVQFDDFHKNSAKIIRASVFGIDDKGKVRDRFAFPANCLVITSIDIQSAEPVDQRTRDALQKSVQLAIEITTNSQEATARHEAERLEQKAKGALERQKITDEAEAERARKEAPGAAGQQCGS
MKLNLVYIYVTGCILCMLVAGCIKHPLDSDPTGSFTTANYWRNQNDVIAGVNGIYNVLTQEEGVGHNNYAFDDASDDISVDGDHPDFWEIERFTATPTTYQLRPTWSWSYEQIARANNAIMYIPKVPTMDDAIRNRSLGEAYFLRAYGYYILNQIFGSVPLILENNVATGTYNVPKDSITKVRAQIESDLLKAADLLPEKYADEDKGRVHKGAAWGMLCKLYMMEDNVDKAIEYGSKVITSSNYALATSYRDNFTLGSQESNSEILFAVWNKNNFNNSLINYYFTPRAWNGWGFHHPTQSFADEFETGDSIRKKTTLLEVGDSIPYQTTLIEITDKDAYQMFAGKTGQSTGRMLPSNSLTTGYNLRKYTAYNPDGSGSLDGDLKQPLLRTADIYLLVAEAKIRKNGAGAGDAEINVVRKRAGLATVTGAGINQLIHERRVELGGENVRFQDLLRWDKAKLINLDTIFNKPKKASPLQPYNGAVVVPARVFQRPKNYYVPVPQVVIDESKGVITQNPNY
MKIKNILATGLAGVMIIALAQSCNKSTLDNIKPTGTPTSTNFWKTADDAQAAANGLYEKQSNSEDLYGRGFFWFINASDDMVVGRTSADRENIKNFICTGNESSIYAPWSLHFVVMKRANDVIANVPAISMDQATKNFILGQAYFIHAVMHLEIADLYGTDKQGAPLQSRTNSLAFPAQLASVKDNYAYIEADLKKAASLLPYFDKLASTDYGRAHKTAAWAYLAKTYLHAKDYANAEKYADSVILSGKHALLTNYADVFKIANNYSSEYIWSVASNLNGQSILPGAMLENKGWGLYNGFGYYQPTKELVDEFETGDKRLATTILKNGDIFQYFGQTYSYPIGGKSNSLTGYQFNKYMEPFSYANGIHISPNGDEPSTDLNVPLLRYAEILLIKAEAQIMQGKNGDAALNQVRLRAGLTAKSGVTMADLKHERRVELAGEWSDRNFDLVRWGDAQVTYAKPLHGADGSIVWKARNFDPARDNVWPIPPKDIQISQGQLKQNAGW
MKKFKTFFAGIIAITGILISCNESDIELSNPNQLPLETFFKSSVELESAVTAGYAYLQDVGNYGRYQFYINDNIAGENYAAGNLEADKVQMINLQVDEANNGNNQYWQHNYQGIGRCNFVIENEVNFKNISASEISARLGEVRFLRALYYFNLVTKYGEIPLVLDLKTVPGGRPKSSISKIYEAIVTDLEFATTNLPTKENQEVGRATSGAAWALLGKVQLQNNNAAAAKTALANVTGYGLADNYRDNFTVEGEHNNESVFEVHYDESSGDGDSWNQDGRGNSETSFRAQEYSGWYNVKPSQALLDEFENGDSRYADSFYSIDASDNGTMTNTYNNGELTFISGGIGPDDNPAWRKYQNLDNRESETINSGINARVIRYADVLLMKAEAEIRSGGSESIALGYINEVRARPSVSLPPVVAVGTSAILDAIKHERWVELAGEQSRYLDLQRFDNFSYLMPIPNIELQGNTNL
MKNYRQMKIKILYLFMILGIVSACSDDFMDTSNPNQISDGSFWSSKSDAEYALTGCYDVLQSKPMYGGGVYNSGIRDHDCLSDNSYNVWEWMGLEPIADGTTDPTHWFLQGFWESAYKGIARCNNVIANVPTIESMSEDEINTILGEAKFLRALFYFNLANGFGEVPLILEPQTVETASIAKSTVREIYDAMIVDLTFAADKLGTADFGHADQGAALSLLAKVYLYDGKFTEAEATAKQVIDLGTYTLFSDYNTLFTPANEVNSEVVFSVRFESGLADNNGEAFSGTWAKVAQTHHQPMPNFVDDFYCIDGLPIDQSPLYDANDNKLNRDPRLDVSVIFPGEVWIEGGNPLAKKKVKTGYAMHKYIRNTTEYLSDGPQDFYVIRYADVLLMRAEALIESNQISQEIYDLINEVRARVNMPRIEDVEGAGLSQAELRNILRHERRVEFGLEGTRYYDLKRWGTLEEATVRANADNIVNHFMAFEGDRTLYWPLPQVEVDNNKLLDQNPNW
MTAESGFDGALALTPAVDVSESPPGADEVVDVEGADAVDGVGAAMAGVLSVPVTVETTTVTAPVTADSGLPRAALPALPVKLPAALVTAPAIAIRASPMC
MFASQHFISLAVLIISGDALTPESSPSCANGPTEFCRHVLFTHEANRAALKHLNEIDGRNGIKRLTQADTLVLALLNETDSTRFRVLLKQTLEAQLGALVMAKVDCFSRKESIDPDEEATCSLIYIDIGLGIVDLMEAIIAVETDKSDKATFQRLYDKIFEEHFVGRVQFPARIHVTGTEILTLMRP
MNNEFKNIYRYLLLLVILLFFYCGQEIAGAEIMPLNELKAGMKGVARTAVQGNKVVEFPVEIISIMKNVFPQRSIILANLDGDMINRTGVAQGMSGSPVYINGKLIGAIAYSFPFSKEAIAGITPIEEMTSISYSTTPQEKKTTILFQNIMEFITHIDNYPNAESIYGKFLGYFPEQAYQDNSASFRPIPIIVSKGAGFASPVMQEWWERNGFIPMMMSGGSASEVKDLQEGDAVAVLFLSGDLQMGGIGTVTHVDKKRVFAFGHPLFNLGDIAMPMAAAEIHTVVPSLYSSFKIGSIGEIIGTFKQDLPAAIYGQLDEKPPLIPLKLVTSYSKQERNYNYVLAEHHLLTPIIANMAISETLSTAESSVYEGTYEVSGTIALEGHPDVIIDNIFSGFLSLPQASTYVASILAYMINNEFEKTKIKTIDLKASISAEQKIAEVKEVRQDKVEVKKGDKVGLKIFLKPFHKAMEVYDFDITIDDKFQEGTYYVLIGGAMEMNKFDYAYFYRAVEIDNLDQIIRLINTIKRNDKLYVRIFRPSMSLVVKNKLMSSLPPTYFDIMDAPQAAGGTNRVFIDHLSDEAVKSDYIITGMKKIVIKVKETK
MTGFRIDPEALEGAIRKLEDARDEARRLTQSSQMAMPGELTAKDATTATARELFEARASGVDASLQGTAGEIVKKLTAKIESYRQTLEEYRQADDNATVDANQVGRA
MKRSNVKENYLKAIFSLNGSEEYVSNKLIAESLNISAPSVTDMIGRLVDEKLVESIPYKGVRLTKTGLESAIRVVSKHRITELFLLEVLKYDITQVHKDAETIEHLDSEYFFKKLEELLDYPKFCPHGSIIPTLDNYQEVYRTPLTEYEEGDTLVFRRCIDKPELLDYLQQINLHLNQTIKLTKIDSTNRIFIFSYQDDETGYFSFDMAQLVFFEKA
MRVEGSGPVIEVSFVYERGSKAAAENTKTARIPQGEGGLLHACAPPRRWATRMCTRAWRRTYHRAPSAPVRVRKRRLWTCLQ
MIVWLRAAWGARRQWSHAMILVGGDAHRHGVLAKVIALDLPAAAGIIFREVLGERGHKLRPQQDAVVILVEFVIAHDAVDAVLHGDARPAVGTHLIALDDVFAGEAQPEAIIAMVRQILAVDITFAKGRLEGDIRAVRAIVTLKEIVVAAHTLPLRRQAGQKEAVAAHTGFVRTECVAARAVSDQEPGSVGTEVATTVGALMIAAAKIGAVVLEQVIAAGEEMKAKAAAVAGVVLAYVEFSAAIRQNSVLLMLKIVALDTALTHCV
MWHFVNDMTGPDMRELENRDGVIYTPDGRNLWDVATEQYNEKRAAGEIDALPMEFLYKDYQVFVNEEWDKEDGCGLTIGYKNGRLYDIDTISEIGLGRKSR
MMTYYILIIKCSSQEVEKINTLTLYYYTSTVTMSYIEKKKPENAVKVNSLAVVMTNVVGINITA
MAYTASIEYAARFLTRPLILAVAPVHVAALQVVLRSTLHAACSSAPLVLPFTPNRLPPRPVYAAAIGAGVSWADWMALLAPREFALVITPSAVRAEYAGLHPHTVLVWADRTPAPAPAPITKLAPAVRPASVEEQVPISKLGRRLQATTRTLAQQLLDATHDADADELFTRLSRSHAGILSPSPTRAAFTFPPAPAAPRPVFGAFSSPLSARAPRTPSPATSSRPSSRSSIFSAGAESLSALVVVDASKKEVTKYLYQGGVSTVLTGGVMLGAAPASKAPPS
MSSTIDVTPAIHAATIFLIRPLVLLGTYPTETLLTLQTLLQAAFSTSSHNVLSLSPTALPPLPIYLACLKTDVSWTIWIRVLGGSAFDIFVEPERVFVVRKDTAEIGVVWVAPSAADITPASKNVARPTTEKQSPYAAAGQATSAVLEIDSDTESEISDSSDSRPSSRSSNHSGFSFSSRSSASSQSSFCSMANVPEPKPKPTTATTQPTKYMYQGGVSTVLTGGVMLGARSAAPRPALASTTNMARAAPPALRAPAYTPPHRAQRQPASSRGQKGGANSDAQTWRRGGVLHTVA
MSGPERITLAMTGASGAQYGLRLLDCLVQEEREVHFLISKAAQLVMATETDVALPAKPQAMQAFLTEYCGAAAGQIRVFGQNDWMAPPASGSSAPNAMVICPCSTGTGFSRIRKCETVPDQSASPKYSAASNSVSAKRNGRVRLVSLGIPQDMLPRWGEQHLVSDE
MNQRPDFYDGSLVFVSETVVREKIWAEFPPETNKKAS
MNIWLRIATVDILKVLDEIFSFADVYCRLMPYVKPYLKENLIRLNDKAVIHECLIESIPRQAWKLVIDCKYPKELFKELEGRRTLNKLGGGKNSLFSTSPIDLGNKTASRMAIESTLRLLNNYVKENENTTLEEKILAFSNILLKKVPMKKKEKIKNINYILDKIDPKVLRIYDLDKGIHHGENIKLKNGLT
MSGHLEFPQSLVEEFYIQLAVRAFIVKYVNLSAFIFLVYHCIVNMDDEITYIWREKWNFSKCIYIATKYLAFCDGLITFVYLFNTSLRPSNCDVLFGAIVYSMAVGVVIAETILVIRTWVAWGLSRYIFWYLTLAVVAASAAAVFIIQAHPEGKSPWPKHVPSPIPAVLNCVNDGPAGIKEQYLSFVCVIIFELNFRTVRQSRVTGTLTNRKIHRCPASQAALTKNRGVSRPFVGLRTQKFRSRRFAAPKYTRKSRLPSLLTKTEPGTGGHASQRLTNSSKVYSICIADILLLTLWIMGLNLTWWRDRKTYPLIYVFYRDSLAYIAFLLAVSTANVILLKYEKNILYAHIFMEPQRIVHGVFSAQLVLNARKYSRDLSANTSGDWGWFQSKNTMPLAFRAMSGSGSDGCGEAIELNELGQSS
MFQCIDTVPKASAGGSYTCLYRFANGDVCVFAYGSKLGPFTLPAPPRSAYTKLREVFMMPVEYSAVRIATILSTAMNVAAILNHEPALVASDHAELRATLLWLVDEMAWMLSDGARDGVSSLSWPPDAQERIDHLRTVVSTWDPVGSPPSEVVGSARTCLGFLVPSAVVT
MLPIIPEEPAQWAERAVDPCTVLALPTVKAVLAATTAPRPVRPRECEVPTKIAPYPPASVQVGAALDHPSRAARAPVTIGHNPGQRGYLWWNKPDRGGTGKCELALPLSATRALTITTPLPQNSPPQDSPASACALAVQVATAALTGFLRPESLTRPGAERQLRRTSACELLHAAIPGTGLPFTPDAATSQDPDHCNAHSPSHARMQLAFTAGDPVKLARERPGEHRGASIVPLPEATGVPVTEAKRVASSDQCDLSWANQDSESTVWSVSVLHTARGGQSGDPCANATTLATALRQRLATAPQQPLPPAPPTLGIPADRPDEQHDPSCQLDPIAVPTGCGPPDRKVNRPSDLNALQNALTTDQAPDHLCRLLRGALGAQAETEVAHVPAPGQPDARNCSAGRADRSMVATLTAGTGQLSAYLCSKAKSITVGNRAALDCTQEDKVTQQRLHITLDPNSKRGVLNLTVAFRLPRGIAGELPEKGSEAADYGRQAAAIAEALVKEVGTA
MIDKASLINESYPGDNQNKKIYSAQTTSTWKDSCQQNNNNFKLSNQLTHNVNNLQQMQGDLNADRLATSVSEQGFLDPKLYHNLGVINALDISHKDGLTMIASSNKSSTFNNNHNSNFNNNNKASTPQQSQLLNLQNQQICENEKTNKIISSHSAQVNKDYWGRGEEQEIEFKNQQDASNSHNDNLKSQLTKADIKRDIIGQCNVETQGDEEGEEEENYDCDVDEEDTCSEFIKELLKNEQKLTQKIYCSQIKALKVTKIFNDLIFSMKAELLAYLTLEDICCFKKALQYYLGRSVTKVIDAQILKLIWTSEQRIDNYLLWDTLINYSFIRQQYPHQYEKQTQIVNAEHDKNIRMDLDRTFPEMEIFQNIQNQNKLFRVLNGLSYSIKEIGYIQGWNQITGLFLLYPEFSEDMVYWIMNHLMHKVSLKDLYLSIQNAYSTSPSESASEQQHLTPQQASSIQNNLANQYQSNNNHQSENRYKVSTNKYNFDDKENDFVGYNKREAAHQISCSTFEDKQSSNSSENNWANSAEPAPLIKLDFLTYAMKVYMKVYLNNVYRQIEKTNYTIYIQKWFTVLFSQQLSPEITLSLMAGIVLQDWNYLIKMALAVFDILKEEILFKSDPDDIMELFYDNFNFTKQQVYSSIQKFEITNEQLLQIMHLFEDQSDIDTIQLIDGRFYKVEQKQRREFVNSGSQSGSFFERVGKFFSSSSKQETIQYFEERSEVKYNSLPQGAKLDENKFSELIHFNRVYSKKLSKDYSISPSQQNPIGLHEHKPSLISQQSQNNQNSRPFLEQRADLCRYQANCQVPQNSNFKASPSFTIFTTASQAQQNLKSYSQNQSHTQFNQNYKPFHSSNQITTKEKEFDITLQFQSQ
MLATVFTKSIRDRWRGVAVGVAILGAMLMFGMQVYQGIDLSVYTDLPDALRSLVGIDESTDAAGLAYGAMYASYGALTLGSLALSIGAA
IALTSINPLSRLSVALAVLFCTVRLAPERSERDMYNAIKDYLCHL
MTLESLSQWVPERPRDRSVRAVAANDRVLEALRSTVGGRIERTRLQCRMFPIVDRAISTSSGVLTVVAWQ
CKDNRWAITTRTSTVTGGCLLRRARSFGMPAWSVDGTRVEEVHRAASAAVGRARRGKGPGFILARCPRMEGHFLGDPLLRVFRDPLGQGRMITPPLVRALLSRPVQAALSRLAGLGFIGRTLTLLGLERYLFPRDPLQCAAALLEDEERRSLEEEAGKEIREAVAAAMERRGENA
MHDDTSFDPTLVHRLSRRALRPGVTDPGQARTVLTRHGDMTPGLPLAELPSRYVDPVPQHAGSTEPIVYARPALPGPSGPPAAGAGAAGPGSAAPARPAPVVSARPASAPEDGPMASARPAARTGADVGNAGNAGDAVRTPAPPGSGGSLKRSSSSPSVKGTPTIQRKAAAPPASPARPPAGAGSGHAPAPRMPGPLAKRGGTGALGPAHADLSGPTARTKPPVQGHGPVMAYLPAAPGRGPGAPVASHPAAPSAHPGRDSEASALTAAPAVRPRPADDPHRVPPAPTTVPTVVAHRAPTSYSAPSAPGPLAAPPEAVAPRRPQAQPAPTLPLAVAGTTPHDRPSPGTAAEWQAPGAPPLPVLPGPPHTSAPAHTGSDSSGSHPPRADHGMPPSLRPEAPQVDVAHITDQVHQRIVRRLAVEAERRGVRR
MGGGVSVVPDFISIFGDVARTSPNAGQLLSGSADGLIMVACATACATWIQTLVRDLCWGAPARQAFSRLYLAVGLLYVLCGCAYAAYALSLLHFSTYVLWTARDGPPFAGLAVVVFALGCGTFWQPLRTEVYELLVRIGEGVSAAAGIAELLGGLPPDEVIARAKATFRALPLSALTVEHLARPVAAGQLRRTSRMRALLSARPRLRSTADRLSANAHDSVSSRQSARVVPTLAACGLAQSDGPAGAEDAPRGAPSPPPDRMLASSFASLHADGELSSQERLAFSAPALLGAVDAFISHSWHDDPQLKFAALQAWRREFVEAHGREPTVWFDALCIDQDNIAAQLPSVPIYLAGCKSVLALAGPTYFQRLWCVLELHIVHQMGGSLDQIFFVPLDGEGERTVGTAGAQPARAGALGVEHVRGAPAFDVQRAAASDPDDQARLLAVIEGSGEGLAAFNEWVCATLLPAAAVRRQAERAVGAKLAGVSATRQSR
MYYLLGLLYMFILPWYHTATEPAVIYSGNSVCNQTVVLGSSLFSALVDFSLASRSPETNSTFSCNFRVETVTSSLIAIKFLSPLYSPQVFDHYGCRTKMIITSDELNIKQCNSIPQTELSSHEYVDVRYEIQGNRPAHKTNYRFKMLLFTYSFLNRAGTCQVNETYCIGTRRCIYEPLKCSRIYDYCNNNMKNCPYQSSRRRPASFSGVYIFISCLIFLLGVTIYMCFCRGIHFFECICHCCSALETTGSQRVRQNEQENDLTRTGNGNGEGGNVIVTTENDVTDNENENENETENASENENETENASENDSINSGIFGLPPSYSYIERNAPEPNLSDSETYICSASEQAGPGISKCSAELPPSYSTVLGHEEEYNVHII
MPRLTQLRDAGDWWSVDAAAAREALLRDRPIEPDGPIALALRRLDANEPPDETLAGLAIASGLRLRTAGERGALAHFQTALAHVLPRAWPAIHIAGELLLSNEVEDAQATLEWLIRHEGPSVSALVHQGICRERLGRLDDACASFRDAAEADPSSPDAPYLLGLCLARLGNEHGSRRALRAAYTAAPQMVHLAVGYAAALRRAGRLQEAIDVLCLQTRREPGLVEPSLHLAHTLVECGRAAEALAEAQRLDALNTNAPDVAALLGMMATAANDWPAAHEAFSRALASEGTHPPSIYEGYARSVLRTGAPLPPALSTMLEAAVRAHPHEVGLRRVTKACMALTTVEQFWRAWTTRPSSDRTPLAPLADIAADTIRTVFLEGPPPAVLGHDEVERARSRGAPLTHPSAFEAAGFQFPDRVEDDRKALASLNQVVAERCVVTGAALALQLSIIRARAVDLPCPFGGKFRSTTSLVLEYDRTQAGKTAGGCVAYFAYTPRPTFVFFYGEDFWPLAWYDPLSETIVHHRSMTGYTAYLIDRVAELKTLIIAEYDLFSRYLSAAAAPRLHVQLIGSLKYISTHYFSDLSGVQSVISAGLAGSIDRMLVTANEVFGPLQELFPELDSTRLARTAIEEIAGINRHLWNSNAFGFRVSGGFSDPRVAARIVDHSKRAIDDEWTRTIDDFVGSHYPVVFVALRAHNRRWLIPGSELARLFEEIAAVYPRLGLLWDGHGTTGDALPDALIDGERALYHGVRRCLSDSVQTVSLIGRTLSEGVYAASRADCHLSAQGTNTTKPFLIANKPGVAVGSQAFWWDARAYRRSPAPCATPWSAALDVGPDLNTDFYLDPRVVRDRLLAMIEESPERKVSEKPL
MVKIDSSDAGSITITLPRDVIDATINDEDDELFVIVDGEEVDFDETKTSTDRTVTIAFPANTEEIEIIDSFVVPEFGTIAVMILAVAIVSMVAISAKSRLSIIPRL
MASFIAVVKKQNTAAVSSVHAVCGYPQVWFFFYAYYHMKEQIQHTYFYRYNSILIKYLLHYALENILFFLKYTFLVWSHG
MVSEMSEFKDFELVKESLLEFIKKKEVKARFAHVVANEYNDWEKWFQIEFEYFLLNEKYFHTRREWKAKSDRRSKPNRFHIFVDLIFRKPNTAKDQYIYLEFKRAIKATTLVKGMLHDISKVNSIVKSHYIETETKQRSFWCVGFYHSFHKLTVDRAQEEIKQYSKSFHEPVYLCSCRGASHYDECPKIGVVII
MNKAINEHTKTLIDELMRSLSIQQELLCELEKMMRMAADAGDDYAEQRYLRALSRRSNV
MAKPLVKTRANLLCPLFGSAKDILPEENQLPTYKDLMKCYLSVRIELKESCSCEKKRKVPKAEWSFLQDQRSYRKMKIGEVDKIVTKQIQKREERKFGHKRSAEEKEPILSTSGIQNKTKEKEPIPSTSGIQNKSKMSQIDMDTCNNSSTESFSDTDEEYKCPFLVKQRRIVTPKFQQMRCSLTQTAKMGDLTDTSYRAVAKIVNSVLEDFNIISKDDQSDVIDKSKISRELSKNR
MSRHRLSKFNKFETQQFCLRAPKISKNLSSNQEDKSINEDSNIDYDEFNYDNWSNNELNWNNMQFENESYDIDLKKECALQAQQEWFLVEELNSYIIEPFSLI
MTCSGRCPRLETSPTEEATMAKYAAGTKLDEITTFDRSTKVHFHCPDHPEYTFRSKDPFVSSIFPGDPATADAEFQGVKFCTCPIRNHVVTTDYES
MPSVPTHSRQQHSAPTRLRCCRGVELHRAPHHTQHPKSGGASMPASHRTLRGTRVQNTFVGQIYLERQFSFCEGTKRQKRPEYIAMQLLTTGNNRITTGIPRSAQKEVVASQGRAVPTADQPQRQCSKFLIHSMCSFCFPGFVEEGLSSLELWVQGGQNSPFLPNRAQLKDTIPEDRKKKWPSARVAQKQIPAVEQRPPFYVGPADLHQPRAACEFTAGIFKQPKQYRNCSTLIIAGSQYAGSTLGVTKHGMAPTAQVRAEGTSELSSFAVLQRHRSHCGCQHPSLDLAPADGVRCPAPSPNGHARARSWLLVHVADRIRQLLKEALTVITKTVSLPVEQLLNSFSL
SIEEIISYLCNESLLKLALSYITPKAAETVKESCPNISSLCIQICSDSVIPFICELRSLKVLNIGSDNGIDMSSLVKSLGNHLTSVEYLFFDFNIDLPSFIYFTNYCK
MGRSHLFTFSPFHPFTFKGAAIFSPFHPFTFSPLNVSFSYKNVS
MKDQNGKEIYEGDILKPVGAGIAFYKVVFENGAFSLYHNYGYYGLLSRFIEIAKELKAEWVVIGNIFDN
MKLEKVLRPEWVIPAIIVLFILGSGAVLFLAAVSKDQVVTDWSMNVAGLDEFYQTGEAVDIHLFLEDAEGHPIEDANVSVLLDRPETVHHMNKVMHKVEGGLYETEAIFSLPGTWIGMVEAVRGKDVYRNQFLLRVEGGIIAESNRDPEDVFTLDQPLPAYLQKEMEAIPASHP
MFLNPEAPDRRDIGRQDVSSNSGKLVQLADLKLQTEDQVRAHISELLIKAKVDFQEQLQPFSPIERRLEQLKIEVSRHQTMAERAREELGGLLRAEMQTVAMEAASRQKILVDQELRSLLDLQQRQFTEALEAAASRASCELKELSAGHATEVRALALRLTDAERFSQQVGFAVREMQKLRVKALENGSKKAAGDIASVAASGETLRQLQQEMHSFARYTEAKTKELYHVLTELKATSGDHAKQLNDLTQVMHQRHAALLLETSKAERACEVEDSSPQWMEAVKEMETLTEIVGSLEREGPSSPSASLAAVRRELQAELQQVWQALSELKTQGLRVPGQGTKPSKAKMTPKAHPEASKQRSQKSAESDSYSESYTSEESKDQK
MKDQRQGAAALVFLVFYACALAFIFYCLFTRRAKRYIFGVMIFAMIRLGANIAALGWAINLYDNFDWLIASLILGAEGYFALIITILFCIVGYEKETLGSSIFYPDIPKGRNKCLHRMTHISFWFEWSLVPANVLLIVGGSMISGGLARATLEELYDPASIPRVKTGQNLRIIGQVLFLCAIIAGYAALVYVIRRAGARGAARQPLWWMAATAPFLLLRGSYGVLSSADWHYSYYLPTNYGANGLNQSELIAEYLMAVTPEAIALILLLRTIWLITMPKHLFVPYDKRAGNLDGAEMTGKAQAGDGGKVTV
MNAQLQAFNDNAATTLSYNGAAIRVDDDKLNLTDMWRAADSDPSRKPAEWLRSADAQKFCSFLADSLNVEISHLLEIGKGRTGTTAAHWQIGMAYAKYLSPEFHMWCNTVVRERMEGITTSPTDIHQQLNDPTALRGLLLTYSEKVIALEHQIEEAKPKLDALDRIAQADGTMCITNAAKVLQMRPKDLFAWLAQNGWTYKRPGSATWLGYQSKTAQGLLEHKTTTVWRGDGSEKVTEQVRITAKGLTRLATIIKPAITEVK
MVPNQHERHLLIPERNKQNRNGNNTHSSSESDSAEFLINGTNCVEEGYSEEYRHTSHRYLEDYESSTCPFKMSRLRWRNRLVKRVLFCIVIVPFLLVTFYMPELMTNMQTRQAALLDWGYNTSRNIADYVLPENNTVVLEPKHVCDNKIFLLVVVCSSMQNFAMRQIIRETWGNTSNFNYGMFKKLHYRFEGQYLSPTVERLKYYSEYLNIPNDDDVTTAPAIIPVKVYFLLGRSRPDAYEYNETTALIRTESEQYGDIIQENFIDTYNNLTLKSVLALKWMNQRCSQRSAFFMKADDDTFLNMPNLLHYLLGGTIPLYNDTMDLYDTHTYRVVAT
MWRHCRRSLALCSACNHFRYVSHRTLLSREYALQDVWENRWPSSLEKVSFGLLSMKMVENFEKFGKSSVIDMEMLAKSLQSASKENVELVENIVHRFRHSDDGYPMPDPIVNAIVRSYMDLNFAQRLVPLIKDKLNYGLMLDHYTANLLMGHFLGKNMIKEAADIAYEMMLQEDTSSMFTNYLCLYTCIKRFQQIGDEQPAEDEPIVLDEEEQWTRVNIIHRPVYDDHFDITKEHFKLGKSLYYFWKAIVSRETDPSKQDLVSHSMQFLGLGLYNKFNRALDLLESWIATAKTNKTHVLSQMQIETFRNHLEWSPTRDPNEEELELGVQTTEYVARKLYMTEEEKAACTERFEKLVEEIKSFNGVSDEDLYAYLLNSLQEQIQNTEKQETSSQAQRYEEWKTQMVELYNRELSEGRRLRKQEEIEQKMTDMAEKEELILSHEKKKEILMARVLAPRPKMRREKEELDEDELEERLKNKKSLIKKKKK
MTPEEMIVHGADISAHYLKDNPRYRIIRYNENVYAVNLDENRVATDFIHLWKSHKGNNPVEERELGHGAIGTVYAKTEDKVKKSPLFFTAGMGRFPKRNRVDPDEFIQNKSDSNSKILDQLFALKQNGLNSVFVFGLHSIKKPDNPMFFMPKVSSYKPRHKEFNRMQIDFILKLKQVNEMGFAHEDYCGSLSVKHSSFQNEMVTRDGITLIDMDNGLLEIYAMHNPLCGQQARMRDQWLLVYLNKKNVDVLNDLEYWYQTHNYEPISESPEEILKIVKDLPKQIVDSITQQAAQRVSGSSHNSWVTQSSEPSPSYAEELTIATVLQTFPSSSIDSSEQQVAASSIPPTFAEGSETDLLSLSESPVPHIDHRDPQAEASSIPSAPLEELSTDPQSLSESSGTSRETSEQRASSSGPRPDSVEKLKTLRNMVVTKQEFFWVRKHNLDKRGDNTPENLSSRPHKKLAS
MRIIEQLKATSIEYNSYSNFVSSPSFQLACLPGIPLLPVQITHYPTVPCFRCRAEVEKTCSFGVSGFLQVADGFDSTDVRGLKHQTEDRHLHIAGEQRGDDGHAYTQASEERSIFSSNHIYASDAKIGTHASEASTLKRSNLRLRLPSTGAFRAAEQQAVGSNLRLLQPARPPPYALVRLF
MTEQPSPVKQPNNDEIDLIEVIRHIWNGRWLIVKVTLAFMVLGLIIAFTTTEQFKSEARLLPEIRDTRGGASALLRQFGGLGGLNIPGGEGADAIRPELYPDVLRSTPFFIHLMEQPLTLQKGSETLEMKTFTYVNEHMGGGGFLSFVKKYTIGLPGTIMKGIRGSKTEEASSPAVAGQIPHMSQAQFEATKTLRDRISAGIDQRSGVISISAEFPDRRVAAQIAQYSVDYLTDYITDYRIQKAQKDLAFVQERHDEKKQEFHQSQINLARFRDANRNIVSAAAQTEEQRLQDQYNLAFNVYNGLAQQLEQSRIKVQEETPVIKVLEPVSVPVERSKPNRGVVMVVMTFIGGIIGVSLVIGSLIYANLKKTYNL
MKSGCTNHPKFRALMRRLCAPQYAVVGVLESVWMLASQFADDGDLTRFTAEEIADFVAWEGDANELLQTLIECRWLDENEGQITVHDWAEHCPKYVADRLAKRKQRAQVSQNVGDSPRQVENVAENRGLPSQVKSNPTKSKCASRKRDASLSEEFDQWWEHYPKKVDKQRARKKFDAAVSTIRKSESFEESKAVDWLIDRTRNYASSVAGTESRYIKGPAAWLHEERYWDESAPTAPEEVRDDFNPEVDSL
MQQLTNLQITFTPAAIEQMKAEQERYKQERVEVMERLKTAREMGDLSENGAYKYAKFELGRISRQLKYLSSMLENAVPQDKPINSQVVGFGSQVTIKSDSDQHSFTMVNQFESDLTANKLSLQSPLGTALVGKSAGDQISVDTPSGTLQYTIVGVK
MITDGEKWRERSSSDQSLMVPSSSSSR
MTEEPQTPTQAEPNEPEIQTIPPEFYGGLKKVPHTNLRQAGASRQPLVAQVRKAPSPASAMPQHVEVFGAQSAGTTTKRFSFKMMLSVSGGIFALFVGGISYYYIDQARVIKQGTAKTAPTQQTETAPVSVTSTPETTSTTSTVSPVPVLQPLSGVIFPFKTFTQTVDIDNDGLTDIEEILYGTDPEKPDSDGDGFLDGLEVVNLYNPLGFKPVRLIDSGRVNAYVNPTFGYSIYYPNLWTAQSLDITNGQVMFSSGNGAYIEVIKVDNPLKLSIADWYKGQSPGTEISSLKPIITKDKIEGVVSPDGLTAYLPFGNTIYAVSYNIGLQDQVSFLETFTMMVNSLRYPGLAEQSASGVPSVVAPTPNTSIPQAATSSPSGTVTPIP
TSNNIPLRPLPTSSSSSASSTTISNSSNQVTVGISGSKSTNNINSMSKNKTTRMCTVLNEKQLLTLRTCYGGNPRPDARMKEQLAEMTQLSPRVIHAWFQNKRCKDKKKSALAKQAQEQQKVLTSLNHSIPLVASSHIPNDMNIGLPSPSLVEVQYHS
MGRGWRGLLPILPLLGFVAAAAAAASEGDADPVYRSIRGWEFVYVGAIKVKSELPSSLLAYRSQQHRWSCGPALLFKKTALQILSAKVPLHGAADGRVSAGVGVLRLHVRAWLLFLPLCAAAGHHVSSDWVSTPGHQLGNFPASSTSLLAMKLPWASLVDRQL
MSRRIALWAGAAALGLAAGLSYWRSADSNVAEPGQQPQTVAISAAEPFMKLPYGSFEASPDLPSHLQGTSAPPLPLDSGGRLLRVRAVRDFYDYFLIAQNQLQPAALDGLVNAAIVAQLNGKPAAAEATQLWQRYRDYLAALEKLPGNGNQVPTESSMDLEAISRTLDQQAQLAAQYLGEWQTAFFGDELNQQRFALAKVRIDSDSTLSAAERAQRKLALEQSLPAAERQALERSRREDASIQGLQQALLQGDSPEKMQALAQQLGPGVAARAQQFAQQERDWKSRYQQYVEQAAQLKTQQLAPQDRQQRLEQLRQQLFASPGDALRAEAHYGE
MFNPPHWRGRATVAWNREAVTVAAAFNYTGGVRDIRSNPAVNIRGVSTVDLTIRFAPTRIAQALRGVEFSARLLNAFNAKPQQIATTAFSDSAYDSTNYSPAGRVIGLGIVKTW
MAAPPSPPPRSDEELDQSSQDHGADALHHAAGVTRQVDGLRRRRQVLPAADHRESGGARAGGGPLVRFAEASVLRMLDFLDTALNDDQAAAETLPGMLQVYTYVVDDSPSPAMFKEAQGTATIFDAMNGVFLRKRSKLSDAVWSMVEKVRASFMADDCWRRRRGGDGGREGRRPAVCLAYASEWTRLRKVGSALSVEPEPCNRLNT
MTPHATMRPILIRPDRTTVRPGEASNAMCRSTSAVYRARLALRAPNSRNGARWSRSTNDQKPQ
MRLSAQRARRVHLHRTYKLAEFALATGTCRGTVRNWIKRGMPAIAGKPTLIPGRLAKPWLIEQIKARKKPCAVDELFCFACQEPVRPAFNEAEIVENRHGEAVMRGLCATCSTLMHKRVSEAQISEICLHLIVQDARRSSTLTGCLVPPVNCALGAPHEEPKVQPGK
MGNKAILEDVFVAVIRPKNAASLNSKEYRAKAYEILLLEIPLEGKEKKRKKVLLTTKVQGDSDFFKVILEYVDEMTRPISTNNQGFKGKRVVHMKNFSLDGEVAGKEVPLFIVPVTVKDKPGQVTAFTSTSSGMPGPVLTSSDMPGDASAPALSPAQAQTGPGVCPDRSDQPKVAPAPTEDDGRPPTLPGPPEFPVPHTYRPVLKGHIKS
MILRVAPPEARQFRSERHLMRNEFASLPWLAVFTS
MPTHAELLARATALLTEIAATRKGAAPEEWMRDVIRFVVDVASIDPDIVRALQPGFSVVGCLLFPSDGAAPQRWLPQGLAGEAFGHASAPHDAPGPLPALDQFLLASVEAGRAKHALASVVQQDAAAIATDAYAAEATLLHAAVAIDAAGPAGLTPELLTEVLEAAAQARFFAARAMEAARRARRDADLPSGPPWRARGARHSGAPLARC
MAAASGFIHCQKCGNGLVNLGIMCLATQVMQLVFTFSHDDWDIAGRVVVQGLALIILLVIWSIHLDNWQDNLEHCSIHARNNSFIFGLVAANLSLAYLGVTQQSAPTLTHVTCVLWSVHAGLVLVPDFASYFRIPST
MSTERLLFASEPLNLSELLPSARLAVHHGGLGMANWCLINRVPQLIFPTDMEKLLVGRGIENANAGLITDRRIAADDLFAMIKSLSGAPIPQPDISAMQTVTDTETLTHLLQKSCDPRSMKPPERKPSG
AIQDNTKLSYEDIRLDYLSDRHYLVHSENDNYFERLNFKEMPLKSEAQKQTIHNFIDVVFDALENNLNLSLLAAQENKFSIR
MLERKIQFQIKQQLTRKFKKIDTDQDEVEAEKAAAARYAAARIPDHIVLGLGSGTTSAYFVKAIHERIEKEHLDIECVATSLGTQQLAERLKMKMLDVNTIDAVDITVDGADVVDENLDGIKGGGAALLFEKLVASMSKKNIWIVDQSKPKQTLVGQQLPVEVIPFGGMGVFRYLRDHGYEPAFRFEKNGDILTTDSGNYLIDITIPAQADLAKLATDLKHQTGVVEHGLFLGICDELIIGGQHVRVIDRSKN
MRVCILRQLLERCGIEAMKAFNTSISLGYLRTERRERLNLDFEVRLSLLSSNCVYYFSLFLNLNAHQHIHSFFIPFSRFLQSSCKLRPR
MNWRHYKKNARYFISTFISYLFCTP
MSIGTLEIRAAVATLAITALFAASSARAQSKAPDAAAPATRTDNDAGAAAAPASPGSASQSAALPEASASPAAKKNTLEQKVKALVAKYTPERLKRDLEFKKAAALFPNFCRHWEQDLHDREINNLSKLKFRLKDGFETATYTAYGKVAGCESHQSKDGYSIGKITYEEFIYYLAGKSEDEARHAPPRAISDTRTTEIFRWDNDKWFY
MKDKQSKDLYERISFYEMSMIKNNSDKIKRDSNKMKEYSNRIKDFPIGNESIQNITPYNTDDIDSLTYGFTPQKIKETLQWLISEGYLNGSKIEITSTLITFYYDDQSPITEKGMEYYKKLSKNYGQEYLTELAKKYFDKKNKNNSEK
MLSISSHLSMQSTSEYVDDSRPAKRPRQDDLCDSVVRPFTIPAPSAHPETDPAENSSKRNRKRPLSCGECRRLKLKCDRVFPCQSCCKRGCAEICPDGALTGGKGSRFILANTEQLHEKIKSMAERIRQLEDALQTIQAQHSTESHPLLRQELLSIKRSPELFGMDRNAIAANHNINILSVHKEEDDHRSTGSSGTPPDVGDDYASSSSVSVSASVTQLGLPEEIVRLSRACPVPPSMSADLNPDLRRSIRDLLPPPNEGQRICEQARRNAFWHYSPDTSESFIPNLVHSVYYTQLNTLLPHRLSLFLIVLAIGTAVDLQPSHDRHAAEKYHHLARAALCETAVVDDPSFDTINTLFYMVWYLLMFSNHKRAAEHAWGIMGLLAKLAQSLGLHRDGVGKMIPEELDKRKALLWNMMSVDVRLALMLRRPPSLSIHHVDVKRPAYNFFDSPTASISATYHEWRDTFLAQCQYPVLELIIAPQPPPYADVLALDTKIRDLEIPPALHMVDTDAGAPQHPVGLQQALTLCTREIAILNLHRSYLTQALRAQDGFTIKSKYSPSVLAVYSSACNLIWTVHTCYKWEPELIVRFSVVWSNCLSAALALCLILGRAPSSPLSPHALAEVDKVRRLFIEVRDRCPAVAKALPALEACAAKARSVYVGWCHGSVDCYGDSENDEVCALVRRTVMTPPEDAGSATQEAHPFEHAHFSLKHCYEKFIAEHPSGRVEPYSTQQDSLRFGVSQSYSMSAERTMHDPHASINGADASTSQNTYNPGWMGTEFLDSSWMTWF
MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENIRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPDGVDIDILHDATRREARGDADYPDCGFPVCCTVGVGLKDGAILFNYYGKLFGSNHPRCKWAMVMDGPDVGIVRLQIEGNMKRILTGNSRYLKKAFGRREGIAEEESSVVLNGDASGVVAALSPPMADLSCQGSRTFSFRKREKKTPSKNQSNPLFRRETCWSLRSYDPGKSCSVDEKRWRQGERRINHGKLESRWLLEVNRKTMRLLMQKKERKQSLFDGASALMVVLSPLVRSLRRLISVQILGKFLRVLTRTTYPVTLFK
MALSELRNAENSVSVTEKGAQLFVRGTEAEVKQVMNLKQKYKDEFGELVELIHTHPGIRNVVPSLPDLERNLPVTILARGDTAGAVTKITYSVAEVKHLRELHKGGLPDDTRRFYNALVEILASRNIKPPDAMLAEMSALGMALPK
AVADAAALAAAIEAAEESAVPAEPVLLLKGRNFPDKIKTVSISLHGMLDYDVDDDDEPTVELSMFAELFMEMLVRELGANIATGLLRGRMPAAGGGKRARDDEGTGADCKRSRADSGGSGSVADGRDGGRKDGGGAGAAKGVAAQGAAAKDAAKPPVDTQLLIAFRFFDRGGSGYLRTDDLKRILHAVGLQLPHRAVK
MLLEVSQIIRSGRAGVSTDDYRKIISHLTGIYAKGLAEEMKCPFIITSVDYADVIEAISQEYPAFDYREVMGQLLYYMSQLFRNRKGSWMMVYEHLLSLPESVDALALSNRKAFMEIQEWTEQGVDSLFQICRDYKEMIGQAEHDLAGLEQRIAVQKDEMATQKNRAVIAFDSLRKKRVLKAMLSQKARLIEELQSKQMILELVEDDIREFEEKLVELRRAYFIRLV
MSQNQEKQKAILTKVFILKKSRKDHQSCSSCRSARNFWLRSHLPATVSSSFQQQFLAASSINFQQLPASIFDRLLFGYYGFLNAFIHRYPICWNKAGLHEVILGTSAAKNAHYPSTESSLCK
MAKKYINDVRFWLLAIIIFGGGFALHPSVGLSILDFPSLRIGLYQIVAISLLLFSLPLILKKRQELLKNRWLIGGFLAIFIAIVVGVFFAEARLRTALYSLSLLFLLTVGLSAGLIYSELSKSEKHKLINVGLWSGLVFGILAIIQLIVATFEPTGFGTLCAGCKADVFGFPRINLFAAEPQFFANSLLPAFFIALFQSKSRLAKFSLFFTTPCHIFNIFPWRIFSNFYRHNSLIYNRFHKTNRHIFDA
MRDTQIITLMLILILTFIPVSCFSGAILLALRQRKGWGWLILAGVLTAVAVVDTAISSFM
MAKRGKNRKRGDARLRLVHSDEVQQRIEVEAELQPLMQQLRTRMRDRDPMALLAFISSIVTATDGRHGFADASPTALEDLIDTFIDIDIAETTAALHVLGAIAPQQSMRARVASALERRHQPMPRWLTELPEATVTEAAVAGFDAEPGQNFLIEYRWPGGEPATYIVYDEGLGRGVKDAFPTAEPLAEAADRMAAAAPGPLPFGVRPLDLATTRATLEESLANGANRPVDDENDTWPSGRPFLEWLLRLMPTGGTPDSSTTSLPGFPDLHLGGPLLGPDPDDVIADFAESPEAIGIGFDLEDTHDDAAIQSIVEFTSMLGTQDPLDLTPARVRELLDSHLPTVVLPDPRTARRVPEVLDAYIKFACRRIGRTAKQQGNLLRAARESMPRYLEIALSQQAQTLRQALIAYDELTDGLADFGISVIGDDSGAGQASGTGPTLSADRTMPGRDTRRLSEEELVESIIASAASQVGGRDVLDALDATPLPDEPFVAARLPDDIRDRVEHIAGLVDDFADRSFGVEFRTACRRYLARVAAADPVIFRRNARDETAAAAVAWTIGRANHLVARGGSSMESQDLLGHFGVKGSVSQRAQVLLKALPGTAYDAYGPKLGTPDLLVSSMRAELIDLRERAATGRMFTWD
MSLAASAMAELLDIGTVQGQPYPELFTLTLSGFFLLENLDPALLQSAYALRLLTYLGYRPKLSECAVCGKPIHGVRIYFVPEEGGAVCSECLGENARPGRWLQAGSLAFMRQLLTADVTKLDRLRWNEGMKKEIITSLQFLCEETFNQRLKSWSMGNRLANVEQNQCAKGGKVDERNTVDRTGEN
MNKLQQIERIHQLFCTRRRTLTLADIGSDLGIAPEAVAQLLEQMKRYLAAPIEQMDDGFQYQIYTGERYRLPEFWLSPSEFVQVAQMSDQIQRTQPGLLQEDLNVLEGNFTRLLRTRKINQYQFERRVRYLSDAPPYSFNHDFSLLCSGLLERRQLAIQYSSDNGELQQLTICPQSLIYRAGAWQLAAWCHLLHQLRSFNVARIQKIELLSARSREIAPKNLDKFFANQLGYNQQQPLTLQLRFWGDSAHQVAKQSWHPNQQGLWQEQCYFLSLPLIDQDQLMARVVSHLPNVQVLSPSQFAERLNHLLQAALDRQQQAKPEVFVATSATVSEPEAATETTTPRKARAGAR
MELVTKSLLRTCPVCGHETSQNNKIFCGECQQLLPDSPPLDNRYKIERSLGEGGFAHTYLANDIRTRKPVAIKRVELAPGSPEQANTIRRFFERELKLLAGLNTPGHANIPEVLDTFSDEKAEYLVMKYVTGETLKQKLYANGPMAWDDLSQRLEQMLSALHYMHSRPEPVVHGDVKLDNIIEDDTGRLFLVDFGLARQQSIPGSWSTESGAAAGTPGFASWDHWRGGPSPMSDVYAVGMTAYLMLAGLGIYPDLVRREQMTGQRFTPHAGLTPPDFEELDIPKDVQDLLLAATTTEPAQRPTAIQFQNRHVAIREAKNRPGNVSAPVTVTRPLVFPGGDAAHSEAEFAMLADHHLDEALDFLYHDDALARWLEAQCFRGDLAQAVRDIRDKRLDEHEALELCLQTLDPGRPAPALVIEPDPLTVARSFFTRTGRGEFTLVNKGPGYARVELRSSDDRVKLSPDVLTLDLNDKQTVKIVAPPRSVRKSKNRPPLKLDVKTERAYGPHVESYAVKVARQPGWYFIREAVMLAVMVTAGLWLLTVGATWWPCVATQGLSGCQLPTVEQSLSLLQTWWGQLAVMLAIP
MEDTMEGNKNNKGNSSSRSSAHHDQGLASRSGEATSSQPENKEHHAPAGLDAPEPVVRVPADGLQWDALPDHVAENERENSSAGLEGRPNKGKKKAGKAYRKARKEHCAQRLRLLAGLQEKGQSDDSYPGGSESDDQWSEEDWDDEEMSDWLGDIDLFDSELSYGDFSDDEESEPFQGNGSSSP
MQTNPLNAVLFTLMKTGLEAVGSRLPVETDGDCIYLRNLLVIVNDLGEIQCYDVNDPDRPLMTFPMDALQAAATKVVVHVLQGIIARAVEADDISALA
MQRLQQSHRLVVRRRQVPPRELVAELAVTERFEVHRQERDVIADVEVAELEPVAELDAVDDRQRAGGVVEHVDVLRLQVAVPLHHAPGDQPLVEQALRRLDRALHELARAARVRLRPCRADEALCLAVLPLPPGALGWAARERGRLPHHPHRLVERDQPSCEPLGDPRRRGAGRQQAVERAIVGEAAHLHRPLHRLALALHGEAAGGRAHDRRHAKIDIEREAAVKLHLGAAEALATLERELADEAEVDGLLRLVDQLTGEEDVRDMRLPQLDGGRMVGVCGRVEQVAHVLRQRRGWRRAGFGVGGHASIVIGAPPASQDWCASRPPGDRLPLRREGAPVPEPEQHFYQSQRLRLAYWQWGDPSAPPLVLVHGGRDHARSWDRVAQAFAPGYRVVAPDLRGHGDSQWAIGGEYSLRQQVIDLVTLIDLLGGRAAVIGHSLGGQIALLTAGAYPERFEALVAVEGTVAGAIRSQSITPQAMREATDRLRTLERRVPRLYPTLEAARDRMMEANKRLTPEFALHLATYGTRPVEGGYIWKYDDWARMEMRAHDITAEEARHFWAAIACPVLLLIGGNSFARRRTADDERFFQRARSVTVPDAGHWVQHDQFDAAQRTMRALLDEAYPPHALSLHPRTGAATGTQQASRPRPD
MADIQSTQTRPKYHFRFLALDRANRKAAPCCISVDASSEREARSILAPYFILSLAAQLPVCGENPQTDLSISKIEMVEGMAQITSPLTAGKKPTVREVRHA
MPLLPEVEEAKERLGLFALAQVGVGIEGARVVVMGEKDTPLATAALRGWPLPVLDGSQRISNLSRCQLTDGLDLARAAPPKFMRIQTARRGGPAPRHSSQGSSQERKPPKAVPRTEATMHIRLSTRSIVLTLCLVLTAGPETLAAGKRPKNQRNHIEVANLNILHGLACGPPVPGDGDQRRVRDRLDLLVQHIAAAGCPDLMTLQENVTA
RCETNATRLQCWTAPPSPPALAPPVLATLGDFERATSGLHPSPTSERAQLNASLGLLGIQVAGNGTAPVASLLGAGGFGRAVGFQELLPGGSMDTPLLLAEPDGAVRVSLHADAIPGGFDVAVALVLQNEAGPSRAGAVAVCSAPAPVAPAAPPAVAWDSSTSPGASSPGPDGVILLGRAPSFLGRSPLAGMRFEAAAVRGPGMGHSFTGTADSRSDLLVPGSGLDAALELSAPPLWALTHYCGRSSATNAAALDGPWSSPEAATLVPEQHGTACVQTSAAVAPSAPGVPSIVQQQVAPSVLALMWDAPAQVGGDRVEEYLLQMADSATGVVAWEQVLTTPLQRNASAGPADHTRAVALVGGVVPSAAARTFRVAARTAAGGESLFGPALSVVFDRAVHPPGTPGRLATSPVTHLSGPALQLKWRPPLDTGSASAPLSFEVQVVSTNASHGVLANRSSPSSSSSSSSPVQQHQQQATSVLFNSSAVQCQRVAVAWHSPATALAALAASQAAPLECTAELVGLQAHAAFELRIRASNGAGPGPWSASSEQVVTGPPAPPTPPRVWATQRSGQSWIEACWLPGSSDGGSPVTSFALSATVARHSPALAAFANETLFGGIQARIAWKGVAPQSLSSADAWLEPVQVGAVSVAQGMAAGVLQSGGSASQAGAMSAGAGAGSDSLGAQQCAHVRGLPGASVVHVAVAATTAAGTSAVSATAHEDAVAMAAESDADHRRFGLALTALAAPPGSVSELTVLPANSSGSSLGLAELVFRCPVDWGGGTWLDAPGLRLWLHPASQAARNASCAGARAEGHSELPSEVHVVDVPPWAIELVNGSTPSQLVAGDGAKVLPVPLDAGGNGRERLAGRSLWSVHNQTGQLPLGATCRVLISGLPRRPTHLHVGVVTSAGIGAAEALGESAASEAPSSPPQPACLPLADLAGGAPESPQPPGGLVAGRTASGSLLVAWPLAPR
MYGLTHTKNREGVLVLTVWTRKRSGYGGGFDTFDKTLVSFLTREGVSLAQGYVLNVYGSDGTRLHHFDTTVENNP
MSCSTAEVNEIVQNNLRQLLSRSPRLKRFEISGRGWTFDHFVLDENVLALLPDSIRDLSISAGGSLKITNLHFLRGRLLESLALQRSFVSSADLDVLVGMASSLTTLDLTSCINITDGSLLRKLHNLRYLYLGNNRELTDDAVMGVCAGCPRLQRLSLDNCAMLTTNSLLGLGLLFELEWLCLAGVGGVDDQVLERLTNCRELKMLDIKFCRNVTEIGLVIILDLPELSQLDVQGVRAYSHSILQHAKRIPKTIVSEHCSSLPIQLPPLPSAVVG
MHRKTYTLEIAGLRRELPIVDIAPGLSIASFVMLGDTRLVEAAADAISGHPDFPRNTVEYLVCPEAKAIPLTHALAVRLGLDYVVLRKNEKAYMRNHIIEPTKSITTEKEQHLVLDGPDKEKLAGKRVCIVDDVVSTGGSLRSIEALLEKIGCTVAAKAAVLLEDAGHDGSGLIYLDRLPVFRG
MGVGFMARAGEELADALADARVEVVATVATMGIPLAVEVTRHLGLDQYVVLHKTPKIHLADAVTETVRSITTDADQRLLFDRARIPAVEGRRVAIVDDVISTGASTGAALRLLRRVGAEVVAIGTLVTEASLWRDALGEDVRMVRALGSIPVFRPDGTGGLVEDWVG
MSRQSGKNYYLARKSKKLYMADPVAVEVKSITTTNIQTLYMDRNDLDALSGKRVLIVDDVISTGESLNALKTLVEKGNGNIVACAAVLAEGDAAKRDDIIFLEPLPLFPR
MSTPAEWSELAVAQVQGRTRLVASKSIQPLKILNPGAAHAACHVVLSSYGGGLVAGDDLRLRIHGGAGTRLCLSTQANTKVFKSIDGQWAAQHFAGTLEAGALAAVLPDPVVPQADSRYRQHQRWDLAPGALLLLADWWAAGRTDLGERFAFQNFTSETRISVAGRPLVLDRFHFDPAEHIATAPANFDRYETMLTVYLVGPPKRRALPRWPGPCTPSKCRCRTTRTSAWQAAPACWRWPRRAPA
MSVEPFNRLVKLAARAFYDDITTKGDNQPKTGRSDNRGIAVVVLDALTRRQWVREEDLAKDLKLHSKQLRRTLRFFEEEKLVTRDHRKEAYLVTITILLELEVKNEQRNAKAGFCICERGLNLFGFQIYDVVRYRLHRLRKKLKDELENKNTVQEYICPNCGRRYNALDALRLVSPDDEYFHCENCNGELVAESDKLAAQEGGWR
MTSSISPFNRPVRLAAHAFYDDLPSETAPAGQPRVQQGTAIVVLDALARRNRRWVREDDLAASLKLQPTLLREVPRHLEKDKIVARDVRKEPAATTGSTKEGEEEDKVKLHLESYGCLEYAQAFDAIGYRMHRMRKKIKDELAADSRATVQQYMCPACGRRYLALDTMRLLAGDCECFRYEHRGSELVVETDAKITSQGQQMNSDCPHVALLPCASPILIVNGRTANG
MDDDLNSMADAFASSRREAERARNQSAQRESEEWAALERSLSELAHRVAMAASVAGVRGETTTEMVQPQRTGWLGRRPPPVAVERQLGTWKVPFGSGGALRFGTVAFASGLFFCVYEQRTELSEGIDATTRKALREVSLQELSQRGGAHRSRQTRIEVSDLSVRDTLATFMSRHDIRI
MNTIIASVVALGLLTAPSLASESVHSMAAQIARTEGVNPALVRAVLHVESTGRCHVVSSANARGAMQVKPATARSVGVSGNLLDCRTGITAGVRYLRQALLVNGGNVCAAASSYNTGLGIRGRCSGYGRKVMAAMGRATRVRVAEAEIDD
MIWASAVIVGAFSFLLKSRIAEMTKVIVEFLRAHGRFVRGDIAGFAPDVVKKWPAGVCVPYEPDKPKAGGGTVIGNIELDADGVRKMISDAETEFAAKTDALGQREQELADREAELAARERELLSRVAAEQTDASAEPEEAAAVDEEAAKGATKKTAGAPPKQGAKA
MRATQTYRHFNHQITENIDLKHLTLDLKHSQSAINRKVFRNAVKKVKHRERKIDSMILNTSIMRLKSLGKNRDFQEKSNKNESWVYEAAEKPANSFLTHGEALGKSVAESYHKNNNLSNERPLLKTFCMAENVTHETSAPNSTHNRNDTMTESKLKISLIDVNRSIKEPKKSQKKHEKVDLQTQYENDEFLAPKVPSVKRQMSSGKVRYMKPEIPPNLYESRVYGLPMYLSSKRSKKVIEDVRLQPEAMKKMKLKKNGFDSCSTSNNKGFPRKRPHKVNNPFQKFKNLLENELEISSIYGDDETLVDTEEEHSQLETMKNTESQAIESSTGKRESVIDSIGKSSIDECSIQDEKEMPQSVEIPLRIVESTVPDNVENSDTSLTENKEDIELVTLEKSNLNDAEITQQPSPVVERIAIQPVEVTENHVNMVQRQASESSCLNENKEQSQSFSVVKTNVHDSPDHEVRNVTSVCPMRSPTVGDNRSYRRSSNFRLVTTCCKRKCYQSVSHEVQIREFESFQRKNSLKQFDQISDYLSAAPTKTKHRRWNYFVLLDSVEGVSVCRAFLIQMLQISSYALSKVQQKLKKSTNKSTSITSKFDRVILTKKYAPVIVCKMLQKFYDVNGINMTNIMRVFGGTHNLYKSFVEYYESVMNDKPKIDMKTFFNRYRDFLPNRE
MGTESHGCSDPLRKTNQSLQCSGMWANPQHNPTSVSSCDNIHRCEGHSASITPLNFHHQAIRRIPIKSYDSADNFKISTMNAHE
MRAVLVDDSGFDLVTDFPRPVPSDGEVLVKVLACGTCGSDLHVFEKDPNYAWVRTGFPLVMGHEIVGRRAGGDGASRGGGLVVVRPRVDAGEDGSPVRIGWDRQGGFAEYVVVPEESVLDIADGISVTSAALAEPLAVAVAALRRSGAADRFGPQFRAQVVGMGAVGALAACVLAAEGCAGVEIVGTERDRSLGSFEMLEGFGLEPVLPGDASDSRDLVVNAAGSAAAVRDGVGRLGRYGVFLNIALGVGDVSLNFDALTRRDITLVNSYGSEAVDWTQTLSYINGKSFDPAGIVSHAVPLEDLSRGFSMLQAGRARKILVDIDMEG
MKAAFMLDKAIHVGSLADPTPGPGEVLVRTCACGVCASDLHVLHHGELLTQWSREVNGPFNMDLSKPVVLGHEYVGEIIDYGPDTQRTLKKGSLVTSTPVRFTAAGPVGVGLANDAPGGFGEYMVLPEMLLQPIPDALGVDIGAMAEPVSVGIYYVKAARVTADDTPLVIGCGAIGLAMILALKLTDARPIIAADYNADRRRMALAMGADIVIDPAETSPYEAPKGFEGRVPNVIFECVGVPGVMDGIIRACAPRSRIMVSGWCLEMDHMFTPAAHTKGLSLHYGGGPMPDDFAAAVRALVDGRIDPTPWLGGRVGLDGVAGAIEGARNPSNPIRMIVDPRLG
MQLHSFADDVRPGLIVHPDRRADRLKRRMLAGREDVPRTNCVSRTVDYLEQIALILCQHARAHIVRAGGARAFVPLLVLRGGLVMRPAIARVFPRSPVGLVVPFRQSSLEEPVIVYGDVPVPSQRDQVPHYLVADLLLATGSTVVAALDSVYARVGPVIGDDFPVAVLAPFAATVGIQAVLARFPDVVIHAIWHREQVDQDRRMVGPGFDIGDYALGGECARRVRWACQVQA
MQEEKATNRWIVVFGAVLIQLCLGAVYSWSLFNAPLIELHGWEASGVVLTFSITIATMSVFTIIAGRVQDKIGPRWVATAGGVLLGLGVILASQATSLWQLYLCYGLIGGAGVGTAYVCPLATCVKWFPDKRGLISGIAVAGFGAGALIFTPLINVFLASSGVSQTFVYLGIIYLIAVSIGAQFLKVPPDGYVPEGWTPPETTSGTNQTDFSTGQMLSTPQFYLMWVMYLFGCLAGLMVIGLAADIGVNLVGLTAATASTAVMIIAIFNATGRIIWGTLSDVLGRITSLIIMYILATAAMFLMSYITMTFATFLILCSLIGFCFGGFLALFPSLTADYYGTQNLGTNYGIMFLAYGSAAIVGPIIGTAVPFQHAFLIASVLCATATILTFFTKQPKAPDQAMAKRKAA
MAVLVIAGAAASSDLAAAEYFVARSGNDGGDGLSEKTAFATVAKGVAALKPGDTLTILPGMYFESVSARISGKPEAPITIRAKRPGTALLRGDVDAPGFRRVDGLRYTYVAEFKPRVEGVAERSTMRMYEPTLSVAEVEQGLATFHQDEQAGRLYVHTSDSGNPDWHALSISVTNGFGLLLTPPAGSQTVHDVVI
MVWWFQQVYYCYYANSLLVNDDYGASCFVGVGDHGGGGFPHAPSVVRSAISSLTFMRRPPLSRDLAWVALHLGSIALVFLFTMLSMSCGSAKDYDFIAGLF
MLTGFIHKQLLEGEDCLRTGLYSWIPVFDGDIKYFKEVDPSEYEKYDVIHINLSGQDIHLLGEIRKVLGENSKTKLVANNDYTVELWQNSFDYFPTLKREINHADMIFGTEPNQVGTMETLLGRKVHLIPHPCFVKRLKTLRPKRKQDVISVVSHRYDNYNMVPSIAVDNLGYKTRLIGYDANSDKKKFVTSTCYNEILPGTNYMDFCEQLMESKVVVDPFTLTSVSRVGWDCAALGVPIVGSDRNYSTRVCFPKTTVSPYNMKDVRKMVKKLIDDETFRQEVIDYAKKAVDYVSYERSKEKYLKA
MENNFAIIEKLNSFFYLMCKNSARSKRDYNIGIPLHRSEIHTLECIENHKECNASTLSKILGITNGALNQMTTKLIQKGLVEQYQHPVNHKEVFYKLTLLGKTANEEHAKHHAAIYSNIISYIEQLDPGQQTAIDDFLDYIITSIRDDCWINETQI
MDIKKYFEKISEQSKEIFELTTNTYEQKLAKSHYLCTCIFDFSEEIIAANDEICALKNAISQLETSLYCLTIGLYRQANMCLRLGFELALGSIFFSTNKLEYLEWEIGKQDIRWSKLIDEENGVLSERFSIFFSSNLNKVIQEFNNRASRVYRRLSEFVHGNNETWSKVDLELVYNKILADDFFNYFSEVCEIILFTLSVRYLKKIEKDNLDFLITELSHIEEIRIYLGGPK
MSRSRSRTPASSGRSASRSSSRSRSKSRSSSVSSAASDPGAEGLSVIKVQSDEAALVLGRGGSTKRKLENAADCDIELVNTENGDSNVELRGPARNRELGRDYICFLLLNKKNEPLKLNPEDREDCSWIDVPQDTIGYVTGRERSTLHALEEETETLMFFQDKDIGGSGPRVRGQQDEIEKLIILGPTRGRRIAQLKLMSAIESKSPGFFLDHRNRWNLDDTYGGKLGLTTVKMTEAQFTYALGRRGATRKKLERASGAIIDGV
MKLGIVVGMQSEARLARQFAPTARIVPSGATFLGAQGAVKALLKFRCNAVLSFGLAAGLSPIQKAGTVIIPHTVWHKGTAWEVDKTLWHRVGGKALSVNNNDIVHVDHIVASAAEKRNLALRYPSCVALDMESGIVAEQCHAAGIPFAVVRVICDDAKRSLPPLVQGVLSQQGHIQAQSILTSLLFYPWQIPSLIGLAFESARAEAALKRHIQKMTLQS
MTPSHNQGPVLVVSGLAAEARIVAGPGVIAIAAPPSALPAKLAVLTEPPAVVVSFGLAGGLHPGLTPGMVVVPDRITAGDQTWDTTSTITRKLLEKLENAAIPIFGGLMACRDAPVLTAAAKSALHRETGAVAVDTESQIAAAYAAERGLPFIVLRAICDTAEADLPPLAIRAVAADGRLDWPAIGEELIHRPGQLLQLPGTALATLRAMRALGRVRRCLGPRLGL
MSIALLITSADGKDTLFPIATEAIFSSYWLPIIEEHNLQFLRLFQTGLPVEKEDIPYILDEIKIFRNNLSKTGQQSSIINERLDAINEQLQLLVDQDFDSIYIG
MDREPSLRRGGQGRPDTASEDKRKDMTAPRDRYHKMLNTTRWRKLRALHLARHPFCADCAAEGVVTPATEVHHIRPVSIERDPARMERLAFDAGNLAGLCHACHVKRHERIGKGTAEENARRQRAEADLFARRFYGTQTPGGCFSATGAPS
MAHKSAAALAAQLRRLDHYELLDTIGEGRHGKVKLGRHILTGTQVAIKIIQRQGVHTDPRGGLREVRCMLGLRHPNIVQLFEVIDTDKSAFLIMEHASRGNLGRYLEIHGRMEESAARRTFRQLVSAVHYCHGKGIIHRDVKPQNVLLDRNLDARLADFSLSTPFNGSKLSTFCGTPLYTAPELFQGEEYDGPPVDIWSLGVVLYHMVTGTTPFKGKDLMQLVKQIKSGIYAVPQYLTVELQHLLGKLITRNPADRSSLTDILPDLWLNSGYLEELRPFAEPDSYDLDPHVERQMLHMGFEREDIQNALAQNTYDNNIMATYLMLTAEKSRDPNRPTVLRPFKLIKPKGFDRSADTEAETQARPKDVEPGQGTEDAAGPSGSPRSRKATPGPSPKSMKAGLQPSAKGKKKVTPRHRPQLKTAFPRPSPKLRRATARASPKYKCATPRTSLKSRTAALPPDSDSDSDLDSRPTTPPPTAESGVSPTPPPPTAEDSRPTRAPPTAGSGPRPTRPPPTVESGPRPTSPPPTAEDSEDSRPTRAPPTAESGPKPTRPPPTVESGVSPTPPPPTAEDSRPTRAPPTAEDSRPTRAPPTAESGPRPTRPPPTVESGVSPTPPPPAAEDSRPTRAPPTAESGPRPTRPPPTAESGVSPTPPPPMQDSDSRPTRPPPTAEDSRPTSAPPTAESGPRPTRPPPTAESGDSDSRPTPPPPTAEDSRPTSAPPAVESGPWPTRAPPTAESGPRPTRPPPTVESGVSPTPPPPVPDSDSRPTPPPPMAESGVSPTPPPPMQDSDSRLTPPPPTAEEMRTTTPTLKRDPKYATPRPAPQYGPGDSSSTHNTSSTRSSSSSCGSAEGKSRGRRGLARRASRMSPPEVTVVHEATVPRESLDSWVTVSIQTPDK
MVNVDGIHPVGPCYKKGNGIKKEDKLEKGINERNESGGKGVRVEKMTIRFELEEKYETGEKELTIMEVVEVDYTSDTYVTHKSTIENKKVKPFEWYMRSAEDGFATEQFNPGGPYDQNGVSLKHACKS
MTVFVFITYDNLQNIQAERNLPLGVTEIELTNWTKTREPEEIGDGENKMNSHSDRAELNEGISSEEVESIDGLYKNVKEDGKNYLKLRTIKDVSSSSDITENVNVDTDKSESEVSGNKVLRTMINSGNDTQLISYSVDDLYGYLQSDKPIKWDNEGKITWDLHTCVDTQGNLNSIEWRMLSASFEITDKQIRLLQDGNAYAVLGIPTDNKYGFELIMPYNNVASVFLNKKTTNINYETRRLLEKQRLNSKDIDYENTSSYTCSNATYHNSLSNHTDGYHIHMEDLSENAGKIKGTLMEMDLEEKLVMGENTIDILIGNFITSDSLKNNSCGFSKINLYIIEMPQMEISMKLYKYKNGKKDGQVVYFDDDYRPTNGDEVYVRIDIQNNSNKYTLKNLGFTKLNTNKNAGTIRLNMSPDSFIYNGNTLTDDIRCYKNGDTSEEYDISALKSLEPGGRITIMSDRLKYTVSKADIINNSIICVGSIRANYIRDELEFINVEKDMKVPISKDCGVLNIKCTIQNGDQDSNNEEKFLVNISSDKGFANLSIKPGHTYSVKNLNISEWHYINLIVPQDYEVVNSTTSKRSIQNKIFLNQQSIKNYTGNIEIKLKKKNNPYFYKNKQGKININVLDKSKDL
MAEDNEGLYRSYLHETLDGLTCRYEGKYWPGLPGVLQVIAREHPETGCLSESDRAIINGRSKILPDYLRELFSSIENVRQGPWGLPKDFTLTDSSLATLATVTLEYTEVISADTVKVRRSEFSKRGERGAWPFKPLKAVDM
MGSSSGASSARRNRFSLPPPTPVLAGNLPQRCPSRSSPPPLPAPPLPRPPVARLLLPAMPPTHPATSLPRRPSPGGLSTRRAAGVLLGTTPDPIPGSAGEKIQVRLHVPAAWRRR
MQEAAQEEKNSTVSSKTQTKLNRTAPSQTEIGYRVKDEQRTQAEILAKNAEMTALGLKAQVEFQSQMVGFLLARRYHHCMITSAFYRVLFKGANQNIRVGAKEVKEFFPVSDFVPTLESVDTLAREAVNDVKVGMSSVDDLINQGELYGAFERLQETYFLGEFEPSVMTFDQAKKRELLLLWRDLRELQRLGDERDLANVEGYVNKVRGRAKDFPGASILSKVNNAINASNMSILAGPRSGHPDLATKPWHQRVRKPSSQSPRQDWTNGAGVRSLGVRGEVA
LRRIYRTLTILIPSIRQFVIDAYYIPEWQKLLDDLLKARGIDVERYKQQVEYYRKLIRNRLVWRQVAWYRTRLMNAYAYGAIDRNTLVNKLQVLKNYGLSDDEINIILDGMELEKAYRSLRRR
MDRTNLVTEVKGQIDTWLSAKESRSVTALARAAGVSESCVRRLCNDDRSPLPDNMLKILMAVSQKSSIEDLKTHFESSNGIQRFLLHNYSFLEKATYIDSCTPLLEKEKSIDEYLPFVVYLMSANRTRIHKNEITRLLGLMGEMALDSLVSDGFLKIEADGIITALVTDVRPSKELIRKHLPDLTKMFFKLDHDFNGFALMSESVSKKGYGLAMDVYEKFLADMGEVIQNNPGEVPLIVSGFMDTLTIEPYFRKEQN
MARGSSSCQYQKNQAALLSKTNSTTLLKQDGKQRNSFSILADLDNTEEMITKFTGDKEMTQALKDSTTTTSMDEGPMTDNPVPSVDNRTTKPDSKSAAPSKSAELDKTQVEAQETQVNLTKEQPGFILNVKPNSDQSLMTKKHIREHVADEIWVTTQDQTLVQQPEVNSLIKIPVMEDTACTLPVQSKQRKLSESENGPNTTAIGNFSESGLFKPSSLPKHSCSDPGYGNPSHQCYAQQRLVPPTPNCLLPSPEP
MANETDFLDMYRTLGLRPGCSLPVFKQAYRRQVARMHPDRQGGTSDPATAARLQRLISQYDAAMVFQREHGRLPGAMPQVRFSVPEASAPVFQAPAAPRTTRKWRAVLVFVLLVVIVALAWQYINEAPVPEPSNASSDTQA
MKTIHYVVIALLLTILLPPTLIFLFRDLLIISLRYWYIILPSIYAAAYILWRLRRKALSILLVSLATGLILSVIIVLLIIMYAIIMTEY
MRAKLSVLRASHRVLKPGGRIAYYTIYVAPDLSAADYRRIMKFWPSASVGRRSLSEMLESAGFIDVRETEVTKQYQKISRAWVEGRRRRYDKLAQAMGEESLRGKIEEGKAILASIKDGLLRRSLLTAKRPG
MKLGHNEIMIASKYFNDINDFINLEMGVKRFRGNIERFHFNPIPLNNYSRRFFPNIETFHVYTESSMVFNDGRIIKLLIWYTVNYSTYLKEKKKGNICKNIEYSQSDRKKYGNTIPPEVKSLGDYCFKYCSELTSINIPTSVSKLGYWCFYGCTSLKSINIPSSVSTLGNYCFSECSSLTSIYIPTTITKLEGGCFRECTSLTSINIPSSVNELGYWCFYKCVSLTTINIPSSISELDDHCFKKCLSLTSITIPSSISKIGNNCFKECTSLKSINIPSSVSTLGNYCFSECSSLTTINIPSSIIKIGDWCFDECTSLKSINIPSSVSTLGDYCFQECSSLTSINIPSSIIKIGNECFYGCSSLTTINIPPSIIKIGDYCFYECTSLTTINIPSSVSTLGNYCFDKCLSLTTINIPSSVSTLGDSCFDKCTSLKSINIPSSVSTLGDSCFKECYSLTTFNIPSSVSTLGNYCFSECISLTSINMPSSINEIRDYCFKKCLSLTSINIPSSITSFRNRCFYECSSLQSINIPSSVSEIGNNCFEYCSSLKSITLPTSISIIPDYCFSICTSLTSVTVPSSISKIGNKCFKKCSSLTTINIPSSIIEIGNNSFEGCTSLTSMNIDNLQFISKERIFMNEPVLISIKIPENLQIINGKNIENKDINEFIIPSSITKLGDKCFSKCSSLTSINIPSSINEIGLNCFKECTSLKSINIPSSITSFGYKCFYRCLSLTLINIPSSINKIGDGCFNGCSSLTSINIPSSITSFGKSCFYGCGCEEELKKNKRIPRNCFE
MIMKPKLLLMILMAVSTFTFTSCGEDYDEIVNGGTEANNGNGSQNDNTASAQWPKVKFDTYHVAQGGTLPYLIGEDRKSLITHLKVTGFVNSDDLNFIRMMTGLSFKSGTLQYLDLSEARIVAGGVGYSVGYGNIEVETDKITECLFANCPKLEGIRLPNTLKGIGEGAFYNCQRLKIVEIPNSVKYIGIDPDDPGAYFYDGQFYNSYNYGAFEECKNLVSINISNNVSIIPGRTFKNCSSLSSITIPKSVTYIGLTNRYDGGGSGKESINYNDAFYGCESLSAINVEEGNTTYDSRNNCNAIIEKKSNKLMKGCINTTIPNSVTAIGRCAFDGCSKLKSITIPNSVTSIEKDAFEGCTGLTSVNITDLVSWCKIDFDCYSYLIDAFHSSYHYGSNPLTLAHHLYLNGKEIKELQIPNTITEIKEDAFNGCTGLISITIPNSVTTIGYRAFNGCNSISNVTINSNSILSETSYSRRVSGIFGSQVKSYTIGDDVKSIGSYAFYDCSSMTSVSIPNSVTSIGSSAFRGCSGLTSLTIPNYLTEIKDYAFYSCSGLTSITIPASVTLISYDAFNGCRNIKEIHMKPITPPSVYNYEALGNYNATVYIPKGSKESYNKYPWTDFKAIIEE
MEKLKFEREKTMKKGFKKGLSVLVGVLMLISMMPIGAMAEEATAVNGAAVNQSGESVDSQGNSALTSMPNSLTMPSANDPMVNVRNNIPGEPAAAESGIDPAQQTVTQTSEITESIQTSAIEGDFGYVAVEGGVSISLYNKSKSVVDVVIPGTLGGKKVVAIGEYAFYDCSSLTNVTIPDSVTSIGSSAFNGCSSLTSVTIPDGVTNIGSWAFQKCSSLTTINIPDSVTNIGYGAFEECSRLTNITIPNSVTTIGGRVFDKCTGLTSIDVDVNNLNYSSLDGILFDKAVTTLIACPGGKVSITIPNSVTTIGDYIFYECSGLTSITIPNSVTAIGRNAFDGCSSLTTVTIPNGVTNIEYMTFYGCSSLTNVTLPDRVTSIGSMAFRGCSSLTSITIPDSVTSIGFFAFDGCSSLTTVTIPDSVTSIGCAAFLGCSSLTSIQINSANTTIIDTGGDGIPGRTFPTSATIIGHNPSTAKDFAVKYNRTFIEIENSEKILPTSISLNKTNLNLTVGNSYELIPTLSPNDITDKTITWDSNNSDIATVDSSGNVTGIDEGTAIITATTSNNLSEKCTVNVKKSPVTNPDPSISYQTHVENVGWQDWRTNGNTSGTYGRSLRLEGISVYCKIKT
SALTSITIPNSVTSIGLDAFEKCSDLTSVTLSNSLTSLPNDLFFDCTSLQNIVIPNSVTSIGGNAFYGCTSLQNIVIPNSVTSIGDGAFNCCTSLQGIAIPYGVTSINWLTFGNCTNLLSITIPNSVTSIGHTSFVNCTSLASVEIPNSVTSIDTCAFLNCTSLTSITIPNSVTTIRDAAFTNCGITSIIIPNSVTSIGVNVLSKCKDLTKITLPYLGASKSATGNDARFGYIFGGNSYDGGYTAGGYCIPNGLSEVIVTDATNIAENAFKDCTSLTNITLPNTINSIGANAFAGCSGLTKVIIPFLGDSRAAALGETKFGYLFGTVAYTDSYTANGYEIPGSLVEVLVTDATNIAENAFRDCTSLTNVTISDSVQSIGSHAFSGCNGLTKITLPFAGKSRTPSGGEQRFAYVFGTTDFPNSYDAYGFRLPDSLIEIVITDATIIHSETFWSCHKVGSITLPNTLTSIGSNAFRACSSLVNITIPTSVTSIGDYAFRGCTFTSIVIPNSVMTMGARIFELSTSITEITIPFVGASREATGNQAKLGYVFGTENATGLYAAGGYYLPDGLVKVVITDTENIEQYAFQDCKSLTNITLPSSLTSIGQNAFNGCIGLTTITIPDDVASISENAFKGCTGLTSITIPNAVTTIGENAFSGCSGLTEITLPFIGKSKTATGTDARFGYIFGKTSYPGGDLTNGFYIPSMLTKVVITDITSVGEYAFSGCSKLTTLTLPDTVTSIGDYAFSGCSAVTSLNITTNVVSIGYGAFWNCSSLASLVIPEGITTIHPYTFNGCSGLQTINIPDSVTTIGELAFYNCSSLENITIPEGITNISDLAFYNCSTLTTITIPNSVTRIGEHAFRNCAGLTSISVPNTVESIGSHAFSGCRGLTEITLPFIGKSREATGAEFRFGYVFGTTSFVGGDLSDGYYIPTDLTKLVITDTISIDNNAFKACSKLTNIKLPNNLTSIGDSAFNGCSSLISMVITNDVINVGEHAFKDCTALTIFTEIVAKPASWSSDYYL
ARNKEFLRDRATLAWNFAFPFLVIFAIAVVFSGEGRELYKVGVIGLEDNPAARELAFLETRHVDFVPMPNAAAAADKVRHHQLDMALAPGDPPRYWINGSNPKGYVLERMLWGSAAGPRFEKEVLEGRRIRYVDWLLPGVL
MAPQGPSSASLFLCMAPQGPSSTSLFLWHLRSFLHLSVPLAPQGPSSASLFLCMAPQGPSSASLFLCMAPQGPSSTSLFLCMAPQGPSSPSCSSVVPQVLPPPRAPLFGASVSFPPSCSSVWRLSVLPPPRAPLFGASVSFLPLVLLCLAPQCPSSPRAPLFGASVSFLPLCSSVWRLSVLPPLVLLCLAPQCPSSPCSLCFPQFSSSHSAPVYGASVFFLPILLLCMAPQCPSPPRAPLFGASVFFLPILLLCMAPQFSSSPFCSCVWRLSFLPPHSAPVYGASVFFLPILLLCMAPQFSSSPFCCSCVWRLSFFSSSHSAPVYGASVSSSPFSAPVHGASVFLPPHSAPVYGASVFFLPILLLCMAPQFSSSPFCSCVWRLSFLPPILLCLSFSSHSVCGASVFFLPILLLSIIYSFSSHILFSYCLAPGRGAGPSGNMMMGMRGPPPMGRAAPPPPAMRGPPPGMMPGPPPGMRGPPPRQ
LTLREWKSDIYHLFYMNETQQRVVAEMKLDLPSQYRFDEVHPNSDARFIENTDKSRFETLRRVYECLPAVSVRCGNELIGVGASTNYKFYLSWPCTLPQEVQSPLEMRVWQDSIGI
MIVSMQKVLLLVSRKQASHALHRLQDLGVMHLAPERLQSGVDLENARQRLDQLRDLEHLLVTLRPRQQTDCSAEKTPAVEELLDLAAERKELLRRRKEVQAEYDRYSPYGDFDPGLVRDLRAQGLDIRLVQTQRDTRIELPEDVLRLETARTKTSVFSVLVRYGQLDLPVRDTALPERSVQDMRDELEAIDARVTTIDTILRQAAPHCPPLATRSRLVRDAFRYYEARSGMEELGPALLVRGYIPRHALSSLQTEAQQRGWGILTLEPEPEEIPPTLLRLPRWVRPIKAVFELIGVLPGYYETDISVPFLLFLSLFFAMIVGDAGYGLVFLGLTWVGRRLTRLPRDAVILLTVMSLCTVGWGLAAGNFFGLDPTPGIVAPLQLGWLSGPEADSHLMLLCFVLGGLQLTIGHTWRALLFFPHSRFLAQVGWILVTWGMFFAARSLVLLAPFPTPALLAIVLGGILVVGFQFPLRQFKRYWTEYVRFPLDLISSFVDVVSYIRLFAVGSATFAIANAFNEMAAGIGAGTVWGPLLAALILFFGHCLNILLAGMGVLVHGVRLNTLEFAGHTGIQWTGQPFSPFARSSGRGRQ
RAHPGAKVATQPGANTACPLALSGIVDVAQDATALNPHVPAEGGTVLRLMGRFLAVSAVLVNGQTVAASKNAENNYDFVAPPSSAAGPVTVQAVAPDGSVLTGSAEYTYDPAEVPATPPMTTEPEPPASNPADAPTESVSP
MIRHFAILDAAGLVVAAGTVPEGEDLPEGAVACDPHVGEGWWCDGGEWRERPALPEPDGLSWSGLPAGTVIEVWDAEIGVLLAQIEPEDGAATIGLPDPGAYEVIVRPPPPWRVQRAEWRSE
MKNKNKNIDVKTDKIFRADVVCFSTTLTKLSCKHTKRRKLTNVYHNEVKEKKIKLSKWCKTQKARIVIRGYFKCLKKALVLLSLIISAQGKNNGEV
MRIDNSKIVVIIGFSAILRKSFSTGCNCCNDRELGYSAITYFGK
MLSTRCEPTITNTGTDWSGQERNSRTKVRMHQAEKFFTTAALPVKDLKTSSVNLVISAFEVCP
MDINTFTLNLLHGLSFGMVLFLIAAGMSIVIGIMGIINLAHGALYMFGGYVGWTIAINYGLNFW
SGILKRGENFILVNSDGKLVIFNQQTVQYEKKISSGNFSDIFIDKNLLYTVSAEGELHCHNLDNNNMVWSKNTDERFIGSIFHRTDRGLYINNMQNEAYLITPEGLVEKTQIDFHRILADRNHACLNSKTGSGLCYQYSDKKISLFSR
SGPALKLLRERGVGVVLVGMGTIDAMEPKGQLHLGMKEKLLHTKDPRYSPAWIWGMSQMRGLTAATAEPAFFQPDKVVSTGGSKRGIATAAAGIHDNRFTGIVPVVAPPLGNPGTPAAIIGTEPSWINGVDAAFLNSVDPGVRKSLEDRRDRREDTRLTLSEVNQQRWKPAEVKELSQILWNASRITAYLDEVNRRGLEYFYCVGTNDSVTPALLQLGRKYPDFPVYIVPGGQHGGPRDAGFTRHVTDEPGVVQNFESFCLHHFFGLRGLPDTPQISAEMKDGYLVVRTLYEGSSPLKENLLSWSYDRHAPYCLPFEYDKWESTEMQAEDHNTYSARIPLPAKVLSIQFLSTHVDWENGIPFFVSSPLSERKVFP
APHPLYRPNNDLVPCKDPLCAALHPPGDYKCESPDQCDYEVEYADGGSSLGVLVRNVFSLNYTNGVRLTLGHNHLQYITSQDVHSIIPNILKY
MQKITKLMIAVIALVVLSITVFPQTLNVLGKSPEKPKSYYLDEIKDTAQKVKALTKEPALVFFLCSDVHYNTVKTDNRLKLDSVTDMTTNMAALKKQIQVDGLICLGDIVDAKPPTKMDETKQQIDYVMKRLQGVGVPLIYSMGNHDDNRYISRKDGTVLTPQQIESMFMRYTLPNKVIDPSMNGLNYYVDYDRLKIRVFVVDSNYQKPEDGFHWSHGFSDSTVAWFSNCLNEVPKGWSVLVLTHRRLVQNKNPGKKWIYNQMKMVETVNNLIANGGTYIATISGHIHRDYSHSKPFLEFSVAAQKCQNIEAKKGKAFAPERKLNTASEDLWDVLVIRPQSRKINTVRFGAGDDREWSY
MCCPDGDDCCACLAGMCCASCCLSAASSTDNDRRDRRDYDSPSPKQVYVQPVVVQQGYEYPPPGYGPPPPRRDYYY
MTLARHLKTLLPNTVIVHQDDFFKVRPRNPSFASPEPPPTLRFRPFPSQPEKDIPIDPATNLINWDCPSALDFPALVRLLHHARAAGGTLPDTHDSKEDRNIPTNPPVAVREDRMLTFQNSVYEILGGSARDAEDGWAFVIVDGFMLYWDEEVMRELDIRVFVRGAYETLKRRREERQGYVTIEGYWVDPPGYFDSIVWPEYLKWNGHLFTGQDHSSSLHAGVRGVTTLNSDEQDIEEMVRVSLEALKVVVKQKE
MKQAISKVFETAPYVRSRITTGDLMYFVVLALLPCAGMGIYRYGFHAALLIGISVGCAFVLEFLCDLIWKKRAASVMDYSCIVTGLVCGLILPPGAPLWAAAAQSALAIVVFKHASGGLGHNLFNPAMAAKCVLLLVCRGMMLDLSCKNYEGVSPLALLQSGETPNLLEMLTGNVAGYIGTASALAVLAGVVILFLAGIIDLTVPLAAILSFSVCYVLIGRYGLSPYTLAIQLGGGSFLFTAFVMAEDYTTSPISFAARCWYGLIFGAAVFWVRKAGFYEDAVVYALLLVNLLRPLLDKKLAPKPFGASAKKWILKEPKKRRRPQKSDEVRPELTNESLDQAFLQFQEQIEKEARGLEAARYTGDDTLLREALAEAGEEKPKD
MEVFLGNTNCYSIPDETVPAFYYCCRAWGFQVDMDVTAGRITLLPGLSNKRILLLRDQHLQSSLRMERYEEQMLQFIQNSLKSSGVQYSQSEQEKVEALDLSLSIFISQLPYLQDTTLEIYCSPAEFAAEWINEIKSECEKHTIKLVIHEIDEKETYQKVACHILYPMEMEASFWEKVGKSLAAILSLGLLHKLQGDNRQSLLSIFPLEHWLKAFTTTMANKEIALIEETAQEIMDTQVQRNQPAQKNILARAESFFDYHLFLMKNKTPKIFGGLSLKNTGNVLLRNPIICFRISPPGSVSFNGQILPPNAIQTQGIQTSDGPKGWRYMYDHWQEDAQEKGEIWICPINELTIAPDENISLSNIQMKVNDMEHVNSIKIEAYTFFSEHKLEIVSNNKISLLLN
MDIIFFFLSFLQKYIIAALSYKLLKSYCDIFKGDADMAGVRVNCSPFQH
MAAPHPQGEGAYRCIYDALHNGGEVSADCVGYVNAHATGTIGDAIELQAIIRALRANSQSGNTPLFISSSKGALGHLLGAAGSVEAAIALLALKHQRAPPTANLT
MRLCVALGLFCGALCAWIVLRLNLGDPLPSTSEIALRSAGELTQGTTAGGRRLTAASFSGFISNLLHANPSKASLATDHESAKSLQRASSNFDKPEDFFNLLRGIKSSDDSSYMQAIGRLKKQNVKISKFVALLQVPDLDPALKYKLNQLEKRMFSNPTMKKEVLAGWAASELPLSKVQKKLSNFGIDDKTKVSDMLKFNQFCLDKYISARWDSRKLNDFDRYFQDVDKKAISSDIVQVARKDFHMTEDDLTALLKSTKADLSSHLFVSLTKLNREFDKPKDKNTLLRELQNLNDDSNTHQLVEWLDHAHRVWSHDKIMTEKLDTSYEIVSLLSQRLEVHKRVKLLEAASKSIRVADFASDLRKMLNAKALSAKNPTTDDYLEQLKNIYGDLNQDAIGYMWKKYVTNLRLSGQINFDEFAKEYAEGVSLGLVKETGLA
SISYFLTSTANKEINLRRINHYDDFIKPKNGHPSLRIIADDKFMKDESLALVTTRKNHLSYNISSFSNENCIQVAPGNYSFVHGEKVISNITLMPVTIYTLVIRNNDSYGPESKLYATDEGNYLHILWQTPQYLFMILADVIFIATTIEFTYTEAPLRIKSFMAACYSMTHSIGNLVVVIIAALSFQKQVHEYLFFSGLMLANTLLLTYLSYNYKYKSYRQRITENGDSEENLATNQNELKLDLK
MNGCGEILFFDEKYQHNCSRKKSSSYEDDNRSTENEKISDNNDITTGEYATNVKNADSVSYSSPTKIKI
MDFCSEAILSSKKTVEKFQELRKNDKFCDISLFSGNSLVKAHRVVLAASCPYFEAMFNVGLKESQQVFINLPSVSPDVLPLLVDFIYTGRTRISESTAQQLMVAAHMLQIQDLVKGCIKYLKSHLDPSNALSIFRFAETLCCSDLADRALVYIGKHWASITQTEDFLDLPLPDFAKILSSNEFIVNHESDIEWYCQICNIVLDCCKKLRYLIWLRTERDIRSGIRAGSLSEMEVVVSVVRWLEHDPALRVPDWDKLIPLLHLGTLSTNILDNVWKDLGDMKLKNILKIFNMGMVSQADDRPRGCSTFYIVGGFKGSLTAATVFKFDTHKKAWEVVAPMATPRTNLSVAVLMGRLYAVGGADGAALASGEVYNPTNMARPTKRAAHRSHPYCKPLRSSIDHHIRLRQLLDHNDLAVGDSNIRIAYKRFGRILLICF
MTMYNSCLAVALFFLPGLSMARTLDFKAQRREIDVLQLAAEIERATGLTFIAKCSSCAVNGSILWKSNTLSIAIYEEPREILKSNFPPMSLATWTADLRRKMEGAVVRHLRGRQTVGVETVDGKDKSGRQKGRQEDGVGKKKRSKSKEPSKVGGQRK
MRWKMPFHRLDESWGAKPTALQAKRALKLVCLSQFISDINNPNYCSESDHSLFDASELEVAIRKEVLHPISNSVVPSTSSAVAVKRRLPPAVEENDIHYIAGAIYNKLLKKKSICENCKTAMTISEDMLEPDIPEHRTILTKHSNKGGLKVISSGMYRICREAEREFQKCKDVLLRLQSAITKELVERIIDKCTHVAMPECCNLKKVIIDNFFYY
MTIIQNLISHIGSTTFQDWSYVFKPATVYKYVGSSKYAPLSSLPSQLLPPVSLPPHAVYRPRWQHDREREPEPSHGAAHLADDAGANTNSTSGAGGAGGVKRPLSAAGRDRSVGSVAAGAAGAGAECERAAAPACPLLVNVLLADTVLNVFRDHNFDSCTLCVCNAAGRSVGNIRGADASTYLPGVEWGSGADDEPTRCSCGFSAVVNRRLAHRAGLFYECHRSLLILDRKKLLTVYFWRILKKTKHHRYSDGGAAAMCALRAAAGGALASTNGTTTPHSGAATPTANGSTTTNNGITTGAVHRWPFIGARAPRSSRDVVRFVFFHGNNLTFQILITLYTIMMLKIIFLCALSY
MNFTVTQSKSIVKVYKKFTDGPIQIHRIKILLFFSIETKICPIP
MLRNPYTHFKLTSESKSSATDLLSSSSPTEGEPSGVPIAVGIDTLHSLQRLNSQTDKMMAISKTPGNMTASATLGKALKRTGSAINNEFPKEIQEVQMAQAESSHDSSPEETTSVSFSRP
MKVFTTDEGKTIIMDDEQVIELAQSVDIDAPYWEYIYTLKRIDITGHLVEVEETVRVDVSSHRPNLDTLSGLFNMAEATKRPEWAAAYLRHKTRVLALFKRRDIDGLRDE
MRLRVYAILILTLTGLSGCAVVAVADAAVTVGATAVKAGAAVVGTTVDVTAAVVKTAVGSNSEKK
MDEEGEDLAPRLSIKIRLNENLACGAIAVENQAEVGVLSRACLRETGHQSKRSSKKGCLHGDQRADTLWSLKARNIAAAITTTSA
MGNKSGSEFGGIMIRTEKQLYFAGDVVQGNIYLHIIKEGFRGKEVKLTILGKEKTSWETGSGTRRRTHEGKNIFHQVTVVAHTFEXFLALCLNILGQQREALVLANKALTIEPNSVESLTCKGNILQHIGQYSEALKLSDQVLDGYPQNLESLKCKSHCLYMLTRYQEAINSAKVILDHEPKNINILQIFVQSLFKLGIFGEAIIFADKILELFPNHLDILLCKAHSLRLLGKFNEALDIVDKVLCIDLNNLSGMQCKAQTLYMLDKNADSIIWTEKVLAIDPNHISTLHCKADNLRLLGNYNEAIKLADKVLAIDPKFVNALYCKADSLRSLSQYNEALIWADKVLAIDPRHVNTLGTKGDILRIYKKYDFALKLFDIALSINPTSYFSLSEKGLCLQELKRYQEAIICYQKALKIQPNNGWTLCRKKQCEEALIRQRSGVKQI
MDSSALFVLVVVILITISTVLLALFVSLRMLKIHLSRGEFFKVALLWLIFIGAASAIGYYGGVLSPVVGLLSLVGGAYVLHWILNRYKKTSFKRVIETYLLVLLFSVIFSAVTAMLVVANYVQRYQISSDSMVPALHEGQNVLVHKYEKSYKVGDIIIYQGLKSQVVGRITATPGNNATLKYGLVEKGDQWIYSSNYQLSNSELLVGSDSQKYQFETVVERNKVIGVVK
MTRGYGFLPKDLIDWQLCQFGYERSSELGFTMAYQQSTYRERQRDIVSVTQYYDTFLKVLTNIAQHPQNRSLRLVSCSMLSCALIELFREDIWNSLQQDRLLMTNSSVNLSDPSQSLCITNLKALVGADFNQFKFIKNYNRHKFHPFERVTYLWDYDSTRQRQRWSNKHWRTLFQLVCNHLRNQFPNGDIVRRFRQSHFHRFLCRNLIFLQPVNGKFHQRLKDTTGYLQRVWYCAYNKDNQFLWNEKDWECGSTAIGFHNQIVPPPLFYSRNMLRDLLCCIEGNQVNGRRLESLGRQELRLVEQVSYYS
MRKSFTKMQARKMLFAAILSICALSSKKISIYNEEMIVARCFIGFIIFSRKSLGKTFKVTLDERIQAIQEESQQFPNPNEVVPPESNEQQRLLRISL
MACGVVPPFISEIASQRVRGAAGASFQLVLTIGILVAQFIGMPFIAGTCRGWGWGLSIVFLLPFFGLFLLILLPNSPTQLIAKYNNEEQAENDLKHLRGTNDVHADLETIRQQIREQSGSGGSESLSILQVNNFIDKMERNETEFQSRGQILDHFQAVTCFNFEDSIRYLNQHQWNLNTELIECLRQHRDILAKHKLYGTGTTGSLVEKELQIPVTKFESGPLGGDQQLGAKITSRELDILIFFIDPLDSHPHTADVQALLRLAQVYGIVCATTAATVDFLLSSPKMNEPHVRKIQTGQTLKPK
VTTVANVYVVIVTLLLEVNCRGITRVGFMANTVCGRMQVASGAYLEKTCSTTRPTGLVFRARETRVGAGAFLTNGKRRACVSRRAHVVVRASMGDPDAASMTVESATKLLGVRENASFDEIMRAKNRLTETMSSEEELQRVDQAYDTLLMQSFKNRQSGKVMDKNIKYADVQKPKLPDWTKKVSTSMPNVSTPAMPNVSMPSLDTQSAKVQQGAFAAILAWSLIQGLSDPKVPGGNIPATQLALAFGLSVYFLRDQKRLTLSRAALLTTGGLVGGAVLGGALQGWLRVDIVPIGPLDTPEVLVSEIALLAIWATCTLLA
ISKMPSSTADRTVNGSVIEEIKYKAKTMPSSFWTSCVR
MGFGEIQKVSKDFTFFPDTLHVLHWHGDTFTLPKGAILLYSTEACVNQAFIYNKNVVGLQFHLETTTQSLAQLVEVDKDYIKGSIYKQTAESILNTMISPENERTFMNILEYLKNS
MPSFRPLHRAPSESLDKDNRSAKRAFGQDVMRKQLLRRNTLPEIQEEGLLTPLRRPSSYSGYNLHPPGSRRVRDLEAGPDPPYHQLHSNVEMAAALVDQAMQGRYLPLAVVYHKDYDSAKRAFILYHQLFYARALVYVILMLLPFFEIPAWCNDKLPTPCGDPKKYLLSGLPYIHPYVSLVIETCCAVVLAWSVVLQRYFLGSRFWHNQYSVYKVALLVAMCVSIATSTLGIAEHQSVKMLMYLRILIPIAFSRAIRGCFRMTVLIVHTFMDITVLVVVFVMLSAWLATTLFSESTLEFKDYGTSLLNLFVLLTTANNPSVWATAYRTNRLAFFFFSTYLLVGFFFLMNLAFSVVYSNYKAQMAVEVAKRTTARQGNLRAAFSLLDVRHQEWIDGATMIALFLAIGRYREISDIRARTSHLFLALNKRGDFKIWSDEFEELCNVIAKEVESPPDLVQLRRRMSKSEMQFVTHPVYAYVIWAFTLGSLAAAITQFNVGGNTQDLLLSLEFLFGWVFAMDALLKVCLQGWKSYWRTKLNKFDFVVTALILVLHFISFFYQNGRPWVSYLLLVRGLRVFAILSLITRWRLMAQTLLIVIPATAPILALQFLVCSAFSLLGMHLFGGLVYEGNPALAGTQYLTLEFDAFNYNDYASAMATSFNLCVVNKWYVIMDGYAAATNSRWSRIYFMAFWAIAVVFTLNVVVAFFTEAFTSQMEKAERIRAREMKRNSEEASGPLRRRPGLNPPIRVTKSLSYYDLYEDIVRKT
MAILIPFWYYQFGSVIYMLAAFVGVLLSYYSYKLYELGSTRTQLLFHSGFVFITAGLFAMALGNLYGYINFTSCQPICEFNQVDLTTYAVIKFANYGYYAASIIGYGLIALSYMKTRRKLLLPLVSSLGIDTSTFVMFPFMNSYFQLFQVIAILILSYICMKTIHNYNTRKNKYSLHVMLGFVFIAAFHLLMFLLPFSRAFFALAHFSMLFGFGSLLYMLIQVNKHERT
MAVLIPIWFYVFSSLTYVLAAVVGNLLTYFAFKLYNFTGKREQKFLAYAMGFITLGFIILSAANVYGYFNFQHCFPVCQFDVTDPNYTLVIKAGNYAYYFTSLIGYILLSMTYLKSIKIDKFFGIMPLNIAVFVQTLNNGFLYPFDNLVFQLFHLIAIVILAYINFNTITNYLVLKTKNSFPVMLGFLFIGSYHFLMALTPFKPIIFAAAHLSLLAGLVSLLWMLVQVNRRG
MPESQDPTPESAAFIDGLRGEDLDKRNTPNEGIWKSINNAVFFGIVGGLISGFSVNINATVGLI
QLLVLKDKLWFITTSMAGSAIMYLCVRALLEHIHQQQTKFIIYLWFEVRVHNCVYDDFESLQSFNAHIDNIRLKFGNAAKPLCFIQSLEDDCKKNCRFFVREKFLVPAPGLSAEKQLPY
KTSAMSDSKAKPTGKIELKNISKEGYLEKQSRFLKTWRKRWFVLDGYMLYSFKKMRVYENPTEVIDLKVFSSVKSSEDYTNRKNSFDVYSKDMSFSMVAPNSGEKEDWIRNIGRAIITSRNNWQTDYNDKDSDSD
MTEALAAPGGADGSDDQDLLYRDGEWTRIPSPITGEGDWDKAVSEAGYKEFFGSGDPDSNYVTIHLYRGPSNYLIDLSSNVSSEVVYAATLPDAMDLLAKWMPVVSSASLGCLLTELDQGQSALGQLIAKAVEKQ
MGAPEHEERCPRCGTPYSPSQEYCLECGAKLPTRSGVVALLGSAWRKRLGWYPGDWIWPSLLALLVAAAAGAASALWLADSSGANDQTVVATSPGASSLQQTQTAPEPTTAPTTSGTTATAPAPPPPPPPAKTLVPWPAARGGWTIVLDSLPSVNGKAPALAEARQALHLGMKKVGVLDSSRFSSLHPGYFVVFAGIYDSQAAAQSAIIDAHQKGYGGAYPRRITP
MAEIARVGTAKPAEQPAQAIRHSVFSPLFNSGNAVNGSADSSNAVDGIDSIGTASLATDNAESLSLSQVMSVL
MWGASRRDGGGGGDSVFHPNDEAAAAAAAAAAAAAAAAEDDDDRLDSGDVLFDADAETDEEIDSFQLGGAFEMQPQVVGRPAAAAAAAAAAAAAAAPLNVEQQQSGWRAPVGWMRRLLRLQPAQQQQQQPQQEQQEPQQQQQQQQQLQLQQLPTRRRRRRYEAVIGDGAHAEDNDAVELSEQQQQQQQQQQQQQQQQHGVSPQRRDSSSSTQGAAPAAAAAAPAAAAQDSSSSEGPLHSLWRRLRARFSRGAAAEAPEGAAAATAAAAAAAAAAAAAAEEEEEEPLDDPTCQQLLLAGGFLCWAPLLWIVGCLLFFVTPRAYRRSRRWGCMNILVVIAFVCLLTFRQREEAIQRQATAAAAAAAAVAAAAAAVAAAAVAAAAAVAAAAAAAAHAGVEAAFFFGRVGVRAPRLIYYGRDDFRPPWEGRAQQPVPSGVVWEIVGRRLQRKPETAAAAAAAAAAAAAAATAAAAAALGPAAAATETAASACGPKWVCMRCADGRCWLNLNEWLGDSWGGQVVMGPQPGGGQAFAATALQGPAVVVTGRVQFAASFVAAVEHAAAAEAAAAAAEAAAPAAEGPRSIFPPDILIDELPVGDSQLPAGFSGAAVRCVAVSGETDRRAAKVLFSRQEETAAAAAAAAAAAEAQVETETEKLLLLPLGLLRAPTPQQQHAHAKWVLLPPNQLHHSSSSSSSSSSSSSRWGAYAASKVLAFREKHVCSVVLLYTPPLQQQQLLQQQQQQQQQQRQQQQQQQLQQQLQQQLQQQLQQQQQQQQQLMLLLQFL
MSEHYLGWILRNLVLPAFPELKDEKFKTKFGELKKDMLASVKTIELRKGRKSVAREHFITISEKLRGWSEDEVAAVMAHELGHLVNRAVLFRNSRDEELAATTQAISRGFLNGYKKIFSRFCKDPCWVITRVGNGFELKSEWKQLGGIGCQAGSGVFHTYCPFAEALNLASIAYLHPLLLPKSGICGLCKTVLNDVVAIWKCESCSVLFHEGCIKAYVRLNGKCPTCGKFAIFQK
MEKAMPWLEEGRTIEYWGGFPLAGLICLLTACYLFYIVRRLPLGVTRLIASLPVLIIYGYLPLIFDRRTQVLGITILSCIATWAASFKVLMFCWGFGPLLVSQPPPAIDSSLWAFAAVLSTPVRVHPNPIKGRWAAAAASAGAVGKLLSESRRELASTTESGSGQKEGKVETWIELVILSAIKFTVVIAGVVVIADYQEKVPRHVLTLLHALVINSFVGLLEEGLGALITGTLKIQLLKHVDHPYLSTSHSDFWARRWHLTISVLLRESVYEPIMLLFHILLAPSPSPSPSPSPSLPPPTLSPLRGGSWGEKVTDEGMKREAEGGGGVHEGLSVSQTIPRSSAMATDDQSRPHALPSSKTEIGKKTATPTAVDNSGIRFRSSQSRRDVDEGKAEKENREHKEEEKRDCSRRSREIPGDDITESDGAGRRDAAGNDAYRCEEKVKQQQRAAAAFRMPMFTQLIAVMAAFFVSGVMHEMVLWYISGTAATWQLASFFWVAGVVVCIEFGLRRLPCWRAVKLPRVVSIIFTVGSLLVMGEMLFFPPLVQTGTVAAIMHEMRSFVYLLLRI
MKGDILIVFVVFVLLAALVSANPILTAIPDRTVSEGSEVRIQLTATGADSGERSFLTNATSGRFSTAQFDETEGLFIWIPTYNDAGTYLINFTARDSNSSDNQLARIIVQNAIEPTLSFNKITLGGPTIERSNRRLNFESKVSQTFTIRNAGSRPVTNLSFFASPSPYDYNITFKAAVTSLAPGASAQVEIAARVPEALDAVDREQNAVPVLIGQVIASAKDPDNRDISQSVELSMQAKNHLRIIDVNAIINGKRKNADDGEIFHDIKPGDTIEIEVEVENNFPNDDALDFSIENVKVRADADDRYFKIDDYETMGTIRRDNSDKILFSYVVDDDVEDSTYDVVISATGRDENRANHGDKMSISFEVDIPSDYLTIYSSGASPESIGCARSTDIGVTILNSGDNKQRRAIIKLESAQLGIKETSGTFEVDTGDRATRSFHVKIPDDTSEGDYGIEAQVYYDTNVPSDGDIINVHVLPCSQDVVVNPPEESSGEPKEGSTQEEEPTESEIIEDIPEENASIAPGTNPLPVQPQGGDVLPYVGLLFVVVIILMVLAALLVNKMRRARR
DRQREGATVLQGKPEEVRELIDASQYAKKYTSGVLSFAEAELLPGQREQIMASFERVLMPGLDKDQY
MNHKDSGMTARDLGVGAGTGVGAFGGFVIGGVTGVLTGLIFGVIVGFAVSAPAGAIVGAGLLGVSIVGGIVFGVRKGRRVARSLF
MKKNIKRVFRDFSYLECSAFADYLHEMSLEGWHFTSWKFGLIFEKGEPEDIVYAAEVFPKGRESDSQPGKDAEEYAEYCEAAGWRLIDGRRRFCIFRRIQDDAVPIVTEEERFQNVKKAEIRHLLDRSAGFALLAGMDWLQFFTINFDRWVFSQMWLLLLAGLTFMCLLYLLQLGVLFFWSRRAKRELESRGSIYYGQRKRVRAANEIWALFIMVLLIYCAGDILSICSIIMLIIAVIIIFAVRIVMIYMRPSWEAQIAVWTLIPYCFVLIFVAGIVSDVIQMEEGDYDLSDVPLVQSDYREVEGEPDYLDHGHEESVLGSMDYYMVSYDHGQTDSEGQSLSDSLTYTVYRSKHPWILEKLWEDEYKSEESVDCSASWQAERALETWEGSGNYLVMYDEMLLVLSSDTELDDAQIQIVMEKLGVI
LEAVGLIAAVSGKLADAGIPTNPVSAFHHDHLFVPAAQAETAVAALKSLGKTTEGSCPIHTS
RNVLVFVVVSLQLDWKAPCAYFFIDDLSERDMAGLLRLCISKLHDVRADVVAVTFGGPTFSAGLLRELGADPSPESMRPWFPHPEQPGARVYVVFDAHQMQALVKTSLALLHRICDSTASEIRWNYVMELHRAQAFIYPAGHRFATVDWDGLLMRVNLSEPVLGLNFANGIEFCGRALEMPQFRGCEATIRFIKTFHQLTQMLRSTAVTQGFQAPLERENVDCWKPFLESCKTYISNLTDIHGSPITSTNRKTPYLAFLVTIESLLAMCSTLLLGEEGDSSTPPPLDKFFTGCTSQDHAEELFAAIRKAARRERLNAMLFRMAIRKVLACNDGPERRSKHKPLRRGVLCAVQKYCEKYKLPLALS
MPGGPEELLEADVRFNTHDFPFTNRPSGACTHAYDIRSVATHEAGHVFGLGHSGAGHENLTMYANSFACSTDARTLGKGDVLGLRSLY
MYIVHKQIESDSLKDALVEQDQLSPLTDEEESDPAKKNKWIEDEQARIDRDEKAMDMIFINVGDKVLRNIENSKLTAEAWATLDRLYLVKSLPNQVYLQLKVYNYMMQDSKTLEENVDEFLKMISDLSNLQIQETPKYGREGIKLDDVISAAKSNELELRDISGGSRPVGEGVYVRGKTQARGSGNYQSGKGEGNESKSTEGKKVCWICGKEGHFKRQCYKWLEKNKGSGAGETLLVKDDAQDLVGLVASEVNLGEHKGNHGEWIMDTGCSFHMTPRKEYLIDFEEAKSGKVRMTNNSFLEVKGIGKVKFTNQDGTSIILHGVRYIPEMSKNLISMGTLDSEGYEFRGNNGTLRVMQGSNVFMKRIRRASLMGHIGQHAMDVLSKKGCFGSDKISEIKFCEDCVVGKTHRTSFGKAQHVTKEKLDYVYSNLWGSPNVPYSLKFCNQKFDGFCKKEGIVLQRTLTPNLLGLRRFRCIVYVHSQEGKLDPIAKKEVFVGYPNGVKGFRVWMIDDEKRYKPESRVQRGCPSKDGDNSAQDEIAGYAYLITEDGGNPEHVDYQEALQDPDSDKWLEAADEEIESLIKNKTWILVERSKSQKPIGCKWPKATHKRREYISLEIYSPVVKHVSIRLMLSMVVHLDMEVQPMDVKTTFLHGYLDETIYMEQPQGYVHERYPERVSSKDKKYVCELKVLFNSEFEMKDLGDAKKILGMKIIRDRQAYFGMDQAKSVNTPIRIHFMLKPATNEEIQKFMSIPLKEHWQAVKWILRYIGAYNEKRRSTLGVVFTFGGNTISWKSNLQKVLALSSTEAEYMALTDVAKEEVWLNGLVNELESMNIHCDSQSAIALAKNAVYHERTKHIDVLKSDTEENPADIFTKVLPVSKFQDALELLRVSKN
MNAAVITSVCAVAAGALTAWLLRRTVRRRDERAARGETIEVPCMLRYPAREGRWLRGRMAVGPSTVAWKPRTRAGAKPPAPTALREAGTRGPSWRDGLRVNHRCTIVVCDSSVGAVEIAVMPHDLGHLLLALERTTAE
MWLIKGFFDTVPKELDEAAIIDGRSHWQVFTKILLPTLTPILATTILLALVGVLSEFLLGSIFLTSNDTKTLAVGLYGMLEGDRSNNLGVFAAGSVMIMVPVIILYQFLRRYIVGGSTAGAVKG
MQTIDKSLYEAAEMDGANAWQRFRIVTLPAIMPVLATVVTLRTIWMFYMFADVYLLTTKVDILGVYLYKTAFAFNDLGKAAAISVVLFIIIFAVILLTRKRVNLNGNK
MMRGYYADVPDALIRQAMIDGNGMWQIYRKIVVPNTKIATITVFVFQCTSIWNEMLFALVLGGYDSKPATIALNELAGTMAAEFNVQMAGSLILALPVLILYIFMGKYLISGQMSGAVTAS
MLERLIIFAFLFTLGWIGSFAAIGSATSDTPDGPLVVDIVKYFLGLGLIALAFRVLIGRGSGNTVLKIFGLVALSNLGGLIFISDTVKIILGGIWILRFDLCQSFRSDDASCYGSKDAILV
MTSYLDLSGHSTSSFAKRGALFVIAVLVCLASSVRGQAQVLPWSQRVANSVMERWPDGYRGSANEKPARPIEVGILLSGMDAAWYESAVGDYYHYAKRLADQYVALDRSTPDDDLALGRQLLLLYRVTQDPKYYKAANRLRGELATRLEGSRTGMEEFYLAEPFYAEYSSVFQEPTNFRDITEQFVSTEQRARSFADGMGWYMMALVDSLEFYPSKDPGRGTLLTILDRTAKAAILHRNESEGLWPQAHRETAGSQTASCILIYSLQRGVRMGYLRQRYSQDARRAWRGVTSSLSLRGVSDDPSEAGACLLAATEIEMGSQANQVRGEVVLIDSWFNSQQRRSASGQEDYFHYKWDDYSDSGFSLLGHIFASHGATLAALDQAPTVDLLKHASYYIIASPDIPIKNPHPNYMERKDAAEIAKWVEGGGILVLMPNDPANGDIDHLDLLADKFGIHFDKTLAHHVIGDQFSPGLIPVTGTGAIFSGPHTLYMKDTCTISLTGSARSLLSDETGVVIAEAKYGKGTVVAVIDPWLYNEYTDHRKVLPVQDNFAAGEEFVDWLLKQPHRATTPQPGKHEAGIQ
MHNILCYGDSNTWGVDTEKENKRIGYEKRWTQIMAGNLDKDYHVIEEGLPGRTTVFEDPFGYGRHGEKYFEIALLSHVPIDLLIVMLGTNDMKDIYNATAEDSAKGVERIIIKAKSLFSECFVNEFKILIVSPIQLSQPIDGNWYYGFSEKSVEKSKQLAEHYRRVAELHGCEFLDAAQYAKASVYDGVHIAGKDNERLGKEMAEKVKKILVNI
GMGGGCTRACECLEAAAACVEGRCTETCTSDFTCRDGRRCNNDECEVGPRLGEACKDPFDCADTARCRPERPRCEESCNPNAGGGICPAGYQCALDGLCVRECTGLPESTGEVCENSWDCATCAVCLSSGAESRCRRTCRLDRDCPGGAVGACEQVGETKACRL
MDGERVKLMSTELLSHMRDAERVVFQMQQWGAGVADIKADLPGTAAYATDTTNQLYHPSGGGLQVMGNQDDKITGAFGSGNNWRIKKTTNVEWTLSGSDDLIYTFWYLEPAICAELNKRITGSATIPVVANSFAFSYHFIEGSSSDEDFLSSECPDCVGKKSLCIRRDSDDVHIFYXILSAQ
MQQRVIKIFKAYYMRQSFADMNKSRRQNNQLFVKNFWTQFSVLSVIRIDEKSWKEISPNTLNSN
MILKNLLLIISLFFLLSCSRSEQLPARLSAFTGLLSETEIKLFEENNLQPLRELLEKKYSTDADFKKKLDRLKHEECINLFSIQQTLEYYRQNFIKKK
GDLGNAILNGDKTCGQQFNTSEQFFCHELPAQFRGVVPDLLYQSYKGLWFLRGITFNVTLFVTYWPEPDKLFKTGYYTVLLNMTQYTDWVDKNAVVSSNNIFGLESCPGPHAWMSSIHYRGQEKCLAALVSPSLALTIADCVNGIDLRDL
MVPVNPDDGVRRRVVVATADALFLAAASDVAGILGLSAVPAGSGSLPGDIVIVDAATWAGTPPEGDFASMIVCIPGRAPAAFSRWSAMAAWTVRRERLAEELFDVLRAALPALPSGGGPG
MEEISWRQKSRGLWLKEGDRNTKFFHRLANAHCRGNHIGRIRVEGELLCKEDEICKGIVDFYAKLFTETVGWRPLLEGLQFDSISSEEGSGLEALFFEEEVLVALKSCNGDKASGPDGFTMRFLLDCWEVVKGEIAQWVPGILCKLDIEKAYNHVSWSFLLYLMERMGFGMRWRKWIHFCISSARFSVLVNGVPSGFFSSSRGLRQGDPLSPFLFLFVMEALSRLMRRVVELGFLRGFRVSSDVTSQLEISHLMFADDTLVLYDADVSQLRYLKCVLIWFQVVSGLKVNVGKSVLVPVSDVPNIGMLASILGCRIGSFPISYLGLPLGAPSRCVGVWDPVVDRFERRLVGWKQQYLSKGGRVTLIKSTLSCLPTYFISLFQIPSSVAERIERLQHNFLWGGKGEEFKFHLVRWKQVCRPIRNGGLGLRRLVLFNKALLGKWLWRFGMERHWLWRKVVVSRFGESRGGWDGRRISFWEDVWCGDIPLALAFPQLFRIASERQARVINCYHLDNGRLVWDIRFKRLFQDWEVDDAIRLLEVLYRCLTQEPEGPFPWRAVWRSKPPLKVAFFAWTSVLGKILTQDNLRRRNQVVVNRCYMCRRDEESVDHLLLHCPLAKDCWDLMLGLFGFMWVMPGSSRGLIEAWKG
MMQCTQLDISQICGYNNVGWTFQQSVGSSGGMIILWDKNIMEVNDALTGEYTLSFSCTTKSDNFNWMLTSVYGPNNTVERISFWEELDTACRLWNLPWCIGGDFNTVKKCDEKKNCTKISRSMTQFNDFIEQHNLVDLPLKGSPSTVLWLKLKALKEKLRVWNKEVFKHTHTRLNDILTEIQELDNMSEDSVLSEEDKHNIIYLKSEFEKTAQMEEISWKIKSNTKWLQERDRNTAFFMSKATARRRSNRIKQLYINGALVDDRSLLQQHIINFYRTLFTEEEVIRPELEGIDFDRINDQENVILESTFTEDEVWKAIQELGGIICKIDLEKAFDMINWKYLEFVLNKMGFGRKICKWLRFCYSTSTFSVLINGSSFGHFSSSRGVRQGCPVSPLLFNIAIEGFSRYMDKASTLNLFSGFSVTPNSINVNHLHYADDTIFFIDNSREELHNLFSAMKCFEYIAGLKVNNLKTRLIAIGEVPDISVWAKELGCVTDVFPFIYLGMPLGANPTSKSIWDPIIEKFEERLSNWRQISLSKGGKLTLLKCILNALPVYYFSLFQAPASVIKILERKMRNFLWEYSGSSRISHLVNWDLVCAGKEGGVWEFVT
MENERSLTVEETELKKESKENYKKWVLLEETHWRQLSREIWLREGDRNTGFFHRMASAHHRNNSLDRIKINEEWLSEEQEVREGIANAFYQLLSEDTGWKADIGRLQLDQIKEILEMFKEFHEHSSFLKSLNNTFLVLIPKKSEAEDLGDFRPISLLGGLYKLLAKVLANRLKKVVGKVVSTTQNAFVIGRQILDASLIANEVLQKMGFGSQWLGWMWSCLSSTKFSILVNGVPAGFFPSTMGLRQGDPLSPYLFVMGMEVLDVLIRRAVEGDFYQVINLAKSEIIPVGEVEEIEELVVELGCRVGSLPSQYLGLPLGAPNRAPYIMPKIVARRLEKVQRDFLWGGGNLEGKIHLVNWKVICTDKDKGGLGLRKLAMLNKALLGKWIWRYACDKDNLWKQVITMKYGQEGLGWRPKKANGAGTKIRFWTDVWCTDTVLSHCFPHLFVMGVQRSSTVEEMWDQNSGQGGWNLNFLRDFNDWELDMVGDLLHVLRGHRPSLEED
MLFKVDFEKAYDMVNWNFLDSVMGQMGFPDIWRTWIRNCVSSTRASVLINGSPTGEFVASRGLRQGDPLSPFLFSIVMEALSVSIRMASGSGLFNGIRLPNGGIVITHCMYADDTLFLGDWSVQNGKNLARILKCFHMASGLKINFFKSSMIGIGTTAVEEDLMINVLQCKRGKLPFQHLGVPVGENLNRIKSWDPVIDKFKGKLSSWKARTLSFGGRLTLVKSVLGSLPIYFMSLFKMPSAVVRKLEGLRRDFLWGGGSDKRSIPWVKWESVMAPREVGGLGIGSIRDLNIALLTKWKWSFKVNSSALWVNVIKAVHWQPRKHAQFPSNKVFGSAWNNIISIEEVLKAENIDINKLLKTTVGNNSSVLFWWDWWIGPSPLKEMFPNLFMLEKHKMCKVTEKMVSHERDNDWRWEWVRSLAPGIETLQLQQCLQLLQGFVSADGPDEWRWEANDDGLFSVKSLRHILELNRTPVDLNPVIWSKWIPLKVRCFAWKVRLNKIPVKTALARRGVQVGSEECSMCFNHLETSNHVLLHCAFAAKVWYKVFEWCGLFGALGDDIRDRIDCHNIMIGSENMKEKVAVIVMASVWFIWLARNDFIFNSCPLNVDGVMEKIRVYTYLWLKYRANRLDVMWHRWFDRPLS
MKLISFNVRGLGGKVKWRVIRKMIVEEDAQIVCLQETKKEEITERLCKSLWGDGQCEWSAVPAINAAGGLLCLWNVDKFQVSQQFKGVSYLGLEGVWKENGQRMIIVNVYAPCQSAARLGVWEEITVKRRLSSVYLWCVVGDFNSIRCEEERVSLLGMRCSQSEMRAFNEFIENMEVEDLPSVGRRFSWYKPNGTVRSRLDRILVSREWLLAWPGSTQMIMDRSISDHCPIKLQVSNSDWGPKPFRSLDHWFQDPTFKKFVLDTWKEMPIHGWGAYVLKEKFKFLKGKLREWNSNKFEDPMSAQKRIVKLQNQFWEVAMRNESILAQKSRVSWLKEGDMNTKFFHLMINWRRRKNQMKGLYINGVWSDEPKVVKSHVKDFFQQRFQEQCQPRPVLDGVSFKVLSSEQNEVLIAPFTEVEIRSAVWECGCMYKILSKVLANRLKSVLPSIIDERQSAFIGEEFGPKKGLRQGDPLSPFLFIVVAEGLTGMMREAVSQNSFGGVKVGSQQVPVSIIQYADDTMFIGEANLQNVMTIKSMMRCFEMVSGLKVNFCKSNFGALGIESGLVESYAHLLNCKILSFPFTYLGIPIGANPRKKDTWRPIVIKIQKKLSSWKCKVLSMAGRVCLLNSVLTSLPLLFLSFFRIPPSVSKEIVSLQRNFLWGCKEDQRKICWISWDRVTLPKKMGGLGVKNIIRFNMALLAKWRWSLFHQNDSLWARVLYSRYGGGTNLCAQSSSRRDSLWWRDLVVVCGGLEQDNWFDRKVKWSIGSGSRVRFWLDKWIGPICLASLFPRLFTISEQQNQFIQDMGYWTGHRARQVDSWWWLGEPSGTYTVRSAYSAITSEADVGSNIGAPSSVWSIPAPPKAKIFAWRMMSRGLPTVDNLASRSIVLSENDALCVFCKSDIETDYHLFCTCPVVDKVWKVCLSWINCPAPLPRCILDHFNFIPGPFHQSTQAVYWRTVWLVTTWIVWRTRNLCRFKDSSFCFDSMVKEIQVHSWRWLSSFTKSFRYSFSQWCCNPGLCLTR
MDTAIPEVNHPAPAFAKMTVHFARLKEFKYEIPNGVQVMIILAKLLQYMNVVAHLLNINSDNITIQSIERMATMRKDQDPQFSQQQQQQQQQPQKAGSSLLSNKKKKRRGKHSAEGQARQDS
MAQLFSEIHKYNPYHDSKGRFTTGGKASFFSMPKDLKLAAKLKEKEKNRSAGSDRCVDRAACKRIKTRKDGVDYGKAQADKTKLTREEMDCIKRYTGPGYIAVNKHLRGTGKIANAELKSVKQTTKTLSKAIQRSKTSEDIIVYRGITPSALGITTDKDYKMLIGKTIRDKGFMSSSISSEVAGNFAKKEKIQNKTVHGAVFEIAVPKGTNGIFADGNSSFETECEFIMQQGTGIKIVDVKLSRTGKPATIIAEYGG
MKISSGALRGLLWVVLTALVGMNAACTSMAKSAGMSLISGKLDSNIGQSYNTVIENPRTYGPLIGEEKLAGGFTVKKHLTDRRGKGSIFDMAGGGEPIGVETKMRMATYFKVDSKGIIRDWAAGYIDVGTGFGLAGLSITEIFGVKDRDVYIEEVDQTVKTRDDKPYTVWKTAS
MPTQERPAWKDELFQMHKATAAQVADGVCGVPNYHSPRDRVVAVEPDMCTTIAPNGRLKIHEAAVCPNGTRALIARWDQSGACKGKPAVLKEVTDDMIGTCIDFGSNSWSVYAFWCTGHLYASRSRIPTALPPVKANFPQNQKNPWTPVVWDGKEWKKKPTKSWVDSLPVCNGHNSPCLATGQRA
MVFVDLVSIDGAKATFEYRPESKAAKCGLIEVDSNSGESRLIKKSPDDDMPDNVWYIPYAIDSAKRMLNETPVREHDVCAWY
MDTLTLSQSDVQSYNENGYLVVEGLLDAEFVDRFVEYEAQPKPDGWRQNLRNHASDDMWRQVSNNPQVTAIVEQLSGGRPHIVQTMYMEKPPAGNSNVGGAGVALHQDLHYLPCDPPNLIACWIALTDTDAENGGLCVVPGSHDVDKLHTTHRNRNTADHDSWEIEYLMRDRDGKEWTEKMYSFEIDGLRKEDVVRLTVPKGGGVFFGGKTIHGSFANRSIDRYRRAIATHYVPEGTWVFRDDVQKTVPAF
MSGQPTDSKSKSIQIEDFEGGRRFIQGRVIGFSFGKLFGISFGVLWIGLGLMMPFLSEATAPGIAPMLKIVTGSVMVLFGVFIVYAVRRNIGRVLEVDLANRSIRAFVIDNNNRRRAFREIAFQNITGVFSEFDESELQNTNCSESLIINYRGWPGRLYALSGRSEQMAMVRDFILTEALHRQPAPIVDGVDSFIAHTKWALKQRMKWQ
MRLIPIAALFTLAAADPCCAQTINITGETVDGRTITLPQVSAGKHCIIAVAASQKAEPMLEAWLEPVYNRLVLKSGLMVSDVDCDLWLVPVFTGMNKVAYGPMMERLKAEADPDIAQRVVFVKDDNTALLDALGMKNLDEPYFFVLGPDGARKHTEHGAYNVDKLDALEEALEK
MHVYLDPRQVSMAYSWHANAAWRIIHTDPVRKYHCLLLVLRNIMNIPRRMFCNVDFDSELEINPVSHLATKSKRHNGTSENLDTDLRLSSLISPDQTQIPETRPISSHRFQSLWSRPSITLVLSRGEASSSLSQQWQVVISNNLASSSKYLDVQ
MGYKIFCKGKVALVLLGEVPVAGPQISGREKAVRVAQRLFKEIDKLIAGSSAGPYQIIFKHRGSGRYDLVIKSKSSKLSSELSLEVLHDLDELWIKRFSKIFHGIFILSCFYEKNDNLECLAVTDGLGAVLYSSEVRQFFQTR
MPGYFRRMTSDGKPAAKQSAKQEREARLASALRDNLRRRKAAGTATPTETGAEAAARPGETDESGD
MEPTSFGLGENIESALAYVLWFVTGIFFLLMEDANRTVRFHAWQSILVSLTLVIAVLVISFISEAVWLILPVRFAGIVLWIVLIVTAYQGKKISIPLIGAMAESRT
MKEVIKENNPVIGNAIEKMDRAVQAGGNVIDALNPIKFIQREFSSVPELELADHLCVQRIGYIHHALYIGSGSVLHYSEGYIKTDSLDNFKGVSTINVVNSIILYSKDTVVSRGYSKLGQSNYNVVFNNCEHFVTWCRSGGKTSNSI
MPRHLPVLLRRTAILLAILTSAAFAANPKLIIRNARIMTMAANQREPINGYLSIASDGTILAVAAGDPPATLHADKVIDAHGDLMIPGFISAHSHLWQAAYRGLAADKTLPGWIDDLYGVHAAKASPEDMYWFALLGSLDHLEHGITTAYNFTYGGRAKTAELNNQFEEAQFRGASESGIRFVHSYGPDRMSPSITIDQARARLKTFLDWTSAQPANPHFLSVMISA
MPFARISLRRGKPRDAATKQAFYRRLAELLADSPGLRAEDLMVIVQTTDYADWSFSNGIAAPQAEPGASS
AGVAISFSVSSIRTRPSSGLGGDFVLQTLDASGRVVDEAQGVVSEALVPNRLIKTNVLPLGMSAGSEYGVAVRFQTHNPLPRDASVNVSFPAGFDASQATLVPMEVSNTSSSTVRGVDGA
MGKIKSYKMAESLGWTKQKEESFAKYTPVSFLLKISAIIIMFYLTTKYLGVNEYG
MVDLKQMLSRFLSIPGVRQAILVGRDGLMIEGMTRDGKEDMEAVGALTSTGLSAAESLGQEIARGNIVGVIMEYEHGLVSVEPLGEFALMVTLSDNASNIGRVRHLVKASRNEILEALDIA
MDTATAKARAFTEDVEETGVLLLDGQGAILAIDNCAEALLGVGELSASLSPACLFALVPLTFETHLNQAKLKGYCHFEIISSSGQALSVRLEYSIQRATFITKIRQIGSARLAVEDYRLAFDAAGTGLALLRMSGEWVAANQMLCQMLGYTETELRQTSFQQLTHQDDVRSLLIRMAAKPKTPLKPAGSSVKTAGGEIVCPFTWSLHTSASWVGRNVRSPRRGRAAFPLHGEIVAK
MKEETVHRIMVRVLRSGLVAPDIKLAEFHLSQKFDISRDRMRRVLQRLGHEKLLNLVPNRGARTIAWDFRDIREIYAARRIFEGGIIMAVADRVDDGLVARLEAQNRQELNAVETDNVSLAVTLGGALHNDLAEATRNQLVTESLQAMVERTSIALDYFGPSGSLRCSCREHGEIISALKTGNPTTAREAMCDHLSLIETRLSVEPRWESVGLDELIEGEIEREKRHERDSHGCHLDQDRTATGHRHSHSHDKENA
MSKRILIENYFRNKYPDERYQFKAYHTIEDMFGVKGNNLYVVEFIDVKMRYAKTLELRIKENELLNI
MARRAAGRRIPDAPPLAGAWPETLAQAHWQRMAEVYAQQPPGYVLPPELAALGYEPEPELLKLVTDHVDRMHRAQDGPRDEKRVADLGIRPVGFVHFNRLWLNSLSQSPSTDSVCSWVPAASTGSSVAVSAALLADVCRRSVLIVQPKMRRAACGRRDTIRCHGRQKW
MGVFVQVIVWIAGFYFVCDGFYMEYKVQSAMQQQVSSIYIVGGVMIIALAIIIHRLGRISEDLSYAATKITKS
MEMKKGLIIGLGNVLKGDFGVGCYILEALGRGSLGDGVELAYLGDDPRCAAGLVYGMDFVIVVSAFSLGGPVGKVYIWPYRVFKQHLSWIVAKHRVIRGLVQALATAELARGFPTEILFIWIEPRVIEGLGLCPEVRKAMWKVVGIIKEKLVEKRLLQRENLQVSPFLSIEAIAAGTLASRKVGV
MLCTVFLDTETTRLDAARRAWEISMIRRHPGLADTVITIFINVDDIDIEHAEPAALEVGRFRRRHPAYGAQLGPNQICLSEADAMQIVDDWTYRAEIFGINPYFDTDTLTAAFGRHHRQPRWWRGPKDITHFAQGWVSAHGKRPHRNPEELSVQCGIAVPPADLRHTAYGDADWVKRWYDLVFPAHAAQLGASSTETRVRP
MNNFIMHSVCDGARPRALAAKHFFYRNALLSAMMRNRGSVRYLVAPSLCGKTALAATYAEVVFQYAHTLWFNGKSACFQRDLVSGLLVEEGLAHVDGGLVVIEDVPELDEATARLLWEACGSFLSHGAEVIVTTTPGADPLAPFAERCLVLRAHDLVYGRADMQALRECEPSFVPPLDHAKPADWVPGLALHGVRSYDEFLAELLFDQDPVRRRATCALLVLEETTVDAVHELCGIAREDLTGDFFPFVEVHPVDGTITARGIPFEAVLRSCVPYVSPEGVSTGFVVGLADALVASGAFDRAARLLVALASSDARTSWLEAHEADLLSAPALLAGESLYRSLQPERHAQATDVRAASLVRRSLLGDRHAVESLARLAHKGEAPLCVRLAAASWAYLLGSHVDRAQPEAGTTEGIAAVGRWVPAERLAPEARVLLAFWAHAHDLPTAFSRVAALEGAERAATTFSLACACAARAALAQGVPVPADFERRALAALEAGSGITMEQHLLRAALAALGPAGLSSHPALLPKPLEDDRLERALKDQSVSYTRMLVGQGPRAKATGQAPSQEPRGSFPVLSVQLFGRLSIAFDGVEADLSALSRRKDRTLLALLVVNAGKEVSCRAVAEKLWPRSVPSKALHNFYNSLSHVRTALTLPSGAEPYVARSQGVVCLRSDLLKSDYHELSELCRVASSKVIEPEARARSFERIEEICRGTFMPASGTDAFTEAVRASVRRQVVASVASAMRALSSEGAYGEVLPMARAVIEWDANCEIAYAELMRAQAACGLRMNVVETWQAYLAQVDAAGLDASPSIRSLYDSIVDGSCDVAVLA
MLEWLAATTGIELGKLVLEQVLDLSKPVLEGYVQDFFKDCLNSGVSRLNATALKTPMAEAVGYFIKRFIKELQINGVPETSIQHHYKATLKKFVQDKAVRPILGKAFEKDCKQIDYGQLEQIWTQQYQVSGWQFPSEDFDWRGVCKEYVYEVKGIIKANPELRSLLETDLLEDIARNTAQLSPGFDVETYRASLQSSYGYLKLYTLDSTDRVDAIKLWAMFIEQTVREALPPMRYDLPLDVKRQLYDVPRKLDRGIR
MDEVLWNKSGNPVVERNLLASYLIANLTIGNCDMHARNTGILIDKNAVQLTPAYDVVPTATWEQHDT
MHGRSCVPRRTVRSNRAQQVPSRQGLAWRARRNSDLPVTVATIAIRILDVTDRPNPLDGAPDFWLLDRSTVVLMHYAEDGTQTGRELHEGDPAPFLEYQRVAVAESVPFREYVQRWPSSLTS
MSYRTEVRAQLNELQNKLSRINESQVSAQDKVTKALILSPVVGTIKTIYINTLGGVVKPGETIAEIVPTEDKLIVEANIKPRDIGFIYPGLPAVVKITAYDFTRYGGLTGKVEHISADTTQDKEGNSFYLIRVRTDASSIKNHAQEEMPIIPGMMANVDVITGKRTILEYILNPLLRANEAALRER
MNIVNYNKKLNEVVKRVVHLQLNDSEAYPEVKITSENDLKAFLEMEQAFNFVIGKINELVLNTQSQGWVNFQTGLGLMTMQPIKLSSLKFLDDDLIAQQTFQKMEQKLNEIQAMYNDYGVQKEE
EKLAGLVMASFLRVIVLSWAFTVAWFTLWMLMLYLTNEMKLKFTDAAAIVNVFAGVSAIGHLGMQFLVDAFIGHFWML
MWADLLAGYAMWMMMLYLTNVWKLNFTHAAAIINVFWGLTAIMLLPMQFLADAFMGNYWMLLISSFAYSAVPHNHTTLIITNITYLITLITYLITTTHITHNHIL
MIISSANAATRRPSPENPGPVMGFLRASSWFCGVPVGKSQRVAPSSVTKRTKLVLRGKG
MQVTKKSLFISTLIVLSALVIIWGGLGFVDKNTADDQAEIRQTILKSVELSQSLPVLPEPQASTPQEKIPDQVKRIMYDKITSSLMEIYSSKSPLLIQRISALQQAVDKQELEKFRARGGGYSKVENVQITINGDSATAQADITTWSEFIEANGKKFRPENGGHYVFSLVKENGKWKITGEEFSFLPGQEP
MKPHVEQTQNAAAGQVCRITAGRWRWEVRAAHATPAMQSAWAAPEQLVAPPAERVVRNPPKRTTQVFRARLPGSGGRVFIKRYLAEAWQSCKSWFRLSKAVRSFRRGLELQRLGVPTAPPVAAGEYRVAGWLRDAWLITEEIPDARTWLECDLAAPRGAHRVPMLRALARCFAQLHEAKLSHSDANRNNLLIQLAPGRRPRLYLIDLDALVRRAWFPRWRVVKDLRRLHARGPATRREKLWFIAEYCRARKGALEPREWVRRVGRSLAMPLTVRRVGPVRWVVRPVLQADALQALLQDPEARLRDAALRLKNSRNVTLVRIPQPAGPGWVLRRLNYGRLRHRLRDCFRPSRARRAFRKGLWLEQAGVAAARMLAVGERRRLRWPVCAYLLMTEIPGAITLAELLARRPPQLREALRRLAQELALLHDAGFSHRDLKATNVLFDGQLQPHLIDLDGVRRVRWGATARAEADLERLARETLVHPAVSTRQAAFFLKTYCRRRGEADWRGWWRAIQKRLSG
MSAPQVTTPDGVRWTIAPDATNLVADDALPTDTLALQRWLESGQADIVKTGPHRTVYRVRLPQMIVYWKRCRLLGIRGWLRQLLRPPKARMEFDRAQALRAKQIPTIEPIAWGTRHRLWPSESMLITRNCDGTHALREILEEQLPRLSGPEQAALRRMIACELGIFLAKLHQAGIVHPDLHPGNFLVKLPANGVPIFYLLDLHAIRMTGPLDWQSARANLVLFHRYFQLRASRADRARFWRSYRGHRSDWPQDPPLARQQALELETATAESNHRFWRSRKARCTGKNRYFQPIRSRIAHGFAVRDLDAAFLRTFAADPDAPFRDASNRVLKSGGASTVIEMMLPTPQGNRPVILKRFNMPHLVDGLKNLLRPSPALRSWIAGNALLDRGLPTARPLVMLHRRRFGLPTVGYLLCEKVADAVELPDAIPTRDPHTLRRRIDRLGQLLACMHDRQLAHRDLKAANLLMDSQEQFWFIDLVGMECDIAPSTERRLRDLTRLAVSFASDPRIRNTDRLRFLRAYFAWGLHGSAGWKSTWRTLADAVARKIDRNRKRGRVIA
MSLVQLSGADAFTVSQLAEKIGASPSAASRAVKELEQKTG
MEEAIKLLDSALSHIKWRLKFPAKNRLQIDIVALLTEMRPVIMVDYGGKLPELQDHLCALVKFCQQMVTQAEESTLVTELIRVQKLFSSFFPLNGNSNDLLSHQMPDSVANAESSANKPATSQSSEFIDLSCCMQDTEITVPTLNGWLLGYPVVYLFSKQHIEDAIYNLSTKYLRIFQILVSRYASPKKGSQPEELLR
MVIEEMIYLIHVGGLAEHVRLSLSSEARLLFVDLEHDPPKMTQAEKSPIGMQLVSIQKSFSLLFPQDGMKDDLLSCHRADNMAAANSSTNELVTSQSSAFIDLSSCMQDTQVTVPTLNGWLLGYPVVYLFGKEHIADAIYNLSTKSLRIFKISVTRNSAPRKGSQLEELLRKSGEDVSWFGGLCRWRLVNAIHKPLFCNN
MRHTAHRLCEKNGLIKIAFLHNRLRAVIDTIIGELKLANPRPEERSTQNMEDAARRTGERAAEQTTRIGQAAAETGGEVARASADMLKQNVETLQQTWRSSLEAATSVMGRSSEQFSRTLGLSEEDAQKTTAATERSARNAQTLLYSGTAAAKVMGECPKSSSRWFGNNLRSTSTT
MKKLSDWQSAFDQWFRLNWDHEDKAHDLAHLQRVWASARKIMQDTDADPLVVLTACYFHDVVNLPKNHPERHLASGYAARETLRVLAADFPDFPQSLYAAVSHAVEAHSFSAGIPARSLEAELFRTRTDWNRWGPSGWPGSFIPPVLWVARCSIVRIRSPVSARWTIPAGHWITSRLNYWGYRRPCIRRPAKRWQNTMPHFWWSIWPV
MESQNVVLGSIVAARIVLGSIVVARIVLGSIVAARIVLDSIVAARIVAVVSSFIRQPLSK
MIKLSKLTKWRNRAEDINPNPKKGAAKAFFKNIGFAFLLPIAILPLAGILLGIGTTLTNQVQDPAYAGYALGQFMSYIGNILFWLLPVFFAMATAMALTKNNAFAGFSAALGFFVFIVIQTPFIKNPSGGDFSIFFWKNLPQYIFDALTTGFPSGNKPGYVFLNSSIFGGIIVGMITAWIFKRGVKWKLPNMISFFQGNRLIPFLVTGLMIPLAFIMLVIWPAIGLGLNWIGTSSNHLPYGFDVFIGNWLERLLVPFGLHVLIFYTLIWTQAGGHIDQNMINNTAILYNGEHMHLMEWFTKVQHFSPDQISSWLSTYGNGDQHIWLFFQNAHLPYDSSFEALNSNLPSLVGISPGRYMSGRFPFMMFGLPAAAVAMWLTTPKGNRKIATGILGSGIATCFITGTTQPIEFSFLFTSPLLYWGPYSILVASVGLVMKDVGAHLNTMYSGGLIDFIFYAALPDINGLKANSYWVPIVGICYVPIFFALFYFWIKGFKVELFSSSHDHGNITNVHSTEEQSANKFIEAAGGKENVETVTNCATSIRVTFKDYNHLKYSVWKTDPNVITVVPRDDNFYQVVYREKVLSIKKEIDKILHPELFTQDKSDDANTQKPKNKISKIKKLFNFTPKRNKVIEKTKGIDIDSFKKKEPDNTDNN
MPLLLRTIARRAVPVLRGHTVTQRANVSGRPPKDAVGPMETVVGIGMFSMAILAPSGWILAHSLQRIWNKFYFHSSTSPTVPLHILDTNQLNTLKIVLYIFPLNKYVTR
MKVTPMKFPPDLVLMEATTKASAHEFDSISQTSAVGRPSSKSNIESTLAQFRQFMDAEKYVDILYLLPTLKDFNSFPPMVHLIVGFTFFKMGMVLEGIKHLSIALEIEEYRSIRGQYLQLIGAMLAHLGRIDDVMTVFGEFIDVVRGVNLDFGDVMKLVKIFQDQIIQVNSGLLFRPIAKFPSLEQQIMNFKAANKTFEDGTFTNYCFQIVENINQAKYLLGNWNIGKKRNDQSADNAITLLSNAIVIIGPREIYQSMLELEPVILDYTDSIVQPTPQQQHVRTYSLFKKKPEPPDNSLEVKMSQRKLVLGFLALLKRNYVYAIKEFSGVIDILEPLADPICNQVKCLLMECKTMSVPNPSNRISEVMDLLGYQPHYKANLFLAECHQHLSIEGATLITILKPDKILRGKQLLSLNLEEAIINCIKCVIHMKNDDPHIPIVYDKILVSLLLLGGVNYHVFVFFVKLRDHFARSAQYNHLHIFTDDDPEFINLYSIIDKFVKDSNSLEEGIWKPEARNFLPQVILNDDFELLVMEGSYRVLTTRKFKSTSSFYITPIDSDSSMPPTVEILENKINTSQNIAQICWNGYCNGELPPHIQQYYTQNLNI
MFGRLRGKVAIYNEDIRAVAARHDCIVADQWSLSEIQDPRMWDVDRLHLAPLGHHTVARMVLQALAVENDLEPLKPEPLPARTWRQARAGDIDWARAYFVPWVLRRLRHQSSGDGRTAKRPDAAPWTRSDVPG
MEKVQKFDKNLKTPTDLVDPEQRSRTEEELRVYFKELNLETRVNTSLYYKFEDANYAGFLMMEPYRNIYEKYITKAPFGFLTKLWNKQDVTILFSKPKIKKKILKKLIKSLNCKIDRLILGALSPLDIQYVNINRAFTDFCKISHNLVKEVYFNGQTLTLKKFASILISGYKIVSFNFQNCHITSPKPTTSTPQNSLSKTLNLSYPNPSSLEYLKSPDYIPKILKTISLLPCIRSLCEINFLGCFHSLSELYALKKKFFNSANVQIRVKQEYKF
MTPFHSPAEQLLGVQLLAATAAHDYEYWKSRLANPLLLLDAVAVALYRLPLLAVPVGTDRRSGLMDMGHPVFAEALADALRGRPGFDQVTASGQVVRWGEPMPEDLAPDARRRFQGLREEPRYGPYVRPPAGHGGRDEGARSWPPLPESLPERQPTPPRAVVTAGAAYA
MSPTCRPIVTSGLWPSKRSVTLPASSSSTRAIVPPLRRGTGDVRASAWGGSLSGAPQVGQKRAPGATREAQRAQLGPDPGAVRRRPQCAQNGSPAVARAPQKGQRASSPSAAAGRGAAASRVPDTAAAALIPLDLPRGLPQSMQNWAPASLSRPQYAHAVTERGAPRAQKLRVANLLDKLTARKAHGGCGIMKVTTMVGVRPSLLPLSLVALVASVSAQSPTERAALDSLRDSLSRATDSIGLKRLEATTIGVAKRNRDDPLIHLRLGLIAYRLGEVAGKSHFDDAAGEFEWAAQLRPEWPYPWYGDGLAELALGEHAVVGLENLRQILGKDYLSKAARAFAKAVEADPSYAQATVDLARTALAQRIAPRLDLALGAAREAAASGAGRAPAVQLARGRVERAAGDADSALAAFQAYLVLRGDSGVGLLEEARTLYFGHRPAEGYRAYVAGAQASATPAAVALYREDLAWIASPGERATFDSLDSGPARVRWLRAFWERRDLAEARDAGERLAEHYRRWFYAERNFRLVSRHRHYDVTERFRSDQTELDDRGIIYLRHGPPDRRATYVAPDSVEPNETWLYHRPPPEGDLLFHFVARKGVQDFKLVESLADALTAGLGGALALQARRGMSPVATGLFASRADLSPVYARLGHALGSANVNGALAQERDVGQHSIAVGTTSDSYRRVFEQPLDVITSQFVVGDSGLPGTALHVVFAIPAQRLASFAAGERVVYPLSFRLLVTDGADSLVARLDTTRVFAARQPLAEGTYLTGRLAVAVPPGSYRYRLLVEEPGRDAGDLVTRDSVAVSALSGQHFAVSDLVVGRAGSGLVWNDRGDTVFLNPLDRFTPGTAAELYYEVYGLPSGAPYHTVLRLERQGGRSFFGSIARLFGGGGRAPVVFGFDAPSDGPVTRVHRRLELRDVPRGEYVLSVRISDPASGVTLTRHQRFTVVSR
MIEWPDQPGWMFSGSLGQTADPGNYSMIAPPNNIITQSLTITAVSTTTETVLITPCWSIQF
MTSKMAHQLNDSSAPVSQSKGIFSSSSSEEGDSNQPMTIDEPNGDQSTTEEPLADCDEDLRLILQQTAKLKADSTRLEFEELSLSEVQSRVNKIKHSPDEWNHVLKSIKHRVQKKDKEWASEYIESHKDAVMNFVGQEIIRAFENEKKKAKVLERRVKEREEVNRVVKILKSLKKNNATYYDLLGVDKRATTAEIKKAGKNFVFMLHPDRNKDKSALRCTKAINNAVDILCSKEKRAEYDKSLPSQYKAPRVDEEDLFGEEFANGAFDDDDGNDSTDSESDSESDSESDSESDSETDEENEAPMLSEEVMKIYLEMGQSVLKPFFKRLDDKPDAKVLKEKLKKYNMLIEEHNRKRRIGIPNLFMVPDTSIKKYHFMGRDIITWWEARRLKPEGVQQALQKLQNNFDKERLRSYNQWPAEWTKLLISPLRKRLIKLNLPKEQTRTKPMQGNSAREKPINWASGTKETSDTDMQDAYRDDERRLLGILAHASSVRPDTGGVGYSGGMGYSGTKYFFNVEGLNKLEVKMANDVDPEEIKKYHGSGKASNINDQESEYRRLLRSRFVRVIGVSFLPGTGSYERTCWTYVRVKILNIPGGRIMTRSTLRGWLGQREADKRIDAFLVESRTVPPWAMDDYGSGKYKSYSLKYPLPRKAHGNHQIIRKRYYDDSDDSDDSDDEERSQSLRYKGRVRHLEESQEISNLVTHKVNDMMETITKGIEDMFITRKEAEKELERPK
MTLNLDDIETFSIPVPTDTADRIAIGDVLDFLQDKAEQASIELTAVEAVKRAAVRDLFTKGLREESQKETEIGLIPESWNLVEFEHVREWLQYGTSIPCTYKHTPFPVLRIPNIQTGRVNSGDIKYGNLREEEAVRYRLTNGDLIFIRTNGVIERLGTCAVYSGQPADALFASYLIRARLKLDRIDPYFAAQFFASEPGTQIIGGRATPAADGKYNLNTGTIDSLPIPLPPTLDEQREIVEILDALDRKIDLHSASAPSSKACLRRCCTNL
MQSGTTRKRISRKNLASLTIPLPTLDEQNRIVDLLEDHLSRLDAAESSLRLAMQKADAMTTASLDRQTTAGSRAWRDTAIGAMAELVEYGSSAKCAGQAADSDVPVLRMGNIQNGKINWTGLKYLPAGHEEFPKLLLQSGDLVFNRTNSAELVGKSAVFEDTRAASFASYLIRVRFGQEVNPAWANMVINSPAGRRYVKSVASQQVGQANVNGTKLKAFPLPLPPLDEQCRRVRAHDEVVVSRERLHHQIADLVVRAAGLRRALLAAAFTGRLTNSAEGLLEELESV
MNLEKEIPEHWDYSSIKDYCKVNRINRKPEDEFPDEEFEYVTVSCVDGELGQIEKTDKEIGKDAPSRAKREIHEGDVIVSTTRPYLRAFAIVPEKLDGAICSTAFAVLTPEEELLTKFLWYAVRFEDFVNQLKKKQRGASYPAVGIKDVKNSKIPVPPVKEQEAIVDKIDDIFESIEEIQEAQAQIEEIYQDVAFSFFTSRVRDAETDIVETDELIESTQYGSSDATNSEGDGFPSLRMGNYNLRGEMDYSKVKYQKLDDKEFEKYRLEKGDVLFNRTNSKDLVGKMCIYDGGLEDAVFASYLIRVELNENRVLPEYFVTYMNSHLGEVERQGKLKQAVSQANINATELREMEMAVPSLERQKEIVKSLNYMRSKVDEIKAEVDKKSKLIEDMPNSVLAEAFKGDLVDFGATSESDGLSSTEQGISKEQESSFDGEGQQSLGEFR
MSTIFNNHDNREQFSQIFNYNDISKETDIDQFHQNIIKVTTLRQQSQENFEQSWLPYRKTIKFISQLTHIPSYILNNYARTIFFLFLLGIMFPLNLLITLFTLILSYFTNLIPHKQIKPMSNSNSKRILISGGRMTKALHLCRSFHKAGHQIILVDESINWLTGHRWSNSVERFYVYPSPNEESNAYINTLANIVRKEKINIFIPVIPTYNSQIDAQLKSALAAYNCSIFHIDSDDTTIIDNKYTFINKVQSLGLTTPKSYLITSRHQLLNFDFNNNDCSYICKNASFNHANHQSTIKLPRSTHAETVEYINRLPIKENYPYILQEFISGKEYLTHVTCMNGEITLFTCSYSSLSKFNYKHIEQPAISKWCTEYIRTSKLTGHFSFHFIVSEHDGKAYAINCNSYVXXXXXXXXXXIPVIPTYNSQIDAQLKSALAAYNCSIFHIDSDDTTIIDNKYTFINKAQSLGLTTPKSFLITSRHQLLNFDFNNNDCSYICKNASFNHSNHQSTIKLPRSTHIETVEYINRLPIKENYPYILQEFISGKEYLTHATCMNGEITLFTCSYSSLSKFNYKHIEQPAISKWCTEYIRTSKLTGXYINRLPIKENYPYILQEFISGKEYLTHATCMNGEITLFTCSYSSLSKFNYKHIEQPAISKWCTEYIRTSKLTGHFSFHFIVSEHDGKAYAINCNSYVNSAITSFYSHPNIADAYLSNECLSMRMPLSSARLIYWLPHELWHLFRNIRSTKDALKSLKTIFYGKEAIWSWNDPLPFLLHYHIHILYLLLNNLFSKHIRFFNEIDCSIGGFS
MKFVAARDKIDLPKDVAIDGSVGIGQIPNGFGIEVQLKILLPGNGSGREAQALVDKAHIVCPYSNATRNNIDVKLTLA
MITSRMTLCAGLTLISQSLKDRLCVMSLTTSSTLWMNTCDMQVTTRNYSDKPRIMSYQRNNFLETDAMFLEFEDDLDNLAGGSSSVRDNAAGSSSQPPATPTPRRRAQSRLLELEYHVAVNGCIPIKIAPRAEKPIYIEVVKGNLQRFFVFDFNDQAMNWSNHGRTRLLDRSSLTMIVAGQNRFYNDNTSSLRKKGSWSTVWSCFEKHTFVSQAADDAHFQPTPEGSQPLSRDEICDQVLGRRSSYSKGFGWGSKPKARKTTGASNSTTSCSQSVTERDIQIQAKFDQALERIELQDRNYQALASEMEQM
MVPISQRCSFLMNGSSTIQCSCIRGGTCPLVGSLSQGAFLPPLRSTQLPIISTLLLLEALASSLGTPHSFIITSHNTNTHTLTMVEMSQIDPYQNTTNPYTGNETYDTLVVTMLSLRIGVMFVIFFLNLCQTVLEYQHLRTHRKPLSPRFMTFLSITLFPLCTQLLYTFFVSNAIILKNSKRAWHVAWAFSALLLTWYIIMWSLSKTGLDKIDRVSSLGNLGFIVLYGFIVIINGIVLIKLMRGQVEKIPRLQATITKTVKLASVLIVLVLVSTGSSLVFNFALHLDSYSNYRFISTFIVGLAEFIQVIVVMAALGGDSPRNYFLFKRVNDTGSSHHTSNGNGPSGTINKPQTSGGPSLSNTQSGFINREISLSSVSISSSPHIANRDGSAVSSSGTPSTLATTPIQPNQFNTSYHETIEDGIIINPDRPLTDSTSPQPSNNNNNNNNTSGGVSSLDLLNP
MGSKRRSEGRDTMQDARRTGRAGCGARGAGEGWAGAAAPPAGQWTKSQIRTRTKAGTPSSQARI
MTEEQRFAIIRADLDEQEELDRALLRTAPLPRDLEQKNADLQAVYDQWYTLHPTVLGNCRCPGLCPRGTDRALCLGCSYHVEDPGKLGVALAWRASYAELVKVFEAQGNTIDARQACIKVQLLDDTITVMRM
MSKPPNPPKPAVASTGPGTRTLLARFLPLWGWARKEEAVMAGNALKLAAAAIAAVGFVRAAQAHNDFTDRAEYYAATPQAPAYYEPQYYYAYPSYVEPYYSGRSIYVAPRRRWRER
MLRSLKRKQAKKKTGLGQKTIRSASQNLPLAQAYQLALKHFAAGQLLVAEDICRRIVSLDPGQAETYCLSAVVARLLGKEDEGLALIKKAILADPALAEAHYNLGIILVMRGLIEEALASFAQALALKPDYAEAYSNKLLVSHYLPSAAPAEIKAEHLGFAARFEAPLKPLWLAHANARYPERRLKIGYLSPDFCQHSVAFFIEPILAHHDRAQVEIFCYYNHTFQDAVTRRIMDSVDHFLPCREMPDDQLAARIRADGIDILVDLAGHFSDNRLPMLARKPAPIQVTWIGYPNTTGLTAIDYRLTDAQADPEGKTEQWHSETLVRLPGCFLCYAPPDNSPDVSALPAGKAGRITFASFNNLTKINAQVVRVWAEILHRLPTARLLIKGSIAIDQNLQERVLQMFAEQGLARDRLALAQRTASFSEHLELYGEVDIALDTFPYNGATTTCEALWMGVPVVALAGEAHAGRVGVSLLSAAGLGEMIAADEEEYLRIAVQLATDQLRLAELRKTMRARLRNSPLTEARGFTRTLEKEYRAMWRRFCEQNPGGAAAKIASELGG
MSIASLDSSHQFEHETMSLFQRIIDIFRPRSTALPNDPQSIAALKLIELGQAAEKAGQLDEARRYYEQAVATAPKLAKAHMNLGNAHLAAQAVDKALDAYRTAIKLDPESAPAHYNLGNAYWHLNQAESALASYLAATDLDPKFAMPWIAIGNTLSGLDRHDDAIDACNQALKIEPRNVEAHVTLSLALKNAGRVDDCISEMRTAVAIRPDHPIALTNLANYLESAFQFKEAQVCYRRAVEISPDAGHLDTAFLYLLSQDGAIDSETLFREHLAVGQKFDRQGPAHRQAHTNTRDPDRIIRIGIVSADLRNHAVAHFIEPIVRHIGIYKEIEILAYHNYKAEDEGSNTLRQYFKKWLMVVDLHDDALEAQIRADSIDILIDLSGHTFGNRLSVFARKPAPIQASWIGYPGTTGMQTMDYYIADPKFLPPDVFARQFVEKLVYLPSTAPYVPFSIAPPIEALPALTQRHFTFGSFNRPNKIHRNVISLWARVLRGAPESHLLLAGISDQYQIDLLTRWFAEEEIADDRIRFHLRSIVEPYLRLHHEVDLCLDTFPYTGGTTTRHAFWMGVPTLTIAGTTPASRQASSIMELMQLQEFVAHSEDNFVKLAVEWSQRLNDLAQIRATMRDRYQSSPLGKPELITSAFAQATRIMWQHWCANEPPQMIDVSAIAP
DQHPLDDEISNRMKRAADHWRPISSLSDGEAADVIRRDRIDILVDLKMHSGDHRLLIFAQKPAPVQVTWLGYPGSSGVETIDYRLTDRFLDPPGAASTHSIEKVIRLPDCFWCYDPLESGDVPTNPLPADGNGFITFGCLNNLFKINDWIVDLWARLMERVEHSQLLLLMPECRRRHEVLVRLQQAGINADRVRFAARRHRTTYLRQYHQIDIALDSFPYNGHTTSLDSIWMGVPVVSIAGGIPVSRGGLSILSNVGLGELAAATPEEFVRVGEELANDVPRLRKLRETLRERMRQTPLMDARLFARNLEEKYREMWRDWCTGGEHASLK
MTTDDGWQPAHQRATALRAAGSLVEALAALDPLLHAPAPAVEIQALAAALHEQLGQDAEALRLYRLVAARGPWHADVSNAIGRVSARLGQVEEALAIFDGVLAREPLDPEAHFNRGNALRMLYRREEAIEAYRAVLPLHAGYARLALLDIGRQQQALHDLGGARISGLQHQIATGGSPESIAFRLATEYYAWPLDLALIARLATELGAAYAARTPRPVLPPPPPRTPGQRLRIGLVSPDFWSHPVGYFVEGLIASAAARAADWVVYSHRDPQPDDLTERLRGHATGWHDVRSWSDEQLAGQVRADGVDVLVDLSGHGTDSRITALTSRPAPFQLSWLGYHGTTGLPYVDAVIADPVCVPEEEAQFVTEHVLRLPHTRFAYTPQPGVPPVAPSPARSRGAVTFGCFQYGVKIGPQVVAAWSEVAAALPQARWVIAVVTQDPSDSDRDRMRRRFAEAGFAPEHLELRGVRPMPDYLAGYADVDLVLDTFPFTGGTTTAEALWMGVPTLTLSSPGMVGRQGEQILTAAGLPQWVTHSVEEYVARAVDAGRSAPTVAWTAERATMRERVRETPLFDTERFGRDWMAAVRAAWRERVGEEADTDTGARDSVIDCIERGDYTTYFFVPDHAKESGGVKMIYDHVRCLNRHDHRAVVVHQEEGFTPDWLTPYFEADADGSLVDVPVRYLSTGDVPLLPEDTFVIPEGFPDLMADQDIIDTGCKRIVFCLNWYYVLNVLPFGTYWDSYGITDCLSITGTQTEYLRQIMPGLRCKQVVGHVPSDVFSPPEAPSEKLLQVAFIPSRDGGTKAHNVIKTFHALHPHLRAVSFVEARGLPRDDYAALLRESAFYLHVDEASSWGTAPIEAFLSHCLVAGWDGVGGREFLSDHNAWLADNGDIMGLARALGRLVEAYLHGSIAPSVWEQMQAATRRYTLEAERDSVLQAHLELRQERLAELRVVAGSASAGSP
MPPMMIGLSARQEATVIKVTRPPRHSRPSVDPRWEIVKSRSSAPANRAQFCVDWAPDSVDLAGVGVGGLVRGIGGRSGKLP
MWDASMAGLYQPPAAHQARGKPMEYHFFLSFSFPFSPRPPSPTPDSHGIAPATGCAADRATSSSLFGLYRGSLHPPASAFPIKNSSQARLSLRIISAIVFLPFGMRWRQPLNQSAGHAASTTKYPSGLNAAHGSTTQKHNIIMVLSRSHGMR
MSVFDKSQSQKGGFERLLAKIPGFKGYLSKECRRESDKIERDLIAKAFDESREPLRRTVQKVADTGNFDSLRCISGMEPLEKLIEKIGNRIRFADYGYSGFFDTIKVDDAALERMYQFDLGMYEKAEQLSKRTRELPGLAGDADALKAEIEMLTQLVREIDKEFDLREKVLLT
MKKLIIVLLFIAQISFGQSVNRQFIGLSIGPSFPLDDFSKAVLDDSTSGFAKTGVALVFNYAYRVTHNFGFQLIINYSGNSLDNNKYKSELEAAHPDYGVSVESTKNWSSGGLFVGPYLRFPITEKLSWDVRGLVGFFGSYSPKATIRRIKKDDPNAKLEPYYIESSRASNFGYTFGTGLKYRIGSYYALLFGDYVTSNLKFKDVSGWDWDSEPYTTSFNQKINYFTVTAGVGYIL
MQEYADFTVDSRPPVFRKPESQTYRQMSFDDYNREHIEKHGEPIREVRRLSVITDGNPIYNADQLFYHINGTDYAMEF
MATILAVHAHPDDLDILAGGALAYLSSVGHRVVMVTATAGEGGSAEVGPVETARLRQAEAAASAAHIKAEYRCLRFPDLGVFNDDASRRAVTELLREVRPDMVITASPADYHPDHEAISVLVRDACFAAPVANYKTGGAAALAGIPALYFTDAIGGRDRDGNRLKRDFGADITRHFAVKKAMIAEHRSQVAWVARQHGVADFLADTEAWSRHVGADFGVAYAEGFRQYLHTPYPKAAVLQQLLGPLLLNP
MKYLAIGAHPDDLEIRCYGTLARLKQQGHSIAVCNIANGSLGHTRIPPEELKKIRHDEAKAAAELICAEHYAIDVNDMEVDSHNQKVILKLVEVIRKVQPDVIFTLDPNDYHPDHVEASLLTFHASFSSSLPQYKTESPCHPVVPIIYYCDTSRGLHFEPTEFVDISDVMKIKIEAFRCHKSQLEWLSDHDAVDMEKKVRLHAAFRGYQSSVEYAEAFRLCPKSLRVPAFRILP
MAPFLNLVHALSLFTRAPKYPPYMTITTEPQAPCRIHADNMPYSVHSFGANVKLNVSCWTTSTMQDNKGRLNDNEGSFTYLWVNTNGSFGEPDFLSYPGVGNADTGGKARGEGCWLHEDAVKEGEDVDFTEALEWCGEAPHHQVFQLASARIDDERKGFFNPF
MSFTLTITQRAPQIGTILLSPSKRRKIEPEEPTPNPAPTTSELWKWTLTHDAVASCFVQDIFEMKENAGKETDFFWLERVPCRTARIIGLIVGVQIYEKRIRYTVDDGTAVIDCLHRQQPSPKSPTKKPPSKTDTPAAPPPPKPVARIGSVACVVGRVVKKYGTRELIIDSIVPSKSPNDEPNHWREVRALHKSHYGLTTPFTIPPPRTIIHPISPMKPSTTSEPPTPCTVYSSPGSSVATSPAKSITAQSPHKLRHPSRLHSQQLIDNTFRIYIKHYMNHTAYADISTYEDDTESDTDTDNDLPGPSTPTKAKRPPPTASDETPRPGPSSHNVHVSRTPRPRIPRLSFAPDTKQAAAPQPPPPPPPQSFSASEPSGTQTPTIRGFTLSYLRRVPELAELARRVVKAEAKRRARAQRRKEKEAQAQFQAGSGSGSHSRSHSHSYSYSHIHTSSGQGLEERVASRMKRLFKWAIVQLLQEGSIVLWDGPTHPYPSFASAGTLAQGGLWKANTTSSSAGADSTVFSASSSRVSQEEDEDEELSDPEPHEEAYVPLLPRFLAGHVESAIGAIEKRKAKGGATKEAVLAWLRNDDRWRCVGEWNVQEALDILRTEGRAWEVGGGRWELSI
MAFVTADLVAIEDAIKSGALSVRFQDGRAYTYRSLDELRRAREMVQTDIDATAGTTRPRVFRIQQTGSGY
MSLFSQAGLDAIEEAIGGGFLEVEYDGKKIRYRTLDELLRVRNMIRAKLGETSAAPARVQFIYGRDSRQVEGA
MFPKHVSDIGTIVKAHFWRAATTGTALWNTLCIKVARSSLYSFFSLSPFVKTILARVRHSWKHSVQSVQHHRVFLSYSIPRISYCGSGQN
MVSSDLDVVTRLNGTLYEVFQRGQSPDSLVTRRVFGRDFPNSDLPILVTRIDLLPGYDYSLDQPAIRLEPGILPLVFPDPDVLGVGASVQQVARGGQRVYVAFFSDERAHEDVGEVVVWGHVRGPG
MDFLRNMFPEHSEHELCEILGLTPTTSIDELDVQTAIEMILASLAHEFDLESESEGSVETDGSGPECILGGIFPHVPRSIVSKVLLDVGGSVEVAAERLSLHGADAANLDSERNLVHMSGSVDGRDQDSTTIVAMFPSLSHSDARNLLKEHSGLHNTIEFLTGGLPQGQVRKSKSGRRTRAATSPPNVAYSKIVQIHNEEQYQLPKKMVFTNTTNLNSAILQDHDPPNKEHKVPELSAHEIQNLGAEYCRSMAKEHADKRGRAFQMAAAEFKRGSLTGRGSAQYYSDIGHAHTVLITRWNARAAQCVALKNGEFHKNDPFVLDLHGLTRAEALISLSERLQTHFTPTPGSKTLPPPLKVITGVGVHSGKHKAIILPAVLGVLKRDGWRHEYEDNVGFILVKGKSI
MIEGILNIIYGLIASAVFVGIGFLYGRYKERKKNRGKPLETYRFYPFSLDDKKMLQFDFDLFNEAVAALLKKKDFQAGKQLVLIGEQNNVRQVLKTPLLRNYKKLYKLYNGDQVLDDSTEFLENYKRIVRLIGDSFPECGIEILLHNLSNPSKALYHLKNNVSGRNVEAPATNLVMDLKRRDSNKEDKLNYELNIGSRKFKCTTIPIYKENMGLVGAICINVDYNYLNEEVRNDPKLINDFLDSMCQVNMKLDENILSKIEYEKALKGKRHFRDF
MAASVLGLICATPISAQDNAWIYNTFVDKCRAAGGTPGSSLAQFQRGETFVCRQGGGASVTGPGDDCERTARQNVDWVLRDRGALTTFTRLTASGYAAVDAVIEAQAHNFRAQESIRRCRDWAIEYLARVYGPDRTKPVTASDCSCITVLPHENRDAQRFSYSVRNACDPMDVAIQFGGDILKMSASVAMSAWAAAGRLSPGQQAVVSTPQGWTYATILATRLTRGSSSYTCRYN
MLLVGFLMAEISFNLLDQFEYGSLENRILSGFHFLGVFFSLTGLLEAFSLAEKEVKKRRKEINGEL
MRPQRIQVSSSRWLRLEEAEELETKEEPLLRREPLTERRDLESASRSLRGETQKNGPEEEKAAKIAQKEEILP
MSPGSNTASRRLVEGRATGGLLLGIVDGELDEGSSVANNVVWPAMQPKHIVHKELGILHGSHALPGPGRVHHFGQPVHNHQN
MAAFFAQQMDFIFFFYGLAFVLLGASCWAFGTRGHGGRFWLAIAAFGFLHGALEWLDLTALIIDDSPLFASARTVLMLASYLALLEAARSYAVENGARAPPQWAVLLPVIPIALIGYFEGSVLAGIATRYSVGFMGNLAVALVLLRQRRQTKGLERIFFTAAVTGFVLYAMATGLVVPAAGIWPADILNYDSFAGVSGIPIQLVRGVIACWISFSVWGIWGQRAAEQLESPQYTRYVAQQFTATLVAMTMILGCGWVLTQYLGEIYKRNVERAARGDTELISGRLGSEIARTEGMVDLLARSSAIAGGSLGAARDVLQRHVRAADASAGYLLDPSRSIRLAVTASGLALPPGQPGPPAATGGMVVFNGAARRLEYHVERVLPDGGSVVLQRALSSLESDLNRFDGTYFIADAQGTVLSSNRSDLRLRQLWPSSKSDAGSIFPQIIMGGAWTKLAGERVFAYQEATNIDNLSLVIVEPSREIFATRLLGIVITFFASLTALVYILGRERVVRDAVQAEKRLSLQATAQELRVKATTDPLTGLRNRRQFNQALGEEILRANRENTPLSLGIYDIDHFKAVNDRYGHAAGDEVLVQLARRVREQVRESDLLARWGGEEFVILLPGASIARAAEIAENIRKSIADAPVRGGIFVTASFGVAQYRPGETATQFLENADLALYRSKSEGRNRVTVGENGQSSSSAA
MQGLYVCRGYRQFYKGSGEIKLYTIGSQSACWDFERDYPLINCETDSFIMPALGRDDDVVSLFDRNGIVPNIHFTTLENFATMAMIEKGLGMSVMNNLITEKWNCDVVKIPVDPPSRITLGLAVPSYKQASPAVKRFIKYAVERLKKIE
FDLSDVAFCLLSRFNQTFFHVSRHHSCSCNVHYLSYNPNMYHDTTYSTIRYHTNYLRLTPICIXYFCHIIIC
MREKNGPGSVKGEESIPGPKYPAGETGIGTVPEVRTDNFLANILNKMSCESSIFNHLASSAQFFIHNTFLGCPVSACS
MPLGKTGTLKAFTTGRLFPEGGKIVEFFADGKQIGRTLSGGDGYAFIRHSPSARGVKMIRISAGASSDEGTLLVTGKKDKVILIEIESILFTRPFSFEPSKEGKEALKQLSKQFMIIYLSGIMDMKRSRLWLKEKEFPLFPVFPPGNADITANLEEEGIPVYAIIASPDTLSRTQHAEKKFSFEGSEEDTVVKDWKELLKKLN
MAAMSREVRRIVVAHLTERRMDPAQIAAELGVSRETVRRDLLNPPPPAPAAAGPDVADGEDLVLRLDEPLRESLTVLREVCSGPDTVAQRVAAARAAIHATADSIRERPPVRT
MAGVRFLRRRRREKKFNDVMKNSLFFVFAIVIGVCAYLIGRLVWLNNVNGDEYARKVLSQQAYTSQTLVAERGSITDRNGIVLARSEKVYNVILDPNVILSHDYFVEPTLKAMEETLGYNKDEMLELINNNPDSRYLVYEKNIEYAKVSDFNKYKSSHKFVVGVWFEENYSRVYPYGKFASHVLGFMSKDGGSYGLEQYYNDYLTGVEGLEYGYYDPELNRQKTVKEARDGYTLETTLDFNIQTIIQKKVEKFREEIGCNNIGVIVMNPNNGEIYGMTSNYEFDLNNPRSLIGLYTEEELEEMPDEDKTKELYKMWRNFCISDTFEPGSTFKTITVASALEENAVSTGDYFTCNGYTEKGGWQIGCNKKSGHGSLTLAESLMKSCNCALMEIADKLGPDQFFKYQNRFGFGARTG
MGERQPVKKKKKKFGLFMQQNLLAVFLIVLLAFVGLIVRLVYLNYSVGDKYEKRVLSQQTYMSNAIAFKRGSILDRNGTVLAESIRVYNLILDPLKMQSQNGEYVEPTLKALMKCFEVKEEEVRTILKEKAKSQYVVFQKLIEAEKVEAFKAIQENDKNVQGVWFEDAYKRVYPLKSLACDVVGFNTGGTSTGIESYYNDELTGEDGREYGYFDSDLNLERVVKEATNGNTVVSAIDANIQQIVEKHVAKFNKETGSKNTAVLVMDPRNGEVLAMTSGKQYDLNQPQDLSGIYSKKKLAKMTEEQKLEALNNMWRNFIVSDTFEPGSTFKPVTVAAGLEEAFVKEKTTFYCGGSKRVADRNIHCAVRAGHGTITLSQALMYSCNVALMEIAEKLGPSRMYHFQTNLMFGSRTGIDLPAEATGIIFQKDKIGPTELATLSFGQGFNTSMIQIASAFSSLINGGNYFEPHVLKETRSDSGATIRKKGTNLIKKSVSDTTSGLIRKFLHETVEDGTATAAKIDGYTIGGKTGTAEKYPRGSKKYLVSFIGFAPADNPEAVIYCVVDEPDVADQAHSTFASQLFHDIAQEVYPFLGISKTPEALKQEEKEQAEKEKEEKKKKQEKSEAKKPEAEDGKTPSGTVLESVGDEEAGVQDDVDLPIADETTGASDLIR
MEGTEGGREGQRGVAEGIGGDKGTVGTAEISREGTKETPGCHEFPPPLLFPPQLLEALVAVVATLGQLAATVAGPYGDMLLSKSPRSLHMALWTFIDHLRHTLNHPRVTPLGQALAALGATSGATWANVRNAARDWQDSVAALRDSWEQLAGEATKLRDACGDVATAEATTAATATAQAGDLVELDTHWKTARNNLVATAWQPLVAPAKDKAPSLLAEHKAWMAAASNKVVAATKAMEESVVATSQAGAATRRRQWAEMAWGPLERLVAACDTARAFPQELLLRLGDMEAALEGTKEASADVPKALVAAVGEAERLWEASARLATCHLLGTLGDIRKLLSSHPSGPGGRVVAERCQRAIEDIPRLLRGQ
MTTAALPLYNRVVLTTVAIILAAAALPAAAHLYWRLRYGPPAAGSVPILAYHKVDPRFELGGTRVTPAQFARQLRSLKGAGYAAVTLSQAAAPLRAPAASPAKPVCITFDDAYESLFTHAWPALRECGFVATVFAPTDYIGAENTWDINWLGLRFRHLSWDQLKQLAAAGIEIGSHGASHRDLRQLDDAALEREVAGSKRILEQELGMPVKAFCYPFGRYDARVRRAVERAGYVAACSLAPALRNRTVDPLALRRCGVYLTDSAWSVRRKADHASPWFWTQDLFSRGVNFCAGGTALARRLFRAPARATRDDKE
MLSRLVYPGLGALGLSALARRWSNAGAILCYHNVVDGPAPPRTGEASLHLPLRAFQRHMRWLVNHYQVVSLGELVGRLEQRRPLRQIAAVTFDDAYLGVFLHALPVLRAFQIPATVFVVANAPSGGQPFWWDHPAVQAVATPQRRRAWLAEFRGDGAAILRSLAPTLESALPRCQQPADWETIAQAARGGLAIGVHSRTHRVLPTLSDVELRDELVTSREMISRETGTAPEFFCYPYGAWNTRVREAVRSVGYRGAATLDYGLNGAGADQLALRRVNVPAGISDAALDAWLAGFRLRLPASRDPDPLLEPREIAHRQAPVGWKRAELGG
MTHPVMDWAKGQGRVARALLRWGALPYHLFRRGRRPGVVVLLYHRVGGGTRSDIDMPVDLFERQIRYLRRYYLLVSLDEVAKIPSRKGLEHASRDMMAVTFDDAFSDTYKLVYPVLRRYAVPATVYVPTRYLEERRPFDFGAFRDVEPSRRPTPMTWEQAAEMARSGLITIGAHTHTHADLSRTPADAARRELEEADRLIEARIGIRPKHFAYPWGRWAPQTQALAAARYDTVAFGGPGRNSYVGLDLKRLWRYPVIQSDGFWLFRARLRLLRERDGTRPYDGPRAAPASAVPEATAGGGSLS
MKRPGPLPQDHGEQKPHLGVWDCKIMSKTPQKIDIVLVFHGIGTPPADCLEADLPYWVQEEKFLRTLDIAKERGDTLITFDDGLQSDVRIAMPALIERGLPGVFFPLTGMLGKPGYLTREELKSLADHGMVVGTHGHMHLDWAKVPRELREKDIQDSLEIIEEILGTPCKEAAFPFGSFDRRVLASLKKFGIEKAYSCSRGKTNLANFLIHRTSIREVTDLDWMFQSLDKEKGIQKIKRGVKRFLRAHLPPF
MLKEKMQRIRTLPILTYHAIEDGDGPLSVSPRRFAEHLEAISSSGYATTALERSVNRLYRWGNHSVNREIAITFDDGYMSVLTEAMPLLERFGFKATLFVISDLVGCRLEGRELLGWRDISVLSKAGVEIGSHTATHAMLTQLDLAGIYREVSDSKRRIEDHLGKAVRYFAYPRGLATARVRRVVVECGYAGACGTVAGTNTNRSDQYFLRRRELYDHDDFQGAIAKLRGKGDRLHNWVSPAWVRRMRLSEKLEGGCPQLVLAVRKLK
MVALSTVRRAPLSPAVGQRGGNAPDVAMKRWLAALALLLAGASITTHEGLAAESAVVLVYQRVGEADRHASSNVTLAQFEAHLALFSAQGYKVRALPDILAALDKGTKLPARTVALTFDNGFASVAREAWPRLKRLDFPFTVFIATDAIDAGEPGQLDWATLRALAKDGVTIGTRGAANRPLWRRSAQEQRADLEHAIARIETEIGQRPTLLAFPDGEYDASVQALAPALGFTAAFALASGPIHAGSNRFALPRFELSEAYAAPERVALITSSLPLPVNALEPNDIVVAKTLEAIAFTVDRSAGRLDELACYQSRVGPIAHELGPDRHVRIRLKVSFQSGHNNRINCTLPADDGRVRWLGLQYVVP
MRLFLFFLLGAFFLLALFLINPKNPNSTQTNPSPETSQLKTLENNWQTPILMYHYIRDYQNLDDKIGVNLSVSPANLEKQLQELQKENYQTINFIALKNPESLPAKPIILTFDDGYRDAYATAFPLLKKYGFKATFYVVSGFVGRNDYLTKEEILKMAGAGMNIGSHTISHPDLTKLTPEKARKEILESKARTKEWGIEALDFAYPAGKYNEEVTKMVKEAGYQSAVTTQPGTAKDTNDFFQLPRVRMENETNLKL
MKIICLEEHYLDSELGRACMPFALEQAPFLGDWGKTVADGHNPDRSRPQIEKNALINAKGADLGSRRLRDMDEAGITLQILSVGGFPQLAPEDEAVILNTAANDRLAGAVRNHPDRFAAFATLPWAQPKEAENELVRAVEKLGFKGLF
MSELLGFHTCKQDNGEDYVLANAPFPSRYSDKHPERDPFLGEGRYLWYNDLNQAHWWGECRLKCPYYVLEIGVPYSEGDFYDLCEPDNQQLFGTVVRKLEEFGHLAIDEPIGKILEFIRILARDDPNWNIKLFTVVRGEGRKHGGRYPRNCRRVSPGRSFFELAPVFVLCVYEEYDLALLRKRMVGNNISR
MKGKHLWWIAGVLAAILLGLMILYISVYNILEERRTEGADTALKTVQEKAGDVKVMANYTFNSSAPFEILFTTSNDKDMIYYVNKKSGTIADRIDREDFVPREEIVDRWEDSCRNCSFTHIQPAYKDGTPSWEVTFTDQEGRYGLAYFDGETGEEFQRFAFKKNE
MRTQRFSQYTERSRLRIVLWSLVALVPVVLSCFIWLYLDVMSSKTETYPASWETAIEETALEEVDTTTRYHGERQYDIVTGVEANRTEGIAFVPVPLEDEKPKPVIYYKKDEGISAKQMKEQWADSCSNCEYIKLSLAIYKDTPLYEVTFNNESGHYVLEYYSFEDGSDYNDYPGFTMGR
MRILKNTITILAEIIVLILSTLWYLKTKEYEPLIAMIIGGVGLLTSLISKWFLRPRIVLHQQKTDWGRLTKGYTNNNPLIIRLGIDIPNQYWELFWNHILEIRNNSSQTAYSIDIKHINTPHKTYINEEIGKIEPLLANEKRDFKVKIIQNTTGTHIQADDYLKTNIKTLMKDAKILVKYEDESGTKFYTEYDWLTDTNKFKLFNNFKNKKS
MTLPLPIRKECPPGACDCRRELLEADPQADARVLRLTREEEKRLIERIEAIGSYAELMRLGQRLHEQLGVTLTIAPGPNEVRTMRGFAIELAPRPGLCRKTRQAVPAAIRRCLDTHPDIAFAILDANDLLGQA
MFTLACTDATGPEQLTTLTLTPAASTTTPTGQVQLTSAGTRAGSAVTTIVGETYAVTSGGGTVSSSGRFTAPTTPGTSTVTVTCGGLTATATITVTAGPLATITVTPNPTLQIGATQQFTAVGRDAFNNVVAITPVWSTTNPPGTINASTGLFTAGNTTGTFNASVRATSGTIFGTANVIVIPGPLATITVTPNPDTLAIRTGRTFTAVGRDAAGNVVAINPTWSVANGGGTIPAGTMGQTAVFTAGDVPGTYTNTVRATQGTTSGSATVTVIAGPAATLVVTPETTTLAPGATQTFTAVGRDAGGNVVTINPTWTVVNGGGTVPANSMGTTVVFTAGGTAGTFTNTVRAAQGSLADSSTVIVTAAPPPPPPPPPPPPASPFRFIARVAFTCTNGSIAGSVATNQGPAPEAPPGSVTQTLCPITGTTEIGTPAAKQAYQDFLVAFAAAEATACGTTLTGTLAGQILAPGVYCFDNSATLTGTLTLSGPSTGQWLFKIGQAGIPGALTGTNFDVVLAGGASACNVRWWVRQASTMNTSNFKGNILAGAGVSFTGGTYKGNASSQEDVEVTGTAVTACDAP
MFENQKITHTRRVKLFSAGVVLLFIGQSICEAQPPFNPLNPAGIPPGPANQPVPPGFIPPAVAPVINYRESYQGELHLEISENALKMLLAREDTSSGPIQDFVDGAAVNGTQTTQTSTDVDIQPDQQNAKFNFVISGNVESTTTARTAQAEILQEGMSTFQGTKSVMLNGEEILTSKAIIQVMPNQRVLNARSLQGRMPIIGRLADQLAYNIAVNRTQQSNIVAGQKILNRVQPKIDTEIDRNLSQTNQLLKQQVWQRLRDWNIEPHYKNTMSSADRIYWDYQIGNTNIPYPEQPLLPDLSQSINPQIPAPVSDDVEILIHESLFATVASKRSLAGKTVSINEIQAATDQLLTLFAEEIAEPSQELPIAIDFVFANER
MSESADISVSGGRNPRRARRTQDERTAETRTRVLDAAIDCLIDEGYAGTTTKRIAERTGISRGAQQHHFPKRHDLVIQAMTHLANRRLAELRADAQRLTDVEDRVERLLDLLQQSVTGPLFFASLELWVAARTDPALHEALFVAERELGRTIGELTAEVSQIAADDERFEDLVDLTIHLLRGMSLQRILREDDSRRRRHFELWKRIVLQTIEHPVAP
MIDKQDKHTSLSAPGRIRRTQAERSQETRLRVCIATIEALCEVGYEKISTPLIAEKANISRGALTHQFPTRNDLLVAAYQHLIGGWENDFLFSNGLKLVRLEIDVLIDVFWDKIFATRHYIAAMELMLAARLDNDLGVALREVLRAWIRRRDSIALEIIGASKDDDNARLFIQLNLSVLRGIALHQSVDDDSISSQRLLELWKSIARGVQRDRIGVEGRPAVKGESPFSLQYRPER
MHACMFHGMLALVKRGQDTMDSDTPGRDAVRSTKLLRRRQEERSASMRMQLVEATIAALIERGYARTTSVEICQRAGVTRGALLHHFDSLADLFAVTLSHIYDRLLARSSMRGPERSGGRDLVESLWDHFSQPEYKAVIELWLAARNEPELGVSLSPAILQISNLADPRFNPRLSAALGQSADAVPLYRLILESMIGMALGRAVTPGGGMLGHEERVVDLLGRLAGEMLNKP
MRMLKFRHCATSHMVTSGPVQQDRVPTRLERIPWGWWVLLGTVLRGVHGVAAHTWNTSPDQLAWGLGLEDAWRSGGAAYLQWVHYPHEGGSLLLSLLARVFVPLASVMPPLSWAALVADSGCRAVQILVARRSFSPRAALAFTLWTVLAVPLMLPWGTINMGLHALVSFAPFLLLAAVQRPVERPLLLGVGVGALCMLAYDAALLVPAYVGFVWLGASGVQARAGHVLKFLLGAVLGLLPHVLTRLWVDHGFQLEQLPMFSIRGLERDPLHLVDAPGRLLAFWTTWLPGSLFMTAVDAPLVRVLVLITAGLLVWGGLGLRGVPAAQRRVVYMGLWLIAVFWAVVVFAPFFEPRDDGAGYVYYRYFPFIVPLVALLVLEGLVQRRGTWAAWGFVGFCGLSSLVFLVRQEDRPLPMDEATGWVLGRKYGHDPQLLGRIMAGAGTERKYQLTFGAGWGTAAAMFDRRTATDTAAMHRFQRTRAMWPVGELTAFDHGVERAFGPDVTPRLDPAIRELLDLEGIP
MTHAIYCAHAEALYSTRRGALQPTPRRSTAHAEALYSPRRGALQPTPRRSTAHALYSLRRGALQPTPRRSTAHAEALYSPRRGALQPTPRRSTAHAEALQPTPRRSTAHAEALYSPRRGALHTTHSTVYAEALYSPRRGALQPTPRRSTAHAEALYSPRRGALQPTPRRSTAHAEALYSPRRDALQSHLSNTSVGPNPPMESAPTHPTHPWSRPQPNPPLESAPPHPNPPLESAPTQPNPPLESAPTQPTPGVGPNPTHPWSRPQPHPPLESAPTPPNHGVGPNPTHPWIGPNPPTPGVGPNPPHPWSRPQPHPPLESAPTQPTPESAPTPPHPWSRPQPHTHPWSRPQPHPPLESAPTQPTPESAPTQPTPGVGPNPTPTPESAPTPPLSPPPLESAPTHPPLESAPTPPTPESAPTPPTPGVGPNPTHPWSRPQPHPTPGVGNPTPPGVGPNPTPTPGVGPNPPTPESAPTQPTPGVGPNPTHPWSRPQPTPPLESAPTHPPLESAPTHPWSRPHPTPGVGPNPTMESAPTHPTPGVGPNPPHPWSRPQPTHGVGPTPPLESAPTHAWRWEERTGLDGQQADVIHTLSEQNMRNTCSFQSTPWSRPQPAPRSRPHPTPGVGPNPTMESAPTHPWSRPQPTPGVGPNPPTPGVGPNPTQPTPRTPGVGPNPTQPTPGFGPNPPHAPLESAPTQPNPTLESAPTHPTHP
AKQQGETIVKGHKHYELMLNLQLGIRHAVGKQGPVTLELKSSAFDPKEKVWTKFPQEGSKHTPRHNSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSHNSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFQEFQRCNHFLFIF
MTRFSAGVTHGGADAFGGLPRLHRSGRIEIISSLVVAGSVLRLQKGGPLEGDRGFDSVRKLFTDYSYFCRAVSSAFDADSALGFSVLRLDLGPNPSPPALTRSAQSSPISVPRSVPTPLPISIPSV
MTNESMFKDRYGKEITKGIYKKKRDERSIYSFEGQTSGQVGLSPDAPYWQVKQLSAHPFEFEELQVLRSDSKNYYPIPEEEVEGRLFTMLLSAFYEIQSNKSKLSKARRKLEELSDCID
MPISVYVPTDLPELHPDPLINAQLLVEELCGKLNAARQACDRVLDLDLVTPRGLAAKSHVRHALAESDPATLSEQHCNCG
MKLTRFAAAFLVTSIAYLSPVAAREPLEEKNQGAIRYATGGIGADEVAAFKAAAPQYPLELLFAQKASPNDVYLADVKVVVKSASGQVLLDTVSGGPFLLARLPPGKYRIDAESDGVVRQQAVELKAGQHRRVVFVWDAPGG
MSKSTLIGTLGAFAVVLVFASLSFAQVMATFSPEQMAYGGVPYISGGVGLDERAQMSEVSHDYNLKLMFATSPSGEYLSNVAVVIRDTRGRKILEAVSEGPWLFTRLPSGKYSVTATAQGKTIQREVLVPGKALAEADFYWKESKLSFLDGGRNCGY
MAMQHSNTSDAWTPEDFGKVLNKAIQAHSTAFQAVSLFGTDKVRVQFPLWNADPSAAWLDELEVIVPTDGATGSVACTPSKVGGITTLSNEIKDDTDPEIADAAAAGLANDIAHKIDAAFLANTTPKANSGLLSIASQTVDTGATITNEDPFIDAIFAAEAVGANIDRWVMHPDTAKVLSKLKKGTGSNERLLERTTDGAFIAGIRVLTDPKVDAATKAWGIDSTRTKVVLRKGTEMRTFDVPRQDAIDVRGIARVGFAFLHPQSVVRLYDAA
MGDRSGEENIYKHRQYESDELFTSNVEEWEWDCGTFPDITQGPYDQNVTDGGTEHVDKGGNETENLNVDKGSELDQVMRKLSGLVLGKPVDKVEADSTMGPEIVSDTESEDLASSDGFRSLEGSSDEHRWSKGLESSNL
MSDESITHKEDKENLLYIAKKIHFEEFSLEEDHDYNVWKESIGSQESNTVDNLGSDYRILKDYQQRLGPQLFDEPEIIAKTTPFKLKWTFGMNPKIPIQNLTTETDTRIVFAGSHFPILYNYCTKEMVHLEGHHNIVSCMTSDETGRWLVAAGGSRDSVCIIWDTKECAPVFCLYHVYKELAIVKLSFSARYLITVGRYSEDSYSIDLWLWTLGKESAEGSHAVPKRYGAPLEVCFNPDIEEHIMIIFEKQVLFVEWMPEENKFVETSMPIIPKKVGDYTGGTYLHRCHQCYVSTNKGCLLLFANTLYSKPFEEGKLDNAKNFISAIKVSTDSISCLTTVDGMIVTGDVKGQIYFFNSGCKILYWLKDYKLGAIRSIGFSKVPKVKEKDASKVIMLSSVTYTITIY
MSVKSAIKKRIRKIKLNTRITLSNVILFTILIFLISYFVTILTNRFLVLKNKEELLTRQQQVNEMLKSEKSVLDQIKPEDRIPYIYQRFQNFYIIDHFKTMLLVYDTNGNTSYVFDKDFYDILMLNQLKINPNNIKIQLNLNKVSNANYESMTFDLYKHLDVAERFLYTSFSIEVPSKGGQPTLSESTLLGYDIMHTTVRYDHGDDYSAFVTLTLYPAVDKDFLISLNSALLVSAMLGILFLTIFGKWFTRHALKPLMELSDNAKNMESEILSYRIPPTDSNDEVDILIKSLNLMLNNLEQSFENQKRFVSDASHELRIPLTIVIGYIELLKTMGTDNKALLEESLSTIGDEATNMKNMVERLLILARLENKRLKIETQTLDAETLFNKTIIDCFHLYPTHFFTSEVKYN
MNQYPVVFLTLKDIDGLTFEDAYERLAVQISNLYKEHTYLLESERMDSDDRTLFKELKSGSAGKIQIGQSLHTMSTALKDNTPLRFAVITGCLKIAKESIFTGTNNFVPDNITDSRFNEYFGFTQEEVD
MNDPITIVALILAAIAAAAALFAAARSGRSAPPDPTSTLQAQRVEDQLQSVVERLARIDAAQQGIDRLREPMDELLSVFQNKQARGQYGEARLEEIVRDALPTDLYSFQETLSNGKIADCIVGRGAASNRVCVDSKFPLEAYQKLVAGDPTAAVQFRTALKAHVDAIGSKYVIPGETSRLAVMFIPSEAIYAELFTSFPDMEQYANGKRVVFASPNTLHALLATVSMFHIESKIGEQAALIQREVGGLTRDVELLAERIEKLATHFRQIGDDISGAQTSVKRIVSKGERIAKVDLGDEADPPALREG
MEPIFSYSGLNVTFVELILACALVLLGALIAIWVVRRGAKSMSAEQMQAYLSKMAEQQSSLQGRLSQFAEDSASREEKLRKILDERLDIVSHKVGQSLHETQERSAKNLKDLHERLALIDRAQKNIETLSGEVSSLQSLLSNKQARGAFGEKAMQDLIENFLPPSAYDFQVVLSNGKRVDALIHLPGEQGHVAVDSKFPMESWRRLTAAETAADKLTYGREFRRDVLVHIKDIASKYLIFGETYEIALLFLPSEAIYAELHTNFSDVVDKGFSERVMIVSPTTFMATLHTMRALMKDAAMREQAHIIQKEVGALANDVRLLSERATKLQTHFRQVGDDVTGILTSSQKITNRSDKIGSLEMQENKEEPEPDLLDKSPDPLDKPKV
MNPLYPLYSAGLNPPSDILIGLKDGLSYREIQKQYGASKSTVARISERLSQAGLSAHEASSLDEAELQALFYPPRKKALIEPDWQKIHNKLQQRKVTLLLMYEDYEQQAEGLGHIYTYSSFCRRYNDWKRINGISSLSGNSDRKPAEKIEVEFAGDPLQWVDSFGEIHSAKLFVATLPYSCMMFAEAFDNERQSSWLDGITDALEYFGGSPEVLVMDNAKALIKTTDWREGIPQAAVLSLCHYYHMQPWACKPATPQQKNRVEAAVGDSERWIIAA
MFLKLRGKEILKHSSIILAVSAAIALPFLIVTSDKFTWYLKFYFLGEGGQLEGISLWRIIDSQGYAVPKTALIACMLISFLAVYYVAYRNRMGIWKTASLTLIIYFMIYPKIHYEYFLMLFALLIPYVVESKKMVAILYIISVLSGVTLLIEQRYLDWGIASAHSTFFISIAAAAMIAIDICLLLIFRHIFREKAWLEGEPLF
MNFFTDFFFGVAYVEEFSKICPIFFINANSIVNENEYTELPIVENHNFKNDIAYEDNENNNKFNKFKYIYVDNKFEYIFFSLCSSAGFSSTENLVYFTLTSEQNILTIIILRNIICVLLHMSCSGIVSYNIINNRSMMQSILSILRPLFLSSLFHAIYDYSIYYSSLNIPEYQISFFKALFMYSFLSMIFIFFVIIKGIV
LVEESAEDYASKIREFFPGDDLPRFDVLLLGMGPDGHTCSLFPGHKLLEEKDKIIAPISDSPKPPPARVTMTLPVVNNCRYAVFASCGAAKV
MMMPVVQPSLISFTMLLTCQPTVISRPYVRPKWSNVPWIGHQSKPIYITPEPVYYDQGQDENWQRIRASNPEMNLEKILIRPAAIIEDEPQQETPQQQVLDDTEAGKNLSVTFSNMVEKIGSNPSTPSALKDAPVGRKRPNDDLPESVKDAWKDRTYYINPLYWLEYPKRRKDSNEVPSPINESREIRSTPATATEDTKAELQQGMEAVRREISRLGGNQGSTKPILPITPTEDPLERLLHTSALLPEENLFPPKETDTSNDYSTISHKHRTTGFTVPDIDPLLSAPEFRAMLGDEEEPSIHDTVSTPAPLFLPPTRHQRIQDDNIVEHIRPVTVNASSKRHGAGADRAHTRKPEKGTRSDICPKKSIDFFEGVPFWLGASIGILATFFLFALYDQVRRCSSSSSSQRHQGGATVTQAMLSEKPKVSQTQNSGGDARTRAGGAAHRFQQHMTSASTSSGLLSIAAERLKKRLFKSLMKHGGDSTSVSAAKPRNITNESFGFGHRQPDDDCSSSDSESIDVFAPHYAGSRTDFGCVQNCEARRVADFCAMANSVTQKPQ
MHKGGRVGLALPASAHSALQCLSLLKTPVAAEFQQPPDD
MEDSRISRKGKIGLRKCFAPRRSSLAIAKMARTEKHTPEETRQETTDRGSYQTNMNNAIYQFANDDDVPMFWDAIYHTLPKPLTLYVVQCFGDNPYGGTSTSNVFSHKPQHFSAQQYPHQSQQFAAQHFPQTHQSTFPEQLQDDFQHGDGEEVQNHVDVAAEILGIPLNDDANDADDIVVTNGEEDGIRNKFWGMPDPLPCPPLDIKPRTNLSYQPTSHVKLFQNFESKKDLRLAVGLKCVHENLQVKERYEPVCVNDGCEWHLILAVVEKGHVMLQVRRFDDVHTCLRTQIQANNHNTTPQVLGHMLKEELRD
MALSNGIVIDNLEDISDPSDWSTSKFPNLSQLDSSLRCQICKDFLKAPVLTSCGHTFCSICIRRFISDSNKCPICLEETYESGLRKVLLLDSITKWFSNNRSELLKSVKIDQVNDSQDEDDANCELISNNIQILDNDSKSADSSKIFTINESPNNTEKKDDVLAECPICGVFMPITDIQGTHIENCLKSNPEDMKKSTQKVTRNGSTISQFFSERTNKTLQKSSSHITQQNSLTQNESSAQSQLQPKLVKTKQRLANLDTSLSTIKLREKMNLFNIPTQGTRIQMEQRMKEFINLYNANLDSMNPVNDRILLDRLQKWETLINNIKPKHTTPPINADEQTIKRQKIESKEWNNKNKDHFSDLIKQARSNMKKQKNKVKTDSDNIERDDDKEHEQFSDNDTELLL
MDFPCDQPPEDEKPHPFTWFMRYRDMEPPRSIEKLHRSCTEAAPKKGVSLATTLRGI
MIHSPQALLLPEMTSFQLFSSSPFLCDLGFLILVLVNIQLSLSNPDFLFEDCRRQFTCGSIEVGYPFWGGEGTQYGRPGFCGHKDLELHCKDDTTFIMIKRVEYRVLHISESAKVLKIARQDYLSGNCPSDELVNTTFESTLFEYSPRYEKFTLRYGVPRGQIFCSIEEMEKNFNYTDGLISTLGSGFMNFSVTVPVLKTFLEKANRSLSVVEEALKQGFEVEWKVNDMAFCDKCNQSSGSCGYDNIWNQTTCYCPPFQEACPTSPIGLPPKGPPDTSVIFKQPGSFEIVDFNSRAGTSQLVILSGESGTQYGRPELCGHVWSFIVRSYDIHYDQSCRILFLISLSQRKFKHCETGLLDGICPYDGLVNTTFESTLFEYSSRMRNSHYFMVSLAVNIFAP
MQQQVQASVLASLALQWDEVTHSGSKGAGLSGITHWLKLGSGGALSLEQKYLHTVTSDRWRR
MDRLLYVWGCSRAGCQRKDGSVRAYRSVKYNARFAEKLERQKLKTKEKEEAKRRAAEEEAAKKKAPNAANGVAAKPNPFSLSSAASGPSNPSFSSPFGSGNLFAAAPSAPPSSTKPNEEEEDSDDSDDDEPPATEEEINELAEALESTTIDNQSATAKSSANAAAWGTAPSFKPLYLSSVSEYLVPEAKPKQLLKNVKVSDGLDDLDAAAGAGGGGGGGGGKWKTETYEKGSATDEIFSRFSKRVVHEGKQCLRYELSGVPLPYHNDSVYKKLFAPTPSTVYNVTRPSQHATTGRSYDPSWIPKCTGCGGPRTFEAQLMPNLITVLKRAEEEGGGEGDGKNKKKGKQQSDVERRNELARVLLKQNTGGGAGSDGVKLGMEWGTCMVFSCLGDCRVGEGGAEEEEVNDCWREEFVLVQWE
MCVTRPMSFTVCPHHGLAGAELVVGVTADSNNSARKLFSALGGRITFAGAGGSFGEALGFAACPPVAQLESTIAQVSHNSIRDGLGRLPVFFMLGSNVGQ
MVDRYDIDLVLAHEPEPETAEYRALLALWNEAPLYRPWWEVGAQISGPVPDLDVSPAQRVAESETRH
MIHDQITGSAPALWARALRGDLTDYAVLHRAAHSPGDTVEVLTGTVLTCAHLAELPVDHKGPAHADGGSHDLLVLVPYRQIGERGFACHDDAEPLRVLLIEQAARLPLHMVTGACPQDAAPLRDLAFDLDDDAYARLVRTVIEQDIGRGEGANFVMRREIHARVAAWGPSGALAVLGRLLRAERGAHWTFAIGLNGRSWIGASPEPHVLLAGGEAVMNPISGTLRYPPQGPAPDDVLRFLADHKGTRRAVHGPGRGTEDHGRLVPAGRTGPWPLAQGDDPPRAHRVLPAWCLPAGRS
MDVVGVALAGVALLDPAYHGLQSLWKAHRVTKNFGEDFEHSMSSLRSQEWCNDLARKFENECKVANCAAIATEETSGSPEAKVCTSRPTSTFLKFPQRSKIETALQSYFPKRPETKISQKIQETTNTPPLNTRRPDPISEEGPSFSPTLEKGTLAYHKKRVDKRIEEAQKKVTVTQLLRWTGHGKQDFDGFILRLRAMNDDLRKLLPIIERLEDAFRKIRPSPDRPVLWQKTEKIRHELDGLHLALRSVNFAIPDEGISPIKLAVKVEEDFDELRSRAEDDGIISGLPLTRHPILISLIPTLGGLDGADNNFILSTTVLKATENEIFNLPERITTLRNLEPHFNVIGNVIHSLENRWIYHLQRVEDMDLIGGHLVSTLVEDIRLRAKERICLAADIARSYIHFMTINMGRSRGLLSSYRLFGQETPAATTGNWSNELLSSLWVDFGFGTSTTGKAKVFRSKAQYREEKISAAVELGILLYQISAGKALEYDTTAESLQRARQKAREGLPNVEQFCGEYMREIVEICLMEAGNNFEDKDIVEEVASALSYHATRLKKAGPKA
MNKQTIPVQHPANVSPTTISSQGSNKQPQLDADKTFLARNIVIKPDSIQMEVALEGRWQPVRLSSEANIDQVLKLPEAQLKLDATGTVLTLVTPEAKLSLKSAQSLLSLLGVLKGFGDHSAIQTDAQIKQTPHSQLALPKLGVNVPIPPSIGNLLQQESKLVASLSAKSDKVLMQVFNMFGDKLHQMPVAKKAIAKLVSQLSQQTSNYLQLTQNKSTLKLGLHQHDITQIQTPTPTELKQLQKQVWHGAKLSTAPDGIEVAIATKSTKIKLATSLKSILPKLETQAFQTQLKEATQSYKEQTLKYDKPLFNVSLADIKQTVKQALQAITQTPFFNRAAQQDTNKANQLPAQQIPSITATAKSYLHQPLYTSAALRPASVALMALSEGIKQNFSGLESQSQSAMHKQRLVSARSQAPQSPFGDANTTDQQKAKSIAPDNNKPHSFVLQGDKKQSVSTPNTQASSSVNTLQSAQSSAAKMPFEMRLQLIQQVLSAALPKSNGPIAADQISMTQTKLATQMQLTDVIPLRGAQKSQNAVPASLPLAHILSKPLREAALTETQTPDLKDPALLSEKISEKMTFKSSQSVDLTRLVHQAFSRLMDEGKATPDMVSQDLFSRLPSIATPLNTSVPMTSFTQALDKLLVTLLGTQLSTQNEAQLPKNVSQEQRIASMVETLFPGNKLQQPKQFIQAVNLSAQQNLVEELGFIQNAMSPTNQTQALGQKFDSESQLLINLFLPMKTPPECRQSELQIGNYKKPAKAGLPEKQVWFVRLNFDYEALGQLSVQAELMDKAVDCEIVGDSPSVCQLAEPHLDALRSKLAAHGLQVGDIALREDAVQVKQFYDKHAIVNIKV
MNGVEGCAGMVQFGKEPSEVIHLCHKNWNIEEAQRVCLEVGCSKAENITRIRADPGITYNTKTFSCEKGMDFLWQCIDWKSTEVCAEPIAVICSCHQIYRLKYANRRENVCSGELGIVENNAWKPLKQAKNYTQRADLICPYLQCGNNGTIQEQDKKPEVSLKCADQVKILIQSRDGIYDKCYGSMVIQRNKTMMGVCANSDKAAGVACREAGCGELVFTQKEVPTAIRPVNQWKGVDCDGSEASLWQCNPYTKTFESCKMMKIYCSESIDVRLSDGFDKCAGRVEIRHEEKWWSVSNTMWTDANSNTVCAQLGCGQMSQHGHQLFANGATALLQLNFDCGRIADSIHKCRVTPVQSHSSEVSSTKLICRGHKMIFLEGMSSCSGTVRVQQESTQFWVSGLPVTWNETSAEYVCSQLHCGNVETYHYENQTKATMMEVVCRNNTGCTLDLAKAPSEAVATVKCSGNVTLQLVNNENALDGNKKRCWGDVEVCVGGRCGGVCEDAWTPDLSGKVCASLGCGHFIQRSDRELSPSVTIGSVHCPDHIKDITRCRYVLNSGSYCRSRHVSILCSGSLKARLVDSRDKCAGRAEIHWSGNWLPVCAEHPENQSLGATICRELKCGTVNSSRLFPSTALQAGLRATCNGVFSTVEKCTANKTFCAAGYFRCSDWSRLLITNTKLACQGPVFIMSTNGQHAVSSFEGQTELNLICKNLGCGEYKNHEGVSKGNYTWWGKTWKCQGSQASIWDCEVASAPTGAPEKQLDINCADGLRVDLSKKCYGEVQVNGKHKVCDSGWSKHMSEITPTQYCSILVEKRVTREAGRFYYFSCTGQEVSLLQCASEMVECNGSVSLVFSEGLKFRLTEGCGGAVQVNYMDNWTPACIHGGSEANRLCTDLLCGNFSKTEKTEKEGHPIQIKCSGENPSFLSHCVTRDAVCQHGSRVYCENYQEKKPFVRVGAITALAIGTLLLLVALGLVLWKRKPIINMIFETKGLSSKSNFSVDMDMERNEGRLLRFAK
MSSSTKYVDPAFQGVGHKVGTEIWRIENFQPVPIPKSDYGKFYSGDSYIILQVNFVIFSLFFCLRMRTVALFFTCTSYGFWDLYKIH
MRDTARLLAGAARRYVYVSSCSVYAWPPAAGYTEEAPLVADASADAEQTDYARDKRGGELATLDAFGAERSLLVRSGLILGPYENIGRLPWWLTRTARGGPVLAPGPRELPLQYVDVRDLAEWTLGAAERGLNGAYNLISPQGHTTTGELLDACVRVTGGAAELRWTDPEVILDAGIEPWTQLPVWAPPKSEGHAALHSADVSRALRDGLRCRPVAETVADTWAWLQDIGGVAPGRPDRPPVGLDPEVEAKVLGV
MDLLILGGPRFLGRHLVTAALAGGHRVALFNRGRTEPELFPEVEKLRGDRAGDLSALEGRTWDAVVDTSGFLPEVVRRGAERLRDQAGHYHFVSSVSVHADFSKPGMDEEAPVQRLTPEEHERLSTIDPSEPMKSPAFMELYGPLKTECEQVVRETFGERASISRPGLIVGPHDYMDRFPYWVSRVAEGGEVLAPGRPERPVQVIDARDLADWIVRLAEGGVPGTFTATGPDYPLTMEGLLDACRNAASSDARFTWVDEAFLVENQVGPWEELPMWVPETTSTSHLGILQMSVRRALDRGLRFRPLVETARDTLNWERARGPHEWRSGLTREKERALLEAWRQLARA
METLISKKKRKSKLIHLDADVIKSVTVQAIHNGTVFKLFVEDMIIKQSKKQHGK
MRVEELFSRFPREVGYPRRVVYNYAELVRYVELNNGVRNVYVSLYDLTFTVDKLFFDFDSRTDLGQAFEDAKAFARRLEEHNYPYIPLFSGRKGFHVYVLVKPWRPPNVETAKAVLRDVQTRLAGDLATADRHVFGDVRRLVRFPNTLNGSNYCVPLPLDFTRWSLSQVVDYAKAPRAVEYGVPHLPGIDAFVDSVHEYYHEEGGMKPLHDFADMPPSLQLVKPLLRSCVFEAATTDPDPPHIVRVALVTELMYYGWSKESVHELIRRLRWRDYDPKTTKYQVDQIYRKGYYPPSCYKLRPYVRCTECGWVYFYRADTL
MGYFKNVIPDLPFASGLYISLGQRSSVKEKELSDIQSHFQILIDYHFRILTPEKTSTTLVRGYDFEVFLASLREGTSASEGTGYYVIGREGLSAEEALKLRSYNALVQSSMPEEWKYYKAEEECFESSHEAFRTAFPRGFAWEVIAVYSGPPVIAYKFRHEGFFEGSFKGHAPTGEMVQFYSMGLLKVDESLRVEEVKIYYDPAELFGGLLKRPSAARRCPFHK
MSSKPRTIKLRKDTPFGEELLPQQTLLHIYFQDNYQNDFKWTPRWKDMLTIFYKAWEVEEKNNPAGIWDEEFKKMEKEISVIKEYRLPVKIKCGE
MPRPPTLNDEEIMHDPAPPMRREVFRMTDDDARAFLRAAPFVHLAGCAAGRPVLKTVNVVELDGAWWFHGAVAGEKTAMLGGPVVLAAEELVAQLPSTFVDEERACFASALYRSVQLHGALERVDDPADKARALHALMVRFQPEGGFRAITHDDPVYAGEVKGVLVARVVPLRIDGKAKLAQNKQPHEVRALLERLWRRGDPGDARAVALIAAANPTVEAPGFLQGPPGARLCCALEPAHLDAAVALHDQAAAGAAAPEVVRRRLLASLAWVGAVDHTGALIGSAHRYLDRATGALACHIAVAPTLRAGPLADALARLLADHPAVRGDRGPAPA
MTRTPLTLSLNPLQKAKAQEKLTQLKLSFETGLTHQELAPTFKKKRPQSSQGKPASRQKQTQTQSVPDQDKNAIQARRERLGKIFQSLDWLMATYPNCFDKENPKPLKLRIEKDIFNNLPEELPFARHHIRKALAIYTKRRKYQKALVENPHRYDLSGNVVEEVSEEHKERALQRQLVSIQKLKLKKGKLPKLKVDILILSI
MDSFDPEDHRQFLEYFKAHVDPNDQLPVKVPGYNLTEEEIIGEVVNWAQSYLLQMKCPEVLLAPIINEVLLETKKSYQKIPKQCGFDGYSYNPLKLSIIVIGHINTICDRLMDNAELNKILPDNSEVTNIPRHSVKAIKNTRRKMEDRHICIRDFHGMFGVKDSEPTSFYGVFDGHGGQDAAIYTSAHLCYNIAKSSKYPHNIEAAMREAFLKTDDAFIDKSDKHAMYSGTTAVVFIYRANEKKLFAGWVGDSQALLAAEGKVCQIVSPHTPSVESERIRIEKMGGVIMNWDGSYRVNGQLAISRAIGDASHKPFISSEPDISSISLDGEEDFLIIASDGLWEGLSEDSIAILVYREIVKNPGCAASIADKIIESARKLTSDNITVIVVFFKDPYLIAKSTWLNKMETAYDTNAENNVCEIETSHFKTYDTNHEYTNPEVVKDSFLEDENKVANPMDLLNSNINADFKIDQTTSDLLETKHRFDDDFGPETDVDAVDDANVAKTPNMLLEDHAFSSEVSNGVKPFSLENKIIEHLSGQHKEFLSNTNPFNDDQFDEYNGQQQSVKDELMDETGVAKCEIDSELVVDNKIIDALESLGITNGEKQTHDDEDKPLDSGLVNDSSSEAAGYTEKVDNSHEKYYTKMQNEDPFDNNSPITCDKQAVEEQGE
MRGIGQDGQKSNSESRGCRRLYVSADRTGCGVNSALEREWKSGAEARFRSDRKAASALGTRHDTEHEAGRRGKTRARKVFDGKGKKKLKTVAREFKSELTAKATDSEKAFADILSNAGIKYKFQNIFKMGNTFSIVDFFLPDYATVVEIDGGYHNTTEQSAKDEARTRELLKRHVNIVRVVRFKNEELSLGTDYVLRKMARAIIPWMKWEL
MKCRQGGFSLLELAVVALVVAALAWAMLSFLGRYQGIVERDMVDMNIRNMRTGMRLQVSELLMEGRQRELPNLVGTNPVRWLGGNIAGYLGELDAPPQGVRGCWYFDRPRRMLVYRPQSSGFPGGAYMELRWQVVRSGGQDSGVKLVEMEVGQ
MRENQAPTVAPTPRLPICSAYTMSSTFNVTAFPALSGGLPTQPDQTPSIVFAVAYAVLLLPTLWRTYTYRVPTRLLITFVRLALFDCVRIATFALRAIEAASAQLPDNPVPSTGIFIAEQILLGIGFIVLVDLLVELLKSHIWRTDVPVESQTAIAQEGRGTGLQRIVRAMHVALIVAM
MEPLNVAKQIVAYHESGHALLAYLLPKADALEKATVIPRGHALAVTAQVPEEERYNFGESYLRDKIAVMFGRASGRISGIQRGSRSGDTGKTPRSIRNPCPGPGGTGNPGGGSNPRDPEGSGDGRAGVTLFRRGLDYTRHEHPCMEEAP
MKRILAIGILGSSLLWAEGLVITGRVLDTRGEPVSEAKVKLTLKGEELAEAEANSDGGYIIKAEIEDFSDGLSLEIEKPCFSKGVYRLSSEDFAKKDGSYYLYTEKTLDRKIMAGFWVALGILLLYYIFIVLNLLHITQAAFLGASLMLFVSYTLGKFNSDFFILSFGRAVSAIDFNVIFLLMGMMIIVGIMKETGVFQWMAYKSYSLAKGKIWVLVVILMWVTAIASAFLDNVTAILLLAPVTIEIALVLGLSPL
MNNINVDLSSWIIDQNEIHDFLNNLDINASTGPDGVPSIILKLCSFKLIKPLHLIFNKSLSIGNFPNIWKKSFITPIHKSGDKHNVSNCRPISKLSQIPKIFDAIITKKSKILDSLNNQAQSDSIYTNFQKAFDKINHSLLLNKLVSFGIHGSFFILDKILY
MPVTKGLSGMEMFGGGAAAGARKVLEKQGVWWAAVMTWRNFRLTVASYTKALGVYLPIYSERWPIVNNDI
VVRQLQRALNCDRVVFTSPAAVAAAASLLPLADVQYNPWLTVGEGTARALQAPGITEVHAPQRMDSEGLLALPVLADVQGLRIGL
MWKDLAAEVERIVQFGKIGSARRDVLRMNRQLRLGKSHHPVHFSRSLQLLHRVIPFLFGLLLRLAGFCRCHIFDRLLLFFRAGELQHLTRSWRHAGAYGNMLPVIHHQFAELHLHFAPRCEIRVQSGGRALPLG
MPIVSTHGVDRSDNQNALPCAIVIEILTLLKLSDATRFWKSSPLDLQLSAEPVPSLPSMSPRELFLMKNMKN
MRTIDRYILQELGVPFAQSLGALTFILMTREILRLIELLINKGVGLLPLLKTFLLLLPSFFVLTLPMGCLIASISAFSRLSSDRELTALHATGVSPWRLFPSVLLFSLAVFLMTLTLAQFAQPWTGQSLKKMALVMLRDQLSLALDEGVFNTPTNKVVMYIGERSLPDGPSGIFISDTRTSGEPRLIVARDWTVINDPLHNRLGLTLQDGTIYVNPKAPGQYQTIRFTTYDFKLDLTESLAPEPEERPSLFEIRQKIAESQGQDPRYLRLLEDHYKNLSFPVSTILFGLLGMPLGMVVKRSGRAGGFAVGILVIVLFYVLSVMGDFWVSARILSPFAAAWFPDIAFALGIVWLFHRRMRL
MNNKTAKKLSEKEKIEKIKNIYQKFSKIVFDIEKKRDEKLTKIIKEIDKRKIEKLIKEIKNS
MTLISNKAIVIFSVLLSKDRQTGAVGNGRLFPHFFWGKKKEEVRWKSEEVKGEEGRGKKAEG
ADATRIARQATRRMVENFAISAVYNVVAVPLALMGAATPLAAALAMSLSSISVSLNALRLR
MDVIPEILTLTKKARRAMVQNFALAIGYNMIAIPVAFAGLATPLIAALAMSLSSISVILNAVRIRS
MARVLMAAALLGSPLAGCSLPIGDLPVVGLPENTPARPETPAAYLPVHDIPAPRDTVILTPDEQVRIEKELAAARERQTHGQAPGAASTQNSHEGR
AYCLKTQPATGETYPKSDLFNKAASSLKNWLSPHYAVVRSSRASCHPYPDGIAGSVRGVQQSELGSPLV
MVLFILGTVTIPILIALEAILVAALFGYLFPEVQLGSLVDWYTFPSFMIGWVLIVVYFGFRYFSANVVIRIAVGHSEAHLEVETKHMEEAEHDFHSLVATIEDKTTAD
MIVMKIPSPKMVLSFLIITFLAVPAYAQVWTLKQCIDSAQIHNRNLQISRNNMEMGTEKEKEAKSNLIPKVKFTGDYKYFIDQPTQLMPQSAFGGPEGLFKEVQFGTPHNFNLSL
MAVSSSSDRDKEMAPEAAQIERPRAYAKDANDDAIRPAPKATKGLDKAEQFLKEAGRTVVVTQANNKRVVRLVDMRLLPILLVVYCLQSLDKNTLSYAAVFGLIEDANLMGDQFSWLGSVVYVAQLVFQPLVAYSLVKLPIGKFITAMVFCWGAVLCGMTAAHNFGGLMAARLLLGAFEASVAPTFVAIVQQWYRRREQTKRNAAWYATLGVVNILGSL
MQTVNKNIITAAIVMLVLAGAVWWFTQNPSHPLPLVEGDAVSSWDFQGIHKDEGALEKKANDEITRLTNLLGGDQSGKDDDPTDYILYVSIANQYELLGDGKNAYEYLGRAVNIDSTKTGLAWHNLGSLLERLGAYGTARVAYAKAVEAQPHIEQYHVARLRFLMGHLKDDTSAIEAAFGEAEAQFGKEAPFLLQIRAQWEEITGRLDEAASTLEDMQRFVGSRNTEVDHEIARLRSL
FFFFFLKEKNPFYYFIEKIINLNPEKLCLI
MRRAISGSHVGLVAAIRTVFLGAAWQGCRIHFVPDPFSVIERGSGGKVAAAVRTGFVQTTGEAVRTRCAWSLTCSDAGFPRSRRCCWTRTSRRPTGRRHGPPIPWWTEPGDRTPSRRRPGRPRSRRRRPDRRRPGRTPL
MDNKTDILARFICEDCSNNYFEGKSCLDEAYAYVFESKQQRYVWLSKVKCNVELIVGNIYHLKAIEGRRLHSGQVINIGDVEVLGQMSIENTLIYLT
MPRQPGVGSGAEALEEAEDAEVVLRQVGAELWGDAGGMLWDPDSPAEGTPDPGLKMRVESSGSLGEGVLVGLSVMNPSWPMTASLW
MDDLVEGMVEAVKGKEDEDLDDSEVKDNNKENNPIQAQLRSQISSLEQKPNKTPQQQADLAAKKKQLAELLKKQNSNATNNAKPSDKSTFAVGYGIIGLVLIGQGKTLEQLKEENETKSDEDFQKTFEEY
MECHLLVPAFVFCFAFSQLLCIHGKEIVHQNDSEDLIGRLLSTIERLEGRVKELEVSALQGQKEREEMQAELQGLSEKWSPEHGIAKRQGSSNHNAFYAYMSTNLQYPHPDRTFVFDTVRTNVGSGYSNSSGQFTAPHAGTYVFTWTVVVDATSFVFSELAVNNVPLGNVISDSQENNEYHTTTGLVVAQLNQGDVVKIRSNPKVTIHGSVLSASTHRSSFSGWQLY
MSEKAEEIPVIVIGSRNRGDEFDYEKLEEVLYDYAIDYHVKGIPYHYRIIQTGEPDGTAAMARKYAAGTVPPMQDQKNICCDLQEWRKSKDNGLEMLCLAFLAADNTDDGTWKIIKEQIRKGTEIRLY
MAGDNAVLPIRLVPHLTPVPLTLDSVVVGPARLPVPVNGFITTLTHEVGGPYTQPLAAALWLAVLGMALAGWLAVVSMLRRPAFLAGTMPVIFLLMSLNVDALGIFDDTRQYFLYLMLAVLGGAALGLQAFGEQVRARWRVALFSGLVAGLAALVVARTRLPLSETALHLAAYATPAGAVLVAALVLWVGGENIRALLWFNTQAERPESRFGLLPFGLASLLYLGLWRFIAGMIVRWHWVPACTSTHCYCYCRPC
MESQESTWSRYLQKFKQQPLIPIGVIATCVAFAGATRQMRSGNRNSFNRWLRFRVVAQGFTVAVAVLGGWQVAQERKAARHSGLEEAQAKQEEEETERKRFDARMQEAVEAHRLETGDSNSK
MVYRKICGDPFIIPFSSIAPRWFGQSRLSQQELTEVATPFMAKIYKAHSQAGGGSLSEAEKYRRARQAFGRISGELARFGDHGFESAMSQLDQWWYNL
MLKASYSEKICDSLLLQQYDFLEEKIFDSPNDLVKSLYFGRLYLKKAKKEENINKITDGYYFLSLIAKDNDARKYLDSLSRFSIKHSLDKKLALAQQAIGKLLYEMGDIQGALDHYLMAIKVMDKSEQAVLYHILTHNIGLIRGLIGQNAEALQVYQEYLQHLQKDGINGNEINNYLVNLFSLSHSFTEIGLLDSATYYNKLGIAESKKYNRPRHYAKFVLGEGYNLFFKEDLVSSLDSLKKSVPLMLLHNDKMNAAIGFLYLGKIQLINSPYQPPSSLFKADSIIATESRYYPELVEIYELLNDYYKKIGDSELQLRYLEKLINYDENLQKKYTTLYKTITREYDQPRLLAEKELIINRLENKNANKIKGIITLLGAVLLLSSLAISYYRKRLTYKKRFEQLVRGGVSTKPINSKPKGISNIQKKVVSDILMKISTFEEKEQFLDSNLSLARMASKLETNSNYLSRIINHYKEKNFNNYINDLRIIYATEELKRNHILRKYTIKAIALEVGFKNAESFTNAFYKRVGIYPSYYIKELIKSYK
MIVLIPQKTIGFFSLVNLLRLSIIFVLGLSTALGQEQPISKDSLQKLSYDELYSAYRKANGDTLISKLYLSTYLEKAMADKDTIKMAEAYSSLSYYAVEESEKIALLDLAIAITKRLNNDRYPIKAYSFKGGYYFVKGDYQRALDNYILALALSEKVQNTEYIQSTNHNIACIKTKIDKHAEALTLFKENFEYERNRDELNEMSYLLSLIPMAESYRYNKLLDSASFYNYKGIAMSLKSKKLKQNFYGKLVLNEGINLFFREDFNRASDSITKGLRLLKDEQIKDNESYVLGSFYLGQLYLKKEDTLQAEKEFLYMDDLIQKDNVVLPETRKGYEFLIAWYKANSEQEKQLTYINKLLQFDRVINSQRASVSTKLFKEFDTPLLLKEKENVIAKLEKNTNTLSFWVMALILLSLVATGFMCYQFLKRKKYEQKFNELLTKGNKKVEVSNKQVEDDIGIADEVVNDILRKLSEFEEKHQFLQNNISISSLAKEINTNTKYLSKVINYHKNKSFTNYVNELRVQYSVEQLRENASLKNYTIQGIAQEMGFNSAESFSAAFKKSTGIKPSYFMRKLTTLNAE
MRFLTFILIIFLSTVPSQVLSQTENFIITDSLKQKSFDDLEKSFFTSLKDSISSQAYALTIISKGKTEKDTIQILKGYSFLSYLNSSKKIYLSYADSIIKIAKNTNNSGYLSLGYSNKAEYYFNKRNYQKSLDNYLIAKKYISRGVRMDLIIDTNIGLLKSRLGQHNDALEIFKKFWNKSLKENYKETDPDNYLKSLFSLAYTYNRVKKYDSASYYNKLGLNESLNLKNKFEYYHFVLSEAVNDFDLQKYSISNDSIQEVLHNIHHLDKANQIVVYFYKGKVSLKLKKYEEAVQNFKRVDSLYNEIHDLIPEAREAYVYLIQHYKKNKDSKNQLYFIEQLLSVDSILNTNYRYLSKKINKEYDTPQLIEDKKLIIKGLENKNKSFSVGIYLVSLLFLISLFGLGYYFIRQKKLQKRFQKIISQKEEQKQNAKSIVKDSNKSIGISAEVIDTILEMLEKFEAKEEYLQKKTTINALSKKFNTNPNYLSKVINTYKKKSFSNYINELRINYVIKKLKTDTKFRKYTMKAIATEIGFNTTEAFSRSFHKQVGLYPSYFIKELEKQEGL
MKRFLSLILAFCIACSLCFASVLSASASTIVGYGEDELLEWSADFLLEKVQGNTDNLNGEYIVIAREEETFDSYKKIVIGGYFFSEDIEFSVVEGSNGIVTLSSTNGGFYFESMEYFLLTDNVSNSYYQYTNWGASYRNGVYFTFNTNTNKIVNFGQAENNIWFTSSNFEVGTGILKYWACKVVCGEYITHPEPTEPTDPPTTKPVDEEQLEVSNSILDNIKTVVTNIINLPAKIAEAIGGFFTTLAENLLNGLEFLFVPSDNLFEDLINLLHEKFEFVFQILEIGDFIIDYDFDDSPPDASVDFSGKKGLNWGSGKIQFINWEMIEPYRNLIKNLTLAIAWYFFIRKVQKRLPDIINGVSSGGTN
MTWGAAGELTPGPGLLFGTTSKGMKGKEHFHSGLKLATIAGVQIAAERKELSSANLPFSSSDRALSLSIPGPKSTLMQSKLIMERKPSSYCSSLLFLVGQNKLCEAPQSSTWLWKLLILLEILTLVKLESYNSTILDNSILGRQQAQKATKMPESAKAKDKKAGNSLEKLKKAELSTIGGLGEEHQEFQRTGKYGP
MSIKLIDGVPVQATTIDGIDADAVCSETEIDDKIAAALLLKINTGTWVGDNAGNKAIAHGLGVIPKLVLISSITSGSMGWVHGTINRNTFQDSYANVANWDATNFYVSKITCNMNLPGITYHFVAFG
MEPFDSDGSIQPGLHHYSYQEFITQFVHEFPDSNTREDIHTLSFLWLAELKRLVTPVEVWFNGAFITNVVDPKYLEMCIFLDLEDTKEEQIQECIGLQQFSDRYKCKAYFGLYPNSRDPWNSVLGPYYWTEQFGFDSVYNPKGIIVLSWSEIIEALT
MYDGSGNESHIPLPDRIDLNDRANTEYLLASPTDLWIWSGVLGQAALRHYRLLTNGRSRLPDKAVRVSITKVGDEETRPGALLKLASGGIIAVWHQFRYHLDRRLDMGFAYVNPKGGITTNYPISAPGREGTPVATRWAMAQHPADGSIWAFFKRDSYHEISALHLTETAKGIKLDWVKTDFIGRNDGIHEPEGEYPYLTAVADSGNNSIALAYQNKRSEILYATDGDGNLMNGSCGQSLSQRMEPYFFAKRSLVSIVRITADGRKAFQDFPGLVERTQEFGLSVADRLWVLYRRIDCETSNYEMLQRQGEVMLRYNDGAWSEPLILGKLDVEKEYYGASLFSSPYQPHFLMRLNDGKLHLFEAKSSH
MHVEKQKFKTENQDHLPYFIDSKGMKEFLNAEDYVEYIKEGFREVSYFFMNSQDFLKKLYIKHNDIKTRILFRNTRDYSLVRQLLVSPVYCEQSEILFETMANKLSEQNSRSLCLSEKKQLLNMDIPYFYSNIDSCDIKDENMIIWNLESSALSEAINKLEKLSEEIINEQIELIEFSIKTPKALYSTELQEAYQKFEKVSSSENIIKTGIDTLVDIILENESNSLKDDSTNWLTLKVTDYDAFELVPMDDSLYEGLSGIAISLSEAYDFLDSERQRRVKECLKRIFSVLSNSYMKLPNHSFFVGKLGIYSALKRISVVTGQEIQNSIMNYNNLKYTLDVDVLSADFLSSFPNEITALRNSDIKIYNLTQALDKLKELAIVQKDFLSWDKLESNNVSLAHGNLGVEIALLYLAGKLESPEALNLFHKAKMFDKHQKLENGWIDKRNSSTSANWCHGSTGVLVARLAQLKLDDEYSLLSHSERIELENDMKHAAKQILEIGFDMTNFSLCHGTSGNLLALTYYQSYLTGADSEKLKEILDREYRKLHSFGLENGWMCSFNTKYNVYGLMTGVSGILFSTVKYMKGDDSLDVLIPNF
MENYRSVFCSLLLLSSFSFSSVSLDPTPSSFLGISLPHGSITFFGSTFGPRVDTKRNDWKPVISPKPSFYPQPFQKHQSQNHYQQPHPHPQHGPPQHQYSPFQSQINSQHRFQSLNSPAFQQQSTQHQQQQQQQQQHQTQPSYSQLSYFPQQRNSNYGRSEEKSSPGQNTNFPSDAYNSFIVSSPTPPPYVHSTTPSAVHLVYVQDSSDTSDTRLYRDLSSTRPDKSLIQTLPPPSIDNIIELENHSEVNSTPQPDASSEAPVLNEYKPFTSFNFNFSSPFTLSANEHETREKAETREILEEKSTSSVHTTTPLSFWNFFNPPKGDDDTQDDFEKSETPEKEDKSESLSTKEDVYNNEDVDDVLETDAEIEKPPKQERLTTLEDVLFGDTPALELEKQRQELEELWKDLEDENQYLDELGAGNPRIPRKTSKPKKSKKLTGLIEKALKLVRRVEEIGERKRTIETLNKPEPIIANKALEDIKDLKENQRFTELKDLIQNQNSLTSLIKSETSSLRDILLDTRLSKEIHCNSLYLEIYYWIQD
MKKKSIRTLLLLFTMVFAVASFGTTAWAENGAGISPVPENGTSSTGEATSSKQTISSRNPEPSDAPAPSHRVISSRTPERPTSPSKSFVNDKPKSPSNTVSKSSSTVSSHNYVDPDNTISGKGNPSVATRPNTDEKRIDENAAALSSNSPDAESEDWSSLLPESSVSSAASGSMATGGAGTVTSGKSGGLSSLFLLGIALIIAAVCGIGAFIYLQFFSRKHRGGKLGHNEADGRQEDDMDGFEYDPSELKHMPDRYGRNSSYNPQPKENPSSSDPTVVAPPIHPEPNDAADNFTDINSSSDGIQHREEYEEFVQRTRPPIIPKPVSHTGSPLSTQDPDDEETLVLPHISQEEAARRAHQTQVNRRRHPPLTSNAIPRNLRGRPAARQISGKNNTPPSAAISHPVASAPAVSAQNQPTPSAQPKERKPISKRQPASKKPETPVKDSARPAAQQASAVTISGKEPVQAEQNNSDFDWDKFLEENRHE
MRHGTRLWNGWTTRGLQPKTNSDMFFFNLLMHFGLQLGILLSLALLVAAREREHEEVTREPCVFVDNGPMTTTLELSAGTPPKKLQQKARRQAKQKKSKSAEFLMARVDAAGTENPAFDAEPCLLPVWPAREIQGDRADSALAAPFTPSPTRLNKFEKKKKMELRASAKERGAPRSQHSKASVSSQAKAPPRTSSSEERSTSGCSIAIRKHPWTQSALTPTLGSVPCGGPES
MARRSGKCLDVSGNSTADGAKLIQWPCGSGLNQQFERRAA
MANHMTILILFAVGIASSTQFDIKAATDAEFNAFRVTAAEECRVENHVAKGEWEKLAANRHMPHDKNLSCMAACFMEKFKSLNTDGTLNWEQIELWNKKEHGELGVQYLKICRPKVDETAERCELARALVDCYFVEGEKLGLFNKP
MNRENQINITQGYSRDHCPDLKQCILDLLVSSDGDIPLFFRGASGDESDKAVFAQILVEYDKQIDFEGIMVADSALYSEINLKLMSNMKWISRVPLSIEKAKNLVKLFTSTELKPSEIKGYSYQAEKVSYGGIEQRWL
MSLRVGNGNDADQAVFTEVIEQFKQQWVAAEPKVFVADAALYSEENLIGLGKTPWISRVRATIAEAQQLMQALPAQMFTASALNGYTIAEVCSTYAGMPQRWLVVESQSRQQADLKQLDKRVAQQQTKRLEVLG
MSRDHRPDLKQFSLVMSDNLPVFIQALSGNTSDKNHFREIVKEYGRSLQEKWGEDKIWVWDSAGYSAKNLREISKSYKWIMRVPETLSEAKEVLENADTKKMKSTTLDGYHLFSTEVEYGGVKQRWVVVFSKKAFMRETKTLEKKIKKEKERVEREVWHLSNQEFYSEEDAVKAAREREKRWKYHKISATAMETKRK
MRQPAFQPPPNFLVMDADEKPISKRAGQRLEEIAALAVATEADFRVSTELKSAVALGLGEKLAALIYPHSITSAAQLVHQLDQANLPWRVLETKPSMLESSTDFVGISLRLLEERLVVEGTQVRVHAGYSLAALVQAAAEKGLSGLEGLAGVTGSVGGALRNVTGLVGRYLWSVIEEVVIADRGGLQVITLSDADESELFDPQDLILSATLRLTESTAEAVMLETDRARHARYAATIYQRPSHLRVVPMPVEEEDDEPIEIVHAHANDEPVHHSDEFDLSELIRERVENELRSHLTRKPPKPVAQKLWPDDDEELAGKFE
MLYKIHRPNPIFTRTEPEEYTRTCNSAKQHHQPKEEKEKKRLHVLSYIQADNDIIIAKWLNGSSACVHAYMEGCNRYFFWSGHLAQGPQSLIPTLVLHPPYSET
MAVLMMVFYAVIFPFYEGRAIVLFLFVASGILLLCLAVLLHPSCFLIMVSSFLTLGFLFKAVANLCLGVGLIEPVGDFTGSAAQWDMALEFAAAGQFGGVASIILASFIPSSGRIITRDASDQRVLGNILFGALALLMIVATCIYALNYRYNILRIGYPLGIDIHPRVYAVLAFILTWGALLGGLALTQWLIELGRLRYASLILVATFLGFLSSLTMGSRVQFLLYILSSVCIVLWRWRNVHRWMEIVYALCIASALFVVSIAVVSIERNYAFQGNHSIVVRAPSPNTGPPPLVGGADAPEQSDRLALRLPTTSSPLPAGEAARASPTFKSQQPAAPLGDTESLATKFTVVTSKSRLSGLLQELRNLVLMRWIGLEGVLTAEGAERELGSDLLLRGLTEDPAAGKNGIYQKMAGDPYGKVEVFTFLTLPGVIGVASYSGSIPLIFGFVFGTMLAGHLLEWFACSLTRSVAVGAVSGVSLAYLTVQMGFPWTLFIYALELCLACAALGGFWFASRRLATLRI
MWLRGTNYVFQRLLPQLCHRRTAKHKTRILVDESKSGLQYILYIQDISSMAISGGLESGSEVLKRTRNTLSRLNVGHFCPYLAFDVAAGKSFSLTKITRQGSLLDALYGVNDPLASGDIKYPGAVQPKPMPLKRIQFLGAKILKIIESCHQYNIAVPNLSLGNLLITESGSIVLGDVDDIVLGRTRLPALPPYEQDDEDAEENGPQTRTPIDILLFGIILLQLATGRVLDASHLGRYLTCQGDPLDKDDKEADEAVRMQRPHVAANLPSSVPDEIKGLLFYIFHPLIPADIRVLLNHSFFQTATSQEVEKAKWKKSDVETFHVAQQWWQSELQRREEARVRREEDKAFIREVKRRGIQRNGGQSASSQNVSSPSASAPQAPLPAAAPQPPPPPPVSAPPPSAPATPVSAPAPPPTAAPTTRQGCPTATPATTERRTTNATKRSTSTACSTATAKGCPTATAPTERTSTSASTATETVASCNSK
QHIKAIVTSEEVLLRDPSDENVIPVVEELRRRLAPSSAAQHDGKDNLSGQHDAEAAEEDESPFEFRALEVTLEAICSFLDARTTELETNAYPALDDLTSKISSHNLDKVRKLKSGMTRLTARVQKKVGRGIIPCQWFRWTKLVPSIPNYWFKNIKS
MGYPWPLFPRTPEQIWAAADRIEDSAGDYENLSTNVWKAHKPASEGVAGTISGPINQYPPDERRHAFSLICAALVGGAATRHFGDAVLIFNQEVEELNSQFENARDHDYYGHGRSTVEHNLNDRYLDLEGKLDDEARDKKTLLAKDTPSEADIKLLIDRGAMPPGAAAAFPQYDLSDVPEFAAWQKGYEFPRTGDLRRTLMTFFGPGVLGAQQYDTQVGEPGPDRGIIFGRFFISKNDAAWPADLLGDNRDFTSDPDADYRIAFAYNTATGEMTYRVGGSTETDGTGMDARDFSDYELPWTNTRPDVSVDEDGLITIDVHAVNPQTPAGAVDEKIIISPTGDGAYISGNDYPDVEFYRRNPDGTVTDLGTDTMSSLDGMATLLPPFNRTEYLGDAEDWAPPPPTGCGTDMIVPRDECPIYQDQPDVPREPSDDPGPSPQPTPTG
MVLSSGWRALIRCCQPVLATPNVSASDKQELAPSFVGRVDEEELNLESETKVNYRVHATLQGDICWTPSTASEEFDPESHSCADDAVIVSSFGSRVDAAELDLDRDADIVYDSAYEADNEEDAWSETGSEEDADESPRSSEVLTQADEDGQSFEGDLATTNFVASTQTGEDFSGDEWEIPEEISPAEGMTTRTPTPPGGSPGDCCGDGNEKKSSVDSQPSTRRSNPCVNL
MQCRDSWVDTYHLTRIRFSADGPNRVLRLKDAHGNEIHSFKISDIQGNPDLWDLVYNGILHSVASDNCDIDAKTRHILKIPYELGPRPTRSERIRGRRRRW
RAAAHALACAQPPGARGRTPLKGPPQQPRPRVRLDLNLRRAASGSGNPSVRHGEPGPWCPVPGARAACPLGAETRLHCPGAAGDRAALPGTAGAGGHVLRGNFESQRHLATTRAPGPLWCLGTHLRRQPEQLKKNKHFRRFVRLQEGRPEFGGLQLQDLLPLPLQRLQQYENLAVALAENTGPNSPEHKQLTRAAQLISETAQRVYTIGQKQKNEQHLQRIQALLSGRQAKGLISGRWFLCQGWLLVVPPRGEPRPRMFFLFSDALLMAKPRPPLHLLQSGTFACRALYPMAECQLHRVFGHSGGPCGGLLSLSFPHEKLLLMSTDQEELSHWYHSLTLAISSQKN
GHSAFRRGRKSGHHSSLEGFHRTSTSMGSSISRRRTSGQQDCGKGACSDASPKLGGDSSSLGPNAPKSKHFMPFAAPRQMSARWSSGSAAVETERAPRIPDDVLESSCRSLSPTSLSRRSNADTVPNESSQSTSCPKGSRWAGIAKLARDTTGKGDAMYDVIRQATGMPRTQIRRTRSKSHDGGRSRSTLVLQREFDAADKEGSGVLSRQQLEKLLMKRAYMRRKESFHKSRDKSQSQDGTPSMPLSACHHGTKGSLSGASRRSLEVPSRGASRRSLEGGSRRSLDGASMRSFEGSSRRSLDRSLEFPSRKSLDGQTEDPAPRGTPSGPRLLRRQSTLSSARMSGGSFEYSANFNRKDRSVAIASAENAFNTYSCHAGMDFETFLRFNDTLTGQNSHGMEEVSGWSFE
MRNNYRLVCGMELRVKVIRPERLFGTRTVWPGRVPVQVSDPARTIIDILDDPELGGGIRQVADMLEAFVTSDHRDDGLLIEYGDRLGNRTVFKRLGHLLEARYVPAPVLVAAARDRVSAGITTLDPSAPRRTAAAAAVVVFGSRGRRMDRDHEVTSCHRRPSSSGLLHP
MSVKEAATLLGLSREHAAKILARWATKGWVSRIKRGLYISVPLESTTGDIPLEHPWVVAEKIFHPCYIGGCNAAEYWGLTEQIFRTLVVLTTERPRNRKPVINGTNFLLHTISKQALFGLKTIWQEKIKISVSDPTRTILDFLIDPKLGGGIRMTADMLKEYLKSEHKNLPLLINYANRLNNSAAFKRLGFLLEQYAPEELDIINACKKQVTISKTKLDPQLDVDKLITRWRLWVPAHWKK
MKLANLKNRKNAKKFVVLPIISVLFIGCGGGGGGGGSSGPSIPNNYPNYPNNSPNNNHIAPPVVLPAVSLPHNPSPVSPLAPLPSGIIQGTLKGTENLDSNLVDTKKDEKKIMNIGVVDTFFYESKKFQRRDGTSRINITQARKDSEDKRHHGTMVTHMIVKYNKDANIFAQSTGLSRNSNVIFTTEKNYQDLYNKGIRIFNNSYGYSGTDNGVVGKTFPNVARYAAKDSIFIWSAGNESKLNASPDALHPYNNPSA
MQASQDTDLLAVLLLQSLDLSLGRGQRGDGTALEFFLLKDQIPDFALVARRRPLLENQVLAGVLEFTRL
FARPASFSQTGQNSQDDTLDKQFASPAKEVKKAKLPPPGAFKVAHTPPVEMDMQSSPSVEAFMTASTTMEIPSNLKIGIQEEEVKYDPGQAKAVWTALTDGETGILTEEAFQMFLDKEFNRAFQASSQLALIVFSMKLPNSFDSKRGGVESARMVIGAINKIKSSVDLFGHFGDRGYGLLVPGANSAQAVSLVDKITTNLTKFAPGLSQTRPTIYFGIAAVPTDAQDVSSLMNSAQVSMLEASRRNVTRVQYIELKR
MKFSVILPALFGALALAAPRPNGDTSPETITDQFSVSNLRASTQKKNGRSWQQVSFDFEDKSTNAPDWTTCSISWKGKTPPHRSFRCDDGWVTAELEYFDDVQNFQVNLNHAYGETCENCNNEEDEDYEGEVGSCEVEVNDLRMGSVQEKDGVKWSEAKKSNILCPVDYD
MAECGRFGLYTEKAGFDLPGVMTSEEFSDALEGILVNRGIQIHKESVLEKVGKADEGLRCHYVCRGKKGRF
MTQVPAEASDGSLSPLRSSAAQRTVAIVTAALAGYRIPEDRIIDAVRMTRASLHGFVDIEVHGGFAMNAPIDVSFDTLVDSRDAALVALGEHEGGQVSVRTKG
MISARIPSLRPSSGFILRGLPIPTSTCLVSSGSTRSIVTRDTVLATSTALVMRTSTMRMRQHRSALSFIPVRTRYTGENMATNFVSASYSEIYDLRTDTQSATILGIHTPRSTNPYQYLSGFFRQYKKYRYKGCKVTFIPVSTLPADPLQVSYKAGEPTIDPRDMVNPVLVKGCHGESLGQIIDQAMNFSDYRGPSIDVDKFEAQSDYEMLYYQLLSDPSWGKAHVQEGFKKSFYPLTYRVASLRQMMPTVPIADLDAFNKGGFPTMGTVTTINQTGTVLNSISGQVNPVPVGATGNTNADLQPMGQEFFSNGLARLGWMDTLQNLQSAGVVSAEGDFANIAARATGQKVAVLPKIFMGIVILPPAYKTEFYFRVVLKHFFEFKDFHCAPVLVENGIAYAQGLAQTNPYVDPTDIQPTTAAASEASIEAFGADVKLTTDGVA
MTKRWKIVDYALRGEDAMRLLNSFLLSIMLVSIDAQCCVAAGRGAELIREMKRTGKPALIIAGNESCVYCRVMAQELATVKEIQPLVRQFLVLKVDTDTADWPAVRNALQFDGSGIPAVFVIRADGELLYSNSGKPNDVGAFLENQLKEAGTILESEQLQQIQRSARNAQRALKRGDIEDAIKLVKEHQVEGSYAEAALALQALQTELVETAATQAADAEELISRRDKQLEAARSLAELKRTYSNLQEAADAIDTVWSRLAADDDYSALLGHAERLDQAALHVESREWEAAAAIYRELIDDAPDSETATRAQADLAEVEKRMETAESPDGDRKPASQASEGDPQKAASYLKFAKVYLERDADKARKYLQRAIDAAPESEAAAEAEQLLGELD
MATSISAPTSPPVRSLADPADKTVSSGRDEENNPPADYDPADSFNNFTGDCLWSTSLNSSPTRRVPPSYHLTSQAGNPQSKYQYYFLDLKSCKCAAGQHIDFSSCELKNYPLCDPYNHTLQRCLNCASLLHGVFGCPQPLEAAVITWHSNKVPSAFGVIEFAPDLPIGRYNINGRDWEVLKQEQEKKIADSSSAAEDRAEHKGSEESEEDTKEKIWKRIAEEALSAPNPHKRKRIDEAKDALEASGSHITVVHPSPSPCASVPRAREDLFNNQFSCDLAPASPQLQRNKTNSKFAPQATTSQPQIHLSQHRDQFDNNTSLGPYRPQSLGTSTDLQHNKPRKASDYSLHSLTPGATPFSSRGPTPTSGISRHSSVSSVPLDPALFPNK
MISMFMYYIVNVETKVNVIFKYKLSLTDMFKASTQLNILLLLCTARQTRSLLRENTLSLIFLQNPEKQPVIKEGQVLKSSSQAHQSVRKPKCASSFLNPFELSIDISEESGTLKGDDDETYYPSFNFHSIRRG
MHLRTQVNCLGEILHFKAACCMV
MDTLGQFFDTLARKEPTLTTSLSCIIPRSPSDQPILELFGEIDFREKDPHRHDTFRADQESDRDIGDRARKDYRKNCRESGENYERCERRLCLRCTSNPGMKSRNGGEFPPSISSIGTNGRPWVYYQSIKEDGRCVIKEITIPCRECLHAVRENGRLLLHLGHPKNDHSEFGAQDEEDDEGKMGEGVEEKRENIGEVDKDE
MKLRTQLLLAGALSLALPFVSWSYLGELNGLLRDAGQRALQTRINMLEAVLRQAPQLDSLAPSATPLRDLYAEYSEHEITLDGYTADWSDLQQTPRHFRYADNKTTATVGSGATLAELDVRAAVSDQYLYLHFEVSDNQTVYHDPNRGLQISGDRLELFFEHGENDYKRFVLRAIAPGLIQSLQVLSIGQGENLLLKESRIQAFWEPTSAGYSIEVRAPLPVNGSGFGLALTDVDEPEFAGDDAWIGTLDPQYPVLSGHLHYRSGALQNYLRDLTPEASRLRVFDADGWLRAEVDRLDDTPAGETLIDPRTAGFVDAVMYRFFAWLMADPKAAAEDLYSLQGSTRLQPLPDLPPQEPLAEGRYKVSGIALLGGLRRLGMPDVPQGFLLLETADESIGAALNSTLVRLFGVLFLLVAALITGLLIYASWISLRVRKISLATSGALQRDGKIAAEIPGLGATDEIGDLSRTIDELLRRLASYTDYLQALASRLTHELRTPLAVVSTSLESIKKQSLSPQDERFMQRALGGTQRLQGLIRSLSEATRLEQAVQGAEFSEFDLVDWLEAVTPMYQDLYPQREIAFS
MNGVVDEQVVRNAKNGENIIQLENQVGNLLPKALYSDNVLDSVSCFTTTQIAKELGITAQELNRSLCALHIQYYQSGQYLLYADYAHMGLAKSRTRYSAFLDPKSDGRQEKMGRAYTHTYLVWTERGRKFIHDLAQRYWELIER
MQLAPQHHSNPVARPVTNIALVPVERAAALLSDFLAALDGELQPHSDLMLYAKARAERQLKEWGIAR
MSWKLSCTAREAEVRDREIPIDSNLRTHTIIILQLNYLETANEEVLGGGGIKFDSYFKKNVYVTEYFNTVVKNDIYSKVDVTGNAAEAQAIADAYGKNTKTITDTGAQATDYSSESFSKSIAAVGSFYY
MSKTSKPCGRKKKVVGNAALSGAVEKPTSGGGENGVHAACSHRTPRQLHASTYMHRSS
MADRLANAVARRVFLWMHGLCDDWPPGAFSRADLPKLVERIGFVQIDAIRTVERAHHHILLSRVRNYKPGWLDHHLELERTLFENWTHDASVIPMQFFPYWKPRFRCAHDELLRRPWWRERMGDDPDTVCRQVLDYVAEKGPVMARDLKTANTDPLGGINASTSVWWGWHPLKAALVFLWRTGRLAVSRRQGFQKVYDLTERVIPAQHLEQDPSDAEFLRWKCATALERMGFASTGEVARYWGGIRPQEAKSWSEGAGRNSVRALEIEDASGDVRLDLARPDLDHLAREVPDPPSRVRFLSPFDPVVRDRKRTKRLFGFDFRVEMFVPASKRQYGYYVYPILERDRLIGRIEIKNNREADTLDVLGLWFEPRIRANKSRDTLIGAELDRWRRHVGLAGVTWCGRSHDG
MELIENIALITVNATMVFQVLSFLIFLFIINRIMFRPLQAVMKDRSQYIEDLKQKVVYSKRETEELLVQLKERELMAKEEALQFQKELEIAGSKEAADLQKETQNEISKHTEIAEKEVHLQIVEAKKHLKEESESIAYAIMEKVLNRRLS
MSKVKNFRTSFYYKYLKAPSTKFIIYFILIGVGYAYLYPLLYMITTSFMSVEDLVNPTVNWIPTKITFDNFARAWKVLEATKSLLNSAYMSTIPALFQTFITALIAYGLSRFEFPLKRFWIVLMLATFLIPSQVTLVTKYMLFSRLNLTGTPFVSFLPSLTGQGIRSAIFILLYYNFFNMLPKTFDEAAELDGANSFQIFFKIVFPLSIPAMVTTFIFSLVWYWNETLLTGLLLGNNIRTIPIALRDFVSKYSIMFPSADGSAANRINEGIRMSATLITILPLLITYLILQRQFVESLERTGITGE
MMAALLIQPACATPDIQAGFSPEGSAQQLIMRTISDAGQEIRLMGYTFTSPDIARALADARKRGVDVRVVLDAESNNNKYSRAAINTLVLAGIPVRTVSSYKILHDKVIITDRTNVETGSFNFSRAASRVNWCPRQHSSNWLSARIKPRPLQTVRTVCATP
MFFRPVSSVVVAAVLLVSTTAADARPKSAVAEFFNDITTPSARPHEFAAGTSYTLCFVPDGPSCERLLVDTINSTKRSLLIQAYSFTSAPIAQAVVRAHQRGVDVRVILDKSQVSRKYTSATFLRNEGVPLVIDTKPAIAHNKVMVFDHEAVFTGSFNFTRAAQNRNAENGMVIRGDSSVVKAYTDNWTTRFSQSTAY
GWVNATNDGVSQAINDRRIAAVTVTGSVRAGAAIGAQAGAALKKCVLELGGSDPFIVLNDADLDLAVNAAVAGRYQNTGQVCAAAKRFIVEAGVADAFTQRFVDAVKALKMGAPDEEDNYIGPMARFDLRDELHQQVQATPAEGATLLLGGEKLAGSGNYYAPTVLGDVTPQMTAFR
PLGVVAIISPWNFPLAIATGMVSSALVAGNTVVFKPAEQSPYVAYKMCELFWNNGLPAGVLNYLTGDGAVVGGRMVEHPQTRFISFTGSKEVGVSIYEKGAKVHPGQKWLKRMILEMGGKDAIIVDETANLDEAAKGIVVSAFGFQGQKCSACSRAIVVESVYEEVTKRVLELTSELIMGAPDTSWKVNMGPVIDQQAVNKITSYINIGSGEGKLLIGGKLHETTDNGY
MRIAHNRSIHRETKDFRDEVIDNWPSILVAIWNDPEKQFIAVQRRSTAFSSCEVVVKMIINSLSPQLSNHHLRAIHEPLFEKQQFWSLLHQYEGRIKSVEFEIITPNMANISGSLSDDLKDFAKATNSAKNKLKIESDPEAPLHLVENNRTLQGLVNYSSEGGGNISLKIDGVKKLYHTSKTVKEIQLGDMEIQGNAEEVVSVIKEFLK
ASNLHKRIRAMPVNIQVEGSNTTNSSLSVLKQENNSKKQLLSNVNDDTNNNVDIFWEEEMINDEEFEDSIEEIDIDIDHVSKFDHKYINFYLQSTYIITELVMEEFFDFTIFKHNQEAVTEEELTIYSQDTSVSDNEWSVEDIINN
MATDTERFWAKVRKTETCWVWTGAPNGDGYAYFYTHNKGFSAHRLSYEAVNGKIPDGLQIDHLCRNRMCVRPDHLEAVTQQENIKRGGVGWKNKRKTHCPKNHEYTPSNTYINPRGSRVCRTCNRNDVNARNERNRNAHAKSR
MGYPHRFKVMPPSREDVAAWMWRSVMPEPNSGCWLWDGSVAPNGYGKIEMVEGRWYGAHRLSYIAYKGDVPDGLVIHHKCENRVCVNPDHLEAVTQEYNVLAADAMARQNKVKTHCVRGHELAGENLDRVTQLATSGKNRRCRICINIRAAEYRTRKSALVCK
MSKKPEITGPRMQALDLNTDECVEWPGAMREGYGVKKMGSTTINAHRWVYEQATGKKIPKGMAVDHICRNRKCVNPKHLEVTTHSENKKRAWGAKSGSYKHKYYKGEPVSKSAFGVEHGV
MATPGRPQFFCTRPDGTLTPLVAVDEFPAGVSVRGVSRVLNASETQGMTSCGLAAPRPDPWAIDGVVYSSPRGAGSENLSEIRNFLLQVLGNGNVPENIRMSASLILFNGIDRHGALVGEGTATGSRMSPLAPAFCTGPQAASKNASLSKKEYCSYWIRHGECDYAQQGCLYKHEMPLDLASLERLGLRDIPRWFREKHNLPSLAYSGRGLGDARQPLSIQDRPPMAALPASESSANGNGKFLKSPPRGPANRGTNNGAGHNQYRGAHRNGTGTWKTIHRNGRNRAMSVTRQSACSEQSGGQSSNVETTPPSNELGFHEWCTTAPSASSSPAQPIGHSPASVSRVSPVLPNVVASKSLLDDGNSRARVLGLKLAELTEYNKDVFEAVPGISLDVPPRPNLTGRLYEHSSNPSSEGGVMLPKDLNLDWRPSTTSFPYADVAAGKVSASSASSTAAQSTGGSSTPRLAELATADTGLLHSDDICVTWGPIGGPIYKQMTPPANFNAPAFRPNWKRPGTH
MNGQLDLSGKLIIKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKDEDGDLITIFDSSDLSFAIQCSRILKLTLFVNGQPRPLESSQVKYLRRELIELRNKVNRLLDSLEPPGEPGPSTNIPENDTVDGREEKSASDSSGKQSTQVMAASMSAFDPLKNQDEINKNVMSAFGLTDDQVSGPPSAPAEDRSGTPDSIASSSSAAHPPGVQPQQPPYTGAQTQAGQIEGQMYQQYQQQAGYGAQQPQAPPQQPQQYGIQYSAIVSRLDLNNLSSSRDMASNQLPRHQLLPFLVSLNNCLLSRHSSTRRAIILHKLTLPKLLSLLIILWLLPLNLEWLQANLGPINQDQVLLHFLEVP
MHHHSNRFHTMIMLTNAMTIRASSMPVCLRFVAAVAAMKHANAAWKIPAVAVPEFLEGDCQFREAIIPINLSFTTFIC
MVLTVALTAGGQYWFASSNRSGAVAAAAGSTAQEFADAAMAAFSGSTTVAIPNPAATPDQRAAGLPTDPVAGLTSMTPAGPVQQVLPDGLGAAQRTSSGSVVYPDRGAGFDFLAENTGTGTRTVARIASATGVRMVTTFVRTPVDTVMLAHTNGFLTINRATPAAETVGMFAPAETRDAAGKLVPSSYVARQLRPGLYQLSEVIDPRPDTKWPVYVDPPLHVGGSGGGPLPAGLFDSITSTVSSAANAVGSAVSSAASATASGGKAVFTFVKNNPVETAVIVAGAAVAVTGVGGPAGAAAIAAAVANVGAAGLQIASEAMPDNQTLGAMSTVANAATMFTPTGAAKKIAEEGAELAAKQVVTHTDEIIDAAKAVPNPAQVREEIAAAGTAGKPPLPGVETPKAPNGPPAGDVIPGGELSHALPPSAADQRLTTNITSRAPLRQGTKQDIHAAAERDPESGLPLDPNTYEPIKGTPDIGHKPGFEEKTTNDMATQYGLSRREKLEWSNDPSHYQLEARGPNRSHQFENPRSATSMYEDDYKDWLQKNMETNPRLAQDPTAQRRLANLQAQSRPTGAAEEQLSIPVDRGVSGDLRFGVLIAV
MDHHYTHNSFTPALSRTASEETVCLNNANPATSRRTRKRFTNVQLMMLENLFHQNSHPSREDREAVAKAGGM
MIIVKGSDLDDLARSLRALRASAVPGLVVKLHETMFGSDEPTPPRPFFRS
AAFTVLAAVGEDASLSCLLLETKDVQQVTWQKVLGNKERNIGSYSEYFGQTVNSDFKDKVQFTEAGLQKTSIVIRNVTEQDRGCYLCLFNTYPDGALTAETCLKVYGESQTVLQGFLLELDADHDVVSCSATGRPAPTVTLTVPQQNLSLSLYNTTRVSNSNGTVTVTTTALLRDTKSTDGFQMSCLALPLQGSTVLFRTGVNKGLVICFPPAQHPGLASSSTVADRWNKTSYFMKM
MPSPHLARRSTAPAIKRTQLYATSTARHRRELLRHPRPITFIEDLSPAELQQRYQTDPQQLFVAKLEGLRLGLDASSSDALAAWKQASGDWDLARLVLAQGPRGVLPHTPWTTAEDRMLLSGADEAQLHVLWAAKGEAHVYQRLRYLHAYYSPEMGQSPTLWPEAMDATEQRSVCTNDSDLLTSIVGLDETLTALTTDPFILTALDFLSDHCSDAELLNPLEEEVEPTGNHHPLL
MNDFTCFWGHHINKNNNNYSYKKELQCKIKQANTNQWLQDCYYCCWCNNWIRAEIDIDTITQHTHRRRKRKKKPFTFMSAKTEQILLCACVICVSGNRIGGDKINLYVYNSRVFAEKNNQGVLLMILWHDDECVAAKLYVFNLNKL
MSGNQAALIERFERMDGRLEKIESRHDELSTGFGVMQSRVDDLSSRITALEMGRRSRGGRQQERMELVDLLVHEDARRSVAAVLGKPLRDRYGIVVMDALTKAGVQPKQDRQPTFEKLKNDGKRPRWDRGVEIKVMGENGKYVPYTGPFNRAEAMRTDKAGSESEGLPVESSSMEHSRA
MGVHEAYLLAADHGASLMVDKKGVDEIVSFTISIDRAPQPALTARIFSRSDVGAANIVF
MNLCYNHITTRRGAVIMYDKLVPGTVMPYTDVAGGMFSVFRPMITATDGLTLGQVSALTGLEYSTVQNWVKRGFVAHPVQKKYYERQLARILLIAALRDCLKIEQIAALLSAVNGDVEDAGDDIISESRLYDYLCEAVRLLDVDRLSADKVDAAVAQVTADYVGPDATAKERLSTALRVMVLAFMAGCLAREATLRLAALQNT
MRLRSLSNFKNNTKMRAKQVLEYIENTLNQTPRHNCTALAKAITQASKKFKQDQFDMMMLLLENRPIDGSYTHSYGFHTAYGRELIDTMSNYYYKFNN
MSVLMLMLMLLTMMILMQSLTLFLFKDFESNHEIQDESHDW
METALNPGAFMLLLLSGKHREERGKPFAVLWAAVGAYTVLSVFFPISVSGVFRMEGGIPSLFFAEAAMSAAFRFAGGALMIASCAQFYKRLQGRA
MLLNTYALALSSETITMMWQTPLLGMGMIFAVLSTLWGILALMKFIMVGKSPKAKNEEKPSAIAEVIEESVAVTDDAPEAFNETDDGELVAIIAAAVAAYRESEGLGEEYTGGFRVVSFRRANSGRAWNANK
MTGLKEQRAGRWRNGPRPLRGDRGDGVAEIPQASCHLLLCKPHNPDPAGLEAAWSRCGGLGCGAHVTITDQKVALEFLKSNVQANLPPHIQPKAVVKELTWGQNLRSFLPAEFDLILGADIIYLEETFTDLLQTLEYLCSDHSVILLACRIRYERDNNFLAMLERQFTVSKVHYDPGKDVHIYKAQRKNQREDL
MALVPYTDSGVQSLKRFHDSSASFKFVNHNIEIKQDWKQLGVAAVVWDAALVLCMYLESEGIHLQNSSVIELGAGTGLVGIVAALLGAQVTITDRDLAMEFLRMNVRDNIPKDSLHRVSVRALNWGKSLEEFSTYDFILGADIIYLEETFPDLLQTFLHLSSQQSVILLSSRLRYQRDHDFLEMMKLHFTIADVYYDKNTDVHIFRAQLRQRKEL
MKIGVDRDEDQLTFKRAFILLIQMSFLLPITINKISPIHMPPIFCVDTIRNWGGHIFDFLIKGISEHVLKRKKSVDSYLYGHCQEGKAERKIDENKKKEGKKEKTQKNKDISSESDSGTSS
MSLLLGVAAASVSALFWGSQFVPLKRMKNPNMLHYNMFMALGILGTSVALTFMLGFPFALNYFGILAGILWNIGNVLHIVSIERIGIAKGTAIPLGMPLIITFLSGTFFFVEPLNIALGAFGVLVFLAGLVLVSQGKEKINADNKGLAAAFFAGLFFGAPAFLFKLSNLGINEFLFPMAAGIIAASSILFLVKVRKVVKNQVKPGMISGFMWSVAMLSSLYAITYLGLAIGAPLTQLALLVGVLWGLFYFKEIKDKKIRMKVILGSAMLFGAGVLLTLAKVFL
MAIYHLTAKVGSRRNGANARSKAAYITRQSEYAWRRDLVHAESRNMPSWARQGHTDFWEAADKHEAANGKLYYELEFSLPRELTKEQQLDLARSFLDSRAQVADVAGSLPYTFAIHSPRQPHVHAVFNERAFDGQDRAPDTWFKRAHGGGARKTRTMQPRAWLHETRAAWADACNQALQKAGYGVRVDHRTLEAQGVTDRLPQPHIGPKAWAMEQRGIQTERGDHWRDVQEANGAMQELREVEQQIERERLELEPKTPMQGGKKKQEKRMLTDAQKQAVTEIVSCYSSEIEAEMRNCEDQACDYLQDEYGHDEELMLLMQEELRLQLQAAREQRLLEEADERQDWEQEQGPSAKFRM
MAFLFEKRGALPGAEAKSRAERNMGQGMRMALKGETPSARARLNYITRQGEYSEGIDGPRVDLVATGSGNMPSWSSDADAFWHGVDQFERVNARRCVELELNLPPELTLDQQIQVVEVYAQRLLGAERLPYTWAIHDGGGKNPHCHLMFQERGLDGINRPDAQAWFKRANSKRPELGGAKKSRSITGAAWTMHARATWAESVNDGLRAAGHEPRYDHRSKAVQRDEAVRTGDLRRAASLDTLTERHEGAKIHGMRRRLERGEIELDDLPDYAQHLIEQNNRVRVYNNTLRDWARSATDAELYDYFADELEDMNPAAHVTAYLAGNTSRRRCGSRSSVSSWQSQSPKWWGLPSSSRLTWRGWPMS
MLHTLRASRQTDWNEVFPSHVTAAWMGNSPTIGDKHYNRTLDVHFEAATDPLHNPLQTVAATACQRAST
ASDGFLREKHGLMHIRHGLIKDYKIKFLVWWKIVKIMYY
MRLTFLWGLKSMQAVCKVGSRLQVALLLASILMLKASPSGFGLSPVNPERLCGLPTGMIPRSLVARFY
MQESIEYKLFPIQETDGAEYPDPHQQRRYEPKPKITGGLLFAGWRVTFCATMFITYIFIT
MLKQYNEEYFAKIKEAARERLRPDSVSVFFIATLVFSAVMIAVSLNAVLYGDNTDSRWMIYLIVDIVLWAFHLLAALLFYNEKLAYKYQKIQAYILCFISLKFSLEIYLVYFLMCEDRGAEPFMTSLGLLMLLGGILFLILSTWRAVHRVKQGHLRRGEKGLYNFGGSKSYISFPVIYLGAVIAGFVPRMFADSSSYTSFEPVIALVLSVLIQYLTAMFLPEFILLAYCKGRFQSFHIQPPNQRKSKQQKPRGGHKA
MSMGHKNYPNKADYEPNVDKYTVDTGELPLSDPAESNATEVFRKNEAKTEEGRVQSAFGQNKNRKS
MPLGSLVEAIAASQVRARSLCIGIDLAARSGAAVAIVHPGRRAALSHVHAMEFRMTVRPPRSLSPSHFASGAISALEYELLSERADALGRHGLKVEKAIVALQAIEGKETPPELRERLLDDAADAVWAFFIQREICGLRDSHDAVRRYRIPNAVMARLGIVRRK
MNLFLFPYYLKLIPKASSAASIEYFKTIILASLIGSLAAIGIGHMIARNTIKQLHEFTKTLEAISIDNLSTRINPSNWPKELERLGESFNVMLSRIETSFENLQQFSSDVAHELKTPLNNLMLNTEITLSKATSIEDFQKQLSIHMEEYQQLSKMIDNLLFLARAKQNQIKINAEVIQLDKEIDKLVAFYQGYGEEMEVTIKRYGQALGQVDVLLFGRLMNNLLSNAIKYSKKRE
MKTVELQEIGEIIRKIRKQRGLRLEDLADNNISPATISNIERGVPHVSMDKALYLLDKLKIETSQIPFLLMEEENELRNVELELEMVESLWKLKQYDKAMDKIEHLNLEDNHPLAATAAYLKGKCFVKKGNLKRAERAYYNAIKLANQHSSNNDKNIEAASFCELGICAYMQNDLEGAIQFTNSAWGAFKDNGDRQYVRFVIYRNKAVYLDKLGDIHAGIRVIEEVWDSLHEVEEVETQLTFYWLRAEFHRKTGGDNEALKYAKQGLDLAVKNNHSKYIFDFWTMLGCIYTSQNELDIAESCFDTALSAKDILSDQRTLSTTFAKLGVLYVHQNKDSEAKEAIRQAITCAENQNDLSQLTYALMVMGDLLKKNNEIREAIEYYQRALDFAQKHTLQRREYKLLFKLAECWHSLDEKEFQKCMLNMYQVKRLLKDKDGDFFDEMD
MMRNLNAAPLLLALSLCGGNGVADAFSPASGHHQPTTGAHPPATSSSTTALGATLSVPGMWQGGNSFGKGQFRFYKNFDSWMKPFTDEDRAAFPEVFNMPPGVYEVGLTKPLGIVFEEMDDGKGLYVLDLVEGGIAERMGKTIQKGDLLVGITAVKIVGAKWERRLIPARNFDFDTMVGAIGSNDPKWGCDNVICMFERPGIADPEKTDEFLEFFEPPFANPWKQQQ
MRKHERHPFGAENIWNMIAVACLMAAVAVWWWRGQADAAFVLATLGIVAWFVSFRQSLVNSDHTSNTGETSNVENKNYGDQDEA
MDTTGGPPGDRQMCPGRQRSGQDDVCEMGTACSEAPSDVAHFCLHTSQWIAHCPPALLASSVRKFILRLALCSLPSPCSDPWGLRKCAGVTPRAVVVLITLILPPPPTSGFTSPGSHSGLCGQKRLFLGWEEEIALRPPLSHCSCVPCPCESPSAQCLTWESLGQQTAGIWGGESPQWLGSHSQLPCPLSPLESLLSALPHPSPPWLPEPSLTNIAPGFSFPAPSPHHRCSPGASNTRASYGALEPTTASLLNHIVLTAGLGTARTRSRREKQTHLILPELSEPTADFSNTPFFFLFFFEMESHSVAQAAVQWHDLGSLQPPPARFKQFLLSLLSSWEYRSPPPNPANFCIFSRDGVSQSWPGWS
MVNIYYLKLNDFKIDDKFEKVFSDVRKEKLKLITNEEVKLERIAAEVLLQYAFYEYGFDVPKQYAYDEAGKPHASDVYFSITHTVGAVMVAVSNNPVGIDLEKKRNIDDKIATRILTKEEYDFYNEHKGSEYLIDCFVRKESFFKMTGEGIGSNLTKESINDILNSSKQVALCFDDYLSIITTYKKDLYDVTKVSYDDLTKFCSEVENE
MDLGGFWSTVIYESEASFAAQVLGSVDHGGFTSPILTGPQTLLKGTLSRHSPSEKKLITDALSEEIVPSADPRYSGMYYGRTNQQGSYILKQGDPGNHISVLAVISACGETRDVLLCFSFELCDVAQLHCMVS
MLWECGVRADRLLSLLLLLQTRGQMTAQELANALEVSPRTI
MSAKPLTQAELHNQLAPELSARIVRAPLTTGGDVTDVCVLMESVLVGVAQTLEAAGLAKPEVLAMICENAKRRHTQLHLLANAKGTPQ
MNDQARQLEVGRISQAELHNRLVGEIVARIVKPVIGEGGAYTDVMVLTESVLVGVALACIKLGGDEKVLDVMVDAAKKRLAEIRLKDVQTKGET
MCAKRTIPADPQLDREFDKAFEQIQELVDLNQADALHPTCSNAIYITSVVLWMLVYQRMNPDASLEAAVKKLLDSKPELLPENKRVSEGTLSMNTGAYSRARTRLPCSAADWFAREVRQSMIEASPESFQNRRVFLIDGTTITLPPEAELQELYPPASNQYGEGVWPEWHCLL
VKRKAIANEFNTAWGWQKGDPIYSTSMGWQAGAINGSDYFEPTKRERSLKPCILRALEYAQSKYPGWRNETH
KYGKLYQRLEEKNMKEVVEVRTKEITMKEIVKNVLFAKADLMIN
MALVCDGAVVMTLGFNNRMGETESDMYLKPLNPDWPEQKILPMGECRLVGKVVGKWVRY
MIVVVFWFIGMFIFFFILYNVIQHALNTSEMAKDLSEIKQLLREQAASRPTPPPPAPRDFEDIREAYDRCPGCGHAVQAHHPVCPECGLSLT
MATTLTLAAGRVPPHDLDAEMSILGSILLDPLSIAKVLQFLHPEDFYRENNGQIFRAALDLFAAGEPIDNVTLASQLQTMGLLDRVGGRAQLASMQSAVPTAANIEYYGRIVKEKAYKRRLISAGGNIAGYGYDDSIEAEDAINQWRACTTCWVRRWSGSPCRWRPGREWLGSRRVSTTWIV
MQGFIDRSQETSGPYPLDKMVGSRRGFRPEIDFSKEGRIPPCAVDVEQEVLGCILLQGDAIERVIQVLGEDADMVFYDIRHRTIFKAMLRLYMRREAVDLVTLSDELKRNEELERVGGNYHIAELSNKVATAANVEYYAIIIKEKYLYRRLISVATQVSKLSYDQSVDVFDLVEQASQEVFKISQAGIKKKASDIIGL
MNKNPAKKINVVIVGIGRWGKKLLAEFHAHANVLYCVHAGGKGNASWVRTHYPHISIVTSYEEILKDPMINAVVIATPIETHFDMTNKALLAGKHVFVEKPLAKNKNEARALVSLASKRKLVLFTGYVFLYHDIFRKLQKKLSTERIIAMYSTWEKYGTFNEDIYWNLLPHDLAITIALAGSIKKLTPAFKKGYISKNDVVLLHGTTKTNVHCVFYINRHSLTTNKTVLITTKEHHYLWEKDTLWETPVSDPGKKGVVHRASQTSLKNEIVEFIAQTKNTKRSRPYGDVSTSVTATVEKLLA
MRLVSELWRQVSKAFVATSTAASIVALVAKFTLALIWPVAGLYTSPVRSDFSVESWLFIQCEIVSISQPYLKEFTAMKLPFPTKKP
MEIISKPSKRVFMELAELRQLCTGRRAQNMKPLGLGEIIVVRTQDAENEWLEAREAVQMKLAGEVICRAHAAHASRTGTDYDPGLKVPDLNLKLLRMVGDPLGCSLANEAITAAGTSIARQRSHHGHWNEHRSPTNTNRFVLHCCRAPLYMGPAAGMSAARTMAVGMSTALHSDSGQCHMLPDRARSDCDDPLSRILRGSLAPRMRSAPVCPQSRRIWLQRVTRLGSVQLKADMPAMRAA
MTARIIQITDCHLRVDKSETFYGANPYRQLQQILKEVSQRQNEVSAVIFTGDLVQDECWPAYQNFVDLLSKHHWQIPLFLIAGNHDDLNHLNKVKTLAGFQQQSYFDITNWRVLLFCSHAENAGGSGSISEAQLSKMLTELDNKSSQHLLVALHHHIVKYGSFIDKYPLKNSDGFMHWIKHNQQVKGIIHGHVHDNRQGDFYGKPWFACPASSVQFCHTAEKAPTVVAGYNELTLHPDGHIDAKNHWLRLI
MSDSTETEQEEDAVVPPDEDYGTDLSRVREGFDLAPDHEYTLVVEPWEVIGCGAFPGMTFRFGNSFIHPRAAEALPPLVELHAQKGAEGKLVAFGHTDKVGSDSANKSLSERRAKATHGLLVADVNAWVSIDNEESWGIDVVQTCLVQLGYRPGVVDGKDGPKTQAAVKAFQADNGLDDDGIAGPDTRKKLYELYMKSFEVSLPADAFMDPAYLPVGELHPVVETEEACEANRRVTFYMFKANRLPPLPDCHDDQGEFYAKIAKACECGDPPVEVTPTTVVLEGGRGAPLVEEVELAIKHGDNGDPPQTLGFLGRTTLLALAEPPTQGTYVWECDNGAVELEPQGDGQRVVVSGLEEGVPIPIRCTFTSEAGNQFSAEHELAWSFSVRIHDAKGQRQSRVPRAGGDGENENQQGYIRYSGETIRSLMDIHQDEGDQDCGPTCAAFLRFGGKQTNGAPTENGQSIAGATIQAMAEEMRRGTSASTNHATGTSAGEMVEILNEFAGSWDKKRTPWRDYTGRTYDISTEERREQAKGQWLSYAREKLRSSPRGLLVMVRSYTDGLPYSEAAEAAGPRGPSGFEHWVVILEAGDSEVEFFDPHPNHNGRRTFSTMDFFLGHLDGSLPPEGTNLRSMHSTIVGRTRPQRPHELRRRTYLCQLDAGYTTFGQELIAQADAEWYVNADEADARARSLASGGTNAVVVEFPQGNFGVVQVNDVSDANASDARIDSDETVGRRLPELELASLRFCLRSDDGVVERLLTYGGSHR
MNRFLLLTILILFSCKLNAQLFRGIDHLNQYEPKISEMDAALKSNPVKFSEIYNDLRAIHRQKKDETLGAALDVYQGSFHYFQNNLDSSGYYFDLAMDRSSKIGQDQIFRTAKIRKIFTDEYKKTKYQMAQEMRAVYVDSYNKKDTINLIYSLNGLGIFYGDMDSVSYALASFYEALRMADLSKNMNEKGFIHNNLGLVKYDLGAKDSAFSDFQQCLRIGEEMDNAMLQAIARQNMGLYYASIDSSDLAKEQYMKVNKMGNEFGYTLYILSSITNLASLEMTMGNPEASDSLSNLALKIAKEGKVLFTVPTVYYGRAYYKMKTAEYEDALRMLDSAYAYTQYAQYSEIMPPYYHLKYRIYEEMGDHEKALETYKEKVELNDSLDAIGNEKLLAELQFRYDDEKKERIRSVEQNKLKLQVKQGEVELAQFQQNLVIIVSVLLGIIFIAIILYFRLKQKSDNLFSHTIANKLEEERARIARDLHDGLGQSMIVLKNKFNNLEIENDQEVDTLNDNFSEVIEEVRSISRSLIPPELKRLGLQKAVQNMMDEIEKSSDYIITTEIESLEGVKFEDFQSIRIYRIIQELCTNTLKHSEASSIKLEAIDLGNTLELIYQDNGKGLDMDKWKAASNSVGFKSIEQRLKFLKGTAKVDKVKTGFKINFKIPINS
MRKTLWRTTLGSAVVLLISAVSVPGCSTSSGFKMPESTWFSWGKKKPDNTALASKPTTGLPAPPSTLTSPNTAPSYVPGTGGTSTYANNQYRGTPAGGTTPGAAAYGSQPGGANYYSAASYGTAAGANRGVGSANQAYGGTGSSQGFYSPDYRGAPTPAAGGTSAYARPSAPASYPAESGGYPAGSGGDAYGSYNQSGRVATPSAASQPWGQPAATSATPYAGTAGSSYGGAAAYGQTPATNAAPAYDASGANRGTTGYAGSTGAYSGSTYGGSLYGNAQPAAPQASGQDSLSPVVAAGGYRPGSTGRNTQFGTSDNLSVPSGEAVQPASFAGAGQAAGGSSLSAPSPIGGNAQNPDGGSSPAQTATGGSNTYPSTYQR
MLETGTLILVALIILVASTVKGLTGFGFALTSLPLLTIFLAPKTAVPLITVCSVFLDGYTLYEARKHVQYRQIIPLVVSGVIGMILGTYLLVSLDSQLIRLAIGAITVLFTAASIMGFRKEIADEKRASIPVGLISGILGGAMSISGPPIVLFFNNQNIDKTTFRANIIAYFFSLYIATVPAYVLGNLITVSLLRSSTVMVPIMFLGATIGIKLSRKVDEAVFKKITLLLVLITGIMAILSALEIL
MELGDKVDLNFKTFNNFEVVDGGAPLDHHFYKYRCCYSSCFCFCTNINVEREWVILKRQLALWEKKEVSFCVRTYTERKELMRVAATVTNGDQSHMLFFFDIRFPKKYPYQAPSFFYHPYGLPLSNLGTKKKLRPQLRYNVLDVFLHIEEIVMMNTNNSYLQMVDMLKQRPMGFEDFVKGHFRKKGAFILRNMMDEMDLDKESDKNMFLKTYIAFEDNKAYCEHLLNSDLKEELKKFREKESSLCEHSRFNDNQETTRHQNSWTKFSFL
MSRTFTRAVGVTAALLALGLTAGCATSSDVEAVRKLAQEAKDSADRANRAATEANAAAADAKSEAAAARQAAEAARASSERTGEKVERAFKKAVQK
MKQIFLDESEIVEILEMVLDLYEMHDWIVEVNENRKMFSVSYDDKKIILPKNKLTSTSLFRLFELMDHEIGVHAIRGVNTLATLQIAGMSYLPHEEGMAATAEDMLVHDIDNVEPKITHHHLISFIAENLDSTETEQMLKIYFQLTDAPEYYKMAHDWTMRIKRFMSHKMPGTNRKDVSYSRGNRDIVEKLTSLSADERKKFLQDYYFSKLSFDDQKFLPELRKLFPESEQNSLYPQGVGKILFRKLIGEKIFFQDFTEKDGRFVHNVNFETQRKIVKILQKIQKNISQ
MLLKLGEMAGHLGPGCLAVNTCSDDMLANVLPLALLHKSLH
MRNQTHRQAIDIFNNLAYLPPIPLTQIKHEEVLHEWKNMLPNKSSDSTGTSAFILKKLPIEHVTTITVLFNKCASNGEFFDAAKIAKTICLYKPEYCQ
LVRLPSGQEVELYCLHPRPPVPPEDEESTARDAELLIVGKEVKQKKLPVIVMGDLNDVAWSRTNYLFQKISGLLDPRIGRGFYNTFHAKYFFMRFPLDHFFHSNHFRLIDFRRLSHFGSDHFPVFIVLNYEPNAASQQEELQATASEKKLAAEKIEKVT
MHDLREDRDSVSTYECLRCGELVTTDTRPDACPNCGQTGSYRNRAMSLE
MRTKNIMSTVLIGLALVGGAAGLAASTTHSKPLKQSEVMFGRDQNGNWHELTTPEQQNLDCTPDAQPCKSVYEEGYSPVTGTSSDPGFIRNEASNGYITLP
MMASNEEPRVGTCVFARQDSSSTSLSLGFDPYQVGLKLHFVEPLEDRYKCVTCSFVLCNPFQTGCGHRFCENCIKEFIDIKSIAICPIDHERIIPSGVFKDACCKREVWNLMLYCKYAPDCNVKVSLGRLGDHLKKCLFESVPCSNGCGLVFRRKDLTDHVTTACEYREEVCRYCKVTLLFKHFKEHEEDSCPKYPVQCLYNCGESVSRNEVAAHLLECPEAEVECSYKKYGCHIREKRRKMQEHEDSSINSHLLLVIASSSKLEGQIMDLKNNLFERNQFVSQLEDKIKKLEKDLAQLSQHISKTDNTLTSTQKNLAGHMDKILTTEERLRQFSRKLDQAEINDASGLKEIVSVLKKKVENVENNIQSLGVLESRLKQHESLLRAHKDLLEKTNERFRVLETTSYSGKLIWKICDYRKTKQDAVEGRVYSLYSLPFYTSRCGYRLCARVYLNGDGLGKGTHLSLFSVVMKGEYDSLLPWPFKQKVTLMLLDQGPKKNHILEVFKADANSSSFKRPEAEMNIASGCPRFVSHALLESGKTATYIKDDTLFIKVIVDLTDLDDL
MLTILGRLDAGDNPMTIATRFGLNTARFVINITHNRDRILSYVTSALEEELLRATVCLSETTAKPRQPRRDISVRKKIDIVEMLDKGATTTEITTGFTVHKTVVGRIKRDRARILAYSSSGGDLTATRIPPTTRAKVIKKIRNVSLKNKLAILDRL
MGVAGRGRRTVGGWVFVGVVAFSGAASGAEPRTTAIVGATVFDATGAAPHVANVVIRDGRIVAVGSKVRAPRGAVLDATGDAWVVESQMTANLYGQYEFTDGLAANTRLRLGVRNLTNEKPPLESENGFLGALYQPYSRYWYVSVRKTF
LQDMCKTYNKVTELCFSKCISNMNGFRFTPDETSCVDHCGGKFISSNKVLMATFTEIQFKKQQQMLEEARSQQQAEANKAKMNP
MPQSLILQVSGRHAAQFGARARKVFEGRGGSIGRSEDCDWVLAASGVSRVHAMIRLLNGLYFIEDRSTNGMLLNGGPLGKGEPAMLGDGDRLTVDSFEIEVRLAEAGADTGPIAVAAPEPAPPSPAAPSYAAPLPADDPFDFDLLAP
MSNRRNSFSKSFEGLILREKKSRPRKSVVERSSAQLHGRLVRASDHGLRPKTRPHSRKSTDRNKNQSKGRPSGKQVWPLSSDEASSADWNEVTQIESPLPSRNRRRSLRSARRSVPPSHGEETTQTTEDWTRSLVELRKHRIRSNPTLLNSATRKWLNEQHQALESASLPVLKKCMLAVASASYVFRHCRDDFRKSEYRGRYWSWCETFLRLIDYSISHGTDSLTQMDAQVPASLKSFVLNAHTQKENGTLSSQKEQMLIAVGIPLREGVLSEGKRHKSLLSISTDDQSSSADDDRDNMGGSALVARKTRRKLISRRSTRKRRRSPAAQSSFRPVRTRGRQSKPHSSAFDSGYSGVVDSENGRPSLLDWARHIVELRAYFEEGKGYEIPPDRPYLSIWMNAEMARSVAGTLPAICCCILRVTEVTDSVDDTVYISEECEKWCKSYVEYLDFALENGEFGHDIHKASSSVTDFMYDCRQNLIEYTLSEERSALLRAVDHKWLESKLLDLSIKRNQAKLGAAQRKQRETEDPIERVEETVLDSWTRYDTKQVDQRKLETQSKRKRPKIGKECQAMLSEMIKGFVGETIEGRKRTATEKKAEEWVSRTGANALNTYAQKWFRDLTSDLNE
MDIIVGIESSPEEEQILSEQLKFLPHKIIVFNIMTRVEDYLKSSRPDLILIAIDPKNKKQLSFARKIKSDQAMTNVPIIALLKEKDEHFLLIYKRMGFSDYMIKPLKSEVLKAKIDNQLNLAQFNLPNVKHVEMERTHGICFFTFNSQLTKYILPELRRIFSPQLLKVISRDTICLDIRPLPLLSPEETIVLEKLIMVFNQKNKVSIIAGKFMGILLTHSNILDKANVFISMDDFNIFMKIVK
MSESVLQAELAVAKARLALAQAQEAARAQVAAAVDASSTHKATESGKAANDKQDCASTQVREDGIKDATSAEIIEEVPEHVDDNTHAEVWTKLLEEPFTLDARESAVHGIDSTTTDAEVDTQPEVDTQPEVDTQP
MIKLQRSSVLCGILLTIFIHFCLGAPSSKQYDLTTFLAPDSIESTQQDTDVAALEHLKQHHSTTHETKEPTLPGLEKQLEAQTELNLPHNVDVHDVESPFNEKPTTITSNIKSETIHHDHERPDTPPFDEKSLPHHEEQHTEDDEHKPTPKTFTGAARLMTQSAQSHTPHTTEKRIKLNMNHNKIQNAESIFKHSGGQYSANDLAQYIFWTGDEAGVARAIEDLIDSGLLSRDAALILLKEIKIATDDLQATYSKFDVTSARYNSREEETIPTLSSKQTLGATSSLSPAVLRTLASIPNLLKLEGANKNDVYYDESSGRLRLADFLYAEYTLEQIIYNLARVMFTQSLNQGSEEAQVALEKLTSFLENEGQQGRISPALQKKILDVLLAALSDVLHDTPELMNAAKQALGSYLSQLPKHHEYKN
MDVTLVVRVVIVALALTATTLVVLSSRAGWRDTPLTEGFAVTRLGVAGVLLAVAYGTAESHVLAVPPAPRMWVLMVALSWLNYGLITRIRATRGARPKEK
MSVAWMPHVSHHDKEVVYGKQKVFLANSKYILMFSF
MSIDDLEADFKNIKQNNHLQTYFTAGNAMRPRQRMRTLRTNRREGKGFLKIRSDFAFTPLILAPGALQPADLWQQGSFLAPFSSPGSARKNTVLRIKSILNDTTPAPDMIEMSIPLMSFDADRPMRGASSRPRNQA
MSMQSESKDSKAGNHQRTQDGTTGGSAGNGSTGDTGAIEGSAASQGNQQSGQQSSQQNAMPPSETDDDQYDQGTVQQGP
MSETLNWNDDQWNKVRQTVHDQALRARVAASFLPLFGPLPNDAQMVPSNTLKYHSPGSGSVGRMRIDDYTSIRLTSLSVNVYLKNAQVADPELTSALIMFRRAANIIARVEDAIIFNGQEDTNDGPKSDAGISIADKVWRVSGGQKTLGLIKEGEDANKQKIEPDNEDSDTGQQVFHAVVNAIQKIEKQGYHGPFACVMSDSLFTAITKPMPASMILPRDSILPFLDAPLLRSSALPDGKAIVVSLHGAPVEIVVPNDISVRHLQTTPEAEHVFRVQQRFVLRIKEPGAIATIFK
MGRIEYRQQPAISAPCISHAQKNFFAFMGEYGYNIATEYR
MKKVDFSKVKESPVYMFFYHKRIYFMSFFVPVIILFGVFAAFGYYPFGDFSSLALDLNGQYIYYYEHLRDAFWGDASYLYSWSRNLGGEMLGIYGYYLASPFMIIICLLPKSYILISVMLMQLAKIGSCGVAFTYYLKKSKNINSYSSVVFSSMYALMAYSVVQLMDPMWLDGLIYLPLVTYGVEVLINKGKKVNLIVPLALMFIAHFYIGWMIAIYTVIYFFIYILFIYDSDEYGAGFKRFMMTCVRFGIAALTAGALAAFIILPVYYSLKLGKFGFSEPNWALREQFVIADFFTKLLPDSYDTVRNEGLPFVYGGVLSLFMVPTFFLNHNIDGKKKLGGGIMLALIFICMYLSTIDLVWHGFQIPNWLPYRYSFIFTFIMISMAAEAFEHIEGVSYKEIGVIGLVLATYIIVIDKIEVAHIDYQAVWLGLACVAGYVMLLFYHKKNSEISKTTALIVLVLVVGELFANSIQTLKDIHEDVVISKYSSYTPVIATGREVTAELEEYDTGFYRTEKTFHRTVNDAMAYGYNGLSHSSSTLNAGIINLLNNLGYASRGHYVKYNGQTMLTDDLFGIKYVMNKDQFVPYDKEVLTHDDITIYENENALSVGYLADKGILDLVIELDRPFENQNKLMNSILGTEDTEYFRRIPIDMATPENVSLSISYSHDHYTVINEGSNAQIEYTITAPNDNMIYMYLPCDYSGYEKKLNVWVNKEFAGNYYETDNYRIMQIGRFSKGEEISIILTLTENELYMKDQWFFWLDEEQLEKDIAVLAEHQLEITEHGDDFLKGTITVDDAESKLLLTTIPYEPGWNVKIDGESVEYLKAADSLIAIEVPEGTHEISMRYFPKSLTVGIIISAAGLAALIVIIVLENDKKRILLERLYK
MEVFKLITQGNVAPVEELPKRKPREPSMQLKDLLKALIASHPDLGAETLKDPYALYDKINAMMATKSLPMPEVSDRSIGDWIKNSKWGDEK
MAALGICALPLLSACGDDLEDRQAAVEERQPANGAMTMEQSQIKTESGSSPSQGASTAQDSESETTRMDDEVVVDAEPEVFVDDAQGFSTDPVDDTAGFDPTPRENGGFAPEPIAPEIFED
METSHLLIIFLLLCAGGVFLSLILPERRNPVALAWVASLSSVAILLASGKILLSGQTFQAELWRIASLGVISLKIDRLSALFIFVTGIVFLPVSIYSARYRDTLAVTA
MTRQTILRPQFTKRKRACPRPRGPLASRSCFQVKPLTTASQLDLCNDIDEFQRKHSSRKKSAPPGLHFLSPVSSKSDLTGLTESDDDCSHISSHVSSPTFVAFIEHLTPVERDAPPTPANICEVDLAEYRLDPEGTFDQCPHKGEVKVMPVTSNNQATTTVVTAHSSSVVHQPVTQELRDQQVISLLRGPRRKVVDDPYPTAPSVLQNIAPIHFAALVHLWNMMCPPTPFPTFLPFGDTNFSSPRSQNGYHNGWISVDFTVKQYAVNLEDAHLLARAASNCYLMDRYGVWVDPTASMFQHLSDTYRRMTPAQSDRHNQTCGLPTGSLIFTVSPFPIFTWR
MPPVGRTTTFTRGPLECRGRSSQIFVRRTPGCHNGFVGCRESADGGQRPLSGRTLQTLFTMPEAHGTGLGNALLEATLPDDEPAFLWVMRRNPRAVRFYAKHGFVPDGYTKRTLGWGGMDLLRMVRQ
MTAPAEAVLRPLRESDAERMARLHVAGWRNAAASSPSATTVAEHDGDLVGFVSVGPGRDEDLDLEVELWALYARAAWWGRGLGHRMLTHALAARASYLWVLDGNERATAFYRRQGFVADGTERHEPEGRELRMVRR
MGQALEIRTAEVDDARGIAVVHVTSWQTAYRGLLPDDMLDELSVEQREGRSRAMLADPHPAGRTIVADRDGEIVGWASFGAGRDEGAGEQGELWALYARPDLYSTGVGHALIAAVETALCEEGFASAYLWVLDGNDRAATFYERRGWTEDGTVKLDERQNTTLLERRRTKSLG
MTIPPAGDILSGRAYEQPVNAPRLGLPSPADRELDRLYVAAEMHGTGLGAALFDAVVDERPHYLWLIDGNARAARFYERRGFEHLDEQVSTGPTWGGIPMHRMLRR
MVVRRVPRAVRAGVRQTAAYREREFIEAAERRPDLSFCRVVRSGVGSEIVGFLCGRRGEAVSLGPMYLLEKVRGHGVGGRLMDEFLTWAGGAPVRLWVTEYNAGAVRFYERHGFRATGERELWRGRLPNVRMVRDA
MKHRRDILKLALNLPLVSLPLANSLFASTRPTTSDLKKGLKKGLKFGYLPIADHLLISAQDFFDNKTHTLVPIKFSAWSDLAEAFKANAIDLAFILAPIALELKAQNTPIKALLRAHSDGSSLNVRLDSKIESFKELKGKKIAVPSRFSSQYFLLDRILHKSNLTLKDIQTIDMSPPEMQAALYHKSIDAFIVAEPFGVIGNLRKISKTLAYSKDIYPNHSCCILCAREEILTPSIIASLKDSLHQASMHLLPNKVGQKDAVIKVVLDKHLTSFDNLRLKREHLEEFKDFIIREKLSRKMHNLNLDNFIEDIA
MQVLNTIYDPRTTTTFFRKRQQSQLPQQTMATTLSPVVSQPILFATGASDPPTLRTTRRRSSRNNSTPSSSSTSVSVTASTTTKPRKQSSGPASSRSSIELEVTTALLVEEMKRSVPVTLAIAPATESVTTTVTEEKVDVETMSQWLVSLESRHEAQQRQLSISSASGSTTGAADSGSVSASASAPTTAGVTTAASVRSESRSSSFASVVSNSGSSTLSYDYDTDCDSSPDLDPSPTSFSLRFSPSSSPSSKARRSSRPVRKLRAEAKARREEEKRLQEIDDGEGAVEKMVWLDRVLGMIALLVVVVVLSMGVAADGSERFGVRGEV
MITYTASTPRLWVFNPGHEEALSFPQHQHLTLSKEIRWMRYELAPLLRLLASGEDLIYAPASPEGAPARLLNAEGETLPKDAILPPELLVTIWGVEAHILRELKNSPLLSRTTLHLPKFTEAYLQLSHRRASAELLQYLAEELGYPSGILPLWIEAAETKEETQRLLLDAISKVTQRALGSPRELIVKRPYSSSGRGVQPLPLPVQEKHLEALVGSCMRSGSLSLEPYLEVIDNWAIEYTRDESGKVRFFDLSHFDTLPSGRAYRGNTLASPMMLWEGLTRLIGEESLERLIEAHTRWLEERLRSSEYIGYIGVDLFLYREKGQLCLHPCVEINLRTTMGVLAHFAYEQYVPEGKTGIFRLERGRGSATGERVIPLLLTGEDSRFTAFVELDK
DSGSTPTYFPINLVAGLGLSSINPNPNAYPNNIDGWNYLIVDLLANGISTSIGGFELFYSSNGLSIDSIFFANPLNMKSLGWSIDFENNELLNSAYQYGAAVHDTNTTIIVEEGGNHAIQLGNTNGDGKYWANYINYGRYLGFSVKTSNSAAFYDQFRIIEDVSLERWLKNGSLILANGLVWKGVEASDSYSDIWIDLVASGFTNTVPYYTFYFGGDTVCENVLIDNIRFAIDLIPQFISIDTWGSFEGLEIGSADGKFGSGQFWANNYGSASELVLDGENTVLKLNSGSQYHTQTKGIGEVLILSVKVIEDGELKITGDYGNLEHRYFKAGRVIGVDGQPISIISDDAWHTYAIDWQASGLDLIDIISIGADTGTFLIDNISWAFYGVDILPLYGISSEGFEGGWWSNPATLTDGKFVAVAQGDDYVVRAGLPFLADNWASVTRIALIINIAEGATNLQLEIKNGTEDSLYLRFEDLSDINGYSLSALGTGTHLVYLDLAGNTAIHAYGAYNTIGIQSTGAGFSINTFTFATDTTSLAIRELTQFVTRTLEDTCEKESFMKNGKKWFLGLFSLLFTLILFSCGNDKIEVVIGMWPESTNTQDVGMFNEWKRKFETDYPEYEIIGESFIYTPEVFRIKATSHALPTVYQTWFTEPQMIVDEGAAKDITSITKDLGWYDKMDPSLRDYLTFDDKLYGIPRDGYGLGILINLGLFYDAG
MQSMLPVSSPSSRRSLLAGFAASALAAATLLSSCAGMGKLEKIKVTVASVESLGVHGLEARFVVKLRVQNPNDISLDYDGLAVDMELNGKPLASGVSSDKGTLPRFGEAVVTLPLTVSTVALLRQASELVRDKGGQKEVPYLVKGKIGGGLFGTVEFHQAGVLAIPRM
MNTSTKREAALKLLSTTGIWKSNYAPPGVKLLWRLGIDCPPPHLARFWSVFFVCGLFAGLSFGFLMATYYAIGRKKHRLPLWKDIRASGET
MELKSHQLFFRRFTDLYRPFIQRSAEVLAKEGLTVPQWSALRLIAEQDEMSPAELAARQFVEKPTVSRTIQQLLESGMIETRQGQDKRAKIILLTVKGHETYDRTSSSIEKLEREVVGDIPEQEQNTMIRRLTEMRERL
MHAVAVLTPPSGASPRNLVAEWHAVVDELPSHFALFVIRRDIAGVSGAEPQIVGAVRSGGARIVIDVGDASKWREFRNTVKLGIDHIIGGYDHLMFLLALLLPAPLAARAGRWATVCDWRSSVRRIVSVVTAFTIGHSLTLIGATIGGWSLPVQPVEIAISVSVLISAAHAVRPIFPGYEAVVAGIFGLIHGLAFATLIAGLDLGGTAAPLALLGFSLGIELVQLAIVLIGMPTLLLLAPYPSYRQIRIGLAVVGMAAAVAWLLERAFGIGSVFVALLENTIIAVAIAVVIMSFLIVANQLFWRQFSRLGSAT
MSRRPARVAAASIAAGVALAAMPAAVASAHPSETSAVLVTVHQSDVDLELQVPLDRYTLATDTTIEPTDTAVSDAAASIESYVLDHVAVTDADGGLATTVDSVSLDTINSVPTLIVNVTGTADDGSVGESLILAYDVVSERIATHDVYVSLVSDWYSGAVADGEPQLLSVLTSTSKTVGLDRAGSSWWSGFLATVQLGMSHIAEGPDHVLFLGMLLLIAPCLAVATGRGAARWQQAPIKGWRAGGIVRRTALLVSAFTLGHALSLALVSFQLFSPPGMVVETLVALSIVLAAVHALRPLVRRGELLIAAAFGLVHGTAFATTLVEMHLDTGALVGAILGFNVGVELAQLIAVAAILPLLVLAARSTAYRYLRVVLAAGGTIAGSAWAIGVLTGTDSVFSPVFAAIAAAPRVSWGLLAVVCMLVWALGSRERSTSRCHRASFPLSGFSR
VKEFYSNLKSSGDSCTDDFTLKTMVNGITIAFNDKTLGSVLKVMTEGSRFFEIKKWLEDFEFNTLDCLKLFSTQMSLFIENFEKVTNLLSVEYKLLHHFTATHILPIIGGNEKMSCQDTYVMWYVVTGKPLNLPIFLMKNMLRASKKESDAFFFPMGW
MGQGPRDQAGRERTLLNNLINRAQDKDFPPGAPPPLPAQPPVFQTIDEVSGNVGVGALPPQPHAPPVPPASVFVTVNMALPPHGHRRQHSHAQHSHQRPQHRRAHRRHRHSLVDIKGSLAKHLSGSSSDSDSDRSSSSSEDSELICNKTECAMNANANHDEAGRGHHIGSLAGDGMCELIMNNKLNSSTAIGLDIKTVAILQEEKLQQLERGLDIEDRSSKGFLASNKRKKKKGRGRRKKKKKVPK
MKDSELTYQLGEQISPQILELSKITGLIPFDTCRLTYGQLTENYLLREAYSRNMLSRNRPSQKKRSKRDREQAYTGGFVYTPEEGLYDNVAVFDFRSLYPTVMVAHNISPEMLGVEACEDEFIIDELDYSFCQDEHXKPESRD
STFKRFVEVGRVVLLNNGPFEGKIAVIAEIIDHNRAIIDGPQTGVPRQPFAYRHLTLTPLVVKELPRGAGTGTVRKYIEKSGVLAKWEASSWAKRREAVRARARLTDFERFDVMLAKRARRDVTRKAVKAA
MSFRHLVQIGRIAFISSGTDAGKLCAIVDVIDQNRALIDGPCTDVKRQAIHFKRLRLTKFRIMFPHSAGSNVVRKLWEKENITEKWKETTTAKKIAARALKVAMTDYDRFRVYKVKQQMNRIINNAYFRLKSKEKKNPTPSKRKGRRIARKLRLKAAKKA
MGFTRFVEIGRVVLVNYGPDEGKLAVILDVADNNKALIEGPDTGVPRQLIPFKRLALTDFKLPIQRNARIGTIKAAAKEADLYAKWEASSWAKKKAKKVKRAQMTDFDRFKVMVARKQKSAIIAKKVAELQAAA
FSQALDWTHEALVVVHNLRWKSPVPLHGWKDFHLAVPELVVQFCVSCELMSQIFLYIGNTGSAMSVLSKGIRETISIPGDWRRRRDFKDATDMRKQVDIGQLRHPDPKSRPTHISDPRLQVWGSWTRLHAKRPVKKELMERQGHTCFIWKSRLYLAGGRNGTFTFFRDLWYLDLEADDLAWRKLPDYPVPVEETNMFWNWTMVVHDNKAYVVNGRRNVDYFDLITEKWERLQCTYEPLSRNERNWPY
MNLTGRRRALSLLLRMQEIERWGHLRELQEAAQLRTNELHEVDSHLAVVEDRELLGALGPEHLSIAHQHGERALAAAQTVAVQERVLLGIDAKRRTLEDLRAITNAELARMKDRRELVEVLDFFVARQIFDRQERGER
MDIEWSREAEDSFNDIVDWYLTHIGWHSAEKFTSAVQERINLLRNNPYLGPKEEALTDKQKPYRSLWDGKHCKIIYYVEETDNTIYIAYIWGCRQAPEKLQSKFR
MNREVGQFDDSTPPPGSTVDPCFEAAGPGELVGADEAVAAGWRVDVQSYVMTDGGRQDRQHSVVILAGATCSR
MRRVRLAHVATKTTAYTKRAYTTSTCTQHKSHHGPNLPEETPNSQDFPGNYGRCYQSGDLWRSSRGHGEKEKEKEKEDKETTDTAAVTTGEVEQDTEAWRNQFSVFAHKFHRRVVRAKQYVRAAQKYPDCGIGFVSLSPRKGEQTIPRFDTFWNVNFAAISAQYNLAYPKSLRVADLFVNDEIARRAYRKLAEQPDLEAIRHVWKSNAIFPVADGKLYWWPTLTLWLLRNMPEFAAKFLLASYIEPHPPFYMVADCLEYLASHYFQSTKNHSVVAADYFRSMFYVLLDSCAATRPYISQKTVFLLATHSTTEQATHLHEALQRAGLPLHHETLLHFACVYAKNGGFQKALATLEAALAAGADPLSDSFLATCNQTLHYSVLHPDGYHASSYIVSRFLELGAGMNQKLYNVLIVNALAANDVATALRVFSLLEENKVEIGSATYSILLNGYKHKTDAIAEFEAIIVRRAHECALRTADPWLATEILHCAYLYHLKSDRANKVAIFNSTLAVYTRYFQIWPLRILKIDARQNSRKEDYFETTTAAINVILTAYLNAYPERAYFTFEQFSAYFDNTIKGNLDPRNRYFQAMRTLLLDDYTHNIFLVALSQDSRNLQACASLVQRMGKTLPPEFLAQDLQTREPLEAAKPTVQTWSILLHAFARHGQTAAAEKVMELMRKRGMVSGDGEVVNAVTWNSLIKGYALTQDIPGTVDALMRMEGEGFDADGYTTGILKKIQDREALVGVWKERRGVIRNGSEQAGDILGDGLNHNVCRGQAAANDHAADFEQAQVAAQAEIVHQTDPLNQPVNTYTLQREHQNDDVHPLSNHQEMGIDDKTKREETETHGSGRENPEKDEPGHDESENEESEKDESVTEACTADDEHMEYLRSEHIDDEDPKFPIPPTGGVL
MLAQLARPVVPLVGGWRYTEGVFGLSKRTLIVLATLIVVVVLFAFKHNGNASAGDSGSSTCQVTVTADVLNVRASPAGSAKVVDTLHKGDEAGATKTVRGGYREMSANHWAAEQFLRPNAHC
MKRVAVTALAVTLMLAGCARESYRPKPPDPDGSAAALAVRSLASGELRRYLDAQGRSQQSWPRQSWDLSSLTLAAVFHHPEIEVARARARLAAAEKDTSKTRLPYTLTARPEFNDKESGGDTPWGMGVLVGLPLDTGGKRQARTEQLERIEEAAKLEVAVATWRVRSRLRRHFVDLYVADRTRKALDAEHSERGRLLALMEKRLSAGMVSAADVSALRIRQAESGLALQRAAVRQDQALAGVAEAVGVPLAELERVEFDFAAVSQPAPRSGGRGAPANRAYPPDRSAAQACGLRGRRGGGETGGGPAVSRHHTRPRLFLGRGREHLVRGRPERGAADCKNARADPRGGIAA
MPDGFREEMDRANLLGYRIFYFERSEQGFIPPDRWPRSALACVGSHDTSTLAGWWTGSDIDLRERIGLYDTEGADGQRKLRARQKQQAINLLDSMSMTGVSKEFNETTCAAIHRLVAKTPSRLAAAQMEDLLGVAGQANIPGTVDQHPNWQRKLPVPIEELEASQMFGAVIEAIVQERPRLS
MKGILLLNGEPYPEKINADGAPVYCCDGAYAWAKDKVKITKNIGDFDSLDGLPYPPPEEIYPSEKDFTDGEIALRKMLGEGIDEIDVYGAFGGREDHFLGNIQLLFYALCRGAHMRLISPKTIIFAVRGRVELGAYAGKTISVFPFSAPLHIIDSRGLKYSYPQKICYGECRGVSNIVEDADAFVQFAENDAALIFINLGSV
MKPDARGEEKARIKPDARGEETPRAERGVIFLNGEPYRGAMDCAGAFTVCCDGALRWAEGRAHIDVKAGDFDSLGFVPEGALTYPAEKNFTDGEIALELLLGRGCTRIDIYGAGGGREDHLFGNLQLLLAGFRRGAFTVMHTNYVDAYCARGQVCWRGLRGRTLSLAPAGERAHIIESEGLKYPLCDLTLRAGSCRGISNVIAADEARILCDAGTLFVFEVREEATW
MAEEKQTPEVRSVDDMMSREDTLMLRAILRDRNSRGVMPVSVYRPADYEDGEVLG
MIVIMHMKTVCSSKQIIFKCTLKGFTAYLHNESCVYMQTPDEFIKTYHLEYGNRGEFIISTPIDQLNENASEIPGTNKMTVLALSNRTDSRNQTESSRHSLPQLATRLVIILVALILPPAIYFFYLHRKVRNSQQNTHVRVQYNQVTRSDDLNGQHSVEGEAQSPVSDERLTNNTGQNGIGGIDCDSV
MTNFNSSLLFLLKKHNCQSYIQRFLQLPIVNITIGIMIIFSVILTIVELSIPEKSPYLLLCNILSTIFTILFVIELSFRFIVAPDKKFIFKNTG
MQGLISLEKVVEREEADDFEDLVYHSNRLFSAAKFAVDIVRINQECLKHPLENKKFYDDNFLGRLKDNMDKKSSYALDKIGPYLCYLTSINSFIYGLLKLKYSKEDQGYIFLE
MESVKKEGISMNQLARIAKCQGTSVQVLRRLNVSQTRQLIKKSVKGNPDGSFEFVAASYDRKTLGQTGTGHFSPVAAYDPQTDSVLVLDVARFKYGPHWVPIEDLVAATMPLDPATGLPRGFMTFRKIQVNREAAPEKCILQSCTEKCKNEVEVQ
SFHRRVLPPSGIAFASTRGRELFAEALAAGTLHCFFKLIEQFCTQAEPAYCGLGTLVMVLNALDIDPGRTWKGPWRWFHEGMLDCCEPLEDIRRRGITFDKLACLARCNGAAVRSVCSGDNAACCGGSSGRVHRFLVVSYSRREFGQTGDGHFSPVGGYHAGSDSVLLLDVARFKYPPHWVGLRALWRALCRTDPDTGKTRGYLLLSARKPGAP
AEPRLNLRLSLAKVGRRGRRGRRGRAGGGLGSCAEQRSRDLSIPRLRRDGGGAGVCVTSLAVCRGVVLGLSPAFAFAGEGEMNDDEKEQVAEIVKDVRQSARFYRYGLKRKKEEAKDKAGAAEEHVTKQLKGTLAKCLPQMMYGFGDHKDTEVESVAYLESLVFERIASLAARCVENSTLGQAHGKAKVTAQDLLFEIRKVSGGARGLLGRTKQLQGPRCPPEPHKCDPRSPPPSREHLAPCSGTDPARFLLYRLSFSGTHAASLLAQTEGQAETQEMQGTLATE
MNTPDRAAAAELAKYDAVFVTGGSSGIGEAFLRGALEHSRARVFNISRSAPSAGLSEIREFVHIPCDLSDASALDSLSARVRGEISALGTSRPPRVLLVNNAGFGLYGEFPSPDVSRNMQMIRLNVSALTRLCAEFLPAVKAGGGSIINVSSLAAFEPCPVLGVYAATKAYVKSFSLSLSYELGKHGCKCLCVCPGPTSSNFFKAAGFDEPPLAGGYGHEPAEVAAASYSALARGRAIVVVGFWNSAVALLARIAPTRLLLEISGRVLERVRRPRRAGKAT
CSAGFGKIGTSDSISLAAAQKMIEVNCTAAVTMTYLCLPFMTSGSRIAEICSVAAFQPIPQLNVYASTKAFLYHYSRALAEELREKNITVTAVCPYWIKDTEFIPIAQQHQANSGFSRFLLANTQTFVARKAWQDICRGRAVSTPGFVATIDRIFARFIPIEILMWLSKTIR
RALGAEVTTVQGDFATREGVYRLLEAAAARGIDALFANAGHGLGGSFLDQDFDEVQHVIDTNITGTIYLLHLVARQMKQRGQGRILITGSIAGFQPGSFQAVYNATKAFIDSFSFALRNELKDTGVTVSCLMPGLTETNFFARADMLDTRLGADDNKSDPVLVAKAGFEAMMKGEGDVVAGWKNKLQVALSHVTPSETLAERHRKLAEPGSAQEGRSET
MLPAQSPAPSVTGIRRALGESGLEIVQALPAPDREVPAARWEIEVLVHYDDSEGTPIDYRVWLEPTATDEETHVSWDDDDLRQKVRNSRYCLGVSAYFEGKREIDYQRQLELLSIVAPKQIALVDRSACCGRSRQWTLDVLESSQTPHLFEVHTVNEDSGSAVWLHTHGLLRFGIPELEVMDTEQERSRQMKTLLTQVAEWFLRCGTPPMDDAFDACPEIQTLVWLPWQVAIDQLPRTVRHAFERDVFHRAPAAMLFVPQKRIFGWRRYLIPGV
MEGQFNGRQTRDWSGSVVKTNEKEEEEEEEEEKEEERRGRQEKEEEEEEVEKKKEEKEKEKETKET
MDLYFHERYQAQILTVKENGWEEIRSIVQERKRVLEESEEQACSLAELALQQVYYKTGKALEKANEVHDDMISFRKTITSLVSCKDEFDIIVSGMGLQEYEHMYDAKGLSWQGLY
MAIAGNQFCLNFKIGRSPADLSLVLFYCNIILRKGNSKCTATGHRCLSI
MIKMNMILKVLSVGIFLPIVAYSLTQLSDRFRTEYVCDMDYGQILEETGKRSVLSCGGTCSRRTDCRSFVFNPQDKMCRLNSRILLFPTYGCPVVVKYGYFLASNPGLTTPPPFVGKIVGESCIDSTECVENFTECSVTDVCMCLPGYVYDYTNRTCIFIMARLE
QKRKKELKSGKENFIIVMEFIFLMEDLLVEVLLDDGHYYEAILTDVTDTEIVVTFENMLTVMGGRKDLETKNYPFAKARLPPLKDTTGAAPSYAEGQEIEVYYTLFGYYRATIKEILIDGEFFSVQHFNPEYPIVTYYEIVPVDRIRVPNPNPPLTKDDFVKFHINIPTNLRDIAKKYRIHKDFQLRISAAICRFEPGSGDLVCISRDDWSQKAAYEFIDIHINTLREKAEGFKKLEEKAIQLRSKLEEEEFFKEWTERIGENIHVSTSEQSTSGLGEQEIQFSVLNRTEEEHMLVESKR
MKEKSIATAFVMLGCGCLGALVCAVAGMVGRRFRSLVFAVDAVMVLKASGTVAARGARGHDCGP
MTGLGVRSSSYGSLDKTGLYAVVLPIHTTTNRTKPSKMHKEREGFVHWICKFAGRKKVGMLLLFLISAVVFLRVLYAGKGEDGQEVQVPPSLHFNGTSHSILLPTNQDQNVNILGTNVILSPPPIHFLGYTLPQRHPCHTFTLPPPPADRKRSGPRPCPVCYLPVQEAISLMPDAPSFSPLLKNLTYIHEDPLNTDTEFGGSDFGGYPTLKHRNHSFDFKETMNIHCGFVKGPQPGRNTGFDIDEADLLEMQQCRGIVVASAVFDAFDDVKAPKNISKHSEETVCFYMFVDEGTETILKRERGLNGNKKVGIWRVVVVHNLPYLDGRRNGKVPKLLVHRLFPNARYSLWIDGKLELVVDPYQILERFLWRKNATFAISRHYRRFDVFVEAEANKAAGKYDNASIDFQVEFYKKEGLTPYSVAKLPITSDVPEGCVILREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIAAKANWTVSMFLDCERRNFVVQRYHRAEQERIARRKPPVPNLSPPPPPPAPLPATPVLISSDLPRKVPSGRAGGTRPPRRRGRGRRSSPRGNRKANLPVL
MPAQPHSYALARLAVLESVRVSFDATTAVARHRNHQVADLVIGTAVDIGAFHRARTPAPCTAETLLVFSVHGKGIVMRPDAPRPAPRKAAYGAHQQQGARPLAELSPLPRAPPHPPTP
MRLRHALLTVGLLTLVAPSLSRAEERRAHPLAPGDWLEADRKRFQALSTRLSGPELTNAMPAVLTFPNAALRNTREEKPREYRSVEQRNAYYNLISLVIEFDRNTPAPVKDVKFFHAATLVTLWTQVGAIDAVDDVFCVRMSADTRAFLRDANQRLFDANLKVVNRLLFEWKEPRDPRAASPTAPISTWAFDAAMVRMEQDLVEDVIRERNPSAAVRQEINSLDSCVLSYLGNLAVRGGDTERWVADAVGRPDFFKLEHRRAIGYAMITGLHRRTKADFESLLRAD
MAICDTCNLKLADCAGHFGYITLELPVFHIGYFKNTLNVLQCICKTCSRLLLPDSEKRKWSRKFRNPRLERVPREQMFRKVNDICKRQRICPHCGAYNGVVKWVPAAPASRAPCALASQPR
MGSTLKTLFHIIDGEISWIHEILGAKSFPPRLCMLFKSISNKQLSMDYRSDVVEVINSCGEEDGVYSGYSKLDG
MSSFMRQYRFIVAICLVGFILRLGLFLVFLHQGGEENLLVVDAGQYFQIAKNLLAGNGFSMSYAPPYLIDGVRTPLYPLWLAFFYGLTHHIWPAVLVQIILNSLLPFFVYSIGKRFIKDKRVLFLALTLTALEPNLVYYTSVVGTEGLFIPLFTINLWFLMRCLDGGRTLRNALYAGAFLSLATLTRPISQFFFIIGGLFLFFVTRYLHQNWRRAIMISLAYVVIFLLVISPWVLRNWIEFKSVSLSSFTWLNFYSRTASSVVALRDHKTYGEAYQELYDDLLRAGIIKNTYDEKELIKLNSFSVQPELRRRAIDIIKSDPSAVPKIYAVTFFNVLTQDNTYLVLHQLGILPGVSGSVSPSLLLFQRGIKPALATVITLARNPVYVVLYSGRAIWFLITLLALLGIAASLRNADWRVRSVATLLAVTLLYFFILALPATASVDARLRVPTEAFEMIFISLGFFTSARYLKKGIMYEPEHF
MQPVHRITTQEFCKQSGQSTTTLWRKSKVDSDFPPPVYILNKKLYRQDEVTTWIEAQERTEPTHNNLIPKTQVGA
MSHTPLGEICVSLGLLTPAEVSGVLERMASPGCSGMRFGEVALDIGVLDDAGLSRALAQQFRLNLVPDDRVEKLQIAPEVLSLVSAGLMRERLLIPTFLEPEKRVLSLLTADPTDITALRQVQAATQASRLRLFIASKSALRRLVDRLVPQTADGEAEGATSRLAEQRDLDPDQPLSILVEPDAGMAAALRRLEALEGGEAEVVADPEAVAALLGPDRQVRLFYRRAHARQLDPFLAGWRRTCAGLRLCPVDAFGLTGRSAVPDDRARAFFLQLTEFLLLAGESRQMDARARVRRTTRLANALAEEMDLRPEDRDAVNIAALFCDVDELSLISGMLDSRDEGRRFALAMTVLRQFDPPWDIDSLLSGVERRLAGQEGPGRDVRVEILYTARSAVRAAVVDGGDPVQALGVEAARHDARVLRSLAQVLRRQGLRHQVAAGGGGSSAVIVAEREAAVLTALEARLGAAGFDVIVASDGEQAAQLARNLVPAAIVANLRLPRKDGLSLLLELRRHDNTRHIPVLLITDKGGGAKDVARGLELGAEDVLEKPIHPDVVVAKLRRAIARRPTTTVGITGVLSDLSLVDLLQTLTLGGKTAVVQVSGIADPGAIQVREGQIVAAQHGRRTGEEALYSLAVLTEGRFDVRFDDSGADNIHGQAEFLLLEALRRRDESRAATE
MKRDIRKAVEEIKSAGMEDFLYQDPSNFECDDDKFSHHKCTIGRKCTKGRKCTTGRKRTTGRKCTTGRKCTTGRKCTTGRKWNDCKDSGHKSSSCKNKKFDHFWYKKRNC
VITADLKTFIAGFNGPVYGTALENAQPYKEVASQDIFALLLGNEGEGVNKALLNETSQNLTIPIYGKAESLNVAIAVSILLY
MLKIRQYFIILVLTFPFLVSFNSNDVNGKYIASYQSPEGIQFVSNSNEWDKTKLIDLYKTLIKNKHGEEIKLLKEVKINGGPLSSSLTKGSYHALTSTITLYQGDKYTEPSAYQETLSHEYGHHFAYHYFPSHHLPFSEWLSVRGIDVSEVRWDAFWNYEENNHAYYPQEIFADDYVLLYGATNEVEAVDVFNNEAFYLRTDHENQQFPNVLENRELRSFLEEESGIEIDEDRLLESPRLTEWNDTKASYAITEKPNVAYRLNLTFHNFETNDDTSEHFELYEITTDKNKERIDFSLDQIDQDIFSNYDYVTANLDVVDLSTSIGFETEEITLELNM
NKHTTSILPLMCAMIYHRVQCNFSKRKFLAVLFLLSCNALAMPAASSAAAPVSSGVVAPSTIVDAALPVEGSGGGGNPIVQLAGYVKDSVVRMKDGTVELYTNHQKCNEIRSKQQLYAKLHDIKGKAAIAKAGGISYAEFDFLQRGKEDRSKLTQLVFMMFAAPNFLPYAIWLFPNMMPSPFSPVDGGSLGAVPETSIQRISRERTHAVIQTMLDLERGVHVPPTMSKLNPFGKKATSRAMVRLDRMGKIASSFLTTNQASGSDGAELVLDILEKDIYTHEEPKKRDIMLATVPKPIISGLAKAIEGQSSSFDLTFMVRGKILNHLRKVTDADDFLVNTKIDELDSELLAEACKTRLIGGPNRSDDENRESLSKWLEQTSIRPASKISAGGLHYNGNLARAALMCYHAVDGARDARSSSYLPRLLFQGQQQAPVVEEEEVVT
MFTPEEPDQLRDLTNMLRRHDRFLVCADFESYVACQDRVAEAYRDQQKWCRMALMNIASTGKFSTDRTIMEYAKEIWGIEQYESALPAPYET
MLKWAKDAEEENLFIFGNNAKKYNDQVPELCQVMYPFRIWSFSPREPDLFKDLVNMLMQGFNVFSDYEAYISCQQTVDPSYMNLTDWTKKVIRNIACSGKVILPPITPRD
MTKPKIVAGIRQNKPSTGRASASKAGVTMSMTFTTGLILDLLQKSVGFTDSQRNRVVFNVEG
MKKTYIHIGIPKTATTYLQNQIFPHIKSAYYVGRPYTQENHAFNLLQYADNTLFKPIKFANELDKINKLASDKEYILISDEKFFGLTTCNFINRSLIAERLSSAMPNAEILIFIRNQEDFILSMYKQHVKMGMVDRLLDKSYVYSKGSGFDLDKWQSGVRSFDLKNRFISHQSFFNIENLRFNEIIAYYEKMFPKVHVFLYEQFKYDPESIYKRLSQIFSFNVVRIANNVNTEGLVNYSLSLERLKEFRTRNLLSKFVKNKKILKIISKITVLRKESQNNLARDYVNNLMKNNDIYENNQLLDSRMNLGMSKHSKKYFGQ
VLVVAAFAFRLAKFNQTHEADDGLVGTTHRPPKEVFSRSASNKDAQAGGGGIPQRPYV
MKESVLLGRKTKDEMGTWEVCVGVGFIGYSRNKWVVVWAEGEDLFGTIFGAEGLKDMSEENMVLFNSTVKLNIKANRFIKRKYLGVFNHFGREMLAWNVTA
EPEIRGDSVYDQPNSDSRVPKEAIAETRFAPKWGPYLPLFEMIETQRQLLGNSKKATTISVDHYRSRRTRGTESDSRA
MYEINELEDLLKIKENSENRFIRAKANHKPGTKVPTPRIDRSLKLIVPFVTFENGYGSLNNDNWAYAVTYAFRDALDIIYELKDEKTNPHMVWTQGCRLNFLVGDMLNHKDGGVSLQVVSSMPMGWTLDKTFTMGSVTYQSFIQTGLSDFITVSQFEFLAVLINGRAV
MESSCENARKYSNSVSLQELRDSLAGFAEDRGWDQYHSPRNLLLALVGEVGGLSEIFQWKGEGAKLDSFEEVKSPDHGNPGKERGRLVG
MNGKKCWQISIAISLLLHLIILLFAAYQIALWLPAQQAEEQEDPVVTEVYMDEDTSSEDESASDDAGGASSQNNSTVSLPSEAAVTISQRPPTDAGNPQDTAENDNPADTINNGSDKNRPPRNHSLTTNTSNEDNLASKFGDGKSPVIINEIKKFKLPDSVLPVQESISVEVLYQAAPDGTVSADISKSSGNDDIDDKVIETVRQWRFEPRKTASPVMIANFICHPGDDTLFLIQ
MVRQEFNQGDEILEMAVQQFKQQSIPEFTNPSVAFPVESASRQHIGLASNFLKRRSSTFSNRRLVLAVGVVFAIVIGGFSVLPWESTSQRAFAQMQEAIRDLNSLVFEMKSYSGEEVTGKYHISYAQAGDVRMDSGLVSHILNVAKEEYMIVDDANRAVTIQPVYDMAAIQEKLAGVFGALLNLEPLPSTTMRTVSNDGKPAKEFKTVWDGSVATVIVDAKTNLPMKIELDRGKSQHDKPIREVATNFQFNVSLPDSSFAILPPNGYGVERIERHDPIVSSDSLILTIGKGVGPVRFGMSLQEVRNQLGDPDSFESKPTLVAELDEKGQLKLPMQLVPADPPQIVGVMQYRSLGLQIDVSSIEGVEWIRCYEKRLTWNRFGGMTSHGIKIGMSKNEVKSVLEKDESASRNWKQADDRWLLSGMDVVFENDKCVNITLGKPNIAKQQ
MRAGQRHSIGAGQSVPWRGKDPLGRLLLPARHWAPCSMLMFVDLSEVTGGAQLSLADSIRALLRRGEHVVVVGAEGIGKVLAGESGVEMVPVPGLSLRRDGRGLTRLIWRLRPVLKTIFRQRSPEVLCANGAISALAVSLLPGGRRRNLWYERDWRTPRPVLWWLKRRFDLTLCASDFVKARAGQGAVRIYNGFDWEALRQAAQHPLATDIGSWLHNRPFVVGAGTMVRWKRWELFLDVFQHLHRQHRELAAVILGEARDEEGRHYQQRLKAYAQKQGIAEHIFWAGTRANPFSVFAQARVLCHFAECEPFGRVVCESLALGTPVVVTTDGGGPLEIGDGVRHGFQAGEPDPQKLARLVGQLMNENGVELTSSWFERFAIDRHVKELSVLLRQQKKES
VCVCVCFASVWCDN
MVKAIRVHEFGDPEVERRHTILVHAEAGGVGSLLCRWGNALGATVIGIVSTKEKAAQAKDDGAHHVMIYEEEDFVARVNEITSGNGVDIVYDSVV
MKGLKFLLMVGGLFWLFKVSANAIELTYANFFPPVHIHSKLAESWMXEIEKRTNGAVKFTYFPGGALLKGDQIYDGVVKGVA
MTLDAAAPPAVGGHEGEGPPAATGGTTTGEPADAIIAPTDGFAPRMMQHPRSEAELETLRSAHESAVVTLAGASRPG
MKTWVVVINWQRWFDTALCLESLLRSDEPDYGVVVCDNGSQDESFERLQAWARGDIRIAPPAHPELARLVTPPLEKPIPYHVVKPQEPTVPPLPRPGGGRLILIRNEHNHGFAAGNNTALQYLLANHAVRFVWILNNDTIVRPEALRLLRERAERDPRIGMVGSTLRCFDHPDQIQAFGGATYDAVRGLVTPLGYHSSASSPFPPLSDVESRMDYVIGASMLVSRAFLDKVGLMDADHFLYFEELDWALRGRQQGYRLAWVPESVVFHKEGSSTESNRGVRHPGKQRADFHLVLSRLMLHRKWKGWFWLLGCLQFAAFFGKAVLRQDVTRMNILVQAMRGYRQWAKKGTPAWQLVFRPQP
MDRSSGKGICIMSMLDNGTNIHLLKLPKSIDGAKFQDKLLKEFNIKIGRPDEQNELKMTMNETLLYMDVKDIVDVFRKFI
YRSVPTASAPPSGSGSGGGGHGSSNSSSGGVGSSSGHSRSNHPELYAGAYSGSGGGGRGPAYEMRHHNNKV
MRNGDATVAVRYGETSGFDNSSLTSGARVRVTGIVSQYDKTPPYNTGYQIVPRFPEAYGYNGRQHPADIELITDLTPASESPVIAGVKPNPFSPDWGEVAWIELNAPATDRVTMRIYDLKGRLVKTCLNNAPGGHQNYPWDGKDNMGRRASIGIYIVHLRSVSPQGGGVDRTKLVVLGTPLK
MSMLGTWRPWHMPCITMHVQEKHKRHVKHYIFHTLNGTITWARSFTAYLSSWPSLIRDSMLLGPTLSLDVKRMLNPKPIQVSLL
MTDVEADTVASNQNSDVPEIEKEPKGNSETPNSESIYYCSTKERSICKSSEESSTGTRY
MILCDAVYINESGGKVLFEYFVKNLIATGFVSKYFFLCDSRLESKLFSEINSKQLLLIEASEGNRRKFYKKHEKVISKVFCFANVPPPVKIFNKDVYILFHNALILDNKNMYYDIKSQIKFFLKRLYIRSRTDIKYKWIVQTKNMSRLLSQALNVPLSSIQIIPFYEKNGFKGVNKGLKTNNGNYLYVADGVKQKNHLKLLAAWELVNSQYNIPITLHLTVPPKYLALIDEIERLKRNGLLIVNHGHCSFYELKTLYTNCNYFITPSLAESFGLPLIESAEAGCEIIAADLEYVKDIVIPLATFDPHNEEDIAEKIVQTQMEVYTGKTRLIITNKINILINIITNYV
MGPGGEWEDGRLGAINGAEFASDGAEFASDGAEFAISTIIGAEFFISNIIGAEFATSGIIGAELIVSGVIGANLIPRLASAKSCYQPSSSKTCLRLSLSPLIVWILYALMGLACTVLNPLAPVLSDSVLPLLVQSGARCSSH
MILYGTTLRYLENQKVVFAFGHWPHHPTSWERLGAWSYLLGEYLTLNMSNTYHYGHFWTGPLSSSSCRRKLEQCLVYFSSANLISFSLLITLVSLVFADMRIVPYIFDCIPDSPLADFEVLGQRTFAGGWQGFHFKGHADQ
MELNSALCMPNQLGEAYIQSAGWSARAGGRGLSCLIRASRSKYLDSSRSIFIDSMIFHPGKERRDGGNALRKRGVAFVVGYRKCLERAYHETNQGIPPRSHIDTNVNPARSPAVASRLALHYFRLRRRCDVLRRGAIDVVGGSYWSRHLRTVEDAVGFEEVELLRPGVRFLTPSSGIMRGSYCYGAGSSGLMKAHAVFAPPRYEAPEATTTVLYEKYRKMTMGR
MDRSKTKKYQTKVAKIFYCDEDKSEKASGKNVEKATENQDPVDPESWSKSSKDLDEINLELKDINRSIDQIEARLCRDPRQIGCFETEKCVEDDDDQWMLVYGSRDERIRQIQWNSRHIRRQMSGLEECATIATARISALEQLFLDQTSHLSELRRLYEVVRSFHLTKELEAMKCLQRYRYARGQFASWWDISRLGHFLIDSFKLLVDRTESRRSYLERKRHVIEQISATNEFCMAQCREALLSVRQLDLDLDVMGIGRVSEAWGRPESVNSASVGQSADSRLIGRAMLVRSNRWSGLYGSPKAIKFSQGEIMELPVKVPTVANTWYGRMQELLHGDAHKKKAKKQFENSSSPCNALPKT
MSTKLAWTRLNTEQWAVVVDYLPPVEGNIYMRLRFRMLRTGEPLVCNIKVLSHYTGYSVKRFEAALNVLLEGGYIIRLEDGSLWNLDVEEELKNCNETLNKLSEKAIKAANTKKNKHQDNSSRSHDDSSRSHDDSSRGHDDSSRGHDEVMMKHNNNNNNIYNKKTNTIVLVKKEIGSESLETDDQVHEPTEVHACESPPDQIATSSDNQPPIDEQENAPKKAKRVRSDRGCRLPEDFKPNLQYAIDKGLTHDEAMLAAERFANFWLAKSGKDATKKDATKDDWQRTWYNWVTHPELGPVAQKKAKLEQEKQYGKPRIERTGQQRGWNYRVAQHMSNVKNSDSVYKFLFEDNERTTIPLESGAKTIDCRSGEGYLIGP
MLMILIALQLENASTRLMDYGIIGIALVGITYAFWYLFKKQEKYSDGWKEQSEKMSTNFITLVTEQNKTNQRLIDIREKDVQQHKEFYESIKKDIQEIPSKTVKEINYNSLQNLKHNTPAG
MSTDVPLSPHELLTNDLSKMLSARGWDAESEADYSALNDVVQYIFDTNIYLENPASTEDLLSELMLKTRLPEPRYSIDAQLAAQLAARNWIPRTAADVAALDALSREIFETRISVEPVLSFDAMLAQFIDRTTPTA
MKRVIKYLRLKLAFGAFVSLSSASLAADLGGYCCSFLEERVAELEATTARKGNRKVFLAIAGYVGQELTWWDAVRGSVRPSALACA
HKSEKVKNKGWALLSASLIYLTEIVLLILLMVFLHSGDNFWPLKLMMWYMLLMMGSIWLTGFLFVQNLMVFVIGITTYFAATFDVATSTVNLNSENELVSELDRLLTTSLYTSTAHFVIFIHIAMLASSYMHERASRKRFFQRLMITYQQDKIISSKVKHGKLQKELLFNMLPPRVVNQLEEQGYDTGSWEQLKVVSARHQGVSILFADIPNFQEFASAAEPSYIMEYLNEVFESFDNLCDLYDVYKVETVADSYVAAVGIVTGAILDRKISMSTYNEDLLDWESKADPRSPNAKEFGVSGSTLMSSSSGNSKLR
MFGMELHSRRKRNQSLVAEAANIGEENARNESKESEFAYENEDGGSGTSNPSVSLEEEEGTSNSGKFGDGETGSKNGHLIYYYGILVILVPIPRRTRAKRLLSCRKRLTFDDKCSTPKRKRIPFDVNGTKVAKKLKKIKLEDEFEGSDESKVNSSGGRFNHRKSTEDSQCTARSGVKDKLKVTIKRLPSLHKEVGSSSKQKRNSDGNEKDVLSLWNRRDGRLVQEEKFLNKALDLEPQTPLPAKQKHPSSRKFSAGDKINQLKCGTEDNLQLVVEISSEAWYYTRFVNKCVLDLIRSLECQGASNQPCNRATEHQSLSDKLKVHGVQESLKGQKKRSKKQSRRSGYAKDTLRDWRRLLKKSVAEQPSAKLDEAKGLSLEERALLFVDKVGRIQGSRKQVPWKGSVLDSVIGAFLTQKVSDNFSSSAFMLLGASFPQHHSCDEYGRHHRMLREKRSNARSSPQKPAFGCICKFLDTQGNGWNLEKHTRIPDDGKREKTPRQFKVSRRANPIPDWSSLRMKYSGEGERERRSIDTMDYADWVAVSRANVADISKAISLRGMNHKLAKRIKAWTPNYMILFMNTFGHACANLTRKR
MADDGGNTENSEFAASMVHTWAEAVVRQADRLDALLAYLDNDGRHHEYMDDSDLLQDFRQAWAESHQMVSASYQLERWRGRQHTLRTGEKAPVTDMKLKHLRDALEHLDEADIQQGRAVSDERSLHKIGGLDLEVGSRWLFDHVSIDDLKRDARERAAAAEAELEGTAGDRAASLAEDDAIEAQRGS
MEASRLSLSGKLVAELNCDKHSLKLISDTSNGIFSRHVKNRVNSLCYGKKGTRNITYLFNAPRTPAYNMIATQPQRTPEFQMPTN
MAEPEILRLARTGDSKAFAALYNEHHETVSRYIMFRVRDRHLAEDLTSETFLRALRRISTFSWQGRDIGAWLVTIARNIVADYYKSSRFRLEWPTGEMRDMDQMVGDSADVALDTLAKSDVREIVRTAVARLNTRQATVIRLRYLEERSITETAAALNTTTGAVKTMTLRAMQNLQHSLIDMRGVA
MRHRLVTHRGVRSSTRCKHCRTKGTQLLRLDQKGPADPLARTQGFEAFYLRHFDVMTRFVARRVADPHTVADLTAEIFLAALHSRHTYQPGQGSETGWLYGVARNVLSVQRRRSEREARAVERVVARRLLDGDDIADLTDRIDAEEPARRALSAMADLPEGERALLELVVIDQLTVAEAAQALGKRGGPAMTRSSPTAPYRGLTCATTCCASSSTPPSGRSRAHSPVPGTFAGRPGRPPLRAAPTVAGNRRTPTLQERRHTHSHSHRPWTSEGSACQRAPPENRLILPSRFRTFLTARRREDRGRTA
MSASATDAEVTDLATLNVLIFDRLEVIAKALTDTDARILPRFLSKGDAARYAGISEASIEKLLSMRMLKPYRPVKRRTVVDRLELEQYVLSTQPR
MMPLGGLGGPDASKGGPAPGAADVAATAPAGTRPDLENAAKPGGARATIEAYSYLNAVVVHDYPWRFPLYEALIKQLDVPTALIEIEAVILDVKKSSVEELGVSWGGRLGGVAAGQGPVTATSATGTISLVAGAVGAVNPSTIIADAGNYLSSRIKALEQSGDAIVQSSPSILTVDNVGAVLDLSQTFYVQTVGERVANVTPVSAGTTLRVTPRMIERNGVRAIQMVIDIQDGQLVFPDGQNTLPTVANSTISTQAIVGEGESLLIGGYNTDTTSAAKSRVPGLGRIPILGALFGTTRDEVSKRERMFLIRPKLVALPGSVPPPAAPVPGPTPSATSSTTAPASPADLPSAPPGA
MGTDLKSVPTQVMGFKIITKNKTFLLLSALLLLYGCVPPAEQEAMQKDIASIREEFGKKNITLYNDVEGVRTRQDKTEKSLSEIRGEVELHTGKISSIIEDFKTQQSKVENDIADIRKEVGTHSQVINTINGILEDFKARHDKTDKEIAGIKKDIDLQNKLVAQVADELRREKAVIFNSLDEIKIKMSHLEGRFDESKFDAQKAKDSIESVQAKSADKKETLDKFAAIQTTLASMEKRMSSDKKELIDEYTAVQNAIQTKWTALERQLSAQNEKVKTIEEKASLLENDIKQQKESITNILEKESKKPAAMSEQIDPKTLYEEGRKYVMEEKGFNKGIEILRNFIKIFPEHELADNAQYWIGEAYDRQKDYERAVLEYNEVIKRYPKGNKVPAAMVKQGMAFYKLGNKEEAKILLERVKSKYPDTDEASLANKKLEEMK
MVWSLPYSLTRNALGVMLLRVRGDTAKEVERVCCVQRSQDMAGPSGPLIRPPG
MCGEKESSQDPDQTTPVNPERPAEPSEPGSSEGLEQDAAAIPATGDMSMLAVAAAAVVGTAVLAGGLLARRHRSQRDGTTTFI
MFTSLEPFVAETSFVPEVFVIFTSLEPLVAETELPPVVVVVEALPLPPVVFVVEMLPLLQVLEIELIPDVVVDETSPVQDALGTTGLAVGTTGGLGGRRLFVQSFNWSSVEDEPGLTLLAGTARLFAQSFNCSCVCAET
MERAIKRGAVVLTFDPLLVTWRGRCVPLSPTEAQVYAYICRRGRATYEELNQVLANNGANPATRSLVLGHIRGKFRQIGACCPFERLGMNMLRLRVDPDEHGSTAPVIGLTVPRYVIAS
MAETTKEKADIIKYSDIIEGLSLSDEVNFERLKRGTQIFVIEGGERTLIYDRYSYYNDKNSQAKMWKIKLFSRGGKEMEHVGGLYATRKEALAAINDLSKAYGDKVFYLIPFKFSYNEPEKDNKNYKKSGRSAIENIFQILSYLKENTDKCHPTSQGEMRKGELGEYMGTHSTFNQKMINLALAMDYDPEQMVFKSEEDCRLIYDSLKPLLSNNEDYSDDEDEDEMNDNISLEDRIRSVRNIYYNHPFSPEEVVDLINAVQMSKALNPEQVERLVRKIKMELASKYNRDHTCRIHNNENTDSELLKKNLAVIQSAIKENCQLSYNFCYYTTDKSEYGDEEVPKPVLEFTAAEEIKTHVSPHYIIQDNGRFFLIGCFENDKSKGKTLSIYRIDLMKNVEIREENGKKILATKADVAKTSVPYKEQLENFRHTHLGMGYDTPKTVKMRVTNFYRTGKPNLTFLHDSFGDDYTITGYDNGVIVSVRTSAYGIVNWALEHSDKVEVIDPSDVVETIKARVRELNDKYICRWDK
MSKAEENIARCLLAIEEKLDWGPSAGWTHQDFVRLSERIQEETGHPLSYVTLKRVWGKVAYESSPAVNTLDVLAQFVAHENWRAFVRASGGLETVKIAAKSKSTKGQWWQRWQAMAGALGLAVLLLVLFPGHKEEPAFNPDDFHFFSKKTRSEGVPNSVVFEIDARLAPFDSIEVQQSWDTRRRVRLPRSQEYHTSIYYYPGFYLAKLLVGGAVVKEHALHILSDDWYGAIVQEPIPVYLPPQTLRKEGKLAVYASDIAAHGIPLEPEVPLVRMGLAQDFEGLSTDNFHLRVRLRNTYAAGSGACQETYVYVIGEGSIIKVPLFAMGCIAASNLRVMQYYMPGDKYDLSAFGVDFSEEVLLEIVARKGVLKILVNNKEAYQTPGQVKRQKISALDVRFSGGGEIEEVLFGSSEGEWIVEENFEK
MIIESEDILLAHCKKLIEEKIGWGNSEKWTNQDFEDLSQRIFAVTAVTLSPTTLKRIWGKVKYDSAPTVTTLNTLAQFIGFEHWRAFRQNHFPKSEPIEVERPVIHTNGEIAKTAKLPYLFSLILPILLVSGAVSWYFLSKAQDAPAQINPADFTFTSKKVISVGVPNSVIFDYDASKAPDDSVYIQQDWDPSRRIKVSKNQHQHTSVYYRPGSFLAKLVIGKQVVKEHNLFIQTDGWLPLIEQDPVPLYFKQEDAINDGKLGLSVETIQAQNVPLKPAAPFVEYANLKDFGDIKTDNFIFETSVKNTFREGASVCQFTTIGLRCEGTAIIIRLSAKGCISDNGIYFVDQDISGKEHDLSAFGNDFKDFVKVRCESINGKVKIFVDNKLAYEFSHKGAVSKIMGITYRFQGSGLVDEVKLSKGDGKVVFEDGFSR
MNFFKKLFGVAKEEKTPTVEELQRADEKNFDILKFDGVKALKINSVGYAIECFTHALNIKEDLEVRDYLSQAYVGVGDYNNAYQQLEKLAQAQPDNIGIFLRMAGIAYVMENYVLMSASCEKALLVDSKSVEALFLYAKACIGTDDITNAVAMLTKAIALNEEYAEAYLLRGEVYLSDGNLDDADNDAAYLLNNYPDNEEALMLKANIENKRGNAEQAMEYYGKVIDVNPFSKEALENRALLRKQAGDAEGAKSDEERLNEILSREQEEGDQQGIESKVKESYNNINPLGI
MPIYEFRCEKCALVFEHLAMGQGDMIEVVCPACKSEEITRVMSTCSSVVNGSSSGPSNQGGGVQVENRSCAGSNSCTSITLPGLDS
MKPKTVSDLMEGNELIQTDLQVVIFDPKENCTYVIANGKAIKMDAPPSGLGKHIITWQDGKVVYLVKDEKQKIE
MKFFLKKSKLIKNLIMILLIGGISLALINRYQYFLWATFNECCTRWEFKLPQPKEEFIIRSEGVDLPRVLERLLTLAFPEYKITYKENARAPHLLITGYYGQSEESWDQQAVRAPYFALSIENKSVRWRRFRATGYPFAEFVSRKKETDNFIFLPFFIYAYDSLKDILEEAMRQRPTATPRSHQVAYIASDCTPEREGIFHALRQRLGGDQAIGMGQCSTTPGHKAPGGYGDLDALLKDYNFVIAAENRDYKGYVTEKIINAYKVGAIPIYWGDADTVREFFNPDSFMDVTQYASFEELADAVVALTKEPAELQKKLRVPLFKDNKIPDFLLINEKHLTPEAEKILKEMAKRFRRLYDEYVDKRKNRQPYGKALDWSWESLS
MPPRSTVVHVRPEVRRRVHRRRFFRRLALVVIGAGLIAGTVWQYEETIRPQPVPRLPPAEHKAPRFIFDGHPGSTADSVGLGQDLARPISATPIPVAALTAEPKREASLLAPPVVEAGPTGLPPAVSAPPPAPPTPSVREAAGTNVPILDWFSQLPPLIIRLFEDRLANAIKDVGEESVTLKYREPEFRELALQHLRQRFPGQLRDLTIRFRASAIDGACTVTVGPVTAQLLFRAGVTVVDGRLHVTLQAMRVSAWEVPKPLLALAEARINDAIIGHPSRLRLTWVRVEPGQLIVSAELQEP
MSPVEIANKCRALGCSSSPVAKRRRSEQVASDVRVVTPLEEGANMRNEHGQAKKDVLAVGEPTDGENGNATPVILLEQTKNNKAWADVTKKTNATTPPLTVETLPEETQNYRFLRLLPYETEFSTFAKRRWLDREILEVFTKEFTKFDKQHFEERLQSGGILVKSKGKTDTPRPVDATYRIKDGDFITHKVVMEENLILNQPIEIVSETEDLLVVNKPASVPVHPGGSYKHFTVTGLLAAGRFTEQGGVGSTRNSSSRVTCSPSTASNSPSVFLSESKSECVAKVGEKDESNIHIAGAGRDSDDSKQQDVTSQRQVHAELAESSPPFLTSNSRFRNMTLHTSHRLDRFTSGLVLLAKTRERARQLQTEFVNDKIQKTYFAVAEGNLIQTLLEAESNKNARTNLVPACYDPSDVTVVVPGGGRGPQASAGGDGNTKISPMIDFDGLGENNLHCLVHGGITCVNHKLGEHAFRRMARPEEKEDEVTVTARKKRKHQESLLSRSTSATTNVVASNPPAPESSTCSSTDTHGKPEAQQVEEPAKWSETYFYPVFYDKAQNTTLVIAKPKTGRTHQIRIHLQVLGHPIVNDPCYNEAYHKRRKGTKNGSSCSATAGADGAEAAAVTEKNSAFTVKNTTSEHSGRDECVCDEDVDTSSAVDAEYQQLRSDYPLFPGGHYSGIFLHAVEYKGPDWAYRTAVPEWAKSFSTERVDEDGLVRAL
MKKGDKIVIAVGVAVIVLAAIGLWIYAQEAGNEQQGQPQEKIKTYDVTWEEKQGSLSSIEGSASDKILGKDVDYNGTITIPVSNIKSITFTLTWEDDHTYGILKKKGEDTLTFSVVSPDGKEIGNEQSIGNGTIILTATNPESPQLVSYVEAKTLEDAERILESNYTCENWKNEPFELHVSVKVGEKFYKPFKRLKDTGNNFTIDVTYTYYVPHLTEETTSSEETSVEETSSSTEMIAETDAIGQIIATGYGFH
PYSLLPTPYSLLPTPYSLLPTPYSLLPTPYSLLPTPYSLFPIPYSLFPVPFLKML
MKRFRLGDEEIEVNSLNLAHVLMSISQHGYSHNSTTTTANNSSNHSSSSNSSSCNSFKILEQPSQQMQMSSSSNRMFECKTCNRQFPSFQALGGHRASHKKPRILADQCSEARMGPTKPRVHGCPICGLEFTIGQALGGHMRRHRAIAEGLEQQEVEEKKVEEEDTTLCLDLNLAPPGTQWRKMSSLSTQSVVHKVSSMVDCFL
MSSRIKRARDGDDDDDDAISRGSAIVVDVPHHGEDDDDIHVVDEEEEEDQRRRRRAACHVLLSLSLSCRSSSSTSSPSTSCSIQDDQAAAATGTGTGTGIAPPPPLKLIKRRRRRRRRRGLQVDDVFECQTCGRRFPTFQALGGHRTSHLRRPAATKKPPQSSKAVLVHACATCGLGFSTGQALGGHMRRHRLGRADSVGSGDVDLTQIIVHGRPTSASSSLQLLNLFV
MSGKTVYLISGANCGIGHGLSALLAARPNTIIFASARNPAAQSLKDLSANDQANNEAVASKIQSTAGQLDFVIANAAPSIRASIPASESYSETGACGIPLVKAAVLPNSVATHPGLMASEMSNGCLVSRTLTRKLITALEDLYALAERWMYLAMEARPVKMTAKMGAPDGEASRRV
MQIKLSNNFEKNLYIIYEFILKDKLSAAQNFKKELLKTIKALSDFPLKYRKSYYFDDEI
MAIKYPEYVNKLMITGANLNPTEQAVDKAMLAQVRKDLKELIKKDDAESQQVARLLKMLLAEPNIDSQDLHKITASCLIMAGEKDIIKQAHTKLIADNINNSKLVIFKNESHMVPEENAELFNQTVLNFLNEGIN
MFYSNIKVLSYPLLFTSMLTLSFATDTLRRVTANPEWFATVLEASMQRIAACEDTDPAPQSSSPELQTCSFASAFEPQLCRLAEFQHHCSNFMDKIGSYIPPINLQSYISTLGQQFNAAFFSALQSIPPAEITAIRALKQSYSKFYLIGIISYGRRHLVPRSRKAAFPSNLASNFCIECPISFRRFLNENRQKISNTFDRIIKSLEESELKTICTERLYMQQMWRIEKKFNKLFMVENKKTAATASLTPSTPPLRQLPIKRDQLKGERQQKDQRGILRPARQ
MLSICRWLVVRKFAALLLPAVALLSAGRVWSQQPVVGSVDFAPAAGQPGIQPTGGPQPGVVVTPQPGGQTPPNQQAEQGNPETKPEEADKEKKDEPKEEPSDSVTPPEKPPTVADPKELEAKPDASGLVTFSFNGQYWPDVLQWLANVSDCNLSWTELPKGYLNLSTQRPYTLPEARNLINQQLHARGYTMILTGEVLSVFKIDKLDPSLIPRVEEEDLYDLQPYDYVKITFMLPEGVEVAAAAEDIKKAASEHAKVIPLPATRRVLMISTVASARLVSSILNEERLAADGQVVPVEMVLKHRRAEKIIDILYVVLGLDPASRPSQQELAVQQQKMQLLQQMQGAGKDVSKMLQKEGPQVYLAYNSHRNSVLINAPPMELKKIRRTVELLDVPAGGEPVDVAALAGHRVPKSYKLETIDPRTLQSTLEEIGDLSPLTELRADSRADILFARATENDHEKIARMIEQLDEAGMETMIFTLRKHPADAVAGTLRTMFAPKKEDDDRNSRYRSWDPWGYNPYRQEEEKPPTDVRIDADIENNRLIVRATPEQLEQVRDFLLKLGEPLDQADAGERIRVVEALPPEETARLLQEIRRLWPQTGKNQLLIEGPTGATGIRQREQEPAKSTVPTDRETRAQSSGSKVFQFAVEKSSAEEPAEQPTSNAPPVTVKIAPDGRLVLSSDDTEALNRLEELIESAMPPQERFRIIPVKYVRAHTIYMTLDKLYEEEIKGDDTEGYFDTYIWEYIPGKSKSTSPQMSQRRKLQLDWDPTSNTILVANASPSQLREIELLVKAYDQPSDDDATTRRTAAIKLRYSRAKVVAAALKEVYRDLLSSRDKEFETEDKKGASSTKETTTIIRYGQQNSGGDTAKRPTPVKVGFQGALSVGVDEISNTVIISVQEELFESVLQIVNSLDEAAKPDMAVSVHTVNSGVSTEALQKALAEAFGTPWVGGKPEKQEQPAQQQPGNGQKQRGPGGPQPGGQPAVQPSG
PLPPSPLRPPSLDLTDAKKLEENPEFLDPVLLPLESPSIYSTIASSHNSLQSNFDPQQLSLLSTQWPEPPSIAIVRPRSEKSPNMNFLQTEGSPFYGSAVPYNYSRNSKNRNAVYMTVVREVEGTS
MNFCAPLDDIPINSKTIAQHKATLAALKKSGMNAKSGRLLFEALIYAPTASLEKLALKWIKKHPEHQYTPPLTALILKAFPDSDLSDFTRDLLQNYPLGMSLSYLIRAVLNSTKHRRRFYKLIENRMDSEPE
MKLDQKKLEARTLQIIRRLKHKERPCRFDLPTSIGSTQIRGLIQALCEQINGEDSDG
MENKNVLPEKPGRYPAIPVCEHIGNRRKDCVALKHHSFLGTKDIEPCLASVVLLFGKSKGIRFIYWVQS
MLKQKFPSNIKKEVIKNYKKLKNKKVAVRSSATAEDLPTASFAGQQDTYLNIKGDKDLITAIQKCWASLFTSRAIYYREKNNFKHRDVLIAVVIQEMINAKYAGVMFTVDPVNKKHILIEIVEGLGEALVSGQITPNTYFMNKKTHKVEEASEHFNFDQTLLTDISKIGEKIEKHYKKPQDIELALDKNNKIYILQSRPITTL
MAFLVLPFNAISAADLPRVGGKGANLGELTRAGFNVPPGFCVTTGAFRLFMAKATQDMDIYAALESITPDDIERLRQVGREVREHLQRIPLPQDIADAVVAAWREVGEEHTYAVRSSATAEDLPYASFAGQHDTYLNVRGEEALLDRVKACFISLFTDRAILYRIQNGFDHRQVALAVVVQRMVQPDVSGIIFTADPISGNRNVVSIDASFGLGEALVSGLVSADLYKVHKKTFHIVTRHIGSKEVAIRPLAEGGTEQVELPEAERGRPALTDEQVIALARLGVQIEAHFVRPQDIEWALENGTFYILQSRPITSLASFRGAAHRSKAD
MVSLHPPSGKTNAISNTDFPYFQCASVLGTLTVLLSFTAVYLPASTSLFTTLPPQQTSLDKPQHPLLIPITASPAWTLLWLCAGTAVCQGWWGGWMRAWWASYPRLGFVGRVKEMDEEAKIMKRQRESGRKFADLRNACANTLLVSVLYHLFITAMGAPILSHLPQTYLLSLFLSLLTVFVPSYTLSLPIVTATFFRSKGPIHFHWLAAMRLFGQRRMETAMERALVYPVIATLVGSWVGAFPIALDWDRPWQAWPLTPAYGALAGYLIGSWSSFSVNTLLFFASHDLSQEAAVTQTATSVMRSKKLL
MSNYYTQASQKIELIPSHAIFALTAYKCLTDPSLDFSSESTPPAVQYPLAAYELARKVALSEDGGYTKDNFSIPFILTPGIGGLQIQHDESIDIDKAALFVQLLFKHFDLDTYLYFDVSYSTSSDEIDGFGGGAVFITKDSITSMSTESWIGEQIRQFHESKTKEQSL
MRHPWRLIFIALLFPSLLALTVIFGATNTQSNKAAIPAAIVNEDEIATLPTGQKLPGGRQIIAALTSDTQDVKGWDWSVVTAATAASGLEDGTYAVVVTIPSDFSRTLADLAQGKTLTRPALTIHTSRATNEATGRIATAITRAGATHFGQTLTLSFIDQSLQGLGTLSQGLNQSASGAQKITDAQIRAAHGATQLSEGLTQAQKGGHTVADGASELSSGIRALHSGIVEAQPGQALSLVQGAEALAQGIEQYTKGVERVHSALTETQPGQSVSLQEGAHQLAAGSSELKALIDSLNIAQLRSTVEKIKNQRSEFETLIAPIRTDLTSAYQACQQGNLDSCAHVQELIITIGDDVSHRIGTFSEDIDHIIRISKVLPSLVDNIGKVDQLASATVQLSEGIDTLSENIHTHLLGDNADRLSAGARQLSEGIHALSNGSDQLVHGGSALASGALKLADGLDRLNDGGHDLSVGLHDLASGTQTLTDGLQSAAERIPHYTDAQAHDLASALAAPINIRADTHQENPHERAYWSATAIAVALWLSAAVWIMVFGAATTRRIHQARTPFQLVWRTALPPIAIALTGTAILMGSITLAGVSITHPATLFILVTVVTLACLAFHYASICALGVRRALGLSVFFTVMHIVLLGGALPSHAHTGFFGAIRSFLLLPEASDVFRRLILGSGSGSMQSVLFIAVIWICGSSFVAIRAIGRRRQRSVRQILRDVKERKTRVRQNFRRARSARRSTQ
MRRLTGFGFFLLPGLVLAHHSTAYFSDEIRQLEGVITEVQWRNPHIALMIDVTDAQGETEAWRLEANSIYNLLRSGVTKDLFRIGDRLEVTGRLSVRQERVLLTNGVILPSGESLVLWNNRRDSRGPELPDTVAENKGIFRVWSVPRPSGRSLHFPFTETAIAARASWNMLDNFAIRCEAEGMPRIMINPHPFEFTDLGSEITLRTELYDIVRTIHTDGSSPNADQALSHLGYSVGTWEGDTFVVMTTHINWPFFDNIGTPQSEDVQMVERYTLSEDQSRLNYVITITDPSTFTEPATITGQWLALGEILPIYDCKPYEL
MSTKNAALAVVIFLVATVASAHHSDAAFDHNRVVAFDGKVVRFQWRNPHVYIVIKDSIDVEWLVETGATPIMRRSGWNRDSFAIGDIVSVRLNPDRNQGKKHGLLLSIMGPDGVAMSSRQENFESDLPTTGASTTSLAGIWAGELAPRKAFLTSLRDHPLTPKGELAAAQYDNSMHSTVDCIAPPTPWIVAIADIHLGEIEFREDIIYIRSEFNDAERTVYTDGRGHPDNGERTDQGHSIGFWDGSTLVVDTKHFADNRSPFPFLGIPSGAQKHVVERYTLAEDGTRLLIDIFLEDPEYLAKPITTKLAWNYAPHLELLEFDCDPEVARRFLE
MRSMRGSPFASWTGSDIPAVWALIIIKFVFFAVQSLFPGVDVFSLLAWMISPAWLTHVEPWQCVTYSFVHGGFLDLLFDLLVLIFFGSVLERAWGSLRFVGFYFMCGIVSGLVVLGLTFLGLPPGMFISQTATFVGLGVAYGAMNPYAQVYLFFVLPIQARWLGLASAVLELLLKNGAYGGPLSALISISVCCLFAWTFTRGISWRPRGGGGGPSLRERFERWRQRQRMRAWQRKVSKIDKPEDLFK
MSFKAIFGAVLVLLLLPLVLRLAPIGHGAPRNYVPDTHVVKNALGMAKDKDLIPPVGKYSSYPYLIPYLLLPIYGGEYAVGRAVGAWHSAEEFKVRALDSPAIVQIPARVLVALFGALSAVAAFAAARALGLKSGAWAAAFLVGTSLLHVQLSTHERPWIPVVFFGLLAVGSAAKHTSDGRVFSLLASALFAAFAFACHQSGLVFLALVGLAWACAPGANDAAGWRRRIGRGVIAVTGFVVLALLVGHPYYLRYGRVLQEQVVGADQAAGNFSVGGQAVRFGFSWSSVEHLAVSFFAYDPVLVVLGVVGLFLWARERRWTMLFAFTLGIGVFFLTNPSDHVRYLLPVGTLLALFAARTVELAWTRPATRAVVALLLAVPLVQAVRFDVVLASEDTREEAERKLAELPEGARVAIDHYGPAVDLDRDSLERLADLRELRQREANRLEFLKLGAYPPERLGLDAVFVEELLGVDPRTGEYGVQPALAARGATPRELFEALGVTHVLLVDRDGRGSRLDALAADGREAWRIHPSKPGSDPVEAFLPTEMRFPLTALWRVDRPGPAMRLIELARAR
MSSASHPDDHLLAAWNGLAEDNQTMRLVSADTLEPLVVGLPEAFSIASLPRLAALDAVGAPGEYRVARTLGQGGMGVIRLAEQTSLFRNVAIKTLRTDQHYEGATLDLLREAWITGRLEHPNIIPVHALGQDEHGLPMLVMKMVEGVNWAEALHDPAKMPARLRSERDPLTAHLKILMQVCNAVHFAHTMGIVHRDLKPDNVMLGAFGEVYLVDWGLAVSVIDDGSARLPLAKDIKDVAGTAAYIAPEMAGAHGQAIEARTDVYLLGAILHRLVTGQPRHGGESLMATLINAYDSKAVDYPDFVPGELALICNKATDRERAGRYESAEAFRVAVEDYVEHRDSHRLTESAGRQLATLRSFIAEFLAQPHDAAGPRTQRQPPTLIYKLFAQCRFGFEQALMLWADNDDAKSGVQDVLEVMIDFELHVGDEKAAANLLAELKQRNHEFEQRLAALREALGREAQQIDRFKRIGHDVDLELATGERSVMIARLAVVFGGAPLLNSALVRLGASEYSFQNYFVQFAAISAGAALVVYVMRHKLLKNAINKRLIASVFAMLAGALVMRLLGFVLGLDVAGCTALENGLFAFGILMLALLTDARLWPAALAFLVGAFGAAFVPSLVLEFDGLSNFAALLLIARAWKQTEDSKQR
MFHFSNRTPGGTNWNRKIRAETASEPVAGKLLSGKVRASEREFRGLNHPPHGRLFFHRAFKENRSYRA
RLAKAPVEKKPEEEKEKVTFTKGKDQPLPEPATEPEKEEKPAEEPGSPVAKEKEELVAEIKALLKEAGVDERLFKKWLGEELQPIKPDRQFVGLKFNLFLFRFFFNRSFGQA
MTALPWAVEPPDIILQEQGQQFMGSANDMKAAEKTYSGFITMIKWAAPIIALIVLLVLILIA
MAAALAREKALLERAEDVEREHEAALAVVRGELTAAYGREREVVERAEAAERRAAERVAKAEGDTKALRERVKGTLGTEARAVRAEQAVQVLTRRLEQSEDLRLRAHEML
MVHIVASSHVDPDADGRKPYQLLTFELPQWTLQQTRNLGSLDAPPRLAISANASLTVQPRDEDPAAAMAAQVQSWAGADAMTWANAIEWSAGNVLLTYALPDQRGTGAALALRTDARIVRLAEFRHGSGDIPRTCVWRRAASSCWPP
MHALAMKMHGIVCILRVKCLSLPPCAYTTRFAAALALNAWHCVCSRMLVIAHACAYTARSAALHYMVSTAWPACPQAVQRASSCHAVLLGLACSHTMALRFMIHPTQWVRLPDIIRAGPYATGTPDPTA
MNNILMQDAERHPQLFVWNGAIESNQLEVWLQEHQLNLPQDLIELWKQTNGGGLFETETILSPFADESLGDDIESVNELHYSQGMSKNYLLFHLGTGLSAVRLTDGYYVKLDESYQEIAQFQSLDDWYGHELREEYAKRYNFELEALKDIRKRLLDRKELYFEKGFKLSKREKEKFKNLEYRLDYEITQREEMMLSENIKKTISEPIYSEIKRGRKILDKLRISEWQEAQQFLEDIYERCYALEVNRVSEVEQIISSSGWLLPTSTLSKSDLVLLVDFEQVKDRDSFFIETLMIPKRINETLSNWNWKEESKEESKEESKEESKEESKEESFVNKRYPILEQAVKAHLNKDFYLSVSTLIPQIEGLLRDVLDEPEDFNSLSKEHMKKATNQLIDGWKNKFSKLLNGQVAMLERLPHWVDNLYDEYKPKTKPGAIIPGKIYRNGICHGLQLDFGSEKNSLQLILLLDRIIYFTGLEES
MSRYYSGGYDRLDDPDWNPNLDASESAVNLGSYEPVHAHEDDAAPLNRDTAYQPAVPATYLDSKYPPRGVKGWKSKTWDRWTGRKKIIVASSVIAAVVVIIAVVVGVSVAETEGSPFSYTQSFANVTNVNAFASGGAVHGSPVAHDGIGAGEDTYTYYSGDASNFPNNTKWMSYQKMWSNNLNTISGSCTTLGDGADDTAKEIEYIAQSIRNRSIVSLVDHRLILAVILQESGGCVHAGQTTSYSGVRNPGLMQSHDGHSYNPSHSQLSILAMIQDGVQGTDKGEGLVQLLNQYGNPYEAARVYNSGYIPKSGNLSEAAGATACYVSDIANRLTGWTTAPNTCPGQMGTA
MSIAVPEDGENLLASVAPNVVQSIRAFRICDLQSQAAKQGQHFLYAYCLEASTKQQVLGKIAQSFGFPRHFAKNFDALSDCLTDLPYKAGPQSGFVIVLEQLPNTAKFDKEARETLLDVFRDAADFWADKKVAFRVFYSFE
MASSAHSALLARLRDPDRSGVYLATPADRRRATSVAHAGGLQVRLLDVAHVTDKAGLLTVFADALSFPDHFGHNLDALLDCLTDLDWLAPEYGLLIVIEGCAMPATTCTEAFDDVLDVVRDAVDDWRARGIAAHVLLDLSRPGLPLFEEAE
MADPLTAIGSASAIVQLIGGVSSGLRTLRNAVMAIKDAERAVRRLEDKILHLGQCLKMLEKYFQQRPSKIPYETQLYELIQEIASSCTAPLQILKDKTPAHLSKKNVTTAFELWLNDSAITQAKNQIDESIPYLNLLIQTLNLKDLERLVGYGLFENAEKIQRRALLIKEELSDHHGVPFMQEERDEMEERLADILIDCKVEGSTEKAVSLIEKIIGENPSGVTENTNNPLTLPWSSASDQKRLNLHYKLGRLYKETGQMDLAENALRTAFNAYAEETPQDMPKIREAGQELLELYSFRVEFGATDHRPVYLSQLQGFKKELLTIMGQPLEHRRTNWDKALDWCEKEGIVVSTENGEPRFDILDEEGSSPLHRAAEKCQDELALQQMTENSDTLENQDNSGDTPLILAVGCSNTTALAVLLQKGASVKARDRQQQTALHRSQKPSVTKFLLHHRLRRASTMTSGLFDETRRFSSSSSSTFTTSPPNSISDQDLDIDAQDAHRKTALYLACSQGRDKIVRLLLLAGADPSIAAHESTPLTITIESQARSYIRDPKKKVDIVAALVSRGADPGAGKQVLRSPRGVQKEILKALEGRAGSQLLQSKMSEDWALESRRDSGYQPSVSSMASKPQLDPPDFGPAWSAGFDKPED
MFIEEDRHQDIWTSWLLTLKNGLITYDSNHKDSSGINSSTSNDFATSVNSVSLVGELKTPSLPSSVHITSIIPSGDEVFKEIKDVGEDVTISSLNPF
MADQKDYSDPSNSFNNYFSSAKSCAALVGDSRTPSFPSSVHVASLNSHPSLPSSIDEVFKEIQDFGEDNSVSSLHLFFGNIELLLHLIMTPWRSFGMRRKSQKKSKPC
MILQPLSVMFFAAKSCAVLVGDSQTPSFPSSSHIPSLNSHKSLLSSRDKVFKEIEDFREDNSVYSLHPFFGNMDLPPSSYNESLEEFWDEEEEPEEVKTVIKLVPSAYHQYSDVFSKVK
MEVQVRSFLGEEKDKEASRIIAEAENLETSFRSAGEVSDFAEFGLCKDCGHFVGIMTKFDKKFVKCAEIYGLRLDSLDPVGVCTCYYKKGQLDIHSMRDMATFIDIKRDMGFLKDEE
MTITKTRTVKPRSRRSLTIGYSPNGGQHNPRPQLTIKGHWLEAFGFTTGQPVIIDVQQGLLIIKLEMLT
MARQHHKSEPATPQALRHLKVGYVSRRHADRNDMTRYYSRSPSLHLKGHWLEAAGFGTDTPVIVTVEHGQLLIRIVAE
EVLPEAQPGRTRLRLERFEVTLVELPGAQRSRSAWRGHYGGAHGLLFVLDSGDLARMEEARKVLSRVLSHPDVSGKPLLLLANKQDAAAALLPCELIERLSLERLVNENRSPCRI
MSCFPCFIVLSCELLPTTKPNQTELRVERFEVSLIDLPGGQQSWRTWKDHYGLAHGIIFVLDSSDVAKMDEAKKTLSRVLGHPKVSGKPLLLLANKQDKGESLLPSEVIECLSLEQLVNESKSLCRIEPCSATKRLPKIQCWSIVQGIHWLLRTIAVNYGTLCGRIQEDDPERQASAEQERMEKAQRARSGPQGDRQKKEKPQSCPRIFLHR
MNISFKNMAWSGVIIYVLVMITLHRYPNMHDNQISTGDFANILVGLVAIATLGFSIWDRHRETIKHNSSIALESYVTSIDDLKIKLSDSEVDTDTRFFLIDNCHKNLLLCQNAITEQEHKLFAQAKYETFRTHLQLAYHHLQVEDFLTVPKELKEQYNLSYWSTNWLACSYLLVSSWLKYVAPKSPFYQDHGIATYGNYLCTEERYICSMLSMLIAEPLSIKPTSELLNRFFQFKGTCEFELLHCDLENAFENYPSLAAHLMLSHTCSAHSSKNNSHPNLSIAVYDNGEKKVWFTFKGTNVQHPYGIPNKLANSKYLKKR
MPANFEFLQGQMEYTLFANACLEAERVLATSPAMAAVGSRKAFELAVKWVYSADNTITMPYKDNLQSLIHEPSFRFAIDNRTWSKLPYIIKLGNLAVHTEKAISRSDAILSLASLFEFIQWIDYCYGANYEERHFNEGNIPAEKVIIDEAKIREKDSLIEQKDSEIEALRAKIAAMSEQLTANKEQNKEERQFTSEDISEFLTR
VNSLPALKLCSLLPSLPVLPLLLALSAAHRLPPFRLPVKRGRLPPLMLDHLRTSLQRAVIQ
MSLAYDAATGGYTVTDAGGASAAFLPSMRTAASDQHVTVYSKQSGNVADDLVLFNPGAANTAMPLSYVSYGAWQRSTDNGATVDFAQQFFVYGIRQGANQPSTGSASYATAVDGIWSNPDGIYRLAGSSSFTANFTNMTVATTLDLQGTNTSSSISDVKSLGHFNGTGTIAALGGGFSGTLTHQGTDGNGNTLNGTFAGAFFGPQGQEVGYTFSLRDATGSGGTAAGAVVGKAN
MKYERTNSELQLTRDVSDIAAFLVLTVRETVSILNMLHSPDGVLKPFHPLIIEVDGVAASLPYSFNAGTYVIQLLGEPAEGFDIYLSGNNCYAIESLELFASDPYQGRRSGVVNAVAGNNTITFRRLPDTNYVVTSEGYLTVAGHEGERAVVVPDYSSRTITSVVVECDDDCQLFYRIQRYEN
MRFFDLQLTKIYRFYIKYGEKDVPAYLSVLLLSLFQSFNLLSIIFIGISLTKGKFSYTNFQIILTMLPVLIFNLVRLSRIGGVEKLNQKFKDSELQSSLNPIVYFVLSLAILILLRIVGWFPL
MISFAVAFLVSLLVAAVATPLVLKVALSKELYDQPDARKVHTRPIPRLGGIAIVLAFFAPVTGLLLVDAGASFALTQSWTQVVGLYVGGVLIAAIGVYDDIKGANAVQKLVIQVGVAVLMYFLDYRIDAISDPFGEGAIVLGTFALPVTVLWFVGVINAVNLIDGLDGLAGGIGLISVTVLFALGVMGGNTIAALFCASLAGALGGFLIFNFNPARIFMGDTGSLFLGFVLAAFSISTSSKGSTTIALMVPVLALGLPIIDTFLAIGRRVRKQRPIFSADQDHIHHKLLRAGLSHRQAVLTLYVVAAFLAGAALLLRVTSDLASGLILLVVAVILFVLFRLLAHHNPDVRGISDPMAGDAGPDRAAVAVLCGRLEAAERGELVAEILNGLARDTRLVSATVTTQNALLFSWQGAFDKKAALRGITNYHIPLATQDGAGAELHVQFADAGIRGDLAVILPWELVAASLARALDHLGWPSLAAAPARSTRPALALPGVGLADSLSE
MTTIITIFFIACAASLVLTPQVARLALHFGLVDQPNNRNVHDRPIPRIGGLAIYLAFYVAFVPLIFPGLVQQTTVLEMLIGDPRLAFLVMGSCVVIAVGIYDDSHSLSPFVKFGVQALAATIAWAGDIRIDVLWTPVIGDIQFAWLSLPVTIFWILLVINAINLIDGLDGLAAGVSFFVCMVLLVLSVVGDRILPAVILAALAGSILGFLRYNFNPARIFMGDSGSYFLGYMLATLSILGRIKGQTTLALMIPIIALGVPLIDTMWSTVRRFMVGKKLFRPDKDHIHHRLLKMGMTHRKAVLILYGVTVALGIFALLLVNARSEQTGLILLVLGVLVIFGIRRLGYIKYLQADRVYGWIKELGDVSGISHERRSFLNLQIKTSQSRTITDLWENIAKAALWLEFDVATLYLSSPADRRKASAMSNERRKVPRVTASVTQRQGLPNFNWTREGIDPHGQEDCSRNLFRLELPLIMDTNRSFGTLVLIKDLKAQSVGHYTLQRVEHLRRTVVRTLCEL
MHATISLVAFTLGCLGTLGITPLVKRIATAAGAIDRPNARKVHKVPTPLWGGLGVFLSLAAAILATLKIFSADITMTATNWSNLTGMLLGSLMIVLTGMWDDRYNMKAKYKLVCQIATALVMCKFGIRIGFITSLMGSDYLFFSEWQEWVLTVFWIVGITNAINLLDGLDGLVAGVALGSSLVFGFVSALQGQWMVMVVMAAIAGCCLGFLRYNFNPATIFMGDTGSLLLGLNFAGWSIVGALKVTLSLTMVIPILIMAVPIFDTAFAIVRRGLARRPIFSPDKEHLHHRLLGMGMSQRQAVVLIYGINMAFGLAGLALAYVVH
MAPETLILACVLGLGLTPLARAAAVRWRVVDVPDHRKIHARPTPLLGGLAVFASIAGAVVWGSGAPTREVLGVLLGGSLLLCIGLADDFRSIGAGKLLVEFGVVWLVVRTTGISFHVPSPVLSTLLTVFWIVGVANAFNCLDCADGVAAGAGLVAAGAFLVIAVLTHQRPEMLLAGAIAGAVLGFLPYNFHPARIFLGDAGSLTLGYLVAALGVMVSPGILSVPALATKVVVLAIPIYDILFVHLMRYRRGTRGLRALLTSTGKDHLPHRLMDQGLSQPAVALTLVVASAATGAAGVALVLTRSAPSAIILGLIAVSTLVVLEPRWETGGRRPEILAPAAAEGAGLGDAD
MRFYVLTVVVTAVATLGFTWIVWRVGMRYRLYPAIRERDVHTTPKPRVGGIAMYLGVVLAFLLSSQVPYFDIFWADPAVIWSLLGASTLIVIVGVVDDLWDLDWMIKLGAQFLAAGIVVGFGGIQIFYLPFGTQVVVSSWVSFAISVVAIVVVMNAVNFIDGLDGLVAGVALIANSVFFVYSYLVARDTGASSYSSLASFIAVVLIGVCIGFLPLNWNPSKLFMGDSGALLLGLLMASSAIVFTANLPSGLVGDNDVFGRSQLLGAFIPIVLPVVVVLLPLLDFGMAIIRRMSQGLSPFSPDRKHLHHRMLDMGHTDRDAVLVFYAWTAVFSVGVLLMYIGTARAWPGDYWLGAAFIIVGVAACLIVTLLPSAPRSGQDAVPAEPTDPVDPPIEPRTV
MPLTELSFLGLVATALITALLISFVATPVVKSLAQKVGAVDVPKDGRRMHDHPIPRMGGLAIFLGFTLAVLVFADLTRQLQGMLLGAVIIVVLGIFDDIYALRASFKFVVQIIAALVAVLYGGNVISVLSNPNIFSSNPWWVLGPLSIPVTVLWIVAITNAVNLIDGLDGLAVGVSTISSMTLLVIALTVADWEVAVLMGALAGACIGFMPYNLNPAKIFMGDTGSTFLGFVLAVVSIQGLFKFYTIISFAVPFLMLGLPIFDTAFAFIRRIAHGQNPMSPDRSHVHHRLIDMGFNQKQAVAVLYVISAILGLSAVVLTTSGALKAMMLLLALCAAGAVSARLFWGNNNGKNHPDEPGKNTPEAKPDGGEDKP
LFGGAALAAALGFLDDRYQLRARWQLLIQLVLAGVAVRAGISIGFIDNPFQFLGGPFDFRLIDFGAELAIVVTVLWIVGMINSINFIDGLDGLSTGISLIAAVTLGIVALTLQLTDVAVLCAVLAGALAGFLPWNFHRARVFIGTTGVYAVGYSLAVLSILGVGKVAVAMLVLGVPIIDTFWIIIRRLSSRRSPFTPDRGHFHHRLLDLGLTHRGAVLVIYGICGLLALLSLVLSGTGSLYAFMGVVVGGGLVLYLMTRRAREALDARTYEAQEPTEALTVEAAEAEREREALATGTETRAHRPEA
MQDPSPQLLLFAGFILAVVVSHISFPVIIYIAHIKKLMDMPGERSVHKHKVPTLGGVGIFLGVSLVLTFIGAAMQTNHILPVLGAIIVLFFIGIKDDILVLSAKKKFLAQLFVAAFVIMVSDMRINSFSGILGIQQLPYLLSVGFTVFVYILIINAYNLIDGIDGLAGGVGMISTLAFGLFFIISGHYGYALVSVALFGALVPFLVYNFSNRRKIFMGDTGSMIVGFLLSFQAISFIHLNQVHPDSLFFDKAPVMAVAILFFPLVDTLRIFFVRIVMLKQSPFTADKNHIHHRLLELGLNHKQATLLIGLSSIGLIVIAAGLATYEHHLYLAMVLALGLLLFSIPFLMSRSKHALQGMKKISNLFF
MNFYQSLLAIMTQTPLMFLIGGIVAFGLTYVFTFAVLALCRKMNWFEPVVAHKVHTQALPRLGGLAIYAAFLVASLLFYIPTLSQQPGQHEIIFGRSFPKELIIYGLFVLSSLLIVSVHAYDDVKGLKPWPKLCAQTIAVLLLIGPGLHSFHGVLFFGVNNPFVHATTLYNAALPWYQQPELTLFIRQPVVSWLALPAVLFTWFWFAGMMNAINFMDGLDGLVAGIVAIASLFIAIISWQMGQYTIATLAAIFTGAVAGFLPHNWHPSRIIMGDSGSQFLGLGLGMLSIMGGAKFALIAMILGIPILDIAWVMINRMRRGQSPMQRDLLPQYSHKTHLHYRLLFGGFTPRQVCYLFYTVTALFGLCALNLPRIFKFAGFGVVGLVVCALFWWSIYLQRTSTGKKQQA
MNFLSVFILTVVISVAATLLVKRLAVKFSVVDTPNLPRKIHEKAVPLLGGAAIFVSFFLALLFSLSSVLSRGLTGMQLAAFFCGALVLLVGGVLDDVFNLTPGRHFVFSVLAALVAIAGGITIVKITNPVGGLLYFGSFVSAALTFVWLLGMTYTTKLLDGVDGLVSGLGVISGIIIFLFTMTTHYYQPDVGLLAMLFAAACLGFLFFNFYPAKIFLGDGGSLLVGYVIAVLAIISGAKIAVALLIMGIPILDVAWTIVRRLWSGQNPFRAADRKHLHHRFLAMGLSQPQTVFIYYAFAIFFGILALFLQSQGKIIAIGVLAIIMVCIIFLDTFKMIR
MSLHVSLNQNLLIASLTVFISVLILKPLAKSLKLLDYPGGRKKHQAATPVIGGIALFIGFTVALVCDAHLFQCTQIFWLFSLLIVFIGVIDDRHDVSARKRLLIQTFAAFGLTSFGNASLVNVSDLFFDEPIYSYWAAQVFSALMIVAFINAMNMLDGLDGLFGGIALSQNFLLWLISFKLSGEIHHLISVFLCLLSVFMLFNVPLPKGKHARILLGDAGSTFIAFFVMWVSIVLSQHEQEASIQSLTILWCLLFPLLDLLSVCLIRLCHGKSCFQAGHEHLHYILIGLGMSRVFVSAAIFSLSLSLGLIGFGLIRLHVREDVQLALMVGVIFVYLITTYYAHQLTSSDSSEDDNTIVV
MHQIFLQTILPFFVSLLIQFIVIKTFKNKEFCIDCEEADKPQRFHFVPTPRAGGLGIFIAFTVGVFIYSFNSSPITHNLSLILSSFPAFFAGFYEDMKTNINPRLRLFIISIGAVTAIVLVNSIIYDTGLFGLPIWLAIPFTIFAIVGVSNSINIIDGFNGLASGVSMIALLSFAFVSYICGDYFILTLTLTLTFSILGFFLLNFPGGKIFLGDGGAYFIGFMLAEMSILLVNRNPEISPWFPVAVLAYPIFETFFSIYRRKFTRGLPAFSADAIHLHTLIFRRITRSNPKTSVYVWVLVVSLNLMAFPFRSNTPILILICILFSSCYMFIYRRIVRFKING
MKKKLKILDKPDNFRKIHKTPVPLFGGVFVFFIILVTIFFDIFFNEYQSMGNRETVALLVGALFFFILGLYDDVFFLKPTAKLYFSLFFTIIIIHIDSNLIVSSLSFSFLENEIALSNFSKIFSILCFLIFINALNMFDGLNGQCTLYSLFLALIFSIFSNLNIYLVSLVIILGFFLFINIQGKSFLGDSGSYLLGFILSFFIIRTYNIEMNLSTDLIFLLMYLPGFDLIRLFTIRLINNKNPFSADKNHIHHIYLKKFNETQTLFIIQLLSFIPFFMTFYIENFLIIILFAIMLYSLPIYYINKK
MHFEIVFFYCLILVISFIVFYKAYHWAPYFKLIHTPHLERHLHKEGTPLVGGVGLFLIFFLVVTLEIMVSGFLTADYMYVIAGALVLFATGFVDDRNHIKPTARLFAQIVAASLLVVGADNAVLSLGFILPGTALFLGDWATLFTIAAVVTAINAFNMIDGIDGLSAGLAAVVLCFVIALNPSFENIFFLVASSLLIALGVFLVFNLGLAGSKRKVFLGDAGSTLLGYFCAWLLIATHNEQSDFLTPIGVVFLLLIPILDVFSVMFVRLYNGMSPFKADQNHIHHLLILFGLTDKKALIIIVTLAILSGLLGLFHLQLGIAEHWLFFLFILLFITQMVIVNRAVRLKNNTNFS
MSSAVTGLLAITGAAAVSLLVCVYARPISIVLGILDAPDGKRKIHPTITPLVGGLAIGLPTLLALFYGAVATDFLPLYLVIAIAGLASLLLGLLDDRAQIRPIYRLVLSFLLALAVLEIVPALLLSFLHFSFLGQVVFLEQFALIFTAVCIVGLQNAINMADGKNGIVIGLCLFWSVELLLFAPDHLRPVLLTLGAALLVTLAFNLRGRLFLGDSGSYFLSFIIALLTVYVYDIAFTMLPADLVALWFLIPVVDCLRVMALRTFRGRSPFASDRSHLHHILYHRMPWRWGLLVYLGLTGMPGLLASFAPDTAPVWSIAALCCYGIIIVWPECHSQEAGGAAK
MTDDTVRLIAVAAAVFSCSAILTGFLVKLLKKNNILDIPNERSSHIISTPRGGGIGIVSSIIIGWIVDRTFTQTLNSHDVIILGSSFGLAIVCFIDDIRGLPAASKLIFQIVFMFPGFWIISETGGIFRNWLDIELDILLTGLLWLWFINLFNFMDGIDGLTGVEVFVLGLGLAIFSVTGIINEQVLGPSIVFMASALAFLVWNWAPAKVFLGDVGSIPLGYLIGWCLISITPETISHHSLLVIILILPGYYLADASITLGGRILKRKNIFEAHRDHFYQKAVQNGASHSTVCLAVLVVNILLLLIALMFAGSKPIFALIASGIVIGILFLWMLRKQKVS
MEAGIKDNGISTLPEIYPFFTSSLHTLSLAANEVDTIRPTITYKKDITFGFIENFEQGVTFFSDDLDGNENTRITIVTDGAFEGNRSGLITLDRTNNVIDVATDLNRRFINLQDKNPSVYLELHYKTDVEIAFGLIGYPENNSTSSQRQYGPVLLPKKEWNKIYLNLSEIAFLIQAKSYQITFFAVLPPDLEKGEIWLDNIKLLYF
MLRCLSTILLSILGLIAVALPACALDPAASITQLKHSRWMADDGVPLGIYALAQTPDGFLWIGSDAGLHRFDGIRFEPLAVTGAGDEPVSALMASRNGDLWIGYQSGRVAVLRNGRLLERTPPYSDRWIHRFHQDRSGAVWTMTGNIKHPLMRYQDGRWQDIGTPWGFDSNYASSIAEDGEGGLWLGKEKSVAYLPPGQRHFRNVDVRALEHSADNFAVATDPHGRVWLSSAMIGTWRLPAYPANERAPDVPATIAPAVGGHSYRAALFDRDGALWGVTYAAGIYRIAQPGSLYGGQQPIEESYTTRDGLSSDRAQAVLEDREGNIWIGTSAGLDRFRSAAVQPAGGIPPHSRFGYVLMSARDGSVYVADSDSLFRAPPGGDAIRVLDGLDNPQAMCEDATGTAWLKTRSQLFRGVQGRFQAVETPAKPRSVLDCVVTSDGRMLFSRYSGGLIQYSREEWKDSLPDGSDGPQRISAMLAGPGDGLLAYIRARGVARIDPPAVQLLWPQKAIPGGEVTLLHRMGDDVIIASLGGIARLRNDTITPFRSPQSWLKGITGIAETADGYLYLLSRASIVRVPRADFLRGFDHPAPELPVEILDFEDGLQAPAAPGYARNAVAGGDGALWFMTTDGIVRIDPTRISRNPLPPPVRIVGVAYGSQRLRDPVSATLPAGVSRLEIDYTALSLSVPKRVRFRYRLEGVDQDWIEAGSRRQAFYTNLRPGDYRFHVIAANNDGVWNQEGATFDFKLPPTFVQSMWFKAMMVTAVALILWGFYLLRLRHATARLRDRFDGQVAERARIARELHDTLLQEFQALTLRFQGTVNKLPVDAGMRADLTKALDRADEALKTGRDRVQDLRNPRMGDDLPEALQGIASQVVEARPLVLHLSFEGKRQPITDTATEEMQRIAEEALRNVVKHANACNVWLLLDYREQGLTMSIRDDGTGLDAAADRSDGHFGCLGMRERAQRIGGRLTIRAAAEGGTIVELVVPGRYVYRRSRPGLWHWPGRRSEQAQSRPWR
MTGVLLAATTWLAPPLAALDAERTLGQYLRTRWSSESGFPGGPVHAIAQTADGYLWIGAEKGLVRFDGLTFQLVDPRAGTGAGAAVLGVTAPADGSLWARLRGVGLVRSHDGRLDHLGAAPGAPRSVVTAMGLTREGAALFATIAHGTQTHRDGRFASVIAAGVLPSSSFVVALADTGDGSLWLGTRDAGLLRVSGTRAVRYTEGLPDSKINCLLASPDGSVWIGTDRGMARWSGAAITQDGVPMALRRVAVLSLTRDRDGNLWVAAGTQGLLRLAADGSVQSATDAGWSGGHVAATFEDRDGNLWIGTDRGLERWRAPMFTTYTPAHGLPADPSGPIHADSDGRVWFGPATGGLYWLRDGRVSAVTAPGLRGDVVYSIHGEGTEIWVGWQRGGLMRLRVGSDEAIAIDRITQRDGLAQDSVFAVHRTRSGATWAGTLSAGATLVTQGRLVTYDTRSGLPSNTVASLLEARDGTMWFGTPNGVAARSPGGWRTFTTADGLPSNDIITLFEDRRGTLWVGTTKGLAVIRAGKALVVDGNDRALRGPILGLAEDGRGWLWMHAADEILRVRRQALLDNAVLPGDVRRLGIADGLPGLDAIKRHRVLTQDDKGRIWMALTGGLSMTDPARADGRTLPSATQIAQLTADGVSVPTDGPIRLAPSRRIALGYAGLSLSVPERVRFRYRLDGFDRDWNEPTAERQVVFTNLPPGSYRFRLVASNSDGAWNGAESTLSFEIEPWFWQTVWFQLTSMTAAGLAGWGLYRLRVRQVARRLTARFEERLAERTRIAQELHDTLLQGFLSASMQLHVAVEALPRDVPARQSLERVHHLMRRVIDEGRHAVIGLRAPGTTSPDLALAFAGVQQEFGSAGPAYRVVVEGRPRALEPMVRDEVYRIGREALVNAFRHADATQVELEIEYGPRNLSLLVRDDGRGIDPGSLRDGTDGHFGIVGMRERAGRIGGTFRIRSRPGAGTEVELRVPGPLAFERRSSRWFPWKVTGRRPSGIPAPRRGETEEQS
MQVTPTVSLEIGKKKFFATAEVMLEPEEITNLLEFRLKKHPLIVGLILKMDGVGFHPDHARLLEYSRRLAFAVLTPLA
MADLARHLVASAEAELEDSVTVTTRTLGEMIDAFLIERPGLMIVTTFEEGDDIS
MEIFPADVLVMNLSTTTSSFFTQTVMAARACPGDDHCPAGSEAERVAALEEYFGIILDPEEQQAILGTASELKSVAAVGR
MPRYDSDDAEPCDTGLRAGWSGAARLGDVSQGDVSLGDTRPGAADPVDTAAGGAAGFLGYGRRRSPLLTVVGTLERALPEDEFEPIRGLESDRELDRDRCEDPELGGAAGALRSWVVGWTHRLDPLGARRAADQPPAGVRRLVEAVVDVLLERRPAEQVKRWVSREVFGLLSTVTQRRAVTRFAARSMVRSIRLHAPGPDAVESTVLVQDGPRVRAVALRFERTAQDRLEWRCTALQFA
VAEQRGMMCKPNKHHIHHGARRFNVILIMELGGCLGKGLTKFIKDFGKRLDGISIHLKMNPGYTAPNLPQIEMQNCFGIHESKTHSQQILQRSEESEERNYEGNIEYDERYL
MSAARDVCTSSSRNESSMGATTRVMSARSAAADLGKYVIARTVAPLCLAAGALAEAYGLQADAFVPWAPSPSTTLLARVTDAASRAVDRDVVRTSDRCATLALTRATGAGPWALTYARAGRLITAFPVASAEAILGEWDADPDRPRWNAVRG
MYLESTDQPAYVLSALSADENKYKVNTVELKRPQRRTPPVARLRAHCTIKLSVDIMKLVQIVYLTVLAAASAAAGAIVVKAAARAESMSCPGACSSQPPLNCPTATAPYEWSPICWMCCSGDSSRS
MELIIICNKCLVTAFYHVLGYLGFLALGTFFLAILARSLPDIFNEAKFLTFSMLVFCNVQVTFLPVYHSTKGKVMVAVKIFSILASSAGLLGCIFAPKCYTLSL
MVSEANAPLIEHIDLAVNFWGAKLCNLHESVEADTIY
MKPDPNYDFPEDRFPSEMEEIKSGEIFEIPEGYFQELEKKVFTQTVDVESDILSEPDTSRSVIRPLVWIASAAAAVLLILFIGDFSQNPEPGELNNISDLEIIEYLNDSDLDIDEILETMGEENISAIDTGADNGDEYFNYINENISEFEELLY
ADPNWGNHEGVSPLRVAEGKGWSDTLRLLRRAHSITGGGDDDGGSATERIGRGSRSCCGPGCPVREARLKCSQCRFARYCSVGCQREHWPEHSVRCVALASRFGGRLLQDAGL
MGHLRAGLCLLIVAASAPTFGAVAYEKKKVDGRWFHVVIVNLNSDNVRVSAQVNANRGQAEPFRSMIGRTAPCAAITGTFFDIQTSRPVGAIVSEGREIWPGNRGSALVIDFFNRASVIDPPHGSRLDPGLYRLVIRGGVRILNAG
MTDLAAALVQSIKMGEIKLAALVPVDLLRGKRTYFQAEIFNDPKYKAALTNPDQFQAILQELQGESGLLVGKYGEERQAMLVGSRYEAEGRTIHLGLDLLLAPGTAIFAAFAGQVVASAQEDKLLGYGNYLLLEHRLGERKFYTFYGHLATAERAKLGRAVLAGEAIATIGDSKQNGGWPSHLHFQLCTEFPPAGHPPGYVSKQDFAKLAALYPDPSFIIDPAWR
PNLQTQATTASWRFRNSRS
MPEVPKEFPQGDIEYTLVAKEDLPSDLSRSIKYIYRGIETKVTHDTYTLTTKFVDESGNKLKAAEPQTITWTTTTKRDIHTNEIISQTSVPSEASYMAVNSSVIAGYYATTPGLGSRKAEQKMLHKRSFIVSWVISLLKTAKVMI
MEEKEEKEAIEAKFDKGRSENMKETKREGETKPGKCQITCEGKSSSTTTESHSATQDRHGDK
MFSKVEISEICFLPLSALEQTLPVRGLQLSPQPGRTQLRRARKLHRDTPSHMMGRAVGAASNFLPRGAHEPQLEGGTFQPQFLWFVSRSPSALFQEIRSLFGPLQRFYFRTRQTKSPECWSLHGFCAESQASESSRPATPGLSFSIFRFPHPCHGDKPKAREAGVSGCISALPARPAPPPLDRLSGEKDFLPWSPHPHRRPSYRNANLEPFHPTCSWSFIWVQMNSL
MFNRSVWRITVADASFTVSCQHHTARITPPSQHALVSTPTGGTLGRCPRKSSYSEKLLKNIKISVGIVAHPAATVVVLGPPQLHDELDPAAIHPSPTGPATRTKHSIAQHAAQPLRGAGRQSKPPPKCGCALPRWQIIHLGKYTRAMYTPHVCLYTSTPPCLPATLPPPSWSIPLVGG
MHEYRDRTSECQRCGIPFIWTAGEQRAAGGIEPTRRLCPACAQLLPAVSRERGLVKWYNLRRGYGFISRSTGEDLFFHRDALPADAGAIEPNLLLEYGIEHTAKGPQANQIRVLT
MKQIFIIAVLVVVVSSSAYAHSGRTDSRGGHAGTQPYHYHNGGSGGAPGGGVVGTLMDIGVFVVAICVAFIFLVSLGDTP
MRKIDLNFGIKDPNKQPMRYVMWVFLLFTLMGLPYSVSLSYCALDKFFPIIDIVPGILAVIITTSYIFTLIGMIALYYNFQPKYKSFFLSLSLIFMTIALGTCIAFAFLYSGKKYVDNCIFKFHTFLMDNPNTIEAQWIAAKLNFKQYSNDQKLAIITNYINARSNHACIVIFSIFFVWAITGYCIIYQTYIFPSSTTLVGENTPSGTDKLHFDIV
MIPWSIASLLAITFTGKQFNRFGPRPLLIAGCLLQGLGILLLARIHSAEQFSLLLTAFAMIGFGGSLCSSTAQSSAFLVVDNTQLAQASALWNINRQLSFCLGVAIMSLLLNKLLEMQPAASAYASCFYLAAASTLIPAALCLRLNNRAIVRQLNAQEE
MSLTHNYSALGLLLSCESDDTFVCRAFLKCLETEIKILAKMLLDVFERQARSTLLPDGVISSILGQPDDQISFTPMNCKKVVNPDDMSGLQYYTII
MEKLKKKDTKQKKGSISPFLKTSVCALLIWIIHCAGAGNGKNNADNTWGATARRNGRILTEPKIEFDAIFDTFKNSFLDQVGLQDQEKDQIKGLMKSYFNNIDFGALEEQIKQNPSICSQFPPPPCVLEQLKIPPNLLEQMPACPNMFPQLPGCQNMFPSFPAFPNMFPQPQPSTSSNEEPQPSTSSNDDAQPSTSSYDDAQPSTSSYDDAQPSTSSYDDAQPSTSSYNNAQPSTSSNEEPQPSTSSYDDAQPSTSLYDNAQPSTSSYDDAQPSTSSYDDAQPSTSSYDNAQPCISSFCPPQPNIGCFGSPQGCPNLFGGLPPLPNLFAQFPPNFNIFEALQQNAHIFEEIQKNAASLQGNLGQPQANPTVNEQEGKEKVKITEEGKTEKNEDADTTEGSTGKVPYVVEENEPDVNSGEEKNELTDDVVEKKTKAMEGEEDDENDVFVDAVEDINEISGNDVVGKKGKKKEAKAEKVEDVHLKESTSEKKIGKKDAVCGKKKSSQNSITATISNVYKKLDFFGINARFAVNAAGLIALAYFKVQYFVYFMTFVIILNMYWEIRSMHNKLTRY
MAGAIALRTDYTASALRGLASRMRDANVARRLLSLAAVRDGASRGEAARIGGMDRQTLRDWVHRFNAAGPDGLRDQWRNGSVCRLTADQLAELSALVTTGPDRARDGVVRWRRVDLQRVIEERFGVSYHERHVSALLKRLGFSHVSARPRHPGQDRSVMDAFKKTSPRS
MRVLPVCLVVVAVLVGGILLPVLSVPAFAAGARTLVFTGQLSDEKGAPVAGIFWFRFGLHRGRSDKKVLWSEELYVAVDKGTYRLELGRERPLPQAVDLTTLFVSAAVDGVEVQRVSVDASMVAGTDPVGAGEASAGVPACEACKTADMAADCQKVGGMSPNQLMEAVARRQVGIGTTSHFTSPVGSGDGTPFRLTCPPGYVVTGVKGKADDRISNLQLICSPPEPR
MTADCEYSVLKASIALSPGPFMAFLIAPVAGVLSSRLGPSRVSMLGVTIVSGGALLSSQIDENWSYGQLVLLSMFTGVGFGCAVPSLTQLAMDALDPREVGVGAGVFNTVRQVAAVVALSSLGAILQERMISAFGDSITSSAIPAGIQPTVRDEFARRAAQRSALGGGSLPPDLAAEIHRLASLAMVDGLQLVYVAAGVVCVGGLLVASALLLRAPSPATAHEPARPAIEPDFAALPDVEAP
MPHIISPTLSDSSLPSSPIFLLDENQKNNYLYREDLTANPLYSDNNSAYFHSRHNSASSFDSTFSIPPESIELVSPVETFFESPAEARNSQFAQSSTEFIKIESDALLPQQELLLQQPLPLQSQPSNMIAPPTATASTTISTSAPTTTTTTSTKRKRTTRRRLTTTQKIAHNKIEKKYRTNINDKIFRLEDLITAFDGDIYPPQPEDSDKKERPNKSKILERAATFIKHLKTTNLKLLENNSALQSRLAQYE
MKLYKQYDHQNEVGFPPYFSLLLLLFQRVHQKEAMNQNLFGDVAEICLTKFPTIVEPILSYLNAKDLSAAACVCSFWNASAKRIWQKRKFIVANLYHKEVGEEDELSMQEMGDILCKSINGSVIFPCAALMYLSRCDEFFSKRHSKHIRKVAQTVKSALPNNCKFTGGLGGGVIGRIGSKSLEVEQREGLSVLLLPRNSGVFINDFFFTMSAVHGASKDEWRKMLGNPKDHKVKLVLLNACCEVNGVEKILKMITKTFGKDVLIAGGLVESIIHGSKIHNRGVSGLVFAGNMAASLVINKGRKSNEGMREEDKEQFIQSLQGLKESLGAETYDVIFMYSCVARGYSLYREDNVETTWISEVFPGVPVVGMFAFGEIGYGPDLAEGSKTGHHAYSTVLCLCKF
SVYILRQVLVHICDTPPQVSPLSILCHLNPYRLRLDKGIESFERRTIDVELEVITTSQVMSKFISAVVPTSEAEL
MSTDSAVSVSTATQVASSLPEVLQALGAFLNYPELRSCVLVCHQWNEVFTPWLWRIVEDYTLAWPRIIDQISPNFYSRYAVNNTVPSTKKDERWLRGMFVKHGRDVKGLHISSTPFLANASAAGTFTRLNSLTIHAITTYRPSEEAKALMDLPILAFKRNDDILLWDEQQAVISTCVLSPAFDNVLKPYAAMQLDAFPPCLMGSQRVCLLIMENPDLETVELGLETGVVAQFFSKEYVVGLLAALPRLKRFNNKCLQYDLQSMLEQLAGLQHYESHQHILNPLDFTLDNVALTRSFPGLRSLSLLGHGKVRAESVLNLLELLPGLEQLTLASLLPGLGNSSDSEEFIQDKKHGRLQGFHITYPVHNATRALDETISGLLPCMPFLTKISLRVLMPETAAVLAEQCKQLEGTGSCLPRAVHVV
MPIPWLIGGAVVAAAAAVTAVVASDSGSSSSSSKTKSAGAKTREQDRERSKEIERVSKAECVKYTNKLLKNLAKKYQVADEKALIPYLITKKVNGSIKDMLEGFTGAAKAYGPKTGKDPELLEKSGLYQESVTKLAELDEELSDLEDAWLTLEDIDAQFR
MNSQQKPNTLVKEHVLKLMGFFVEAKDNKAKLDDHLQIGEQCAYFDSAHEGITIYELMLNGGKSVQEKPKANLVVGSSSSKGKQKPKGKKKPTKA
MDDHLLNAIHKKNRRSLIKRYKGMLTIKKIKRTFIAKLYDNLSYGHDSTNKTNKEMMIELLNTTPLTVLNQLVPYLVCKEVMEEADVDLDTSDFREKEEFPFTLSLRAGEFNIITDVSSPYLYFYDSEGHDVNAFINHDIELDRFNHLSKDIYLKGRFIQNDSVSHNAFDELEKNISLDVTGLLKDELIPSWVEYLLEGCINVEYANNKMALFNIFASLDKFIELLNERIFDYYIENYNKLIKKFANTSEDQVDISAFLKTKIKKFGKDNRRIMEKLRDALKEVGIHGKNDDFKQMYSLIKEAEKIEEIRNKIGHGEKVIDSIHVGHVLYIVLTIIFSTIHHTDFEKNDWKKAVR
MIWSFYFLRNNNYVLKYSHLNCFINFIGNLMKYFLIFFILDIYS
MEKRVHVVVLPYPSQGHINLLLQFAKRLASKGVKATLATTTYTLNSTRVPNVGVEPISDGFDEVSFSQAADDDDTFLHCIVYDSFLPWALDVAKKHSVYGASFFTNSMTVCVIFSHIHRGLISLPLERTEEGKLEIWVEMMRDGDAWPFDFWETLFAINGEMAMLGGDCSDQTNVIFPGPRSDRPRLFSERESGDLRPVGGVGVLGRRSEAKQK
MSERITPNRHDAEHYKPVPEQLLRPAAERETPDWRRFDVAARRFGELIAAGIAEATGQRQDIRSGTARCIAHVLGRCLGRESALADFARTGEGDYETLREEYLCLHNDEGVTASTQELIDWLGTHLIRQQHPDARSATYVEAYPPRLDNILVPTGIEVGDWYLRINVPGIYGTKDIQDLTETLGELSVKRDAALRAYLSLPDTNAMSGDIMQDFHDCYLGVFATFEEAIHELAEVDERERDVFTYAEDRQLVIEQMNPDYEALREQVADAFDLVEEEDRIYVFHK
MNEKQPFPQSQELQEHQRLHAGLVPEAAPRREPTSSMEEHAAYPAPERVTPDWRRLDVAVNRWGDRIAAGLSYAAENDTQIGRETARTIAHVLGRAFGRMSALAGYGRTGEGEYEQLRDEYLALAQGTETGWVKELVDTYGSYLVREKYPDVQTTTYMRQDHAKLEHLLVPTEVELDEQKYLVHVPGIYGRETIEDLTTTLAELQIDQDAALRAYLSLPDVSAVTGDVMVDFHSHFIGTYPSLEDAIYELSGVEDRIEEVHEYAAERHLIFDNISPDYEALAETMEAGFDIVEDDTGKRRVYAFYK
MPEAQKRYFQFIQDVNSFLFVYGTNKTIRDVFYQDEKSDSWIAILGTPLIDLNKIKEEKASLSTFFSNPGTFINNYLDGCWCILAYDSLNDLFYACTDYNNSVPVYYSIEAGGIYFSSHELPLARFLQSEIDPMGFSMTIQLKLTWGAFTRFKSIKKLLPCQIVTFNKNKEISFTQYWSPIKETLWEENLDRVIEHWLTILKTSIGAYHSASENKTVLCDITGGEDSRLILSTVHNLGIPFIAVVEGEEADPDVIIAKKLAVQGNFQIDIRRKPSLAEDILLNKAAEICLFQEGYEDFFESCKAYLLNLEWPITNYDHIKFAGAPGGEVFRGSYYLRGKALMPSASKNLDYIFFTKMKFMLDFYPNLFQVPDKEFKDLILSMTRTAIREVRNFPIGIQIDHLLHIFQTCNTGLIYKHPRYLPFASKELTKSIYKIPPKFKQGGKLTRACTEILFPEISQVKTQKGAPTIRKNFLNFHRFIPEYISLAKSISSGVSSRLLKLKDSNKPGYNWERNYKFIRAILTNPPYSNWFNSGLNMITGYLYENKSLNELLIHARQQTTKFVPTLMRIISQELICRWVYKEF
PIAEQAEAAFGRGRELRQHQAEREADAEEARRGRGLLQRTDVPADGPGLGERPQSVSAPRASARQTAGTPRSSPVRASTAASGRRRGAASRQGARPGTGMSGRAGRPRRPSAVEAAVTGKVPSAPRRRRRPGTSSSNAGGASADGAAGPVPQDTGRLSARRTVAVADGASGRRIRVNPARIRDAGDSLAPWRSGTRRGADSGQQESDRLDTLLQRLESQPARPELAELSGAAQQGGEEIVALRLSEDEQAVVQQEARLRLLRAASAHGWATDPVSLAIRRDQSRRLKQAAKQTRRRCREAEEAEARARGVESGVPQFRGWGVVETPADSAAGADDGGAKPVWRGAGGGRD
MSGGQKMISSSAREDIVQWRVPVAIRIGYGPIELVQGPAEACRYLADRWPFVGGTYHDLAESRCRAAMYRRASVEEARNIFISAAQEACLLD
MVALGRASGGHEHDLERMRVRAARRGLRFEATGYLSDPELLRRARRVAVPVIAHDHVSASGSLASWISAGRRPLVVRSRYMEEMAELRPGTLTLVGPEDLAEGIARALADPASTWLTGDEPLHPTGRDAVELYCAFWAEAMAR
RGDLQPPREESLTLLCGASGFDFGNYDKFWMRQSPGNGGDTEHAASARGRFRISRDKGQSSVTLTMNNLKDEDSGAYFCAKSSETANAAVAGYGCSWSAS
MMGLGVILFLVALLKGVQCEVKLVESGGGLVQPGRSLKLSCAASGFNFNDYWMGWVRQAPGKGLEWIGEINKDSSTINYTPSLKDKFTISRDNAQNTLYLQMSKLGSEDTAIYYCARATV
MVKAGKTLAQTCAVLGDSSNIISSDCSYWSWIRQTPGKGLEWMGRITKEGSTNYATFLQSRITITFSLQLASLTAADTATYYCVRLLGPFTLMSPLEDGQDAEQKDS
AILLPFQILIALVWLSGASSQIVLTQSASVVKKPGESHKLQCTTSGFTLSSSWMHWIRQKPGKGLEWLVKYLSESSKN
MDLRTPLLILTILWAGVDGQTLTQSESVIKRPGESHRLTCTTSGFTFGSYGMHWIRQAPGEGLVWTAAIDSIGGSNKYYSESVQGRFIVSRDNSKEQLYLQMNSLKTEDSAVYYCTRNTQRLKSLEQLYKNLQHLFLLELLRKNYTFRLSLDGFAQ
MKSPLLQTLMSCVILGVHCDIQLVESGGDVRQPGGSLKLSCKASGFTFSSYGMYWIRQAPGKGLEWVSAISNDGSSTYYADSVKGRFTISRDNGNSLLHLQMNSLKAEDTATYYCARDTVRESSERADKNLLFREFWWRMTERELQNSEHKEPSYNNRQLPKKVGFALSASFHFNQSFSDSIRMSFDLIGRCLLWSKQAGFMEGPPNASLGMGVE
MSSQPTQSSMSNQSGNVSDATEVQTRRSSRVTTPMKQSGMIQPSPDSRQSITQPLLSERPAIDPSSQTKKRTEAPTDSDSTPQITRVQSQKPRNKKPTKKTKKTTGATSVGNSEANPGTTIDLAQDSDEENAKAKFKRQRKNLEEDDIKVFFSEPFRRKDDPADKPPSTYSCLWCKKEVRVSASSLSNLRVHRDGSRQTGRVSNGCPNRAKAIAAGAKLPQTALDEEKKKKGTQEITSHFARVEKFDNIILNRIVVLWLLRQAIPWN
GAICADFTTLAALPYEAAARAGGVALGFFPGSTIGNFAPPEAEAFLRQIRAVLGPGGALLIGVDLKKDTARLNAAYNDAAGHTAAFNLNLLHRMVGELGAELDIGGFEHYAFYNEAESRIEMHLRSLRIQEIRVGDRYFELDSGELIHSENSYKYSLGGFRDLAGRAGFDASASWTDDGDLFSIHFLRVSD
MPQSRPAADGFAEAMVAGLSGQPRAVPCRYLYDSEGLALFERITELDEYYLSRTETALLAAHGGDIAARVGTGCRVVEFGAGSMAKSRLLLAALERPSTYVPIDIAADALTLAARRVSRVFPGLTVTPLAADFLRPLTLPPEVAGGGPVLEFFPGSTIGNLAPIEARDFLRRAARLAAPAGWLLVGVDLAKDPRVIEAAYDDVQGITAAFVRNLLARANRDLSADFDPESFDHIARWNARESRIEIHLVANCRQSASLAGRRFRFRRGDTIHVEDCYKYSVEQFRWLARAAGWQPEAVWIDPARLFSLHLLALPGGA
MVRMVISSGEGTQDTLGSGTMQAWKTQATHTIPIVFVGVTEPVAMGLVASVARPGGNVTGVTSQADLAFLTKRLQLLLEAVPSVARVAW
MSVDNLQFLNSEQALADLAAFVEAMNVKFKLTDCKWICFGGSYSGSLSAWFRLKFPHLVA
MLRHTRALLCILHLVTGSPVLPFPQIALAGTPPLRPFSAASALRPELQPSYRGHRQGAARNSQDLPRHDRICCNISRSAPAVGLPLLIAASHSVPRATHSTASQQHRTASRWELNSQRETLPERFPAEPIGFQRLRLSPVNW
MFMAAWEASFKKETILKAFEATGLSPLNLEKSLLTHEAQGLMQALVNKR
MDRVHQRASKGSVWNFLGVALFVFVTVACAIFLHSRSLLGLNENLNAGKVAGWNTAVTFPNNITASILQLAEMQIDTAVPDSAIGGNVFVTSETGTSNSVAFELGSNFIPTAADEADAWQATFSGAIATDTTWNTDLLLTGDVTVPAGVTLTIDAGTTIFASSNSDDQTGGEWIDKTELIVFGTLLVSGSEAAPVYFTSNAVNKSPGNWGGIQIREGSTTSELSHCMIRYANEGVRIISENIPGGGDVWARIQNCSIQHNQIGINIRALTNWPDGIDIHASAEIINNLIANNVEEGIFVRTWCGYQSTTTDPVIRNNVIEQNGIGIHLLAFSWWLGHVDERTMIMNNSIINNDTYGVFAEAWGSSDTSGSDTDAKPVIENNLLFGNHTNIYLFLDPQGSDGLQDFQPAIEYNSISEASFGIVISETQTYDTYVPTINHNVFTGFEAPATYAIANESSRTVYVNDSYWGSSPEEWDAGMPSSLVTGTVYNSSTVDSSSPPIITMLFPGKGESGDSIVIYGANFGSLPQIYLPAILNQVVSGIAPIFIGAEIPVRAVQTIHETFYNTTIQMPQELPSTGNFYLSAQSNAISPVLVDDEIIMKLDGSIVYTHDFSAGGQPQSATLIVPRPLIEQMKGQSVSIEYRDVYGNVVTASEMWLIWVP
MIEKTVQSQRRPKSANRIDVCPVTRWQRPCTGVVVCLSIDHGELLREHKGVEADGRKRRRRVQRGSTTQKLSVVRMEVDSEECHSVTETNLPIAKKGMQM
MNIPLVDETMAVKGTADACAGRRPQRNHTDYLQAYCNVLKETPTTESGYLLWDQSTDLTEALAQLSQGKIPDLVGASEEIQSAISDVTALHRPTRGTDDYLKAYCTALKVFTGEGGYVRWGNIPTLESEASIHPYQAFYESPDDTGNDPSDFALWRW
MAKSCKGLAMELVKCLSESDCIKVENRSYRECAKETSPKISNPDSWGKMKGVLRALMCGEECGMGEDMGLDRCVGRGKLKIRGGSGFRFELQKKGRLDYKF
MPGLHAWGRTGSHNMMILAQEYGITHKLLFGTDYPFTRSEESINGMRQVNHIIGDSSLPRVSDEVAEAILARDALSLLGIEP
MPPLFSGSVSSVPRVPRVPRREQSLAKKARISFEEKNNWLKDIHAGRFADASGTAAAIASPAASYINGINLPAEGRRAMGLFA
MEANADASSEYSMGVQDLNFERRICDPLGFHDPDRRMNVGKTLFAQVMEFVPWKTFGRIIEKHRGDA
MEENRPTMGLLILFFILSLLLGCASEPVKKTPSKTVFDRIDEDFERIKINEGIWSHEYDDLQENLENWTAKYQLLKTNERKFIKSMPDKQLELYSNYLDSLNSGNPAKVEYNRRQLIASLDDNQKSYLISIYDEYSKLEQQHKEYTEKHNELLTRKTKIEADYARNLQWHNNVLTAISEYMGTGSYTYQPSFPAAMSRLQNTITRNQQRNAFFFQNFETNMSLRDIASAIRGF
MSQQLSGKEIIALAEGLRNGAELRLEDMDFAMGRTWWNRETETLHKLHCGQIVEGIRSKGLQEVNFSVAPSRHGENPLRHKDNQVSILRQLQENQIRHEITGRDLLERIDKETKFLESCIANKGLIDNLKSAQEEYNQLLKSKPPEKDIATVVKERISELKKSRTDLQGLENNTFTLQRNDIYSTIRDPEFIKKVEKLNEQQLTGNELTKRIDGELEYFREAINSPEKRERLRVTQSVYKTPPEKDVVTIIEEKITDLELARLNLQGLEKASLTVNNRENIHSIVKSPDFAKQLKRENGRFCSRDR
KIRHDEKLQTYLNRTKLNIGTLPPEGRERTEITVSNIAAKICSDLALPKHIIDKAAAHARRILRASRGAKHRVTLNEAAVAGVICACKESRHPYSLKRIAEAVGMDPNGVYRLLARISRFYQLPQGIISPERYVRFIGARLANRGIDPHYLSLTEQYACSLLRMEGEAASKAPLFAAAEALVAADERMAHRIGRERIAEEIGAVANGSFTKSVRRLKNSQVTPPVEAMEYLIQAFWED
MYRKFILRKISWILLLILLSACSEKTSLQILRPAEIDTSDIQRIAIGIFEVGVIQEDFVTERGGNWSKKSANLNSEERQILSRNIRARITNQLTKVPFFEVLLTDEFYSLENDAALQQMIATQGYVTKDVDAVLSGKIWLTSERLDGVDLQKISLKYFTPANSRQKIPAEKLTVQQLVWWPYKQLSGSLIVELKLVRLQPTEIVATDVVHRRFAQRVGGSPGGIVDQAEEGMSSLQDFLEDQGDDQSVTRTSEVLPPLSVMVGEMVASVGADFVRRVSVSQSTVEYPVAEDGDEQARLLILGGAYESALQRLQGQTANDPNSEDLYNLGLCFEALGDYGLALLNYRAAHQIDQTNLIYAKGIGRIENLQRQFPQLRSQIQSRKL
MNNSVPSAELCGKNKCLQLKDNNLPSVPGTMVKALFRESGNQACNVRLIFTGFEIQFISIYLAQILVNPAGASISVSPKGWTDSELGSLWMQKDFIPMSAARNKFGYWILLIHDGHNSHCTYKFIALAEKHKIKPCNVGIFSPLATTWKACSLMDPCIEYAVFDICLKGGIQVYHNHFSIPQNSCWGHLGCELCTDEAHGLQNEWLRQKTFVKKKQVAVNTSATCHLTSDEMLEGLAFADHKKGMAAMFLQLKSKLKGIKKRLAAAEGH
MSSTDQYLEFVLDLLGELDDVAHRKMMGEYVLYYRSKVVGGIYDDRFLLKVTPASERLLPEAPRAIPYEGAKEMLLVEIEDRDTLHDVVEAMWEELPAPKKRKKK
MKNRGQLFTWDLVFATFIFLVSLLLSIYLWESTTNDIVNAERTYDMEWLSTWSSEELVRIPGYPYNWTTADVVVYGLTHVARVLTPYGSEQKVVYTTPVDRVLDSDKMMFFVQSVNSDYESARRRFLGEAQYNFYVSFFCVNESRMDCFDGLPLRFVRDNVTCGNNYTFTQRDGMLDSRIWLEAEQWWGNPNDEKCGNCSAGNMSLNPSSAVVSLNAIPRLYSVWVRDYDDPADRSFQIKVGPDYSRVLGVHGSNEIRWEYVGDYYLDGSSQVSFNFTNSATLVDAVLITSDVEYNPASRNPPYGNPFMPSDPRCVVGQVPASGYDLLVSDTKTATFWHGSYYPGTPGSPSYFSNYTVRVQVVAWV
SMRRSIAELAKVEADKEAMYAQAFVEGLDKDQLYEAMVSGDPSGQGILLIGDEVQDIFRIFQEEIGKVTTDIFNLGLEQLKLRDKEVTMFQEGTQDAILKGQAKQRLILETFLGSKADMFVEMDDLWEILAKQVSDDSMRRSIEEKVDKANLLCNAIKRELLGLELTVSEQLKEVFGLFERNLGDMVNSFIETAQGFFTLMREHETVFSEQLGDMAGRYLTQLTIRNEDLSNLPPLLRSIMVDKEAVNQAVASSHDIHLQIIDNREDQLMSRIRTWYQKLCSDYEEEETARFRGRISEIVTFLEMQARDFDQFHVTIDDEIGLLMMAENL
MTKILVTGITGTIGKGTVEHLLKKGFPADQIIGLTRKKEEGINLAAKGIEVRFGDYLNYDSLLRAFKSVDKVMLTSAVAFSDRFTQHYNVITAARQAGVKHVVYMSIMRKEDSGRIMPEITESDLFTEQVLKSSGLDYTILYHPPFTDILSIYYDSNPYGNGIKVPAGNGKMVPATRDELAEAHAEILSTPGHQKKSYSLGGSETISFAEIAKILAEEKGKPVPFSTITAEEYTGELIAKGTSRKVAKFLTSWVVAIEEGEFEHQSGDLERLLGRKTTNFKKYIESTLA
MRGRHGLALSGQLHQPRRVHLQRRRAAWQVAFDTGQPRLRITLTPTRDLHASDSQLLGDVLVLHAVGGQQHDARALRQPHARELRPNQPRQLGSLLVGQHDLGGNSHSLSPRCVAVEQ
MITTYLDTRDTAFDPYDIYKGVEDIDNDASGMTQEPRGPADGCLFVSLIAPETTEDSLRKFFRLYNLEPLRIVVLRDKSERALPYAYVQFMEVDQASIARLATHNRMLDGARIHIQKAKVNRTLFIAKMEKSITSAELRDLMESYGRVDHVTIIKNHQTNKSKGCGFVKFLTRDDAIKAHSSMKANKQRWVVEWATSNNDPDALGIDRNNIFIGGLNPVTTTKESLMERFSAYGKIDSISLVNKESDPTEEETESGVRSAFAFVRFNDSKSSGMAIEQQNGALWNDKRIRVQYCESQEMKTKRRVNKFYSNYYNPPYFAPMGMPMNYGYAPQMAYTVPPPPNMMYPPPWSIYNQPPPPPSMDSSLAPTPAEAHHNMMMNGGPPVTQHIQQQQQQQNGFPQQPPREGYARALLGKEDEKGNTPAYLPQYHNKHPGTKTFSAQKNELDEESKALVSSLTALSLDRAPPATRW
MKKLLVALLAIVAIPFAAQAAKYEEGKHYKVIPGQPTNKPEVREYFSYYCPACRGFEAYLPEIEKTFPDGVTLKKTHVDFMPAASSETQFMLSQGMVIAEQVGIAKAYNSKAFAYLQTERKSITSVDDVKKIFVAAGGDAKDFEKGLKSFSLMSKVKRDKKMQDKLSAGRFVGSVPAFVVNGKYAINARELDGNNLIEDYKNIIEYLLKK
MNQFIIVKLAFVEGLDPEIASLNTAAIADTLKHVACLPALADEVQVLHVERPRDFELELVGLANTVNYEAVTEIVDSLDLEA
MWEEEGIVVNVSLALGCRNRIFHVQGEDRVRLYPGQDVRFPYFIERNRLHSLIGKAPSKQLTLQLQVTMQERTVVYYALVRDRNRLQEAQASSEDIVYLWAEGVSIAVNRTVLQKASPIFRVTLSTEGYREAESNEVVLWAVTAQQVVDFLTDNDVTGWTLSDAVELLRVADKVGNKQRPVN
MKLVFLVLRRLFFSSQSNFLFKTTNIVSIISLALGIASLNIVLGAVSGFESKVSEKLSSISGYTTINHLFQDEFSTDQSLLPDFYSELSSSLIPYIEKPAILKSKDNSSNILIYGFEKKDFNELNLFSSLNNNLNTINEDHIVVGSLLAKNLNLSIGDEIIIFNPLISGERTEKRKFLLLEIKEIYHSGIEDYDSRLVFIPINTVQSYFALGDSITGWMNFDPLLKIDNIDYPFYQLSLNDRHSDLFEWINTQQWPIIFIFSLIALVSYFNLMGSINILFYEKRFNLAIMKTYGMSNRKISLIFIIQGMVLAFIGAVFGILLSLFIIFIQEKFQFISLAENIYFVSYLPIIFSINNAIYTLFFSLICSILFSSISLAYLLSINPSKILKN
MQKRDLPPQTQWLKSIVPLEIELGTERLIMLSDGVFAIAMTLLVLDIRIPTEAKDFHDGLTLLLNKSIYYVITFAVIGGYWRFHRNLMHIVKRADVPFTNLSLLYLALVAFFPAAMNLLGEYGNHAEAVIIYVLVLAGCGFLGQCLWLYAVWHGRLTDVNIGREVFIYRLTAPLLFPVIICLSLLLLFIPGIQPTIVFWSWFSLPLFSMFFRILYSYFNRLMTRPQPAQPSEAQAEQTPIRQP
MTASDPARSESSRDDPLPSDSSRSESSTDSGDGFDAFDDESLTDAEHRRGVDRLVGFSDGVFAIAITLLVLNIEVPDRLPPGTTAVGFVLGEWPDVVSYVVSFLIIGNYWIIHHRVFQRIDRYDWKLLWLNVVYLLFIAFIPFTTALLGDYTGRFSVGLYAATLAAVGIVFAALWWYAASHEGLTSRRLDREQVRYGVLKSLVPTGVFLLSVGVSFYSARLAMYTWLLLLVSDPFFERLVRPKG
MIRSATSRPRASSGVQPNSRSAAAFHAVTTPSASIETNASGVRSRIVPRRAAESRASLRDRSSAISDSAAAARSAAVRRCSGLHCRGRVPTRESEPSTSPSAVRSGKAAWVATSSPWTAPAPPRRGESRMSSRASGVPEAVTSSQKGQGVPSRPSSGGSAVPAGPRGGAPALGGVPGGPAEPRTVWRSWSIRDTVAAGTSSSRAASRASRSSEGAGDASNRPMVRNARSRCETRAGSNAVTAPVSPLSSP
EEVAAALRRALERFDVVRKGMTPSGRDNGTRPVHLVLLVMAFARFLHELPPSQPAFAARLAQLLVAAARAHLIEFAEARRMVSMLAESGAMEWTDAVDWMRIVAPQSS
MTETDILDQVYRTGDFASREQAKAVTRATLRNLGSSLSVGEARDLAEFLPSDSGNVLVGASRKRDEPMPYETFLEQVGGEADIADSDVERCARAVVAVVAGRVGVDELENAQAQLPSNYGRLFDVEPVPVGRPFVTLVAERAAFPPDVEAETVARAVIETLGERLTRGEAEDLSRYLEGEAGTWVIDQESPNAAAFSADEFVDRVARRADVSNEAARKWVRVVAGVLAEVVPSHELEHALDQLPTEFDSLFDFEV
MMQPLPLDNQEDREWQFYIQPLDSKHILVEIYTDQNIEDSSWKTALLECLLEQSQEGFMIWDSRNETTVQNRAARELLGPTLAIHPPEEWPDYVSLSAREKEQLAHWRESSMNQLEINLTLPEGNIRHLILKAFSIQPKRASRSLGAILQILDRTEQSRLMHQLQYQNQHDTLTALPNRSNFWQVLSEAIQHQKQTPSLQYAVLLCDLHVRGVDLGQLVNPVHAHRLAIEEAARFTGSLRHSDIVARLEDQRFAILLKQAGSPEKLSAIVQRLRARFALPFSLQAQTVHWDLDVGLVPDLSHYTSPDEVLQAALLALRAK
MTQISPLPGTPISGAAAGVSADTGTGAGLDEMMVAQLAGLAAGQRAELEQQARQFARDPHHPRSMLAFNIAVANYTIDASLLGALTKKFVTGVESLTKS
MTTKWKAIVIGLIFVLLIVLVFFGRRSDFKVGEKLPPWKLTSLDGLSFSFPDNEKVAIIHFWATFCDTCREEAEEMAVFYNTFKDKGVVIYAINIEPGNKDGIAEFVKRYGWEFPVLLDPDNKVGKAFRITGVPETLVAGADGKLLLPRFIGPVGWTSPIFRKSIEEVIKQNKR
MEGKMKHLLQTAAVIGLCLSTLAGCGGDESSINSQLEYFRKIVEQEPLELANSHRTASKKSEVVVFFVGLQYFGLSRYVCCEQL
MQGAQIILPVGSVVKERYIVEGLLGKGGFGAVYLVRDQRVRVNQFALKEVSDPNTEDKQRFAFEGEVLKRLDYPALPRVYRAFEDNSNHGDRLYMLMDYIEGPNLEELRQRQPQKRLPLQIVMDIMAPIVGAISYLHQQQPPIIHRDIKPANIIVPDEYNKSVLVDFGIAKEYDQEGTTTAIRRCSPGYGAPEQYARGTNVQTDIYGLAATFYALLTGTVPADALYRMTQIGSRQNDPLEPVNELAPNVPQHVAAAIHRAMAINIHERFASVDAFWQALQPTSLTGNAPSYNGNNDVTSTPTIITPATAIRQQHPTRPLLATDTASRNGIPIASPAPVMPVTAMPVTSAPVVNNATLSPQIEVRYQRQERRRRPGVLFFLAFIALLVLLVGLLFATGVLPGMRPGTSTTVPPIKQTHVVTTPTSQPTASPTHTPVPTPTPTTAPSPTPTTAPTATPTSAPTSVPPAPVQLHSFYAGTINDAIGPTIANMQLKNIIQNGTNIQGYFAVYKPLQGSNPFSGTVSSNSVQFTVQSYGGHLPLAFTGTVQTNGSISGTYCSVDTNGCNDSQGYGTWQVSP
VRTINKNIIFKDFIEDIDYRIICATVALGIGMDIPNVNRVVQFRLPKKLSFTDF
MSDMTSKTSSAREWPRVGAPPGGAGSMNNQSKESTLMTRHSRPWLLAAAAAAALMAPDMAAAQSWTSRFTGAGPGNAAGGSVVISQSGIGNVASGLSGAGGISASGYVGSGPAVTGWPVGIGPGWNVGHPIGHFVARPYTTQWTGSLVNYGLPCPPLSLTPVYRPVNYVFTPVVHPGLVQPRPVTSAIGGNVVIGGLGGGGNGIGAVGDNGVATNNNPITTTNNVVYTVTNNSTRNNTVTNNIVNNINNSRTTSFVNNNYYNNSYNTGSQNSNTNGGIGVGVGTGGTGPGVADSGGATLSPPPVFWNIPVVWQPGPSAPQADGGGDGGDSGSGAGGGI
MTSSALTRPTTILRFTILLFSIVTIILLGLAYRLTGPAADWEFYGDGPGRAFPAMCFAAVAWSIIWSATAVGMTLGHVDYHPGVEIGLDFLGLGFNWGFAGALISWCVYMNHTASICDEDHGRYQQKYDKLCRQGKTLDGLEYSSGAFLLLIGCCHLIIFIEACRAAHNRRIQSKQDLSIELGQKSSNAGSGR
MADEVDLGKKRSHDPEFKGPIEDRSCTDIICCLIFVAYIVGMVVVGIVAFAEGDINRLLLPTDSQGKVCGKDPAYLDKKYLVFFDITKCIPKGIPSLSNPKCYTPQVCVSKCPTKNEAGTSRDAADMICKPGVVPKDNLNDKLTLIKEGKCVPYYLASSPVLFRCVPSLVDLNSVFDSATNKNVSKSSVEQGIGGFVISMVLAFVYIIITRWIAGPLIWFTIIAVFALLVFGMYCCFSKYKELKDSGKSKTLEFTADLSKYGDSKETWLALGKYLSLLMEGLHGRGEPISKYDGIGWEPISKYDGIGEDEMKLNRIRIAVELIGEASNYLATNGVPQYKVSNLPDDPYNLTDGTTCDPATFDSKYPNTSSSCILVGYKENDHLLRMQVFHFFGWLWIMNFIIALGQCVLAGAFASWYFAFNKPDDVPMLPVLSSFSRTLKYHTGSLAFGAAIIAIVQFIRAVLEYIDRKLKESGHDNKAVKFIMCCCKCCFWCLEKCLRFLNKNAYIMIAIYGKNFCTSAKDAFQLLLRNILRVAAVNSVTSFLLFLGKVLVTSIVGVASYYWFRKIDADDPDSLNYDVVPVIISVVFAYVVTVMFFDVYDMCIDTIFLCFLEDLERNDGSAEKPYYMNDDLKKILNKQNRASTEQLDQEK
MREPLRYDPEFKGPVHKRSCTDIVFLIIFLIFIGGWALVSVFAIKYGNPEQILYPSDSYGRICGRNELKNKPYLYFFNILKCAKVVKSIQEGCDTPQVCVEKCPNYTVSYVDYRDPEKFRALSPSEKEDVRSHLICMDGIEIEKRDLSDIPKLLKEKKCALFYFNSDAFAGRCVPKALKKLPGKENKEAAIIDDNGRPMRTEALKNISVDIMTSASKGLAFTMNARAIGEKIFHDIMVTWKYILTFLLIGTVVSFIWILLLQIIAGFMVWFSMFAIIVLTLISLYLCVDRYIYLGNAPSDELDEDLKVNVNNVDVLSLFKVQMNSLVNDRRIWLLFSIVIGVLSVILFLTFVFLRQRISIAIALIKEASKAISHAYSSLFFPLIPYIFQFLVIMYWGFIAILISASGRKTYRIQGEGVKDVGMPCNPLTFDNQTAHGQCLFYQHYTDNILTYSQLYNLFMALWILFFISGQVSLAGAFSTYYWAFRKPQDIPAFPVLTGAYRALRYHMGSIAFGSFLLATVRFIRIIIEWIESKVKRHADSAVAKAIFCLCRCCFWMLESFLRFINTNAYIMIAIYGENFCSSARNAFMLLMRNILRVLVLDKVADYLLFLGKLVVTATMGILSFYYFTRQLSFEDVKYIEPPILNYYWVPIAVSKINTICFGTYLIASSFFAVYDMTVDTIFLCFRKLTFYEL
MEWIITANPSGKHGYKVLEAFEDLQYVDWHMSRPQKNIREGDIVYIYVGKPYSKIMLMTKCVKDNISKSEESLDELKYYNDFNSFEHKDGSIFFRLKELARMDDERLNIATLNKKEYVKGNIQGSFKSDNNTELFKYIEQCFSVETIEKEYEDLTNEISDAGKKYTDVIVKARIGQGAYRDALIEKYDCKCMLCGIKMKSVLMASHIKEFAVSTKAESTDVNNGLVLCANHDKLFDQHLISFDSSGDIIISSQITDEQYDDLGISELSHIEVDAAVEPYMRYHRKQLK
MSGVAWHYVLKFIITGDAAVGKSSLLVRLTDQRFLANPDPTASAARWSLTLSDNAHAPTGFLNARSWLKDVREHADPHLTCILVGNKVDLCPSSEDDADRAQEAAPRDRETAASSTSKRKREVSTEEAELWAQEEGLLFVEASAKSGLNVEDAFERATRDILDKIRRGVFDDERVSAS
MRYDLILVTPTLEIDKPESPEWPGLLIDRGAACFADQTFKISEEPSPKKHEADAAAEEETPEKLQII
MLNNKRLYRYMIEMSQNLRSLLQKFSSQGAGEAGSVGPPGADGEQGPKGSKGDRGESGIPGEKGGIGLPGLPGTNGMKGEKGDLGLPGSQGASIIGPPGPPGPHGPPGPMGPHGLSGPKGEPGLNGFKGVKGEPGHKGDRGPLGLPGASGLDGKPGPRGTDGPVGPHGPAGPKGDRGEKGAVGDPGPRGPYGLPGKDGEPGLDGFPGPRGEKGDPGERGEKVTEVLLSFCAFSASQLCYPIPITFQRNSVLILCAK
MTQTENNSAETVTGSFYDQIYQTVSETVSAASSYTSSRIPSPDIITAIPEIPSLSNISEMCFGTSDSSGNALERVQGRMEKVKVAANPKISTEMGHVQAGRQGEEFVLHELEKLGKVRQAVRIPGTEGRFEIDLVLEQRSHIYLFEVKNWTGKLELTPTGNWKQIRNKNSIPPEIIHENVLEKTRHKMCLFLEKLSIPKDKVSCFVVFPKENLKITYAIGRQPEVLSAKEFMNWINRQTPSQIRVFSEFILPKSWTGFDEKTTAKIKESIGDCGTWDEIHLEGGRVLTGDFKCGPGKLSKLKRDKTASASFSHTRSQLIGGLKAVFGKEPKVEISFFPRNSDNFFDAIVPQVKL
MFPFKKRTRMIETAQVDERAKGNGSSQPGAARRGVQIIDDEPQAQSGGGGCCSSG
MVRELPDEVLVYDLDRHKVHCLNRTAALIWRQCDGRTTVAELARLLEKELGGRVDEAVVWVALESLGRAHLLRDRVRPPAGVA
MLKPVELIMANITAPINKRSTRGNILLWSMYDLANTVFSMGIVSLTVIKFFTLLGMQQGLSWSESNFLAGLAGSISTIFVALTIPFMGAISDKSGERKSKVVLLGVLCILSTGIVYFFVNFWIAFFLFIIANITYQWGNLFYDAMIPHICAEDEIGKVSATGVAIGYVGSFIAIAILFILPFVGILDHTPLREDGVPLSAPIEEGGIPISFDQIVLGEFQWMWLICALAFLITAIPFLWVTERTKKETHSTSSVVKSAFSELKITTREVVTYRDMLFFMIGWILTVDVVNTIIAYMLPAAEDAWGLSGTMPLILIFVGVIGASVLTLAIGPVSDKQGPKMAFLIVGVGYLFTLVIAVLADTNDPSLGGVWLVLLFIAALIIGGAQGSTWVANRQMVIELAPEDKVGQYFGFSKLVSKGGSAIGMSIFAAVLSITQEITGSIPTSYRVGFIVLGVIYIIGLFFLMLVKDHHKDYLAGKRAPFD
MPCVIATDPANPDVATLQGFECIVERLFNYIIPFAGMAAFVVLIAGGFQYLTAGFEIPG
MDFPPFPGFREEAFAFLRDLKANNRRDWFKPRKETYEDEVVWPLRCLLLDAAREAAGRGLPLRADPRRSIFRIYRDTRFSKNKDPYKTHAGGVLSRTGDHRSPGVVYVHVEPGASFLGAGFWRPDAALLRAWRHHMAAAPEAFLDLAADLEARGLPLDD
MIQSATLDFLKDLAQNNNREWFQDNKQRFEAAKENVIAFTSELIKELKNADPSLSSDIEPKKCVMRIYRDIRFSLDKTPYKNNFGISKLPSGVKSEEIGYYVHIQPGASFAGGGYWMPQAEHLKAIRQEIDYNADDLKKIIDEPGFKKLFGEFRNQEQLKSVPRGYDPTGDNIDLIKLKSFAAMHSFTDAELLKDDSPKRVAAVLANIYPLTVFLNNAIT
MTRGPWFMVLSVLIAVVITQVSLWMRNIKPAKKISLWEHLLWERAPKDEQTKAYYRQRLMTGIIVLPATVLVLYALTEMYGR
MSDGVDVCEKRPVEPTVTDAGVLDVVRRHLELLHEVGTVKRRQTGETVFWCRAAKSRVLSQSI
MAQLLTMVKKELLLLGRDRGGLLVLFAMPAVLVLVITLVQSNVQKLMGVSLTQVLLVDQDRGGLGREIGAKFAETGNLEVVENLDGHVIDRDQAINAVGLGRFKVAIVIPSGFTEVLNQRVGESVRRGFGEEVITTRPPTPELQVYYDPTVMGGFRMGIQNGLKMIILGFSAKLKMDEFRRILPVELEKQIQAQIDPKFRDMVSVPSLDFTWDNETLVGVTEHGASRHGMTILPTPVQQNVPAWTLFGIFFIVVPLAGALQRERRDGVFTRLLAMPVSGLTILGGKIIAYSMVCLGQFWLILLIGRFLLPALGTSRFVLGSNWPVAVLICLGAAVAASAYGLMLGSLSRSIEQVSMFGSISVVLAAAIGGIMVPVYAMPPLMQSLSAISPLNWGMDAFLDLVVRGGNLKSVALPVGKLLLFAGVNLLVAWGVLFRNR
MKVQPPGPSEPTPSSRPASLLPADAWVRRAALIATALPLAATIALILTIHAVDKPAWQDTGSSLLNGFGGGSGAGGYGGEPTYDDGSTQDAYTYTATDDPGALASTDPGYDDTSGYPGYGDQGDATDGSSPTPTPSPSATGPAAVVLAYFAAIDRRDYETAWSLGGDNLGESYDGFVNQFSNTAQDTVTVTSVDGDAVSADLVAQNDDGTTQDFAGTYTVTSGAITTFDVQQTS
MIQATSALEDTSFVSSDTQSFTFELFLDSGLTLQKRLFVDGYFQFMTAVDQIGNASLASFVTPQNSNDQVLNKFRYVVRNGYGPLREGAGTMMDNFQKYFTERRDHYDKTFLTILIITEIIIAIAALVSTPFIFATLQANDKILSLFGYIPIHEIESRVEEGERFREKYLEFDGSIDYIGEDVSFDGDGQSVNHINPRTTSQEYASETPNESRIHHDINESEDPDMQYIDENIKEVPVAFNIQSPTTPGYKQTTMEMATGRDLLAANSARFLLTNRNQVNNEEDISKKNPRRSVSNNKENKQIIDDERKPKSIKTEERNKRLVIFVVVIVTLFWVLAFILSYIFFERWFLQGIERVYGHIILNSKRTSSFIYLNGFVTEELSNINTTAIYAYPGI
MGIINAYDAYGLLEKSKLYQNQLHDVLKDELGAKKVLCISDTTDVHLTSDEDTSSIAYTIEKAGFGSELEVIQTDFIEFSYTDPDHKFGAHLPQNHDETRREYLKVDSTRLDDDLSQVENSDVILGRNCICACDGNGKPCGGIDISVEAQQNYLETLIKQQPSLIVLSATEAVFQTYPDSLEYNEQRRRAQNLYKEAKNNLIQVCENLNATQNNYVFQVIEMDENLNEYIENNSEIENGYMLVAYNTDKINFQPSTVSLENEREKAKKEVIKMDVSRQALIQEHKKILEGQDKTSQDSEIEHDHNLLSSNNK
MRAVARATEGARAASGGVTSSLPCSASASASLLGWPRASRKLRGRRTEGATRRQLESGGDDGRATVDLGECACGLDVSAPGFYSNSYGASVPEALILFIAYHTTAPHHAVCGPCDEACAVLPAVGGCRPCPHYPCQVGRTMVDPSMLVSGRLQHXCNELSGCSEVMVVFDLNEHELIRPSRGSGTKPTEQEWVRPSRSPGGWVLNSGVASSEQGLGW
MFSKLVNNSESDDGDTDDITLQSKKNPNKLKYITLSLLTILIYYFFSISLTFYNRHLFVTYKYPLSITIIHLILKFVLSALIRHLLNLIEYIRKGPNYKKRVLLNWNLFSTRIIPNSLASAADIGLSNWSLQYITITLYTMSKSTVILFIFFFSIIFKLEKWRRSIIGVILCIAFGLFLFTYHSTEFHLFGFSLVLIASFMAGLRWTLAQAITQKHEIGLANPIDMIYHVQPFMILCLLPLALYVEGVPIVTTDKFFRSDDYSEISKNVLWIILGALLGFLLEASELLVVTFTSSLTLSISGIFKEICIVYLAVTLNHNKLNPMNTTGLVICLIGISIHCVIKARNETRPMKTDKVKTIRRKKSPNRKGNYTKLENTSDNEDNFMMDSRDGESIQLR
MRNVSVALPISMETRACSYYYNNNQKHESADQKLRGNY
MSSTYSVTEAQSGFPALLKEAEDNLVLVTRRAKPVAYIVSAERMAAIAETLEIMADPTRDAGNAKSPGREGQIPPSLRA
MDEQRMQAYVALIGQLLNCPQGQEGELLQAHADLLDAGLIATIDQVAAYLESQKSGSAQWLRGFAAQLAEAIGLQQSAPQGTEAARQFFLETLQLITDKRGNSQQIYPLWARQQTCFDTDLLAVLPTVAAQLLQGETEQRTFIAAVLGEFGNLIQQFPLGIRWLNLELGIAAYEQSLQVRTREAMPVDW
MFRDWMKVSSEVQACAERITLMVCVIEPELRFFSTFSLPASSFLIPSDTHLTLLLSGARCERVVSMCLLFDWRYESSILLFLCNIAFPLHHPNSHSFNTGINQTAHDNTTHCYPLAFPYDMHNGQVRAADPPVNARVVPWRLPPAVHYQPGDLPLRADPIYQAHLDDMAQAENNQAQEDIGKAIGLNGTAAFWILEFCKNPFLYAIADPFHLLCENVIPNLWKISAGSSGFVRGTSSDTTLAQNTRL
MTTTRQQAHRPRWHGRYSGYSRGARPARTAPPLLVLPTRRRPGALTRLPLKEGNPQNATQKRGSNPPPPCPSAATRNKSRTPDGLLTVQGNKGPPPADVTTCPPAGTPALIFYDTETIAASNTEDTSPQLVENGLRMLLQLITSWKNGEPSSNTITGAGVKQEALAAVVRGAEALGLVMLCQCKPYPRRLAVHVLRETKCLLEKLQLTGDEPALIDAADEHVPQLAERCLPLLPPAEKQAVLAVSQPDLVWVAERSHAVWTASTQHDETSTKNSWSGSASNGADPWKVILFGLLERSRVPARCPSTILQAWPILHARIHALFTIIEPAPVNDNRASLLSRGPTLPRKPEKERDGYMQVWKYYMTMAYLVVPAVPSPVIRCASPDLSLSGTEQEGWLGGGALGSSSESLNAGGFFTLPLSYARAHRHKRTRWITPNMHDDYDASIWALSSSSPDSLSERSGGEARGAAASPSSLHKLAVPLARCEVPEVRDAAIAACGNINPDALKDLMEELVPLLREAVDRKQENMRRRRRRDALRLNLNKMLLLIAQKKTFATSPFALEGGSLHPSLTEYLDGVRQSLEVEAEKDAPAAREQRLTNLIQELFWYGKKN
MKQSSDEQIVDLKGSDRGKSDVVFSHRSAVDDRNSDKLINNDNSPRNDAMEWQALEFQYFSKSKAWFAVLFFIAAAVEIYFIIYGDFFTFATFLLIFFVVFLYAVKKPRLLRLKIDNQGICIDGKLYAFDKMKSFWLFYDPPETKEIFFKRSGTMYVDLALPLGNQDPVIVRNFLKKYLPEVKKEESLFEALMKKIRF
MVCYRRDKTPGATWFFTLNLANRQSDLLTAHIDVLRASFRHVMRLHPWRIEIVPLEVV
MELDNEKLEAIKDFFYKENYNIIDEESKEEFYKGLARDLRKLGYSLDEIETKIKEDKIIDKKDSREYFNRSLYKMIQMYKEQE
MRFTNKACIVSGGGSGIGKATCLQLAGEGGHVVVADLDLGSAQATADAIAVAGGTALATQVNVGDSSAVQAVIAKAVEAYGQLDVIVNDAATMTFTPIV
MPSANRFTNKTVIITGGASGIGLATAKRFGQEGARVVLADLSEAKLASAEKELRLSGVKDVLGVRCNVAESEDIKQTVAQAIETFG
MDINGKVALITGGKRIGAGVAMALAARGADIALVYNRSRDEAAETAEAVVRAGRRAVVEQADLTDAAGCQSVVGRAAAAYGRLDILVNMASVYVQRPFDELTAEDF
EREVVDGVEEEEDGLEKDDGLGTSDEGSKSLGTNDGGAKKSTNPFDEDVKEQNKEAKDDGKSQSLVEDTVPATITKETNGSVEKGEETQTSGDSELNKQLEFFIREVFCNRESDCKSDDCPAVVAAEDIARLLCEGYDCKGKSQDDSQRELQNIFSDD
MAELKITAANFENEVLHSDKPVLLDFYADWCGPCKMLSPVLHELAEEKSGTLKVGKVNVDEQMELAMRFQVSSIPMLAVFKDGKAITKLVGYRPKSEIAAMVEGVK
MSEAAKPVDVNRETFSSEVLEASYARPVLVDFWASWCGPCQMLAPMLENIAAAYGSEAVVAKVNTDEEHDLAAQYGVRALPTLKLFRDGQLVEEIMGVQPEGNIRAIIDRHLPRASDDARAQARAAREAGDPAGAAELLEQAHRDDPENDALK
MADNVEQKHVGEVTDATWDEVVTNEEEVPVFVDFWAPWCGPCRMVGPVVEQLSGEYEGRMKFVKLNTDENPMVSSQYGIRSIPTLAVFYKGEPIHAMMGAGPLGHMRQFLDASLEKVPGNIIVP
MAKPGHVSDSQFESEVLKAEVPVLVDFWAPWCGPCLMVEPIVEELADEYGDKVKFVRMNTDDNVN
MIIHADKSNFDTIVLKSELPVLVDFWAPWCRPCQTVLPVLEALSRDLDGIAKVVKVNADENPTLAARYKIMSIPTFIVFKNGEITNQTIGIHTKDNLKKLLEL
MVADHKEILTLTAKNFHQQIKGKTVLIDFWAAWCAPCRMMAPVLNEVAAELTGDERIGKVDIEQHQSLAVKYNVRSIPTMILFKNGVEVNRFVGIKNKSFLLKQIRNA
MREVTTLVEFEAILKEEAGDQLVAVDFTAKWCPPCKVIGPKFEEMVPIFNKEGEKEKVIFLKVDVDEGDEITEKYPVKAMPTFMFFKNAKKVDELVGADEKKLLEKLKAWSS
MLTIPAFVCRGGAASALGLCIDAAPVVVTQTGNRQRKLDVGTDVATQDLTAQNFNDTITGNDIVLVDFWASWCGPCRAFAPTFAASSEKHPDVVYAKVDTEAEQELAAAAEIRSIPTLMAFKKGKLIFNQAGALPPPALEDLIAQVKEFDVDAAGASQSHDT
MDTLVFEDKNFEDDVLKGNQLVLVDFWAPWCRPCIAIELVIDQIATERRNQMKVGKLNVDDNPITASKYSIMSIPTMILFKYGKEKDIIHGSVSKDELNSRIDKALND
MTVRLLDFYADWCGPCDAQDPILEELAADYGDVEFEKIDVEADQATVSDYEVQSLPTVVVENDDGIVDQFVGVTQRPEIEDALERAGA
MTVKLLDFYADWCGPCKTQDPILDELEAEYGERVSFEKVDVDEAQDVANQYSVRSLPTLIVENDDGIVDRFVGVTQREDIEAALQEAGA
MSTSEPVTEAINTNLYKVNSLAFEQEVVKAETPVVVDCFAKWCAPCKKMAPMFEEVAAEYENQVKFVIIDLDKSKDIAKQYHVVGIPTLLLFDRGEVKEKLVGNTTKDKLKASIEEVFKIKSE
MKTRLLSLLFAAIILASCGSNKKEETIANDESKTEAINEALQTEQISANLIAADEGIVQQANYDLFTKNIYNKGVVGFDWKLLTDKPVVVDFYADWCRPCKMIAPIMQELAAEYKGKIHFIKVDTDVEGQLAYEYEVQGIPMVMLCPTKGGPQKLVGAMDKASYVKAINDTFGL
MIRSVGRVGLADVRRCISTTSAKKESFKVQDVNDFNERVKNSKKPVIVDFFATWCNPCKALTPRIENVIAEKNGEVHLAKVDIDEHTDLALDYDVGSVPVLIAIRNGKVEERLVGLQDTDKLRQFVNKFADQQKVDKNEESTAATAPDFKTTIPL
MGAQHSHPYGGQLDHNGSAIQEIHSKHEWRTLLGKTYGSNHLMVVDFTALWCGPCKFFEPTIVEFALKFRDVTFVKIDVDVLPEVANDFNVTAIPAFVMIKEGKEIDKVVGVNKEALEMKIEKHRSQGQQHPLLLQHL
VKFYAPWCGHCKKLEPLWADLAAQAGADVLVAKVDATQHPRLAKTYGVKGYPTLVFL
MSELINLNKESFDKVVSTGNKTLIIDFWAPWCGPCKALGPILEEISSAMSESVGIYKVNVDENTDLAQEHGVQSIPTMLVYKDGNLSETLVGLKTKDELIEIIGS
MLGGGKRVKAVEDVIEVKSYSEFKELLAKTASEGKILVVDFWAPWCPPCLMMAPVFKEVAKELGGKAVFAKVNVDQVKEPALDYGVTAIPTLIIFRKGKPVSAKIGFAPKPVLKAWVERFIK
RAAGPGSPRCGNCGAPLPWVTDAGDADFAEIAEQADVPVIVDLWAPWCGPCRWVSPALERLATEFAGRVKLVKVNVDGAANLARRFDAQGIPTLIVLDHGRVMARLVGAAPEPRLRDWLEAALRQRGESSAGAA
MSSARAMSWTTPTGRPSPPPVRGAPQPSTPSATWPPSRTRSRPPSPRRPRLRSRRPPSDPVPSTPPPVGMKEPTVAGNLKSVTDADFEEVVLKSDKPVLVDFWAEWCGPCRQIAPSLEAIAKEYGEQIEIVKLDIDANQETATKYGVMSIPTLNVYQGGEVVKTIVGAKPKAAILRDLDAFVTPTA
MSESLIVICPSCNAGNRLPQSRIGEQAKCGKCSEALFKGEPIDTDEAGFARHIGGELLVIVDFWAPWCGPCKSMAPAYEQVGKQFAHQAQFLKVNTEEQQALAQRYQIRSIPTLMCFKSGKLLGQQAGALPAQAMQQWIEQFV
MVKQVYSFDFKKEIENGTTVVNFYSNLGSPSKLVTPVFNEVKDEINNRVKFLKVNVDENRDLVKKYDIPSIQSIMIFKNGKEVSKLNGFLQKDILKKNIEDNL
MIASSRLTAALRTLLTAATAVAVVGALTVTAAAPAQARPPIAQTQLVQAAAPLVPLTDSNFNAQIVRSSKPALAFFWARWSGPCLVMKGDLEQLAPEFSGRLVIGELDIDRNPNTTRDYGVNAIPTLLLFKNGTVVDRRIGPWSKAQIAEFIRSHL
MTSAIGRGFVEELNDATFSHRIASGLWMVEFWSPDCRPCLAVSPVVHALAGEYGSVAQFGSVNVDTELKTSLSQRVMGVPTVVLFQDGRPADVLYTTYPAHIYRERLMRLVNPSRP
MIRDTAVFLWTEAYAARRRLAALFVGFALLPGLLVVGTAGFDQTLPQDVPVGVAPAEAETTADDLTVTRGGVALLGTPVQYETEEAALRGLDREEVYLVVLVPPDVLDDDSTTEFRMIWHGSAVPLIEAIGLLEAVLSVELSSFLPGEVDVTHEQRGVQLTLSEYLIPTGMTLFVLVVGLLFVPYDVMTDRPVLDRVRHQSRLEAFVAAKLLFYTLLTGSVLVAVAVANVPMEYRITPLRVETATAVGLLFLSTAAVGTGIAFLLGLGRTALFVNLGVLIGVVGFGSLLYPVGFFSSTRMAIARSLPPHYLAIAIRGHLVRGDGFGLYADWYRFIGVYVVGCLGFCWSCVRTYEWRR
MKGIIAQSGLQFSIDIVFKVKLESNEYRWFQRLFGNLVNYNNEVTEKGIIISFILNINEEISRMGRFKDVPSKTEVKRYMPLIMASDTYLKSDIEFIKNVNYYYIEKEQAKGRKLPKETVILYEKVKKSIEEADIKINNLVLSKHKKP
MLRRTEEYLLKEEQILLEPDYIYVEPEDFAVFFCLLPGYSQSFPDAFTQLLQYILEKVNHQDHRSVVLAYGLYHESLKENYGMEDLLKYLSGVEREKNRWEMAGASAKEDRKEAWDEWDAPENRERMDRGNRDSSCRSFRDIQRERDGESGNRDGAECQGKSTDTGREHQGKIWKKNREPMFDQISERNESSEGKKRENGFFHPIRLVLGILFLEGLIYLFKGMSGLKNYGVLPLVIVSLLYLVGRVLSVHFKQSETAAEETSTNPSLSQKPSDLFFMEQEREKKPDWGSRESGREECPEACGREKRSIRSRSLKETEPEGKKEFKSGGKERQREQDEWKILFQSFDEGSPDIESSGQKEKQCEKDTQLLNDLASAYEGHRACLESSSKDREDIEIPYVPFLIGKHEEMNDYVLNYPTVSRLHLRVDKKEKVYIFTDMNSTNGTTVNGYKMEANETVSVKEGDTVQIAGLSYRFRES
MISYINRDELVHTKLVVDVLNSFIKENPNLSNYVGEFAKEFFEVIVNKEIEWSEYILKNEEDIDMIEMKEYIKYRANKCLSMMGITPLYDDISDNPMPWIRAFSEENINDSKSDFFEQKPRTYTKINDDNGFDDL
MHPYKHLPAENFWKRSVSDHVWSSLNFKPNTRFKIQANDKVSTAGSCFAQHIARNLPSLGLHHFVSETPHPMMTKARADESQYGVFSARYGNIYTARQLRQLIEFAFDIRERTVLVAQCKDGWADMLRPSIPANHFASPEDVAADRHFHLDCVRRMFIESDYFVFTLGLTEAWFDTETGIVFPACPGTRVGEYDATRHQFVNYSFGEILDDLKWCIAFCRSVNPGLRWLFTVSPVALAATATEHHVVVATAASKAILRAVADEICRSEAHCDYFPSFEIISSPASFGQFLDGDLRSISPRGVSLVMDVFRRSFVQTTVTHRQMGRPISIPDEHQTAAAAVREAVRAECDEAYNDPDAVG
MDHQQGHRFHAGGHETGNAARRHAEQPDHFGPGLGRYRPDAAGRRWQPHAAGPSGLYRTAVAIAAAAARRDAGLDPAWQRAFGAVAGAPPAAIRLCPAGPRGPAFHRGCAAGGRSGDPQPARPLPAIDGRRAGTGPRGAAGDPPGARVRATADGIGRRDPPRAGRFPPVPRPLRYRAFVAAAEVLPLVEPDHPRRPAGDGRVGALRGNAGPGAGAQRRTEGGICVRRDARQRRIRCVAGRRAETALRRRGAMMHPYSNLPDRNFWNRFVAKTPWRDLQLCGTPKFMIRRSDRIATAGSCFAQHIARYLNHAGCGRYLAEQPHPLAVAHGGETASYELFTARYGNIYTARQALELFRQAFGQMPVIDDYAEHDGRWYDLMRPNAVPDGFATRDEARHDRRFHLACVRTMFTTAEVFVFTLGLTESWYHAAGGHTYPACPGTAKGVFDPAIHLFRNLTCAEVESDLDALVQAVRGVNLAVKIILTVSPVPLVATYTNDNVLVASSYSKSVLRAAVGAVEPRYDYVQYFPSYEIISHAASFGQYLASDLRDVAQRGVEHVMGSLIATLLSPDDGTAVAAAPAQPAFDPAADTARFIQAECEEIYNEAPR
MDTRQPMLRPTRKGTWHNMKIFNLSLPKTGTTSFGAMMRGLGHRVCDGNWKDHKTNFLMLAAHKQDFDLIDRVAHGFDVFSDAPFGGTQYYRQAAQTFPDARFLLIKRDPEAWWTSFSGMLDAAADQNNAPKDVESKLNIAFDSGRYGYPLVAMDLCAGDATKAGFLRAKAAYEAGVEAFFADSDRFCAGTMDAFSSGAFNGFLGMDDAVDVPRLNRAKRPAQNQ
MDNEQNGTVDEVKTDNPGAENHEETKTFTQEEVDKIVQKRVGRALRDKEAEIEKAQTEAAKLAKMNTEQKQAYALEQAQKAREEAEAKLHRYEMKSEVQKQIAEKGITTQILDTILSMVITNKAESTEENCQSMVDFLNSYAEDIRTGVLKGSTPTKTGAPVKTMTKQEIMAITNDVERQKAIADNIDLFR
MDQLTQRVRKANRLNEAKFRLTTTEYRILLLCVSKVKPMTDDVPNKFRIYGTEFADMFGISTKNAYKQIREGLDSTWDKQFFERIPATKGTEAGWRRRRFVITQEYNPGEGYGELEFHPDFLQHLVNLREQYTDYGLRNVTHLPSFNVIRVYELLVQFRKVGHRTFEISWFKELLGLENSYPRFKDLRTHVIEPALKLIDAHTDIQIIKHDKKWFRTEKRGKKVIAFEVYFRHKAQQTLDLDEPQPLPVEEPVTPQVEYEWEAAGYRTEGEYRDARNTEKRYGVTFNDAREYIAFTQKLQRRA
MSDVHTNDLVTKSNRFIEANYKLGAQEQKVILLLASKIQPSDDDFKPYTFSIKEFAKLINVKGEYLYSDLRKITLNLLSKPFEIFINDTLIQTNWLMEASYRDGTVSLKFNSFLKPYLLQLKREFTSYRLSNIVTLKSAYSIRLYELLKQYETIGTRSFDLATIRKVLGVEDIYPVYANFKNRVIIPAQKELAKKTDISFEFTEEKAGRKVNKLVFKIFPNHQENRPTSTRRKSANNSPKSKSDPSQQSASQLPTFIDHVTDLCREYGFDMDVVTIKSWEPYGIDQVSKVLKEVYDERDNIIFLTPEIRMRLSASKKETKASKKEKTTDSKKIKASKKAKATDSKQIEKLIDAAIEETLKAFMRSTEVVSKGVVKGFFIDYVQEKIEMTYEDANKIFKQYEEALMTKIRENTGIKNVSKRTRY
MAARSVVMSEAVGRVEWPRGEEKGKQNDKNGRHFRLDLFEFPEPRKFTKIQLVIGKTYENSSLVYSKSRFLTSSYRNCSHANLRGGGNGVLRGPPL
MRKKLTYNYKIRMDKDNGEVLNSHCECPSGKGPHGTCKHIAAVLYMLHDFVQSGSDPQVQQSCTEILQTFHKPKKQYAGTPVKAKDIPNTSRKCKLTDPRPPEYRNIERYEDHVRSTVLNYCANTTKDITMKYMWERADLQAAANDHNYLDLPVTEHWVDNSYVCLDSTIKELEKKTRKQADCKRWKEERLWRITASRFGEVCKLTARRNMNYVTLS
MLNVTKLLIIDTPLLILKISWQISWFVLFAVYFILRTIFLVLPKGIFTFTKNYLRDLCFVIIDSFTWPINKVLGIKPIYHKDSWFNSLLSGNVLLPYSFYAQVIFSDVMYPITLNFLLVMSFGFMIGSTLYVLDKILRMLYSKKLVININPFETTRRLFDNAYNDVRWVVELVNSTLETLSEIVKPLTSLRNVDETVITTELKKVHKDYNPMTIRGTMNIIGVVKDKVFSKGNKNTDAKNIVNENNSDKPSTQGTDVSFDSMDGELTRRNIIITDSIGKHTDKNTIQRISSIDLAENLPKDFFQEKNSTKIEDSTVLNGNSTKKIENTDV
MKRTFLCIIFLFSSLANAAPDAYSIAYEDSFVRYVAGRLTTETAKDLGIDKMPIDVYHQLVGDLQVIYWRELISCDGPLPAVAKILLMIDMQGRTEPATYHSALSLIGNRDYEFWPLIESWEKKIHSRVKETLWLMTASQQYPKCLVAGYKPPKFENP
MKHRVRARYCRLVIPVTLVWPGHARPLNERPRAGDLSRVRGISWQESASRREPSAAAIHRLGGVDAASYLAWELVVTPNGDRLLDQRTGGNFIVSCKVKDYDGAASDVKVEWYKNGELASHIGNVMTIYKTYGNQLLINSPKISDGGAYTCKADVSGQLHETTVLITFADPPKFIDPETEQHPEEGSNAEIVCNVEGTEKLEVFWQFNDSILEEGACHCDVL
MGKILLNIGSRGFGLVAVILALAPEELLPASESIGGLLLVELAKSLNWIAEAEFEDMEVIFSVFWLLDFTGVSA
MKRISLLSKLRVLLTIWLTFQALKTLTILHMMARKYGYNSKGAFILMVQQLAPPILVINVITWIVFWFDKKQSVLQNWRTSERELLWWLWTTGVFGGWISMF
MDDKNFLNYEYNSLMEKVPCLGIPVLSQLDSSNESIVIGHHFFNVRESSKIGSYTFSYCFKRKHYVNLPDFTFYTLIISKYSNSDDYGISSFSRSNFKEKIKSIIKPNSDSLKLRYISLHSSGENNCGPIFLKQKFEKIKRRYIESMNYNSCKQAASCICKNKKLMKSKKENLEYAFFTPREGNSFLFKLFKLFIYKLIYLY
MKKIGPLVIVVVAIAMIIAIPTLAGAVVGMLISFMFAIDVSFLCIKNRPKWTIIT
MTPSSRADSCLLRKRSQLVPAAFALSLALFGFTAQAFAQDEDSGPLQLFPSQPAPSGTPENPANLPAGAQEQFVTPSDVVISVERLGSIDPDTIGLLDGSNGGLGVDMWQGSQRADIHDLLAGLPTQLPSPALRGLARRLLLSTAELPLATDAGNEAATPEKARLIRTRAEKLIAMGFLEDAISLIDAAEGSIEREPAIDKLMIQARLLLGDFGGACNLIRTQESNLSEAYWQKRLVLCQLLDGNTTAAQFGATLLQDNGEQDPLFFALVARISEQRAVALPEFDRVNDLHLALLWLAKLPLPDTLLASADPATMRAIAENPHSDLNVRLLAAEQAARVGAIPADMLAEIYKSVEFSAEELRSPISIAEATYDARGRALLYQAASIESVPETKALVIQKDLELARRNGLYPLSVDVHATQITQLPVTGDLWEFSGEAARALYAIGRPKPADAWVSHLANQAQRDPAAQSLKDGLWAFSRLASDSYDSEMDEIQRSSWAKQTRARVPDQSPFEAMRRLELAYSLMDALGRVAVPPAAWRELLDLPRNRYVTLPNPGLVQLTTQAAQEGRRAETVAWTLWTLGEGGPAQADAETLKSVIAALGKVGLARAGYDLAMEAALQNDL
MASGHIAGLACGLILTLAVPAGADPGGPAGKIADAREQVRARAQELGRAQADYAAAKARLAELNADVERLVEAYNGRLVMLRSAEEAYDQVTERLEQARGEVEEARKPVAAVAAQRYANLSVSDMGLAMLTGDGFNGFMQQADMLSQLGSQQAASMQNLTDTETVLDIVRTQAASAYTEQAEQAEQARLAKEAAAAAVAEQERQMAEIEQATEEITRRLDAARSRVERLRQAREERRQSRLRTMSTRAALKAPAWAATGVAGPGGVAATWALQQLGKPYVWAAAGPGSFDCSGLTMRAWERAGIDLDHWTGTQWQSGPHVPVEQLQPGDLVFFGRVTSDPGTIHHVGLYIGRGLMVHAPQTGDVVRIAPIWRPDLVGATRPT
MNARFTIEIRASMSRFTPLVAALATTLLLGVCAASAPTSRANAGTVQVDVPAVAHPAGETPPW
MQRPNNILKSIPFVVNKAEWGRKKVPYAWRLLRQAKENGRTKGKKGEKWVFTELVKFYELWSDVNGIRAKTKAFNPDILVDTYVEGKKAYVILSNLNMKDEKVALNILGVNGNKIKSITAKHLYADEDGPILEHNRFKSKEERLSFVLGAEATAIIEYLFEAEINMDHLLQETKHYATTYLKEIEANVPSEFEFEKLPLTTKNEAVLRIGIGRDHGKLLTPTIKVNGKIFDITGNYRGDDQHIRDQFFGLLEVLIPQHVLQSENSVSITFPDSGGHISSVSMQLFTHIN
MSIESKIREIAEEKFAGFSYVFEDWNGAAEKIDRVTLPAIVCVLPTGGSFNFVRGRVKDKEDCMIAFVDKVSRDANGEDNEEVYSRMKEKAIKFVMALNESRYFEPIDGSVRYTTILESASAYFTGVFVELTLKELEGGCLC
MILVNVDGFSRPPVFRSPPPLRNLTLTAPFDFNGRTPDLKTFITRAVVQHFPKTLQRIPRGQQPTGTMPDFRLPQADELEALEAFLRSLQVPHDANFELDRLLRTAAQRRGRELFFGDTAKCAQCHDGMALSDAKTTLPGGGGNRAFDTGVAQHQTDPRLRDRELRRLFSTPQLFDVRHTAPFFHDNSATNLRDAVEFCASDAFKNSPAATEVGTITLASNEIDDLVAFMEALTCPHNGDVNQDGKVTPEDALLAFRYSLGLEQLDTCQQDHADVRASGHGVTPGDALCIFQKFLGIPSCLDDRVPAKLLVETCSSTSPCTGRVGTALPLRVRVTNAGGVGLQGIDVVFQLNNTTTLGTVKTAADGRAARSFTPTAREIGIPLVTAMAGDLTTRLQLTVTDPGNSDI
MSGYAQNMWISLWETLFDPRGDGLWSALLGDLAHPVGLTR
DEDDDEEEKATVDVIKPVPEPEEEPAACPCLLPKTKESSAKTAEKKDAPRRVSAVRRKKEKEAVRKDEKPRREALPRIVMEPKVRRIRRLAPLLKAKIKEKARTSKTETKSKKQ
MRQDAAQIIYDYKIISEQNPFIIPKTGGEFFIPFTCMCQKTINGEKGDWKYSDMKKLRYQVVHTRINGEVHIETNGEIGHYKFHITATDPFEIVEGKQPLWYYVIRTQLFEETHVEYLKQLFVPENFNPEGDYDTGFIMGGSGPIEI
MIDLLPEIAKPENPTASVRQTIANVVLSVLPTRIKKNASKPNPPQLKIFRTFVVVNWPDVRRKSAKCPPNGTTNVIQRCGSAPNRPDLIIG
MFSARNTDTPNPFRPAVQYLLNTANTNFARTRTNHRREQSTSSLDSDGERGDRMSTRNTTTSSELHPSIPLISISRTPSPFRSRAGSEPVSETSDEEYDNWGTSSNRPFLSQEDIKYTGWRRLLYNGGLGQWLFGTQIGWQTFIGILVIWLGGCGIGLTIMNRIILWSGYLKENYTSHTKLLHLIAGVYKFPYPLTTTFFELLITHFYVWLSAFLTRLASPLCVSAGVSSMVAPSTPLQTSNAPGFRGDSKKPGMLSAFGRVASAGSGGIAGGGVFEFDKSVARAVFPLAVIFVVKLILSNLSFAYGQLPIYVMARLGIVPFSLLFSAILGQQKLSASTISAALTATIMLLLGTIEPNIRVPWESVVAGVFSSIFVALFPIQIQRTYKTLVAQLVPQGELIGTFASSSNASSDLSGSREENRAYWRLLHYTSLLSIIIFIPILLLSGEVGHIARHCYFLDVFWHWLMVLCGGTGSWAVFWSTVALTRATSPLTTSFLFIPRAAFLLPIMAGLKKMPAYSLIAIGMCWASCAWFMMSRRKEGRAIERLR
MQSNQLKLNTRGKTLFSGIILLFMASLSSAQEPLRPLPSKLPATTQTVPQLPPRGLGEFSVPDRPPVSSYLDSVSGGDAVIKVFVGQSRILTTKQPIADQDGVGVIASGDPTVIDFDVLPNPRLIRVLGKRVGVTDLTFVTSDGDQITYDIHVVFDLELVQQQIRALYPTATIKLSQLREHVVVEGQARSPSEVARIIETLKVYLTSMQVENNVQQVDAPLADFPLPRRSNDGDGSDNPDRGDNPGIAFDRGKRPSSQAKFYLPQVINLMRVPGPQQVMLKVTIAELNRRALRQLGTDLTLGADLDNFVRSIQTDSGNLVGVFGGGDVSVLMNAFRQNSVARVLAEPNLVTMSGHTSRFQSGGEFAVPVPQGGAGIGSTTVEFKPFGVQLAFTPLIDDDGSIRLTVEPEVSNIDDSLAVSIVQGADPVPGLRTRNASTTVQMREGQTLAIAGLLNNESSASTRRVPFLGDIPYLGALFTDNSALSREEELLIVVTPHLVSPLDGEQPACLPGQSVAEPNDFEFFLLNRIEGRTNQSYRSTTAWDDPLGISRKWQLEQHYGCGPIGFSQ
MLENKFAEHARAFVSESVESRQPCVFCERFVVESRRFFFCFFGCVPMCRILYAWQIHAGCGLACRSQFLFNRSPRPPVSVSVLSAALVLTSFFQVTLRIHLCSLIACVAATHYASLQEPCESASFPGQTHRNDTGQCIFWSEAVIPQLCEQPYTQTRIRMLRHIFVSHELHPLSLFELFAVGWKDGGSIYTAQLLPGEKGADCISGTESRCTACFKRVADNIRKLEDAYRSFDTTLSRFDCLLAHDTASATRPFSPNATCNNCKLWYRRWLLVQTLQVWTRQPCINWCYYTQLACPHLAPAKIWDYAGHPSFQCRDMDIDSWRNDCDCVHPCDVKGIVGPGSSAATPSFRHDFFAAQIHCKTRKKQCRARSTAHDRKSVSSTELRPALLAVLLSVALALL
MRIAKPIGHKKFQYLTTQPKANVLNPIKIALWEGKYFRKLLFRPITINNRDEGSALREKLSNNWRCLNESVEVEKFLNYV
MIEPPVEPIRVVVTRAQPSVLLVQVHGELDMATAPCLQEQLLPALERDGHSVLVVDLTRVPFLAAAGIAVLLRLRALARERDVALRIVAAHRPVTRPLSLLGLDSALELLPTREQALGAVPVDHR
MATKAARTGSNSRKAATDPASQSPPHTASRLDTPSSIPAPVRGAERVHPLPPNRPLLPGTEVPTVADSGSTASRGTRCRTPWCATAAPVAVRTGSLPAERRTVTALPETPADLVSIDVTGSATSVLVTVAGEVDSSTAPSLRAVVDSALAGGASCLTVDLDGVTFLDSAGLCVLAGAHRRAAEDGVRLRVRASTRAVVRPLQITGLYDLLAVERVRSGAGAA
ASAIFLAKYMLLPGKRPWYKPSELCERVKVLHHLFCNSSYCSLPAIRKKYCQHKLVTCMMIAAQCVEICVPQVEEYCYITDNTYFKEEVLQVESVMFEMRAPTAKCFLRRFAHAANGNNEVCECLNPEGLHHLFCNSLFMTSGTSTYMSDETGGYIVGEGSA
MKNNGLYEIKTKAYKYEPEWSIITYEAYHDYLEQNIADDKNEISDLIDYCNQHPERKNFKWSLEVGTVQFMAGIFLDQKEIRLASKHLSYELALIHSQEQCRDQNFLAMKDHTLALAELPLENTPTVIEFISHKHKLPLFNESQFEEVHRYADELGTDLIKHIGEYKQSFFEKISDFGLDLTANFMLVRIHLLKFLAILPNLNHDKEGVEVKRIFEESLRRLIEDSTKAKLKGLKGQKRELPFLYHIIIKWTLAISEHIPAKYLARFIRFSVSVMAKRFIAGETIDTAKRSLGDLLSSQRDATIDQLGELVVSNIEADDYTNKVIEIIEGLNQNITKGEKNSSGINKAHVSIKVSALCHDFKPQAFDYTYENIAPRLKRILISGKENDVFVNIDAEHYHYRDVVLEVYAKVLLETAELADYDQTGIVVQAYLRDAYEHLLDVVALAKKRKLIMPIRLVKGAYWDAETIEAEAHNFLAPQFINKEETDIHFRQLIFKTLELGDYLQLAVASHNIHDHCFARSLHSRAFPNAPLIEHQCLHMTYEGLSVGLSKMRWATRNYIPVGNLLVGMAYLVRRIMENSSQVGVLTIMRSHKKGLRLKTPTQAFKDMKDKKEVVWDKALTQMSREFKNIYPIRTYLKHHFNRVDKVITQDLEKYR
MEHLKKVMVQFDFPEMTAKQFDKIWQDIRVKGHGNPSGLEHHAAAISEKGMKIIDVWESEDKFNKFGNTLMPILAQNGIIPAKPVILPLHFEYNGIRTGVL
MTTINRDEWRIRQLEEQLAKRSRFDWALAAMMFIAGIIFAFIIAMPPAFMEAPQCITPQAVVR
MRSRVKAQPAGDAGVVSVTMACVGMIVVLMAAILLGGGSVFAARTRAYDLAAEAARAGAQHIDLAAYRAGGVLRLDPGPAVAAARRYLAAAGAQGQVMVAGLMVTVTATSVQRTPMLAVFGRPTVLVTATASATPSTGGDP
MPDQPTPRQDTPARPRRQRQPPRGDSGAVTIQVLYIAVIGLLFAAALYGGATILAARSHGYSLAQSAARAGAQHIDLAHYRATGQIRLDPGPAAQAAKQYLAAAGATGTVDTVTLAEITVTATSTQATPALRSFGYDTVTVTSTASATATATV
MKHKLITSVEHLKKEAKEESEFFIALNGGLCSSKDIHYCVEEKVSGILKSTFYVYNYVVGMMQEYTEEELFTLSNIGEAIEKKALYKRM
MVQFRLDTDTKTFDAVSFDTAPLVTRSVLEQYVSYLDRTSEVNTWQLEFSGTGTAYIWLHVWDEQECKVLAGEETIEPDSSGNYRLDLRSFGLELMQEQ
MKPSAIANESQAPGSSAFSASIWPSGSLAPSTWASSRSGSAACCAGSCEYCAGASVLGLPRRVCG
MSSKEKVQAAKPPLAAMSGRLIGVSLAPVAVVDIELEEPHLNVAIKPRQLEFPRYPLNSKPPTKRLTIINNGPTPVAFKLLTSDNISYGVSSKFGIVAGRTTGKSRRVDVRIFRKPPDPRSGDAGDKPIKNHLQVLVTELQDPQLTAELAFRQPDLKFDRYKIHLLYTAIPAPAPSHSTPRDDAVKNVK
MEIADAFTFSRLHVTMSKPGITGASVEKSGNENPQLTTFEIAHKATLDRNHKNAQAQLDFSQQNDTLEDGNATYIEQNKSLN
MKTPKTTTAEQAAAELAAQVVAGTATDEKAKADAEEAAKAATKAAADEKAKADAEEAAKAAAKTAADAAAKAEADEKAKANAEHDWRVAKPIIRKRKVHPLGSTMTLTDAEAEPYLDRLERL
MRWLFALLLVLAAPLAADPVPALFDVTGVAADDVLNVRAEPLASSGVIGTLAPDAVGVEVVELTFGADWGRVNVNEMSGWVSMRYMQRQPDAAEVWMTPRFVCSGTEPFWSLTVEQGVGAQFQILGEAEQALPAGLLKPGAGVNDRYALTLGQNVAILRQMQCHDGMSDRAYGLDVGLMLNGSLFADCCTLLAD
MKLWLGLALAATPVMAETAYPSLHDVAGVAATDVLNIRAEPRSASAIIGSLTATETGIEVVAISPDGRWGRVNTGETSGWAALAYLRVQDRPAWFALQGSLHCAGAEPFWAAQIDPAGAGLVTFGTPETDDLPLQIQALWPGDDWRPVAGLSLASATATGMAVIRAESCSDGMSDRASGLGIDLFLNLGNGGEARALRGCCALAP
MTERYGDVFSVKYGRGRKVLFERRYLTKRKEASRYDCFFIADRTVRQQSLTTPKYDNGSEEHWGQMEYYQFNDLSKICQQIYAESKGNEHRWCMRIKEYLQGNPLKYPHIKPSTQKGQSAQEERGGQSVEEERGGQSVEEERGGQSVQEG
MPFRACIVSLSNECGPAWSPDGKRIAFLTTRDGNPEIYVMNAECSNQKRLTRNDGRCFFNVKFKVYPEVFILRERASPLSSTTFPKNQYVIYIT
MPNHSSDLSTLILATKTHYEVLGVSRTATQEDIRRAYRALARNVHPDVAGSTTSASETMAEVNSAWSVLSDPVRRREYDRSLDATMSSGKVLRDDERETFFNVPTTVSPARFPWRGALFFVVLGIVVVLVLAAFVDPEAPPGPDQLLSSGSCVDIDATLAVREVDCSGSHDAVVRQLIAFDAKCPNGSEPYRDSQGMGTACVDRVPESSP
MPGQPPDATNFTSKSANYYTVLGLRYTASAQEIRRAYRDLSKLYHPDTTELPPDVATAKFHDLNEAYATLSSPERRSVYDLKLGYSRVSVMQARADFNRSGSEAHRQRSNAYLDPSDRPLSGGELFALFILGVTFVACLLLVLAVGVSRGEFSGELSVASLKPERLVEMIRHANATPTAPVLPTIDPAATDPAATDPAATELEGNAAVVSDDS
GLSAHKDNATATTVRLGLNNIAPGSYGSETVGGSTGEVFFFDYDPDGGMGDDETYLAAGDSGGPSFTIVDGQPALVGIHWFTWDGGPGIYGSGDTFVSEYVNQLNTAMAGESVTSVIPEPATLLMLLSLGITWLVWHRWRRARPSGHP
SRAGVTSFAIGGDMYLQFYGFSASPFTTKPAADYYYFTEQQQHVLDELEMCVNQGDCVCVISGVEGVGKNTLAQTLCERVKDRISAYNIDTACLSNSVSLQHEMLSALGVETASDTQNTLDEQLALQLESLSASGRRGLLVIDNADSLKEADRRMLASLVGNPSLQILLITCQLEPESEQAQLAEITDSAVCRYTLAPLSAVETDIYIRTRLIQAGGSREVFTLAAIEAIYHYSGGFPRLINRVCDLALEYGFSSRSEQIDEYKLDLMMSERWDGSYLQDGGDEEKANPFLVVKSDRPGKDENPVLVDVPASAANGDWFQVGGASDIGWPVPRKQAKLPSQNEQSGIQASSVVIDDIYDFVRLSVQQQQRRFKRFILILSGVALLAVAGVAGVVIWKGSDLIVAVEPSVATLKSKIVPYRDIPVVEQAALELSVSAVNESVESVESVESVESVESVESVESVESVESVESVESVESVESVEIREQKKLIMEIKAANIALQNESERVAKENRLLKEKLHAQRAKTQRLEQKNARDKKRMEHLIEQAHKNARMNWNNFNREVPEAYSEEN
MKKFTLSLAFILCITFSINAQDLNYGAKAGLNIAGISFESDSYSTSSRIGFHVGGFVNYRFDEKFAIQPEINFSTGGNEWDFGNGDTTGEIKISNLSIPVLLQYDVVDNFVVEGGLQYNFLLSVEQKIDGSGDGFEDISEFYKSGTLGAAIGAIYQLDTLVPGLAAGLRFVFDLTNINDEDVDAGNLRQNAFQVNILYTIPK
MLSCFYYSAVALEVHSHLYSIWELSDSTSSMITKLLASSAFVVSVTTKILFAVQITSKEWSCGFNREQAKDTFDLFAESCQNYAASVNLCCARHKDCYSSRKGYQPCNVQLCLCLQRLITNNDDICGHRIYRSCTIARMFGPTVYTNRPELSSKPVYPERLQKGIVDHFYEECGQLSHTQNVCATYYNDCLKKEDVTYCENYLKDCSNRCVATINTTKACSIALESMLNKLGVPIGASAEEPVEVFSTKQFLSTPHTHEFSHDATLLHNAVLYGIIFVLCGVVLIQCIFLFLVHNRIGAFKREIVESKEPGVIRRKSSTAASAQSSSLTAASKSKENVEKK
MDRPPRKSRRSSILKVRQTEVVSEKVTLEDDTTKQVMKRRVSFHNVKTVQNFEKDNLNLLDGSPFREKIQETMSSDGILTPGKGHITPTPPPDTSKRLEVSNFGDNTMLCFGECPPTARHLDSDEVTKHTSVDMSICDTTFRQETPSPVDMSLCQTTSGEELVPYQPSGSRSNNTQYGCVDMSFSTTAAGSVDDTLAALEGVLKGADRHSKSYGVANATINVSKFERTANDTFACLNTQPGQPISGLRTPLLRSSNSDKSSISTFNDDTALVFETKSSSRTENLKQQPNSESNTSSFMSQSADDCITMNVFECLKPSPGVEGVSRRHDRPCEVVSTCEENESLNSTDMDVTNTIFPQINEEGSDADMDISSGTVNLVTSEASLTAKDQPRTSRIHRTDSSFTGKSRISSSSATSGFYCPSPPANTTSTSVGGSFHCPSPPANTTITIHTETRTTATKDKSGTINLFTTPDKSMVSMRREDSVLLKSHLSPINQSKNESRRTRSESTQDVTESEGIEIQRRADLSSYPVGEHLDSETLLQSKITERSTILRNDVALDRDEGMDVTYECEKSSSMAAVSANTFIHEPMEDVQEQKFEVKSMREENVGGNTVLAETMRTLDENVERSMVSMDHTNNRTYSIDGSLNETNITRRDVSIMPIGSRFRTSTSSRDDTVLSLRSLRDETISVSQRMMFDSQSLQVKYLEPADDQPQSNLIREEILSDLQGKLLEVKEKSAAEIKELLPKLQAVFPAKADAVINMDMRALSLDDGDLLMCSRMRAEIEWAETRAKIAEEARSVVEQLIANDEPDLKRLEEDVQLCRCVDELQREVNALQEELEGVPSIEEVKQIIEEDKQAAREEEELEDQILELEVKQLRLELEAIKLRNKQINESNAELNEWLAVLERNDARVRALTQQIQNDNMDQGEEVSWRAPRAILLKHRKTSVKTGFTRASLRFIQA
MEAIVNAIIIVVAEENTLINTTTMAINIIKEVIKVVLDSSVQKVSLAQVVSSVVKHV
MKKRALILCSFAFLLSAVSYAQESQISSTQLTKESTKSRGTVARQSQVPGKLSQNPLNTIESIDQEIQVLENVLIINKDDRAFDRNAVNNRIAELKERRKTLIK
MNTIKDEIIAIETKEKIGTLIRSRECFYKEDIKNIEIFKIAEEKRGTKKEIKSLLDKNNKATDDKNSILQIILDFYSELYTSDGFNDQEVDEYLGKIELNELKDEDWSVLINKNKTEILNICNAPEEDTCLKLLTKASVKTLGIWFGKASQS
MSATAAATKISKYEKDFVTFLNGYDVPLPAKLFQHRRFKELLARLQPSRIPKHPLDERDARVITEALQAWRMYCHLSLAAVQSDKNFLDTFLSYFADAWQWMLFLLPGEGNVKDLLGTEFETPDFPLQSDGTVAVTVYMRYRLVMTTVSELLFIPAASATCFAQPRFGEVLLSVLTYDWDRPSNAFYTIPVHNLMRFFNSGLEGSNRELSQRLLDSVVAHEERHPGALFRVIFLRVQWLFDAPEDYKSYSPSYGGAVLYLLSSQLHRTMREGFRRAGGVTVLVQLLLRAVPDRKLSSEQMEDLFMERIATRLAMSLLDTLFGTREKDEEVVEAIQAGLLVFMDRLLRFVPATGDGNEWRRTAGTWLLDVMMPAMAWVDVLRAFKKQVGLHGQLVDPASRSLRQKWQAWDTVTARYVMLAPRYAQFVADLRPLQTRGCHNPECLRASSPSTYTKAKTRICICATAFYCSTDCQRTHWRLGGHRESCSREANSMMVYRPLAEPSGARMTPQLGYIDHHFLKACALKEVALAVVRGTRVDGRSVLVDFVARSSDGSLKMTAAVVRDPYGPVGPDSTRVYVRVCFGTITDVDVGVVRTMSVRALTLLAEEHRVASG
MGSEYPAAHGRKRMLEDDTAPAVPVPAVEPPAAQAARTAIELQKPDLSLCMARTWATGRGSQCRQKPDHMDDLCKIHSLDAERHDGAPAHGRMDGPIPAKKLLAFQRFAEKRGWPAAFGAQPQVGQPEEQAGGGAGAESSPE
MPKRSFAENARKKAEEVKKGLGELSHKSENATEAEKEAKEALEKAKEAAKKATETLEKTLDTIKNPKENSEKKVEETVEEGKIKEIKKLADDAEKAADKASSLKLQADADAQKEKERVAEELAAQKGRAEKAKTEAHDAATEAETAKVKAIEVVQQIENEGDEEGQTDAKNAADKIKEAANAAATKAQEALKKATEKEQDAKQDDKSLEDSKSEADAAEAAKKDAVFFKDVSKKIKEIAEDVAKAENARKKAEEVKKGLGELSHKQGIITKYKIYIN
MTSLRAQRRHRQWSSSVVVRGMAWAIAEDLQGSFYITFLFIGKVFQSSAEQQSKAEQGRAIQHRELDIGSVVTLEPLVQWPFGWGYQTGGRARFDIRQRGSRHNKFENALQPLAVPKLKTGTIKPG
MQITQQLAFDFDTAQDAHHNQPVRLLATMPFPPTPESEPEKFYGIFLPRRMLDKNDHHRR
MAAVRKLFPYKQLTPLVISRSQAIIAFPTSSPNDYSCAWIFSSHVHTSHTLATVHSSSMLIHFKDGTFIPVKLSYYSLEKKLARAAVIRNYCLESPVVLA
MYSINRGVKKQTDWQRSTCDPKWEASLEFPLNGLPVRNADVLQVHLYDFETIGADKLIGQASVPLIEVIRNGTVKRRLIQLSNPQGVLLEGTKLYISLDYAPPPTTDVPVVQQRSDEPSNRELPANRMEPGLTDFAGDVQNDNPPIDKETVRMNKFRSNKSRDFQLRVKVFQARQLDGSNLYPVCRVRAVGHTRQTKICKGTNEPQFNEVFFFNFNMTEAEMYDEIIEFE
MINSQATARAWGLRLVSVLPLACLAAAHGPGHPGLGEAVRAGWAARQAIVSEGREAGRA
MTASCPVPIVIAGGKKLPEQEALEMCWRAIDQGASGVDMGRNIFQSSAPRAMLKAVKKVVHENMSAREAYQFWLEEKQGELK
MTKFSWKNVLIAGTAAGVISGLVKLGWENILPPRTPERNKTNPPQKLLEQMGVPAKLTHATYTYSGEKLPWVSYLVHFGFSISFATAYAALLEKKLNG
MRGKFVMWLTHHKSHARRVHPPTMPRNLHPLVGAIDAGQWDAEAPLGRIVLVAHAAFLDAGFVPCGDQASRRVPTQVGLTASTLSLRYTIPELVHPRDATAAADAAVLRLCAHGRFLILYGYLTGDGHRPRTRWACIDAHFVAPVLSGDLDATAHALAGDALGGRLWKALADGMGRRLFLDICARNVALLPPRFTSLPADLQASILRRLAGVDLAMVECTCTELRDLVAERQLWKARYVAENWFLFFGRRSRSASDESEILGACRSWKEKYVKSRWFWPPWPRWSYPLRPLRWLLNDLALLRLEDFRYDLYARSVGRRELDRCKRQDPTQHLIRRFQQRKSKQVRGSTPMHGNGRRRCNKEPIYSPSARYGPIHR
MPSREIVFSTKSPGNSQNNYMKRIGSKFNSMTHKLNPFHQSDGSSGSSRERRKSSAPESLRQALPKRAITIREATSDDSWPSSPERENSAPSSPRAASSPPADFFHNLHQWIPENAPAVNWEARHGSTQSSSAHEQWAPPSGDFFHNLSPWVPDNAPPVHGYGASQPPAYHPPAHEQPASPAADFFSNLSPFIPENAPPFDWEAYTRNNRRD
MISGILFMLVFGAIQFGWAYYRWQGLQSSAREGARVAAIGGTQSDAATRARQSQNGFATSDIQISMAYSSDDGATWSSAICDDGTGNPCTSTLAPTPCTTAGLGNLLRVTATVPAGSGKYAIVIPLWGNANITYSSQGVFRCEKSG
MNVVIDGKAVAAFNAKPVKSRVGDTEVEVEEASARIKAVHGFYTDNEVVIKGAFDAFGSLVAGELSTKTTDAVLDVTKKVMGALDCVKQVHPFIQVAVLAFKVVIDFEIRRRENDKRVSALIVQGADMMIELFE
MLSTNCFPKIFNTVQCNSQEMGCIFDTLTDKAHGQCGVQTLSFKVLRNNGDENCEDWIVEQIQLPVACQCSLSKSSFLRAKPNKEL
MSKSGDRLKQIWQGFESRTTRNLTGSGVDNIPRPQIEEMERHRSLLETAHKAASVPMPEGVEEPSKAAFDALRSRLTSFDQKGRPEKKQYKPAAEPEVNMTAAETLHTEQLIRDLKVTENLTARRELDYMGYAAKMQAERDSRRKRKKFLGLF
RAVVMDGVTVGRPCCGVKDCQLPLQSQRHRFCELHKNKQLVCSVIGCRQPSEHPQFKTCLQPAHRVLEILSKQDAYFVLT
MSVHEIPDVGPDRLGPRPVPASPPRPGAAATPPAAGPGPDAVAVSAAAHEHARLRALAAAWEAGAAARAAALRLDLARGGPAPDPETIARAMLALGPSA
MLGSGQTIYVNFDGRAAAIERKLQQIENKTKSSAKRMEGMFKNALNKAAQFAAIYVGFRGMEKALSELVSFDKGMRNVNTILKLSESDFKKMGEGVRDLQVELGASGEELTEGLYQAASAGVQAADVLIFLKTATKAAKAGLTDTKVSVDGLTSVLNAFHMQTSEANKVADIMFTTVRLGKTTFEEMASSIAEFAPLSASLGISFTEDAAALATMTKQGVLTKEAATQLNAIFTAVLKSQDEARKMGPKVAEAFSIEALKAKGLQQYLVDLNTVLGGNQEQMVKLFGRTEAVKGMLQLVGQNARMAASDLDEMTKSAGEMGRAFDEQTKSIDFKINKLNASITVLAASIIDNFAPALTWLVENFTQGLDKITGRWADAQEKILAESYKGKGAIEGWMEAFTGYDSDDLKRELDSMVKEWEGLDEKLTKAEREKPSSLAGNAALKEEIELLKEKKMMLEAQAEAIKRILSPVPVTETAPTVTTVTTKELTEEEKKKILEALKAKEEAIKKYYDEIKWLDEDYYKYRVDMIDKEVNEISKKVSKEFDLEKMKNKMISDLDRERAEFFVRPEIISDEDWSKIDEAIIEWSNNLDDEFSNALIDSFDKGIEKQNALHEDMLSSASEFGYALTNAFDRSGKSFLSWLNMALQAAIRISDIVDKMNAGEKSGASGGLGIITTILSFIPGLSKGGSVVNYGGNVSYTRIPKFASGVSSFTVPPGYPGDSFPIMVESGERVTVTPAAATRDQNGLADIKGYLEAMVIAINKQKRPVVFIEQKTPGIEFVQKTVQKEINSMSRHGVKLDEF
MSASRDSFPSTLQETLAQAVVHHQNGRLAEARPLYERVLRQVPEQSDALHLLGLLTAQAGDPEFGIALIRKAVAKDGAQPVFRLNLGRVLEAAGRWAEAADAYGHAARLAPLVPDHHRLEAAAHAKLGRAAAAARAFRRLLALAPEDGEAANALADTLYDLARPLYARVLRQVPEQSDALHLLGLLTAQAGDPEFGIALIRKAVAKDGA
MMAAAMRPSVEPILARAFASHQAGQLALAERLYREALGVDPANGPALHGLGLVAMQAGHVAAAQELLGRAAATMPGDPGVLNNWGIALARLGRTAAARQRFESAIALAPRFPDALVNLGSVLADLGELAAAQSRLREALAITPQSADARCALARVLLSQRKPAAAEGLLREVLLRNAHAVALNLLGNALRERGRLPQALECYRLSQAARPDHPEAWSNFLVAQNADPSVSALEAFEAHRAFGRRFEPPQPFPRPLPPAAKAAFASATCRRIFALMPSRPLSNPCSPITIDRASRSRPIRTRRPRTSPRRECAQSSSDSFRSRA
VLDNNDFITIYPLRIEKDSTLELKSARSKIEILTNKSHFIQGVMTIRCDATIYNLWNKSVENFIRDDSPKLAQVLGSTLSQSQLDPIFDNGNHARWMASISNVNLFTCLMLSILKLVLR
REQYTVRAAGAALGPSGREGERTRTHAVAAERHRLEELLNESFGWLDSDGVDIAVILMSELIANSLADTDGKVEVVITQTDTEAERKLRFEVLDDSAFVPEIGDMPDEFAERGRGLPMMAAAADESGITTFDDGSGKSSWFELHRP
GRRGGGGGAGKKGSAAGDEGEEEEMAHLTSSLRKLGAWLESGSDALDKSRLTSRARLHASILAFVQLSAQIRTRRPDVRDGLYESLLMDDDPRHVPCVEELKELRSMLDYATWAYVDYYGPDAVKANLAGRGFELLTYAPSDVPGRVGHYVALSEEENVLLIGVKGTSTLEDLLTDAAGKATNCPVGGRGGGEDNWSLDVSVEVDPNFMDVQVAASDESLEDGGGGGAVEVVRRESIFVTRDGTEIRCHEGVLLATRRLCDAVLPSVEKYAVRGRNGKDEKKQCSVVICGHSLGGAAAALLSILLRSRLPALAEREEDAVRAYAFGPPPTVDSDAAFACAGHITSVVNNSDVITRCSVANFTVFLEVLAEIGDRLEDRGMGLEGPKRAAAFLKHLSKGSHADGKMIMSCEEYAEAVARAHDKVAVRDPDHLYVPGRVVLLYEPWAAGAA
MEPIYKVTDERFDVGNLDQHDLSIEISTERFRFSLKNISSGLIVWLEDYYLGGGADFEENQSIIERIYTEHQFLAANFWHKVQVSFVSPFHLVCPEHLFMPGKEKDYLNILFDHKLSENFAFRHRNFKESQTTLLIAYPAYFQHFFASKYPKEKTTFHFTAEEITRSLVEGQDPENLVYIFLDDSCITFYYLDQFNTLQIERFPLQSKEYTNLIKNFGDKLCFVFGELTLYSPTFKTLQTTCKNIKFGSSSARVKFSQYFQDLPQHRYVSLFLLS
MTATGDSRRVHRGLLAMVIIEAALGLPITFLWTVVTVLFADGPRCIPGLPETLYCRSDLVYTLTMIGPAIFCVIGVLLGTVGVVWERSRPRRGWPWTLAGWLVFVVLSPAIFVLAGR
MLWRVMDLFLVYPIEPGLRIRESPAQGHMVYRCGLGARSGYFRKRSHDRRFSNDDLVTIVRKNASEAFVLEGVDTLIVMGS
MTQEQKNMVTLYDLRNRATMERHQKEEKVLTWVIAFLDKHWDVVEEDSRHGR
MENFAKKQPTPLNPIRGENNRNPRRGTDSGLGSSLVSTPTKSVSSFPSPGHFSTTTSLGLDKTTQQSTGKQSYANALNSGIPKKSQHSVTLGQLEDVCRKLEQQGNGQKVGSKVKTNKDLLQTPKRFNPNELDGNKLKSLQRQISFEIPMSDKIPYRVVVSFNFITKGLLHIMINSERIEYWDWILPYYDSSSSLRSVAGYVEKVKVGKKNVKKINVDDLSKDQFIKTYNIQIDGYNAIKKITADNNNYLGKGINSKNNPQLYWETQDMNGNHLFMVMGLSKESYLFPAGSDEQNTSNCYRELITIFFISQEEYMRRKRKGWIKKQ
MRGVFSRVVGALVVPGLLVLAFHPGSAQADDTSFFGRLFRLVGSSSSSSTSSTPSENSTSAGQSGFPGSQAPSSAFGDIGNGDSFFPPGSAASRMPNPTTGDGPVSSEGPSTPDLSGTQDGQPRLSPRPRVSSAVTTADPLLTRMALGRSNDGSQFGMLLQVFTDGTVIDSEGVHRLSASELRPLVEATQNSELARVRGHCGTPSNDFIEYVHIVIFERRMGRLQAHSFSYAGNAQGCDNGILYLHTALENLQAKLSHRPAATAATGARGSPPVFNPVPAATSPRPATRSTSTSITKPVNGPAIAPPLPDPSAVPGPGSSTGSVITLTPITPR
MKVIIFYHEIQRELQNAYLLKAELQRRGHEVYVYHYDYIVKPKRVLYFTPDIVITHCLHDPKAVEYVTRTFNSKITRIVNLQYEQVMSNMWDKATYQIPQGIEKNAMHLCWGEMGKNRFISNGINEENVKVVGCLNVDMCSERFRSIYKNKEQMASDYNLDKSKEWILFLSSFTLAQVKKSQQKGMGIRVGEAKATEFCEISTESRKIILEWIEEYISNNDCEFVYRPHPYEKRKLNNFDALDELESKSERFHVIKEDSVRSWINVCDKINTWISTSIIDAYFMNKNCAILRPVNIPEYLDHELMMNSNKINNYEEFYNYNKFDCNMEFALDKKIIDGYYSVDKNKYAYERICDLLEKIYKDNLIMKLYS
MATAALFLIVVFLWRDLSGLKSVQLELTEQNHQLRKELAAAKSELNAAQSELAGFKTGVPARKPLAKVAQKKPEPPVEEPETLMLQPPSVSQTPAGLVARLAFQSTTSEIPDLIALVVRLPGESEAEILGFKAATEASFSNVKTRVDESGKFAIFQGSPANLEALEFDLTVSAPVTATVRGSKGIKPFEIDIAPDASNVRKL
MLSQARTIFRKLRGSNSRSSRGKEPAPSAPATTVESGIVDPSPRAIHVSDAKRSPTKLPRKPYSDAQSITMIVVDTKGATDYWKLYRLLLREKKYGYEFDPTDEPETDYFCGNYIYNVCFIPPSTSSAYYCLMQHICLVFTYDASSRDSWDEVVAVYERIRNRYKDGVLPFLTIMIAAIDEGEASVSHAEAEAFATQRDYLFIKFSPTTGRGICDAVGLVVELAYGARDQYTMDKKGYAQR
MGDLAGPPRYKVQHSFILGFRYRRVSERRYRFDGRSVGRRRSPKAAAAPLMVRAVYTQLTGRQVISRAAAARSRGAAL
GRIQAIIDSIVPTAEKTIAAARAALMPAATTDQGRMAAELGVQRIMGRNLDTQAVLDIVKSDAPSPTRTLLLTELADRGQIGADIIEDVLKSTDDAYNTAVFAKGRAQTVANILSQKAATLQGWLTTAPNVGTTQLDVDGVAGNVSVATVLDAGEYKTHGGGEDRVFTHLS
MLADIVGWIDPGLVIGGLLGGFTMGLTGMGGGALLTPMLVLVFGVPPTAAVGSDLVTSLAVKPFGGAIHARAGTVRWDLVRWLSLGSVPGALAGVTLLHAVGAGADELVKIMLGFTLLAAAAVMVWRARNPVPDHVGPPTPVRPIPTVALGLIGGTVVGLTSVGSGSLMIAVLTLLYPKLKRSELVGTDLVQAVPLVGAAALAHMSIGSVSAAITGSLILGSLPGIILGARVSAHYDGRLVRAAIPAILGASALKLLGVL
MTESASGTTGGRGARERILTAAAALFYEQGINATGIKQLTDAAHVSTRTLYQHFASKDDVVAAYLERWDTKRSLPNEAALHDPDQPPLTRLLGLFADPQAGSPARGCPFHNAAVEVADGESPVRRLVSAHKQHVLRLIVQAATEADLTGPEQLGHQLAVLYEGATAWHTSTGDQAAFTHARTTASTLLRLADPVNATPTTTDGKDPGDH
MSRDTTATRRRIIEAADELFHSEGVKSVSVDRIAEKAAITKRTLYYHFRSKDDLIAAYLAERDVPTMQRYRTWLGMAPGPVLVRLERMFGELAKAAADPKWRGCGFLRVAVELANLPGHPARRVAAAHKRRFEAWLADELRAEGILDPAGKARCLMIVLDGVIAEMIIHKNNGYAGAAISMCRAVLGMSPCERPATISDADVDLQPGSSSTKLPRAKDVSLAQKQPTDHALTTAGPVAVNGERRCSACHHDVVVVPGMSLRPCPSCERSAAA
MGRTSDAKQKILGAARSLIESRGYSALGVAEICKAAGVPKGSFYYFFESKESLALAVVDEHWAAQQRDWVRVLGGEAEPLERLRQLFEETEAGQRAVQEGCGTVSGCLFGNLTLELSNQTEAVRSRLQEIFDAQIDMVEAVVAEARERDDITVTDTREAARAVVAQLEGQVMFAKLYNSTQRLGPLWDNCLALLGAR
MHCSEDPSGNFSCVTGDCGSGKVECADSVTAAIPVTLAEIALDSFNGMDVYDLSLVKGYNLPILVAPPQIAARRSASKDTQQEHTSLNIHNNFCNQDDVERENACNACSDVSSSHRNSCSTMEVGSVGRVSFLIENDQTDQPPKHAREERSEQVIFLLRSASSCVEVKKTNGFWIEFAMT
MKMAAMTSRAVIRMRILPLHLLLAIWRRRRGAQLSAYKSSYRQRSGAESNVCLGDAAGGVRRGEKGKGKGESCAGAIAPAGTA
MYQHELIEKLGCQNLKEALSLLNNELEPQRKYGCEHFFVYFCEDARFRAIQYQISEGLKVGLGKDFDVPSTRDLKVFSWVHSPVYPDRVDDIMILVSPKHSSDFEGDKDCFKYLWDLIHNWRKLSDAWCWEFFVLQGLQLHLKKLLKALLKEGEVVNY
QRSASASALRPVTIKQILTCEQPHADAEFVLDNAELTSIAIVAQIRTIQHGATNMKLTLSDGTGTIDARKWNENGNADGEGPTEEDKLREAGIVEDAYIYAMGSLKTLSGKRSININTVRLVESPYEVFYHIHHAMVARLYYTRGPPNGTAPGARGPDGDVNMYNTGPMTLDPQYATLSPLEKGIVSAILQQRQGADGVNVSAIARSLQGQADANAISDALDKLMDDGHLFTTIDESHYDVST
MPPIPVKQPKSVKPFNMESTLGDLSNIRARGIDLSILLEPSKDASSDAQSDKAVKKSQEFIESVRGYGGSGYYGDGGGFLSGSQVGGGSQGSPSGGKARNLNQSLRAITIRQIYTADQTHSDADFMIDGVETSQITLVAQVVAASKQATNIMYKLNDGTAEIKAKHWFESSEEEEMGHGQNEHIYIRVIGTLKTFGGERQINAVHTRIVTDPMEIYYHLIEAHLVHLHYTKGLVGTAPGAISTGAPAASVYQAGGKGQQSRFASFPPLQRRILEVLEQLMPQHEQGVHVTTIASGLDVQASIDDIGATMEKLAEEGHIYATTDDEHFAIS
MNTLIAPVPASLRQSDIRLIGQAIHSRGLSPIRLDIGEPDLPASPHVIEAAMLAAGGPTSYTPAAGTDAFRDAAADYLRVRHALEVSPDDIVIGNGATGALMSCFQLLTRAGDEWLVPVPAFPCYRTQIALAGGVAVGYPLAAEHDWIPGLADLEDRITSRTRGIVINTPGNPTGAVIPAETLSAIAGLAGRRGLAILSDEVYADMAFDAPAVSALAVDRARTFSVFSLSKTFSMTGWRVGFTVAPPGTGAQLAAVNSQNMASANSVAQAVAIAALTGPWADVETRRETFARRRDAVHAWLGERSIPHTTPGGAFYQPLPLQSGTDSFDAAMSLLDDGVALVPGRAFVESAAQPFLRMSLAADIPILLDGLAIVEQRFWR
MTDGRDGASRGWDRSPDAVPARFRAPTPPSSPSRPVVLPRPIPSLDRLPPYVFAELDRLKADARARGRTFVDLGIGSPDQPTPAPVMEAVRRAAGDTSRHGYPPFRGTPEFLGAASRYMAARFGVALDPAQELIAVSGSKEGVAQLLQAYCGPGDVALVPAVYYPVYARAPMLNGADAWFIPTPAPDFLPDLDAIPADVLSRAKVLVVNYPNNPTGAVCDVAFLARCVAFARRHGLLLLSDLAYAELSFDGYRAPSVFEVPGAMDVAVELHSCSKAFNMAGLRIGFAAGSAEAIATLGAYRTNVGYGTPWVAQAAGAAALDAHATLAAPIVAEYRARRDAVYTALREAGWDVTPPRAAMYAWLPVPEGFDDWGWVRAALDEVGVVVTPGLAFGPGGAGWFRISLVQPAPVLSQAVARLAELAARVPA
MYSSSFDDWYGSIKPKSDSFLFVAIVGETPQYKKSDAKKYQNLIDSTTFFDGENTLRSTKRPALANRLQAIPPFHVMEVVRIAEQLESQGVDVIHLEVGEPDFPTSASVVDRACRALRTGKTRYTDARGIEPLRDALSNWYASKGLDVPSYRIQITVGASGGLLLAFAATTNPGNRVLMADPAYPCNPRFVEAVGGDVHWIKTDVATHFQPTLSQLEEKATDIDRTLILAHPANPTGQAVPRAQLEQMSEWCQRTNRHLIVDEIYQNLSFTDALKSSLAISEHHFVVGSFSKYFNMTGWRLGWLVIPEYAVKETQRLAQHLFICAPSFAQEAALACFEHDALRDAEMHRLELKKRRDLLIPRLEAMGFSIAAEPDGAFYVFVDGAHIVKDSHQFCAELIRKTGVAITPGVDFSSSLPPTWIRIAYTQPADRLLEALERMARFIHD
MNLLVRHTSVRFFTCVKKLPSLLPQNDGDTTGIALMRLSSLAEELAARSGSACGLHFEALAALARGEDVILLTIGDPDLHTMSPVVEAAVSALRQGDTHYTEFAGRPDLRRAIAELHQARTGIPTQASQVIVGSGAQNAIFSSCLALFSAGDEVLVPQPAYLTYAATVRASGAQPISTAAAPDSLRFNIDAMRAAATERTRGVLLANPCNPTGSVLRADEIRELARLAKDFDLWVISDEVYSSLTYDAEHFCIAATPDMAERTVTVGSLSKSHAMTGWRMGWAIAPHDAALAMTSLASCMHHGLPGFVQAGALAALERYNECATAMCATFRSRRDVALGILSQAKGLLCRKPEAGMFVLVDVSGTGMNSSEFARRLYHDAGVAVLDGAVFGNCVAGHVRLSLGATEGSLMAGCERLTAFANSLVVAVAA
MRKTINAIGTVDSRKVSVDFAGQIEMHMPFEEIGAKADAIIEECMEQYKKCYPEINTESDVFWDFRLVYTVGIDNPEYTEFMIDIYIWQKTNKAAGEDTCEYYGDIPLGLDELDKEKVRQMIADKMKEIFFMPVGGARATA
STTESPTTESPTTESPTTESPTTESPTTESPTTESSSSSSTGTTLSVEDTVCAGQTLVGRYRNPNDATCRTYVYCRMDRTTRKLVGRPMTCPGTRFFNQSINNCQDALPAGCTQ
MHGQVGHVLPVDVDAPRIRPHQADDHVERRGLARAVGPEQADDFAAAHAETDIAHHLSTGIALLQMLHVELGTGRAHLTGAARRSRHHLGPSDDRSESAWNHRRIGRPGLRTQGWPPRFGTALSAGGDFGAAGTDAVAACGVIITRTRPLGLDGVTPWAPPSTVKMSVIWL
MNEIIQCEKCQKPVSPTQRIYSSIYHGYICLSCYHNQFPKIPPTQKPLLIAGYHNHIHLLEKTS
GSPRPTSATPLSRLPQQSSTSSTETSRKEDPDSAALVDCWPPPLSHLPSSSPSKDAAQLASFITTSSNKRVSKVEARCRAQQSRAHRLHPLLYAAPNQDDSETRFSAILYPNRFHLPMEVMMILRNSLNCSPQRAFSAASLTVRDGRTPLLTSVPFSIASKLCKRVMAALGEKTALAPFLAIYCLHVNLLLPYTLLTSQTSSVRPLRVDIVDCNIYALEQFFLCFLRWLQRLVSEVQPLRPLICNALLGRYVAFSAGATAEGSYPAPETQCLSPGKMMTEQSLLARYFDQIHSAYGGLRKSLMAVITASLLQETFYRTVYAIEHIRVS
MAGGVARGAELDDVRVGHPAREIVLALVEQLALAQRCWFRHCSKWKLADATAGSGTATSGVILAVATAGFGTSTSGVIARRAPPFSMHGRKLAVAIAGSDVAAPSVFARRAPPSFAHGRKLVVTSPGSGAAVPSIIARSTPPSSAHGRKLAFATAGSSVVVPGVIVDGVDVGCTTHQGLVFAQTAATCTRCGDVCRRGACRRSGDGGRRRERGGESEDGREEDESDGWVLRADGVRMKNMTVMA
MSEAKYYQLRCDQDKIQPGTPKCPKTFVGNAFETPSRIRRRLKTQGWTQVPVPQTAWQKEHGIESTAKWDRCPDHPPA
MLYNVNSPYYRQFLTVNGSKRLQKQVQGQQQNTGNTDSRPQVNPGSREDEKPYISEDYNVAQNIGEAFMNGLHAIGNTSSNLGDSTESLGKAGSDLGDGISKASINLGDTAESIGKAGGDIGDGVSNVSNNLGDAANGVGHTVQDVNDAITSLWEDGEDENKKQDNDGEDGNDGEDGENEQDGDGEGGEEKVRKLQKKLIIFFDQKKIIKKQNE
MAEKRRTTADLLYVTAGETYGCELVQRRISRDLHHVRALASVKKTTSHLAGCESTATVSNLIHQFGSI
MDLKYLNGSSSNGAKACLLTLGAY
MITKAVIRKVAQHVDEAIVEHQSRLDPLQARLDRQVRAAKGAAQRGDARAEEHFTKRADAIEVEMDEIRVQLRPLYEIADAGNWSRYVVVPGGHVHRPECSTLYPRTVRFWLPSFSGADEAELVEAAGDHACTVCFPSAPTNRPTTIPLLVEEREAREAEAREKAQKRAQARTEAILDEDGNVEYKTQRAAENALSQEIKSALSYASYYAVQGGIYGIPEAPNPESHEEQLARLDKFIQTHLEGARRIVGLLQARGVETEPIVARKLAAAIKAENKNGAIVPEGFSL
MVYRRELTEDAIITTLTPPTTTSTTTISSTTVPTTTTTPSQSTTLPTTSAAAAATTTTEMLTATAAPTTTEMSTTTAQVITMPTSTTSYSNNTALVCNGNDTAVCHCYTAFTTDELITKLYELRKHLLVDRKTTSAAIRLRTSASDDRRSAIAIGGFGVGILVLLTLGIVSPDIIDLVSYMHISSQAKTV
MNIKINIEEDGEFGNHLVNALLHVERELSHLRGNVITLFRKLMKRSWGCWQTAGPCSHSCGNGTRLKVRECNNPVPTNDESFCDGKNTTLEWCNLRECPVYKWGYLKDLNLTLAELKEIMKDEIIELKGNLTVDNKNTSSAIRRRISARDDRPSAASFGYFGLVMLAKPIVLMVCLDYNHLCPECIKRRIARILKKSVHQLKRRRDLHV
SDHSSEVDQEGAWPEEEELDSCQEEPYYIYFSGHNQGPEAYLRWERDMEEWFQENQIPHEERTAIAEQTLVDEAYLQWEIDAYLRLDYDLPDYSWEEMKLFLRKEFVEEPEADQPYYPRPSVPNQPRRWITFTSPKKTLTKAQPMVSTKEKKQ
MALLAWLPLLALSSVEGHVFGGDVVVPFLHDLEVHVRLLLALPLLIVAERVTEARVRPMPRQFIERGLIPDNAMTPFQRAIESAARLRDSAFAEILLIAFVYGGGILIWRRYVVAETVTWYMIPSPDGPRLSLAGTWYAWVSLPIFQFILCRWYFRLFIWARFLWHVSRIRLKLIPTHPDGLAGLSFLSNTLRVLTVFAAAHGTLLAGYLATHVIILGTSLTEFKAEIAAMLVLVLCVATVPLLVFVPQLSEARRNGLRDYGRLAMRYVSEFDVKWIRDTNHKPGMLLGSADIQSLPDMGTSYATVRTMRTVPVTSDAIYRLTAATMVPMIPMLLTMMPLEQILRKLATIIL
MSPSKPTSLQRTVPTDPGGNTRLLFTSGPHIFACDVTLAPDQSRTYGVERAHVVYRAFLPTDIPSSFSGKYIKVAYWLTVCGQQPLHETVSLRVPLRVVNPLVFKRLGLMEWRSEDRAGVEAESQEVEPVDLSRAFHFVHNHTLPERGDSSFENEPRRTTEPSAFYETSPGVQRRTKMTEERAMRLQSRKAGQQLLKLGVQAVSAHVAHSIVPESFTIAKGEQLVGKVNLAKRTFQLGEAIKLALDFESARIPCYQYTVSLQSVEQIEEAARKDSGSELGQPHSECTMGAAATAQCVLSIPPQETAEFQTDVVSHSWYLHFDFVTGFETKERAKGEGEAGAPRSSTGPTRRVHDLRFFGAAGELQVDTLRWDIPIHVVAVGPVARASLHPPIHRLKFAAS
MAKFIKEFVPNPILQNSSRSGQSVMDLVAGRRLPASEKKLSNPMREIKVQKLVLNISIGESGDHLTRAAKASEKKLSNPMREIKVQKLVLNISIGESGDHLTRAAKVLEHLSGQTPV
MLRYLSLNEEISSNLTEGKKSPYRLESRTSSLIVDKSQIASLGPILLEVFGPILRGWYLVGSYQDGRWNGRRHSRHVPRWIKGNGEEKGFIPHYPCSMGTSGVDPVQCFFA
MTAPTSRYRSPRREDSAAATRTAILDAARELFLERGYPAVTVPEIARAARVATQTVYASAGGKSGVLAALLQPLLDDDGAATANAEARRTTDPRAVIALAAAGTRRVHETYRELLHHLIRQAPGEPAAQLAVDDAVAKCRTGLAAIAGRLRELDGVRTDLPGDRLLDALYFYFGPDAWYGLVTTQGWPFDDAESWLRDAACRTLLRP
MFLRKDEYVKKLPKLELMLPYLDSSKNNLNHTTQDMWKIPLLLLLKSKYKIGFFRIT
MSSGAKWKQKIFDLAGNYAQDYMTSKMTGSSADRDRRRELRCPTSCRAEARPLLSNTLPGEPAYRDDDLEAGRARYPPPPARHQSTRRRYEDDYPPRDRRPRRRRSHHDLESSSSSSDSESPPPRERRRRHQSSRPHARDPYEEYPAAPPPPKEHRDRRRRDDAYEQYPPAPPPPKAHRARDPYDAYDEYPSAPPPPKAPRDRRRRDERRDEGYYSDGQHNRPRRAKDPYEERPRRAPRDDQRRRDPYDEDRYRDLDRDIRDPRDDRRGRPRPSSSSKREKEKKWQKEAKDMFAQYAVPVIKAEGGKFISKQIGGYLAKQAGSR
MVFHHIVLIFHSFKGFSDMEDFSDDLPGSRLKYNALEDFHDDLPRSLLIESSHMSPFHNRSERFGFSDMGLIYLFLRSGADFGRLIGNLFGSLLKYNALEDFPEVFHKSSKVFRHIVLIFHSFKGFSDMEDFWDDLPGSRLKYNALEDFHDDLPGSLLIESSPMSPFHNRSERFGFSDMGLIYMFLRSGADFGRLIGDLFGSLLKYNALEDFPEVFHKSSKIFHHMVLISHSFKGVSDLEDFWARRLPDDFQEVFQMTSRKRLLGSFSDDFQEVCLLSCLPFIIDLSVLIFNQMVLIFHLDISRLDFGRTMESLLGNLLKYNAIEDSQNLLSHYILEDFREDFQISRKSSRRLQRSLPYDFQEVF
MNKKYSEVIIIGAGPAGCAAAIQLKRSGFDPLIFEKDQIGGLANNANFIENYLGFPNGINGSEFVNLLEKHILRLKIKLVKEEIIEITWDSKKNLFYIQSNLNYYKANYLILAIGTIPKRLSIIGEEELNELDLLFYEPTNIKKQNLLNKRIAVIGAGDAAFDYSLQLEKIASQITIFNRKNTFHCLPLLMKRVEEKSDKIKIIKNKQIIKFEYKENQNQPYVVLEFADKSKSNFDIILIAIGRIINSFLIDQLAKENPDKKKLYKIGDVKNGYYRQISIAVGDGIKCAMKIINDIKIRSN
MMKSKYIKIFAIIKEIPRFKNLKYNVIDTKEIVKLPTINKQAVTKNIILNLT
MCTPYTAIIEEHLLFIRARQLIVQALSSAGANGVPCGSSAPTQSSREHLEENVDSGDVCTSTRLAVLALPASLLHAWLRHTEAVEESNCTDVDANADVGATRLQTSGETWLSVQPALEYASLTYGPVHVINGLQWEEIKALYNAEQPRQKSLRESSASAVGPLSTRLTSPCILVHIVFLWIHEGRMYRLSPAQLPNVETKTRATPAPRLSPPPRATSAGLSVDPSSASLFTCYLTLEYPPGSQWPHRVPVPIAEPLLTRQELIELVRTTAKIHRHHPLRVAYRVWPQATPSMAPATPWSGGHTGTPSAATRAVVSAPTTRAPPLRALESNASMTEFIRDILSYGSTAVQIVAVRSSRVPVEYPSSKQHRAVNVSGQKKTSQRPSYLELNVPDVGEKSALPSTNGACGNEVERGRDWNDSVTRQMNASPVSCTARAQALSRMATAAGNKSGVDTAEPLSINNDAEGVCNSSEASTCTAHQEKTWQKPALNASPKAEDVAGMDCLGHASDSKASREDGPHPPLSDADEFNETWCSSDRHTEYVSGKGTIHDADLHQQDSQPTALKRTHIEENIQSHTCFGDSRGEEATPTRKKTSAHYPKQLSTVSFRNDHQANPLPHVCAPTPRPHQSPADSQDIYSGAAGEAITDRPPLASITQQRTTTSSCGSAVTADPAAMVFVRYDVDPTVVRISGPVSQTVLQHLQEVIFQRCCAHLCKDLDAPRPCFHAAQQPRHGGSGSGSTHLTKADTHSNDAYSAAVAASGGSCSMQGTGPPTAVHWPEYILPLYHYTFTRVEENALERCISEIMAVYQGLEQLPTKEADEWQRMVPSSAPAPGTLKEQQGIGHTA
MQTTLIDELVNFKEGFSPALIEIRRASNGGADERGRDAAARRVLAMECREWLRQRRLRGNGRGIRRRGYGEGKEMRRQAVENLLGTGGKAVREAGARP
MAVSDNGDLREILREFPSDAVKDLFSIGDPAYGLMFPLRFWTGIPLSLFLLRIECSVFGDLTDSENPVIQSGIEDNKDSSNPLAFKGPEVKLLLCSLCLGILQFAYRNDNGFVVRKDSATDFSASIVERVKQDGHQIDGFSLEVSIPPVIQENEHSIWFYMKKKYGSEPWFQRKSLSECVSVKDALKTSITKPLETLLVS
MLGFYGGSFDPPHIGHSQCVVNAAKEINLNSVALLPCAQSPLKALTATPQQHRLAMLNLVCDDSNKKQKNVTLNLDETELNLPRPSFTVNTLKHLRKKYGTNSSLCFLLGEDSLYTLYKWKEWQTITNYCHLVVMRREGNYLRDNIEFNQWLNARLIDDVNTLHNTPNGHIYLCNSSLVTISSSAIRQMIAEQPESARNWLPESVAKYIFKHTLYTSE
MVTLGDKPLVTGRHAVFGGTFNPVHNGHLQTALELQRLLAVDSLGLMPSARPPHRARPQASDAQRLAMLELAIASYPQLRLDCREFARSGLSYTVETLSEMRREQGDDVWLAFCAGMDSLLNLSSWHRWQALTDYAHLVICARPGWSMSELPDGELRDWLATRLETDVKGLDGSVAGKVLVVELTPYDISSSQIRQAIATGESTRDWLLPSVTRYIQQHGLYLEAG
MTGGKPTGLIGGAFDPVHTGHIRIALVCAESLNLEKVIFIPANIAPHKTEAGAAPKHRLAMLERALKPYPQLAVDDVELRRGGISYTIDTLIELRAGHPEQSLCFIMGADAFTTLPTWRRWQELTDYAHLVIIDRKQQGERRWDQRLQDHYAGHACASPLSLHTRPGGCIHKAAVSVPDISSSQARALLNGKQDTENILPPGIHNYIKENNLYS
MQHIGLFGGTFNPIHTGHIRIANEIREHFSLDSIIFIPAGAPPHKDRKEVIEPVHRLRMVELAIAKYNYFSVSSIEVFRDGFSYSIDTVSALQNELGDSSELYFITGLDAFLEIRTWKDADTLLTLCSFVVIQRPGHRFAELKGIDLPAMHNVSASDLGSLDRGELQRLSIPMTERYSLYLERITPCVISSTELRRLIRAGKEVKNLLPENVMSYIIENGLYHEKNNL
MSFAHIGLFLVAIIFCQTSLLFAEEKPAAPLIFHTNHYPLLNNEEQTGFLDRLTQEILRRNGFTVDISFLPTERAFINLNQNLADGNVAKIAGLTQLYPNTRQVPGAMIEMDFVAFTLQPEPDVKNWQDLYRYRVGIVTGMKIIESNLKEHPNLTRVTLPLQLFQLLTADRVDYVVFTYWNGLSYAHRLQLQDRIHVASPPLTVQEMFLYVNHTREELVPKLADTLAEIKADGTYQRLFEELLLPLQKQFEHSEH
MESERKNTLTLKFKLPRVDTLLALSSKITHYFHLASTIEEYEKLLGWYVKDHPPFTKLGKLLMPESVAEALHLSIEEVPLGIGPRGFSRKFLEDKEWALEKEGKWVPFNAILALLIYEVILFPNDDDYINHSIITVFVSRNPVPTLVSDVHYCLHTMHEKRKGVVLSCVSLLYTWILSHMPQKGSWVDFFKDLRWSQKFASLTAKALVWYLPTSNIEQVNFGTSSLVVSPSFILPIRLTSRPFSIFSLPDKVNFGAPSLVRYPSLLLPIRLTLGLFSSLSDKVNLGAPSSVSSSYILFLIRLTSGPLSSLYDKVDFEAPSSVRYPSFLFPIRLTYGPFSSLSDKVNFGAPSSVRYSYLPFSIRLTS
MKKLILPFILVSSVFGKTFEFDQNSDGKADFHISYEGERIKSKKIDFNLDGKFDYIQTFHVEDFTFITKIDSNFDGVFELEKRAKIVGSKVVVKTYGLKGKTLEFKSEESVELLQAQVKDCVQRRDQTITFFENFTNSFAQILNHSRDDFTNIDFNLSIHKSCYKNFSSKDFNEHAKETVKRGMSCLATLASKHKNTPKRIELFNLLDMFKIHYESGAANTEIACGDESLKWEGVAAVGSTVPYKGYGEHQLNHPFVILNPNFKSGFWGGVKSGSYSFEDEEFRGRIFHEMIHNMGYTHDVGLDMAYACEGCCFSEGKLRDRNIVDDGNVACRLCAGDYGSNTDERYMEDLAKFEGDNKASFAFRHLVLSKNKKIDWNDRRLSSLLEYFFEYEGRFGKSLAGELLPLIKDETLKKKWKAKSDETWEGKPGEKLFNDSFAKFFKTFVVDGNEERAYKELINLKVGELLRSRVSSSMSMSDQRVDKSLAFLKGLLRQLGENAKHKELKDEISAFMKSKIFK
MRSRILNTLSLLGCIFAVAVCGSVACATTVSTDDFNYPDGPLRGQGTPPWENHSGTNDTLLVSSGAAVVSEDSGSEDLHKLFDAGASFAGGVVTASFDIVVTAPSPITSNSSDEYFAHFWQSANPNNFRARTFVHAPTAGGDYTLSISTLSSSVSTDLPTDFSFGATVPVSISFDITAGTSSLTAGGNTVYDLTSSTGQIIDAFALRQSNSGSDETIRVDNLVVTHVPEPTSIAMLLGCLGMLTIRRR
MIRRRRDDIDGGWAWVVLIAVYAGIFIISTSTFMGGIIYLALLDRFRDDEAKTALVVGLNTGLLSLFGPVASVVITTFSCRTSIMIAGILTTSAYLSSAYVRSIGLLIVTYGVIGGIGNAFAGSSFPVVLGYYFEKRRNLMISLAFAFIGTGMFAASPLASFILDAYGMSGALHILGALNAHLCVVGMICHPSRIEKDLKVQTRKRRQELREKNWITALKKYINLDLLTNVKFMMFLCSTSTWNLTLSVCFLHLPNYLHLQGASEGNINSVMELFSIANTAGRILGASIVDKSGLDAMTIHLGTMGVGGLVAILFPTYGSLTGAEKAFAILVGLYTGSPNAIMTPILISLVGVDHLSQAFGLASFFCGIGFCLGPPFAGLLLKHTQSYTWSFTLAGTAMLIGSLLGMFSSCTGSGSKETSSLPKIGDESVDHDHNNWTDLDLSSSKVREEVCISLK
PGMPIEHLGRSKMLQLYRTTPSSLFFQAVHACFKEHYPLALRPEVLMHMIVHEVATTVKVHPEHYRHLFTKSTKREDISVRHDGLRKGDSASPWNEALEFFRPKLAAAVPSTVMQNILPGFSTSTTEAEIAALIAFMDAASPFYDYHTHTMCGIPKIRLLGEPRDYALILASARGLSEHFNDHLGLYFRHLLPVLETLVDQAGGTQVDERFWSSIYKFDSSSGTAKFNGWLSAFVNYVQPASGDEELCVIQKPDGLFDWTRMNEDEGWCMPGLNAGCVPSQVAQVPFTWHYFGSELPMTFIGGVLGIDNEDGYATPALSYGVLNRVSQ
MKKTLRWLFLALSLVVAFAGIVWSDEVKKPCTIMHPDRETLQRWIQGYEKAPRAYMDKALSFSIPLTGSYSLLGHLKYTPSERDQGSCGNCWAWAGTGVMEIALDAEEGIFDRLSVQFISSCDTAQSCCDGGWLSDLANFYTYKGYTIPWSNTNAGWQNGDGNCNAPCSAVSKAPKYSIEIIKEQTIETHGIGKAQAIANIKNVLSQGKAVWFGFFMATKPDWDNFFNFWINQGEGVKWNPDSSCGHTWDENEGGGHAVLCVGYNDNDPNNSYWIMVNSWGTAEGGRPNGIFRVDMNMDYDCVLYDDGTLYSSFYWQTLDIKYGAPALAPTVTTGLAISITSGSATLNGIVNPNGKRTTYYFEYGTTTSYGLTSGTKWAGAGTSDVSVSAAITGLSPNTAYHYRIVAINSAGTSYGSDQTLTTKAKAMPWLQLLLND
MDGFRVVRLEEVIKQVDIIITATGSKSVITREHAEKLKTGAILCNMGHSNTEIDIDSLQQSDIKWVFLNAKHIKASFILIETELLLSPILKSKYSADLILRSLVVLRIFVMPMDGFFPDNETDK
MADGGDGGGGGLGRVAIKLPHLWLTGEACLSRHITTLTQQQQQQQQQHHLSQQQHHLPQLPDPRPYACSFCGRRFKRSDHRRQHERLHTGERPYVCPVCGAKFAQQSGLHYHKINACRGVPSATSIDNKFSETQP
MPNDQINPHVPAPGVANSLGIVEGLATGENGGKNGVYGSSVTATGVKGISIKGVGVYAESKEDVGLVAIGPRGAAVLQGAVSILGNTDMKGELQVSANLYVAGNINVKGDISLENADFAEDFDVIEEEDIQPGSVMVLDDNGILKKCDMPMDKRVAGVVSGAGIYKPGIILDRKSNNGPRVPIAMLGKVCCAVDASYGSIKVGDLLTTSPTSGAAMKVSNPVDAIGAIIGKALKPWSEGVGEIPILIALQ
MQVFREYLPSSVSSYKDETSEEMILWNTQITPILETLLTSYEQCNFDLFNHTADELYHALNINNLFLKKCKRRSDILKLLFGFIECDNSIIKYNVSRLSLAFQVSTANNLKIIVRLIFDLSENSDNDHFFLSNLLFDAIERIEIVNNIDTIVYFCCILKNLTTTDQLLETISEKNGITLLQNIFKMILQYKDHENVKNQISHCLIQITATLRNLAEKTDNCIKFGENDLIDYLAQVLKYFTSIADLMKNVARILSKLTQHTDSCLKLVQCPSCYKSFLKILIKHESKQAIIIRICFILGNMLAKSDEARITFMKEKYALETLTKLLYFYIEMDSLIIKSENIKIETTTEIDDGEENTVKSIENVINKVIRVLSNLAISEENGLKIIRNDKCIMPLFNLLDMSKQHSEELIIHVLMALNNLSYYDDNQSIIVKNAEKLTQLLLNCIHHSDKTDFIIEAFRVLGNLSRMKNVRNVLMHCKADDLAIEYCESDNIELLYAVIGVIINLTVDEDKRSCLKINNGLQSLISIFECSVNQDWQLASLVCKALWNYCDNENKVLWFNNDELVKLFTIFNETLREDLLFATEEEELDKIYYDLYKEEYYPVASRLYRRLAYQANYTEPFEIGLIS
MKLISLCLFIAFSCSAFGQPSYSYGFNFAAGVSRVPMNGENITFSTKIGPSGVAGLFFQTKLKKRGLLGVEVNLSQIEGRWTSEEQINDGFGNTIGTRFISGKQHITYISLPIFYGFTLKNCTLYGGMQFGLAASGKRANSITTIISGDENTSEELGNLSIVLPDFGTRIGAIWKISNKISLEAKYYQGLLNIFDQETTKSTYFWLTQQATVGVRIALRNTNDCGTCPAWN
MLLQHARRARRLVIIGFGPVASRLIDELLPAVRDHRLQLLVIGAESQPAYNRIMIGELALGSIDQELMIMSDLQELEADGVRFRLGVPVTEIDRDRRFVTLADGDQYAYDELIFATGAAARVPRLAGLPECPPGQDPMLPAGVTVLRDLGDAERLATMSQRGRELVVLGGGVLGMELALTAAEAGAVVTLVHAGRVPMERNLDPTAAAIVARHLRRRRIRIVADARAAAVRLHEGRFAGLGLADGSQISGDGLVVCCGAAPRTGLAARSGLLVDVGIVVDHQLRTVDDATISAIGDCAQMRCAEDDCERCVAISAPSGLIGPGFAQAEWLAARLLADRHQRQPVESPAVRSPASGDDAPCDGAPVMILKTPGLSVVSAGSVDLDPLDCLVGEDDRRVAQWSDPELGRYAKMITRGGTLEGLICIGLPRTAAELTLLYERGSELPSDRSSLLRHDGPDHASGTDPLGPDATVCRCNGVSAGAINAAIDDGHRDVTAIGRATRAGTGCGTCKDRICELLRTRTEVVVA
MTTPTRRIVLVGHGMVAVRLLDQLGRVTTERPTPLDVTVVGDEPHDPYNRLLLSEVLAGRAELGRLTLPEPTGSPGMTVRVLRGVAATVLDRDRRVVHCDDGSEHPYDTVVLATGSAAREPLPGCADEGVRMLRTIDDCRELLAACSYPRRAVVVGGGLLGLEIACGLAGRGAAVQVLHRGTHVLDRQLPPAAGAVAGAALAHAGVEVLTEADLESVRRDDDRLVGVRLRDGRELPADLVVASAGVVPRTEIAARAGLPVRTGIVVDDDLRSPADPRVAAIGDCAETPDGCPGLLAPGWAQADRLARDLAGLPPVPTAPAPNAVVKLKAVGVDVVTIGDGPEPPAGAHTVTLSDPMGRRHVQVSVADDRVVAACCVGAKRVAADLTAAYERGGPVPPDPALLLLDGLPTATVSATDDPTTMPSATTVCRCNGVTKKDIVDAHVAGDRDLSAVAARTRATTGCGGCTEVVCGLLAWMDDVNPPNDVEPSNDVAHRKHSRNDPGNFGAVTSCTTQKEISR
KSTLLNVLCGELLPVPGPDGQPPGEVYKHRNLRLAYIAQQHMFHLAEFMNSTP
MVFGGLTSLQLQSGKQLEYFILRWNDNTLIIVMIYLRSFSGIHCF
MEAVVERIGGCCPAGAGSKTCKLFFGGIHLELMLVTIAKPDIIVAVLEAVVQLELILRHWSFSERNLRIAWKLLWKELEAVALLELYRKRVNFFLLGGIHLAIMLNTINYPDIIVAVLETVVQLELILKH
MSGTGIYPRDIFRLILLLELVALVVGLVGVFVSGVQVYWWRESVYGDTLTGLIFATVSFLLVLWLIRSNSHIGGVLRRHCADLRHFMAACSAVQIVVISLAAGICEEVLFRGFLQSWLSHLSSPAAGLLVASLVFALLHYASFIYFAATFLVGIAFGVCYRMTESLLCVAVWHAAYDLFVLTVMLRFPHLLRLAEAR
MKSDSKQDKDPICGSLQLKSDLSEQVNYNIPEFPAYVKNGFLSYYPGYSAITHWHDDVEFIVILSGYMLYNINGNVVRLEEGEGIFVNSRQIHYGYSTEQKECQFICILLHPSLLCSSSFIEMQYVTPILFDSSLEYILLNQCIPWQNTILSLLKTMYRYQVHKDTLLKIQSLFFDIWTALFNNIPVKKKLPTTQDQQLSSLKEMTGFIQKHYQEKITLEDIAYSGSVCKTSCCSIFKKYMKQTPFSYLIDYRLKKSIDLLRTTNMTITEISLEVGFMGLSYYTETFKKHFNCSPSEYSNR
MDARRQILSAWQSLYDTGGNQKSPSCQSSSSSTKKSPVDQSRSAKKSPACPSKRQRGKEKTSEPEDRPSASSGGKRRSDGRLWWASGQVPEAELRAMNEKEHKALHPSPVDNCARCRFDMMQPMWTADGKSRRHCTKWGRYEIFSLSMMQASSLRLHCTRAVHKLAKAAYLKPDAPLETLALNNDEAGLFRGCVPQLEDWLVVWQSVHGSFKEAAQHLSTARWLASSRGKEKVSEKAAVRMVQIMAEAVRVRHRAVLRKAAAITLQTDDKGRWRTVRFKASYFDEHGKALQGSALVPKLRPEVAVADTAWACTHRGLLSVHAHFSKRLGDLEEDYGVRFAAFLKEALVAFCTCLGEQQRDAELYEHLVQHIVGIYGDGAVQKSLAYLRETCLCGMTLMKDFQSAGPSPVTRVMHNGRRPGIPSRGALCLENGTARYGQAQEGFSRLSIIPCHGRFACRHLNYCLFTLDMDGTLAILSPL
MIGILSFSRSRFGCRAVLAPAVVFFFCCFVFPVETVAEDAITSGDLQISKTLNNLLGEEDAARYRDVISPKKSITWHVYIPKNASTEPPGVMVYVSPERSGQIDYRWREVMDQQNLVYISANNSGNRKTVKLRVVLAVMGLKALGPRLTLAADRVYISGFSGGGRVASYVASQFPKLFTGAIYICGADFWKQEYSPKVDLMLPNRFVFVTGSKDFNRDETRAVFRRYLEAGAANSKLMVIPGMAHEKPGKQDLNEALDYLGASSPSVSG
MEKNLGEAKAASSPRPTEDECWAAFWDSVATMWLSLPLEIQQEYAAKYPRVQSDAVETP
MTRRRSAEIVVALNCLTGQPCHGRTILKGNNACVWNTKEGDIQFVGISDMIPDEEG
MWFCSMKWLDTKSLRASDGGGDGGGGRTWSQKSRFRGGRRRTNHWTARSSFPDVALHPGSRNWKRRQSARVVGTPAQKETCDLRVALHPGPNPLSAVASQEAEKAGAEPAKR
MISMTSVVLNHRRSQLSLVVGVLLLATSTLGFSPSLRQNHAFVSKTSLGAAAPLRDGTAAPPATGFIDTELRGAAMKLHTRRQAPKEGQAEEQKPAAAAAAAPYVTTLDDYLKFLVDSQHVYQALEDVVNDTPELACFRNTGLERTEPLEKDILFLTQEYKLTRPAVGQR
MFQVASLVVLMLILVLAALIVLLVVRRSPTLSGVTRVVHIVALLMAIILSGVGLWLMIQTLGGANVPVSVPVQPYWPEHPKITDVEPKDFATVDAQITEVQIFSDALSWPTKILLTAGVLFQTSATVAVLLGVATLCRRVTSAEPFTKSLRRLGYRVAFVLGIASFLGQVLNGIGASRAGEETLRVDGFSTDGISSVSAPWPEPTFALNIEFSEIFMALVILVVVELVAVGIRLTNENRQLQQDQEGLV
MFFPPAVFLCFRDRPDFHSSGREFPRLLHLRLAKSAVPLFLSTARVLPFPMDICVIPFKMKNFSYLYFTVKAPAHSTAKP
MLRTFSTRRRLGSALSLDHFLQRTRVLAFYRTILRGTRHIADARTRAETRRYVRDEFERHRNVTDIAHIRYLLSTGKTEWESMERYIGGM
MATPVVLVVAILTAISTVEASGDVSSGARSTRVRRQTGELRQEIPAQFNQIYQGNVFNGTEDIYRYTYMQNESSPRAIRVEVKSQGASEDYPLLFVVRQQRGILSWQVPLMIRGIYGYDKVSRTLCPMDHLQTGVKDERETVYLEVSAASPAALNYRVNATILTDFSLSTGQSRNFLVSPSQPQYYAYKMPPDLDMVVVKVSSPSNLCAVFSLQPIKCPVYDLDRNIEFVGIYQTFMKKAAITVQKSYFPNGEFYVVVVVKATDSDCTGEMETIQPANPSDEAFEMRRVKEVTVVVGPSITDSQYVTAVFVGILVFVLFYVVTFMVLFIQWIRQRNAELEGLAPRPEEQAATNAEAPINAQRTEPNYGATATDPYSMGEQPIETKPGIPPTDQPNGPPMPTNPPPPRPAQSKSYPKPPMVHTLSDSSMEDDIDMLDDADEDKDIYRTKMLNTTGNEDICYYNFFCAQPAGDLTDYTHQKKVDAGDRYAIDYGIPKHFGLFYAIGIALVMEGVMSGCYHVCPNYSNFQFDTSFMYIIGGLGMLKLYQTRHPDINANAYAAYASLAIVIFVAVIGVVFGTPYFWAIFTVVYVLAILALTAQVYYMGRWRLDMGIFHRMYLLIRTDCLQCSKPMYWDRFVLLLVGNIINWSLALFGAVGQPDDFASFMLAIFIINFLLYFVFYIVMKLRSGERILWVTVGLIIITLICWTLALSFFFKGVTTWEKTPAQSRLDNKACVLMGFYDDHDIWHFMSAISMFCSFLVLLMLDDDLDYTPRDKIPVF
MKKYDKEFKREAIRKYLDGQSIARFQGKSSRRARRTSRTLGVGCVFGNNALTQAQKNESSAVVKLSIKDLTAAQQVIGREGETATLLSRCLFNSELREFGFAPRQFNRLTDVG
MPKKKTNRWSLKKIIYVFLAITLGKLLGFLAFEILTFKFVRILERRGYPVEWDQIFWFVWSPLPAYLYWTFIYAGVIGGFFLGLRWWRIVYVEHRHWKNWKKN
MFHQQNPLKGPLDNGLSTSKEFFYCHSCGKQYNTQIGYRRHLVAFHGASAGKPSPEDFPDLLENVNNLGDVP
MVEITLFEFNVDAEELTGNAPFSGRSGSDRDDDELAGTDDGRNPKPVLVGAGLVVLVFAVVVGRRFLDGGPEPLP
MQFCCILEKMVWFSWCTSLQERLVLLLAMWLGCIWLRTMIFLTLQRSLKNLRRMWKLRRNLPATSPKRAVLLRSPLCMHQGCNLPFRQKRPSRGLEWQVPPLLVS
MKATDGSSQTSHRRLDCVWLFRSVGSVLFFFWTMKLVTLFLVLSLVVLMAEPGECFFRNLWKGAKAAFRAGHAAWRAHREQVREQKRIAWENRQQNAYPPPQQYVPVIVED
MFSHIRNGLALAAGLALLSPLAQASKADDTLVAAFQAQLPSLDRYHAPGREGFLLGLLAYDALLYRDPNTLEVKPLLATAWRQINPTVWEFDLRKGVKFHDGVEMTAEDVKFSLEYAADEKNRVFNRMTGGWIKSVDVINPYKVRINAEAVTPLAIEYLIQLPIIPKAYREKVGLEAFGQRPVGTGPYKVAKGKGNEIVFTRNDEYFEGGGKSKPKIKTLIYRNIPDVNTQVAELISGGVDWAYYIPNDQAARIERMPNITVKNAETFRIGFITLDAAGLSDPNSPLKNVKVRQAIAYAIDRQAIASKLVGGSSKPVNAACTPSQFGCTQNVTTYKYDPAKAKALMAEAGYKDGFSIDVYGYRSRPVAEAIIGNLNAIGIHANLRWQQYSAVIQQRRDHKTPIVIDDYGSAGVADAGGILPFFFAESPDDQSRDPIVTEAVQKAGSIADQAQRKVLYAKALNRIAEQAYWVPLFTMPVNYVFAKNLSIPIPGDENVEFWRAEWK
YYIKMETAEGYEAMKNRIGRLKLRPGFWVARLLIAFAALSATWFAVAAEPPRAIRVMTGTFPDAWGNPYSQSSVTFLSIWSAMFDPLVLLQTDGVLKPWLATAWEQTAPRMWRFDLREDVLFSNGEPFTAESVKVGIDYLISPQGRGEPVARDLSEIESATVLGPHAIEIKVKDPDPLLPRKLSMFRVVAPQRWRELGPAGFGKDPAGTGPYRMAVNRATEATLDAVPTSWRRAPIARLEVLKTRDAATRRAALLSHRADLAVGGAIGIDDIAEVEAAGFKMFVDHVPAVVAMVFNTVRDSPFRDIRVREAVSLAVDRPAIVEAFLGDYSSIASQPSRRAAFGHNAGVAPLPYDPERARALLAEAGYPDGFTFETEIPGGTVIYVNVFQKVAEDLARVGVTMVLQSIPPQVFLSNIQTGEWRGAAMAIPFYSPVNDALYPMRQHSCLWPAPWYCDPVAADMFLDASREPDLDTRRVKTEAIMARAADQRQAMFMYETIQFGAYADWMTGFKSDLGFIHYEDIEARAPD
MNECFSKHSQCRSPINSRKLPTRLVYVESDGTSSKVSARLCRGDSLPLQTPYITLSHCWGDTKFLTTTRTNLNSFELSIPINSLSRTFQDALFTTMELGFQYIWIDSLCIVQDDPEDWKQESLSMYGVYKNASCTISASGFPDGVQGFFSHERHIDSVPVKVYPHSRFPMTGFSTAQNDTQAIYYVMASHPWGEIRRSPIFTRAWTLQEQLLSNRTIHFKDEQVYWECKCTVANEVWPRGWANTPLEKPFQTAMNDKSWMFRLENIPYNDVSFSLSTRNLKPLAQTDQAQASLYSAWYDVLADYSNRHLTFAEDQLPALAGITDEFAKGLEDRSIFGLWTNDIHRGILFFEPNRFEQSPESKIVPTWNGSPSWSWLGRGKSVLWDTCLQQATMQRICNICFVPPSGLKLSGPLLPRPSKFAYSSSWEDEGPRYFFILGSDKEGFMFTWYQDGWFDSTVEECLADMTRPSTPEDPEAAPQYQEDSLVQGADITGGLSNVGTDTSSSTRRPSTPDERTQHNRAKAFLGNILIMPILCYVKPIKNENYCQEGTVMGLLLHQSPGADRGVYRRVGIMEAEIRGDSEMDVHTVQQRFEAYRQPLDPQLFQEEDHYGNYVVTVL
MEAVVLITLERTEYKLSHMSTLEPASCKLCQMVRKVTGAYYGSGETVLDLSLDIEDRWDLMEPERVPRIKLDSKSPILTAKRSPSFQSESNATRSLAVLPDAGSELHFTILSTWLANCWELHQHNYLWDTLLPPPTRLIDVRKDKGTVYLRNELKPGTKYVALSHRWGKSQPVITTKANLEARSNGITFSELPRTFQDAVTVTRNLRIDYLWIDSLCIVQDDPEDWKREAEKMENVFSFACCTISATSANGCDEGFLHRSTENSVRVSGLGEDPPVSAYLRELSPDFEGDVERSPLHQRAWVFQERALSQRNFHFTPSQTYFQCPSGVWCETVGETDIRRGVGDRASGTREFDWSNGSTLGFTPPLKSIFPVLFPDLRRTDSVEAFEHYLSYFSTLQITKASDRPFAILGLESRLAVSMNAISIYGVLISSVCRTLFWHRRGDLVMKKINFANEYVPSWSWMSYEGAIYYGIFPGTLLSEPINWGGDIRLNNAGIVSPVQETGFSMLVPMSMFASHCVVKPGTLQQEVKDGEEVVGWIRCDDPKEEDVTDSRSVTLGQVAGDLNRGSQKLPRSSYSCILLIKPLWKGGDLVEETYRRVGVGIVCSEYLHPDKQVDRVWVV
MKPYDGYDFGLWFDIAHADSQGVPEKHPVAVTFGCLHKEKAEECAMQLSLDPGTRSDRSLGQARTWIDQCCANHYRCAVQTSGSQLPTRLIYVERANDQSISAKLCLRQSIQPDERYLSLSHRWGDSKFLTLTARVLEEWQMSIPVSDLSKVFQDAFLVSAALGFSYIWIDSLCIVQDDQDDWKRESATMHHVYRGATCNLSATDFENSTDGLMTSRRLCYTVPPIVQPVWRPTQEELDAEVTSLETARQRIATLGPDRKYIVTEIDPFIRVFRGPLFYRAWILQEQLLASRTLHFGREQMSWECNKLLANEVWPVGFSIPTVGDKKDMSGGHISQFDLDDLATKENFEIHQAWKNLLNDYLNRDITHPSDRIPALSGLASEFRTMLANDTYRYGLWMRDPSSLLWTPLRDKDAETNIVVGIPSWSWAKYSSQIFWRHDRTSGALGDAIDWTHRTYSYCLDVGAFGTSAHELVLKGPLLLPTSRKIGMTNFYGLFSQNFRYVEHCGGSACLFDLDHLFLKEITVDGELQLPLLHIMPMVKVFWDTWKRDKPVYIAWGLALLREPSLERALYRRVGTATLNSIQDNLTDLLPPLQSDIDEDDYLEQDDGGIYTIVVV
MDLRSLLMRAAWPAFLAACVLELMVFAVVDPQDLRDFGPLAQMSRQGVYTLAFFAFWLISLGACGLTLWLDATPSRPPPRPSND
MKWDAATIGAVMWPAFLGAALADGIIFTLIDPETIEVFGYHAVSRQAAYTVGFFLFWIVIVAASLLTLWLHGENLEKYKNTTPRR
MKKLVIVESPSKSKTIEQYLGSDYRVLSSKGHIRDLAISGVGGLGLDIENNFTPKYEIIKEKKKVVSELKKALKEADEVYLATDPDREGEAISWHLKETLSIGERPYKRVIFNEITRERVLDAFNHPRDIDFDLVSSQETRRILDRIIGFKLSKLLQNKIKSKSAGRVQSATLKIIVDKEKEIDAFVEEEYWNVTAKFKEFDAELSKFKNKKVALGNEQETDELLASLKEEFTVANVEEKERNRDTKLPFTTSSLQQEASTKLNFSSQKTMLIAQRLYEGIDLENETVGLITYMRTDSTRLSDTFSYPAIKFIEETYGAEYKGFVRKSKKTKNVQDAHEAVRPSSIYNTPEKLKKYLTKDEFNLYKLIYNRAIASLMKAARIRVKNVLFENNEAHFKATAQELVFDGYLKVYTYESVSTSELPDLTVGASLKPESVEKKQNFTKPPLRFSEARLIKEMEEQGIGRPSTYSQTITTLKKRKYVNLKEKKFIPTDQGKLTIEKLDEFFAQIISVDYTARMEKVLDDISTGNEEQTKIVSSFYTSFIPMVENANKNMEKLAPKFTGEDCPKCSSPMVFRNSRYGTFEACSNYPACKHIKTKDVERVQPVTTGVKCPKCGKGEIVERTAKKGKNNGKKFYACDNFPRCKNMLFGKPTGELCPKCGSLLITDKEDNVICQNTKECGYQKPE
MKKLVIVESPAKARTIGRIVGSEYVVKASVGHVRDLPEHSLGIKISDNDTLFEPLYVVANDKKHNVAELKKSAKGCSEIFLASDPDREGEAIAWHLREILKDAKGKSPEKKFHRIQYNEITPAAVRRAFENPRELDQNLIDAQQARRILDRLVGYKVSASLWRQVGRCLSAGRVQSVGLRLLCEREAEIEAFTSTPYWIFGAQLAKRIDPKTPFTVKLRNIDEEKADVLNEELALRVEKALDNGEFSVEEVAVTQKKRRPYAPFITSTLQQSASNALGYAPSVTMSLAQNLYEGVDLGGDGSVGLITYMRTDSFNISQEAIDAARKFIVEKFGEEYSQKTPRAFKNRKAAQGAHEAIRPTDPARTPESLANKLKPQALKLYDLIWRRFIASQMTDSVSDVTTVRISAVTSEEARKETDIHELKLSASSSKIVFPGFTKVSDIAKPSKDKAEKDDDDDTQDSLPPLEKGELLDRLSITSERKETKPPPHYNEASLVKALEANGIGRPSTYASIITTLLTRKYVNRSKRNLIPTDLGKKVNEFLVEKYNDLFNVEFTARMENELDEVEDPDKTLDWQGMLVSFYSNLKTWLENAKPPEADPEIVAATLEKFKEVKEWIPPVKSGRRVFSDENFVKDIDATFHGKETARAASRKKKKKTAEPAATEGSDDSTADVKENAESNERRAVSQNQLNALLSIMARYRNQILNFEDFLKSIGRSDVLEDESLQPPRKSTVRIFELMDKAGPPKGSEKFYSSLREQINHGKRLSDKQRHFLERMFLECSANIEGFSDELCKELEISAEPPPPVDTAKAEALLGGLGHVTAWEEPTKKGKRVFDDADFYNSVATQFAVKQRLSEAQMRVLERMFLNYKEQIPGADKTIATHDIKVQTRKTRKPRGKTANGK
MARSLVIVESPAKAKTINKYLGRSFTVKASIGHVMDLPKKTIGIRLPGEEEGGKKKKKSKAKKGGKKAKGQEKQAGKLISIDDEKIFEPTLQIIAGKGKVINDLRKAAHSADAVYLAGDPDREGEAISAHLALVLSKPARFIEQEPSVSRFKKKEARPEEPVKKEDETDSKKEVVAIDPKKIFRVTFNEITPKAIRAAFDKPRQVDSNLVDAQQARRVLDRIVGYKVSPILWDKVRRGLSAGRVQTVALRLIVEREMQIRAFVPQEYWTIHAMLDAGQPPLFEAKLFKHQGEDKIVASVSKAKWQVAGVAQKEKRRNPPPPFTTSKLQQAAYNRLRYTAKRTMSLAQKLYEGVELGDEGSVALITYMRTDSVHVSNDALIQVRELIPERFGSNYLPEKPNYYKSKKDAQEAHEAVRPTDAMRAPEDVRKYLDEDLFKLYQLIWQRFVASQMLPAI
MANKNLLIVESPAKAKTINKYLGKDFIVEASVGHIKDLNKHTLSVDISNNFQPIYQILKDKKKVIEKLRQTAKNCNEVLIATDPDREGEAIAWHIAEEIQQANPKIKRVLFNEITPKGIKEGLANPRDLDENLYYSQQARRVLDRLIGYKISPFVSNALVTKSANALSAGRVQSVALRLICEREEDIEIFEPFVYWNIIGQFLAGKEVIEAKLVEFDGKQIKNPEGSKKPHRNETQDDYHKRIKKFFFIETEQQAKELVERIKKVQEFVVSKVTKKRIKKAPKPPFITSTLQQEAARKLGFSNKLTMQLAQRLYEGVPLGAEGNVGLITYMRTDSVRISNDAIESARLLIQQKYGQKYLPPNPVIYKSKNPNVQDAHEAIRPTDLNRTPESLKGLLDRNLLMLYELIYNRFLASQMTPAEFDQTVIEIKGEEFLFRATGRVIVFDGYLAVYAEEQTEEETEEEKKKLPKVSENQTLILNKLEIKDSQTQPPPRYNQASLIKELEEKGIGRPSTYATIVSTLLERKYVVLKNKSFIPTDLGKEVNRTLLKYFSEIFNVEFTAKMEENLDTIAEGKTNYVEVVSKFYEPLTNLLSFANKEISSNGITCEVCGAPMVVRVSKFGRFLGCSNYPECKNTKPLYEVVQKEEPKLVEDVRCPVCGKPMVLRKSKRGEFYGCSDYPNCNGTRPLEEKKSPRFEPILIQEEKCPKCGSEMLLRLGPRGYFLACSTYPKCKGTKKITKDQAQKLIEKSAQKN
MVGMALAGVEQERGREAGRHRRRTRRIKRGTMSARRRTSQRSPTVRTPGPRLPIPPPRHLNRLRGHRHHHRARHPPRGRAISSSQRRRARARPSRSTPPCRARRRLWRPRTSRSPHRTRRSPPSRPSPSRRTAPRLRRWATSRRRWARHARGRACSCRRRTRRAA
ELIGALVAVVGAALEHGARPHAGELLRFAVRTHFEHVPRNAVYGAVLNRTREMVRRAPDDWQLYIACYLAVDCRAVCEWLRALAMAGRLARVCAKKRSRWVLQRPGEDISRMVVQAIQDARVCENAEAVDLLAACTRYPNVHLKLYAALPEAVLALLISRRDNGEPVDLGTIVALPDGRRSALNGEALAVEFIDASESIRDRSGTLHEGIRHFEGPLGLVTPVIHAVEDMPEMPPTAETSIASVEDHMAALRRIEMQRRLEEGAGDEPMDLDDDDKDDDMGIDGSDEEGGGANEEDGNDFAAGPWALPAWAPPPPPGAADEEREDAPRELLPAFTPFKFPQPVLDRISVSPTSVPWPREVCSVWGYYHEKYVARTLHASYYARDLVREAFASEGDEEASFVWAFSPDWLIHCGEAPLPPQLGTAPLPAAGPGHFGVTITHNGAVQRRFVLSVYVTGARPRRENKPSVSAVEIPKHR
MHKGYQGPIPSPDFMQSYAQIDPSFPDRIFKLTEDNLNHQYTHQNKMDVLQFCGWASATIITLVAMGLGTYLLMNDKDLAGFSFLIGSALPSIMIYFTNKASRPKNK
MGSSVHDAPLRVARGLLSTALAALSWLVLATCYFGIVLPIGLLVRLALRDPLRRTLEPERKSYWTDYVPPKNVSRYGKPY
MPPEASGNGFDRDGALAAPTFPPPSSSWVLRVASGGYFCYYDTETGERSWFAPEGSSSSFVYDQADFSQSVSMCDLPPPLPANASICAPFNTGWFAHNVDANDCVLLYHGRTGHVRLAPWICLRDEYGRVYFGNLITRVTQWYPPKLWMQENIARPPLHDIDMSCQRVDDLRSIALCLASAHAPPSKLTRLVNRTMVEGGAPYRNCIGLPHYPPDSFDNDLTFPINGHYERINGTLWKKM
MDVHQGSTREESSINNKSNRGSHDRNIRRGFAERLEARRLLSTVTVNTANIHQTIIGIGGDYALGAITGPYTGIAQDAVGEATLQYLSPTEARVGLPLAQWAPTNDQGQGSPTWSGYQDNGLVHNVFFL
MMSSIVQTMCKNHVLTKRIQFSFTVPGFFITPVSTPTEVCMQL
PPISRSEIGENDVEDKRDEVRVKEVELGREGVDGEEVETEEVEGEKVEGEKIEDDLIKEREEEDKDMKGAEKKVEGQDEDEHEVQKRKRMSVTITDSENEEMLVKENSVKTEESEKQLLLPNEVESITSKVHLKKPWGEFPSFKSGSPLYIPNAMDFLTNPEDGDELVTPVYARGRDDGLVMSRTKREGDETGAELVGCGHRGGVASLLASIDDFRREVDLFQASHEEMKTRRMAAMVSATSTALSVVHVGYVSVVNGGSGGGHP
MKKALVSGLIVLTSSFAMAAPIKASREMLVEYTKQIREFAYGKGGSAAKIQKSKEAHEAIAKAVELPSHMPDIAKSLSDSPGRIESMMTIVAAKRMSVEVAKTDAVEGKSLRDSADASAKVIANSWMRKLERESTLTKEEMEVASKALDRMEIDIPTKMLTDFSKAERDSYTQIIERYDVLNNSASSKKNEDNFVQAIMDVKKVDRAKAIEIVKKLKECV
MELPRWLVPPKSRFQKARWVRLSVSVGCSVAALFFIRALMNNDTLMLPSVSYRRPPIPNIVHYTMMMRDELSEFDINFVSFLSVYSALRMLKAPRIYIHTDFNETMIRQAREEGSKWTRKLLNDFPEIIMNHVPVITHANGKEITAVEHRSDMVRFNQIYDKGGLYLDFDVYALRDARVLREAGFNNVVGRQADGGLNNGCFMSRPKSALAYIMKRDQWTTFDGGWLTHSVVLLTQISERLVKSPGEVLILDQLAMAPWGWGDEDHKRMYLPHKDTVPQFPQVNDPTEDPMARWQDRVRGNDWELDFSSTYFLHAFRPHGGSLPGFDGVSVKYILERNSNFALATWHIVQIGLKEGVFVEDDNEI
MGMNADTKNLLFIILAVVGGFVVLGWVLKIAFKLIGLFIVLAIAVGGYLVIKKLVEQGR
MGQRLFPVTIPSTQGTVSVPIEGKVNIIVPQYVRCPDVCHYETLIMKSLMIKLSQENSIDNVVFVTVEVDPWRGTLEEAEAYIREATASLGFNPPWIWVGGDREALETIYKQLGISVQLDSSSGLVVHTAGFYIVD
MKAAFISRVAAVIGAVAPMPALGAASAASTSSASSTASTASTSSDWTFEGGLQVGVVDRRLGTATFRPTMNAQPYAEWAVWPKRISVGAYFNGYPAGNRAFPDRLDAPEVDITAIGLRARAFAPIDARLVPYAVVGVGRLVADFPQYRDAPKTTKYGAELPLGVGLRFAAEGPFVMSLEAAYRPVIGYSNDAFDGAFGGQVRGTHAWTLHFGLALRFERLGRDRGARASPAAHVRSKVESRRPR
MLLPGFTLVNLSIGFVRGLVHWLCQRTGGLDGCVVVAFNLLDEIFREVLWPALLDDHEFEFPHIVVLGSCLSLVLRQPSQLVDVWMMKKYRAFQDTIQSGTNTLRPSSHLIVEDGLGDTMKLLQVKVQRVIRFPRTLDEARSGQSSEKEESYEVEPSSDLDNSKASS
MKNKIIIKKIWNDDDVIEFEIYLIDENFKFRTTVYTGNFEISETYKKLIEFGSLIPNKKSLIELGGFGEKYANGAISLELQCNSRGIIHLEIEMETEFYEFNKRNISDKLLCHKKTDPALYDKFVKNFKRIEKFELGEEIEFELI
MARQKRDDLPDWLNDDVIFAELAERELADRGALPEPEETGPLTLREFAHKFWDVLEPGTPLAWGWVLDAMCLHLEAAARRPSRWLRWPRRGLTTRRRPARCSCGRWGGTRSSTR
MLDSPEKYKKGVAFYAARSTFFLPEEEMTEEELLELIDFREKRDYSLAKITEEIEAGDYEYVKTEDSALPGDMSQESGSQQTQGAGEASVVPGADTAAQEWQVAYEGELSIRCAVAAEQGLYVGGFTMEGKARVEYLAYGSGTPEKFYDDFDEDGEVYSLCIAPDGSVYAALRGLASPGAEHGRLPVIYHISPEGTLLDCFAAGDREWNIVDSMATDAQGRLYTRMRMQEDGGYVRIYTAEGDLIGTMADDGVYAVQGAGALGQGKDGKVYAAALATENVTAEDDPVTLVSVDPERLCFLPVQGDATENAKAVQSTGTIYQWNMVAAGVSDGSDFILWGYSGVDTYRLEDAEFTRAQEVWEMPCGTEGTCAVIVPDGRILYIGATGGVQGQTAAGVDISGKDPAKTYFYYEPLK
LTDESGNIYSGGTEKVTHLPTEQNKLPTFKDSRTCHTSAQESKLPSTTSREMGKDSRTSEAKDISRHIRISRGQSLSNLKSSTNDANLEVVSKGNIDFTQNFPKGKMSRTVEVERIKRLSLGRPSKFSPQPETFAKDGVSRVSCKRLLSASLDSIDRSHQLVGSTEKSQKTSADHFLGMVFCPLDNTSEEKTVFYSAPSTSGNKKTSKPENVPNVS
MKALKLVGSACVGNPKSFMAQIDHQGHLAIGKAAFPGVQWGVPFVETESLNICRKGG
MEDIFSRKEEHIDLCLKKDVRSTLSNGFEQYRFLHKALPELDLEKIDLSCRLFGKRMRAPLIIGGITGGTPQAGRLNSHLAEAAELLGLAMVVGSQRISLGKKSEKAEMAASFRIAREKAPSVPLGANLGASQISGIEDCLEAIEMIEADFLVLHLNPLQEALQPEGSPRFSGVLERIKKICESSPVPVVVKEVGCGIDPESARKLYEAGVAAIDVAGVGGTSWARVEGYRGDPILADSFRDWGLPTAESLVRCREALHNEENIFLIASGGVWDGVDAAKAIALGARFAELALPLLSPAARSSFEVEKTLSRVVSGMRIAMFCAGSPDLAALASTPLEKKP
MARVQSSRAEPTATPKRKAEHLRINLDEDVSAKGVTTGFERYRFVPAALPEIDLDQVDTGTTLFGRRLAAPLLISCMTGGVPEAERINLTLAGAAQEIGLAVGLGSGRVLLEHPEVLPTFRVRPEAPDVLLLANLGAVQLNLGVGPDQCRWLVEQLEADALVLHLNALQEALQPGGDTRFAGLLDRIAALCAVLEVPVIVKEVGWGIPPDTVVRLFEAGVAAVDVAGAGGTSWSEVERHRMEGEVRRRVAAAFAGWGIPTAEALRGARRVAPDRLIFASGGIRDGMDAAKAVALGADLVGMAGPFLRAADQGPEAVHDLATELIETLRITMFCIGASTLEELRGTPRLVPESPAGLGVATEDLIFRTTGAREFIDITEAVAGVARRSGIQDGIVHIFSSHTTAAIRINEHESLLLTDFQEMLERLAPCAGPYEHDDLARRTGVGPDEPRNGHSHCQHLLLASSESVPLVGGRLALGLWQRIFLIELDDARERRITVQVVGR
MKFRLFWTAAFNQSKATVYAVGRHGATVHCPRRGPKEPEEVAQQATEERKKTAARRKRARDDVQKQSSCQSKSKERMAHECISSAHQQQRNGGRRH
MSCRPTSTPFGFHIPEGMKPFPLPLKYDRQYKSYSAKRATSEVRQAWVNARGNEKLGERLSVRQFPISLLRHQMDNRQVHCKSADPNYWMSDEDKRRAADKRKSFERQWSAEVSKRNKTPRPTYTVTIRPSTPPIRKFITAPCVNNDTFFRHADTHRPKTREEKYKSSRHFVSPEWKSEIVSWKRFNNTSIDIHRYSVF
MEPDTYHTTLRRFAVLGFCIDNAYQGYVFQHDVQRPRSVNYAPHPHSLYVAFAVQLVLQAWWLFKLYSPGTRQGFEGDGEEGALANGGKCEHGSDLIPTGHIDLRGADHEPLRWDFLPMFVLGSSCHIGWSFCWSYEEYTLCRLFLCISAVAQLYSMFAILNGSKNRGFPQRNIMTHLVVKCRTTFVILLLWKTWGALDPMPPPNVAQQLNNLSFFLILAMCSGPDPTMGFLLVLVLFSLGIGPFQAPGWHQTFVWMGWVVLCVVMIDWLVAAWAKDSDGDSDSDLSLRSSNENLPSLASKSLIGSY
MVKRTDFAFHHTAAFFHLRRRMKKGHKLGHVGGKRHPLGVYVPQNQHHCTLSGLRWTPVMIIALFASDWFNAPGHSEEVVRILAGNTRAKLSTGIMRGTRP
MVPFNQRRLLIMKELLTATAPLTAKKLASLISCSERTVREDIQFLNMWLKELQYPELLRKPNLGYLLQVNEVKRAFLREDLESIDLTHYEFSRDERINFMTLELLTVSKPTTISKFEQLFQASRGTIMRDLTLCETNLSSFDIKMVKKPKRGIWIEGEELLIRSALSHTCKTMDPLLIQVVARNHERYESSAEQQVSVSKSMAHIVDELQVEEIKEIVEPILSWKEITLSDDSRLALYMHIGMVLKRIRSSNSFTLPEGDLSAILKQREFHIGQLLAFQLEKYFHIHIPEQEVAYITLHLMGGQNAPSNKIAGLSRDEDEGLLQLIMEMTKAIERRLGLSFEKKENLYHGLLLHMRPAIFRAKYGMTENNTLLEDIRQEFYNLFEAIKSEISMIEEEYQVQFPQEEVAYIAMHYGSAVGIVGEEKEDKLLRIMIVCNSGVGTANLLKNRITSLFEGIHIIETLSYQRFQKEDKWEEVDLIVSTIDIESPLLPVLKVNPLLPPEDYERLSRYAEVKGKAQSTSQEIYETVWPLIEKHCDIKDSKALTREMIEQVDLLLKKNRTGIPMSLGSLEGILTADMIQLNEKASSWQEAIAISTAPLERSGLVHGKYKECIIEALYERGPYMAVVPEIVLAHAAPDAHFPRVGLSMTRFDEGVTFGHAGNDPIYYVFAFSSPDQTSHVPALQQLFQELIKNDKKEQLRKAKHSWDILKVFSQ
MNTKQGMYEKPGTVSFIDYWTFEITEFFKQLLQLLKNDYQTFKLFIIEKKALIYWIIISFIGVQFVNFFTIGKSYNEYIKKHCTINQSGGAGGEGGEGGEGGEGGKGGAGGEATKGESGGADKESNKPSPAAGTPGAPSGGTPGAPGAGAPGAGAGAPGAGAGTPGAPGAPGAPGAGAPGAGTPGAPGAPGAPGAPGAGAGAPGAGKPGRFSKLSKRFKSGLGKMPGPVLGNLGIITTAISGAMSLILVLLIIAGVISLPFLIFMVIVYMVLKSIANRFGKL
MLPSMIVKLRLILILGLLCQTIVLTEGTAVVAEKDGIRSEERTVIDVNQAEIASGSEQHKPWLEAGKLKFGGMEASNMIHSLETVGRDEFVPMEHLVEKEMEAYVSSKYVRALSWTVSLLVVSMPLMIAIYGLRKMSQTFSVRHFVLLGNFVNTCIATLLCIIGLATGRNPLADLQEMSNANFIVLQLLVALGFVLFCALLVAAIRSADTVQEKDIFIAELVFYIIVAFVYNSIILHPSILGKPVKTSWIWYPILSGVFMSMTFLSAVVGAINGQHKAIMKLDIENGNGKDS
MAKIIVLDTSFLIELFGLPVDSDQEAHERACACYEDILNKNYDIYVPLGVVYELANHIVDVKNPGVRHELATKFTTIMLSAWKEDIPFTIIPSADGTELKMSEFDLLRLCEVYSAHLNEGLSLTDCTIIEAASTLKENYKERGRKWLSHILTKHQALKSYEPDSNQEYGF
MGKRGQVTTFVILGLVLLVILAVVFFGLFQRKQITELEKAKINGIVLECMGESLQRASDYAATQLSQQITTNPSLGMNQEQLRQFLKENIEYDLKENQNTKLIFCFDEKIPGLQPISITHAANIEPTVNLQELDPILIEFDMSFQVTITKGNLQENLERFTIMYEVR
MFTRILVAIDDSAHSQAVLDLVRAVAAEGVTEVRALHLRERELSGYTWYARESSSDASFVADAAIFELRMEGLAAGGGVRTAVVDRVAEAILAEAALFEADLIVLGPPRRHELTARLFGSVTQRVLQRSASPVIVAPRTAEGKRYRPTRERPRPEAANP
MDKALYDGQIIVHCQLPIVNFFLLLLPNMILLNNGIAKA
MAPGPSQFIVPYDTYVNDSTIVFWSNQNAMLFVEDQPDWVGGLAANSNMNYRCKHFTAEPNLLFGWSYAGGCDALIFNCVDMQTGTQMWQWNSAAPIACTKLELYDFSLFKNDLYIVSRFAGNFGNIMQGNDKINILKVDPPTGIPLAISEAKLKPGYVTSYVYGVRFVD
MRVEVFVEVEVKPTEDPLKVREALEKVYNGDVTLELGEDGTGYIRGRGGLESLTKIKELLKRERIRDAAKAHLSKLIDGDKLIFHLNKQVAYAGHISFCEPWRESPLGPIRFEVYADDPRMVVEWLTGL
MSQREEVVKQCLSELTDTCKTLGKVFDVHYFNIFSTSTLKKIAETLSSDMEVLLQIDGVTEDKLEKYGAEIIKVMDKYSECSFPEDTACPGVDTATGSTGSLGSDAEAEDAGTTSSYFGNNTNQRRKRKQPANSRDSKRKRTSAGGSQQFQPRG
MFISEYHLVKFQTDSHIYRDLPQALIYYRELIRKGVFKTSFSFDIFRNFFHRYDRDFIEIQFPDSSTLLIKLDEAKCYVSYPRAKFFKDYPML
MRDEIRQNGEPLLWAEGEEWTSIPMIFQNITGKNFTGQEYRAYIENWILHQGFELGPVELWCDGRFVERGEVRLAGKEVCHG
MRIISTSGMFKYLKLILIVDSTRFDKMEKNNWKGSHPVTYDENYPFTPPQVIIVYPQVAGGTMVARKNGRLTFCRDLLTKSGWRAAYAIEKLILQLTVDVLPSSDIDTILERYNDTE
MGRFSLTTGQVPSAADAHVGDLLANLTDFKIFGRPPGGAVVLLASRSASDLLSGVLADARMAARVRSDSLPVADADAAVENGWYRCDPASLNTPYANFWILKAQRYDTTIHQEITHHQLAIKYRRAKLGTWTAWEQVLGGLTELDARYARINGNQNLFVTAAYAALVLSKTASGQASRVVGSTAGLTRWELALGDGSAESGSDAGSNCSLNAYTDAGAYKMTPWTVSRATGVMAFNLPVKLPSYTVSTLPSASIFNGCTIRVSNGAGGANLATSNGSVWQFAAGATVS
MMKDNMKKYSCILLLVFLAIAVLGCSGNGPLGIMNKMKENIEKHIITPDANFEDKSLLIPAKDFKMAPIKDFPVPLSVSDNKEYDLFLWRELPYRRMTSDLKNTSKRDMFSPENKLLSIKYLPMTFVVETEQGETMLLPKSEKPNTLGGIIFDTRENVFGFRENGNLAYWDLYSNEIFWEYKIKEAHLYNVGYKNNIFVSINSRSLLCLNCWSGLPKWMLSFDGQVISGVINTDRYLWVLTSPFNNEGHKLFRINLDTLKISELAFKNIEDYFSFEVDQERLYVVTENSTKIIRINQVSGLVEKEYQAKIENTTGSFLDRKTDFPFEFKAGGLYYLLCLYKNDSVVKLENKLNVVDEALPLNQREYWQEDKDNIFAISPITGDEKWQISKKENNLGEGAKVVLSTRDLVLVADDKYLYGFE
MKKSKERLEQISFSILRTVKANANIEILINDEFTYFDLMKALEDLKRNQLLRDYLGKLELTKHGMEELINLEATMEIGNVGNLIIPDFRYFIEKIGVNDVYLP
MKDIHEIANIYAKNLASQKGQKVYSVETWNYSNTPKLAPYKSDQVRVEAHEDMQWEFYDVKEDEFKFTEYDWYNHTSEVIEKRLKYEKLQIESATWSVRSPIKVGIDFQLKVLFPFVSEDREKLSTSIKVGDNFSKTVTNTWRYQEDRMLEIKPHTHSWGYKHLLMKRGTAYWTQSCQYIGAAGILLLDGNKMRLHIVYLGEIFNRIKYDMHESSLLEGYKSTSRSDIILANVSGSLDYNYFIKLNEYAYEKPLDD
MGNNLPKKNSSLKRFLAIYLILTGIFFLLISLEPVRRVVDINGLYTEFIVLVTSKTLLLLGIPVEYKGHILELSSFALDIRFGCNGLEAVIIYSVAVLSFPTVWKKRALGILFGFIGIQVVNVLRIVLLTLIAVYHMDIFEIAHLYVFQGIMIVVSFGIFLVFVDFANHGKINLKRDSE
MSARKAYPLRIDAAILAAVQRWADDELRSANAQIEYVLRDALRRAGRLPPPTAPDADPTPSTSAGASDD
MSRMKREIIGSMSDLARNGDDNLCAHFRFSENYIGFQGHFPDHKVLPGISQIHCVQVMLEEWRKEPMHLKEVVRAKYFQPVHPGEEIECKIKDEKITGDECVVKAHITRESETIAQFSLIFSFGLQAAAS
MEVLFLILAAVALISGVLVVIQVNPVRSALYLILNFFTVAGIYLLAHAEFIAAIQVIVYAGAIMVLFLFVIMLLNLRHPEEGGEKQHLAQKLSGAALAGVTALLVIYTCTRINLGPAKENVPGFGSAEAVARSLFTDYLLPFEVTSVLLLVALIGAVILAWARLD
MSLATLIAFVVLAVVAVFGGFRMLVSRHPVHSALYLVLSLVATAAIYLLLAAPFVAVVQVAVYAGAIMVLFVFVMMFLNLGPAQDVHDQRQRRIVTFAAAGLLGLLIWLGAIWRPGAAVTVGGGALPGYKVEEIGVNMLGRYALPFETASLLLLVAMVGVVVIVRPLLAAERRQAAAGETGATTAEAAATAAGLVVESTEPSEEVGH
MMAVALILVLALLTVLAALVYAAVPMRGAVLFGAVLLLLAVLFLLLGAPFVAALEVILYLGAILVLFLFAIMLLRPDPEEETGARRFRRGAGPALLLLCALALVLGLLFLPQTGPHLSAHPLGIAEIGAALFGPWLWLVEALAVLLFAVIGAVLAIHQGGDDGESR
MIGGWIWILFAAIGVFGAASVALSKNVVRCGFGLLAALISIAAMYAFLGADFLVATQVIVYVGGILVLILFGVMMTHRITPISLRDDMIQPMAAGLAALLIFGLSMLMIITTDFGPMADPGPMLPTTHDIGRAFLTSWLFPFEFASVLLLVAMIGAAMLTREPRPDDMPDGMPNDAPNDKPYDVPNDKKVEG
EKPFKDVYMNGIVRDEQGRKMSKSLGNGIDPLEIIEKYSADALRSTLVLLSSEGQDINLAESHFEIGRNFSNKIWNAYRFLGMNLDQPEDN
MKSGFKGLQLVVLVTAVMVVVGLAAAWSKGRDFWSSPDCRAQRVFQAGDPETAATLFADPNRQGIAQFRAGEFKAAASTFAGLPGPDALFNQATARVMLGEYDRAVALYDQVLDLSPGRTDATTNRAIAAGRAERVKDEGGEMTGGKLGADKIVFSNTPTKSDGGDEVEVEEKTLSDEELRTMWLRQVQTTPGDFLRTKFAFQDAMQADVPEVSDDR
MGFSFSISADSWAPHHSGSKPPLPRASPRPRRPLLPPISSSSPLSFPPRRLEKEEKSRARRPKMPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGAVFVNQLVKWDEKLKEDLDKMLERAKQANERRYFDDDDD
MQWLKPFDSLVDELPNMLHTFGLDSPALKEILPPISQSEKKKGAYLGEFQDFASLTLGGDAELGIGLSGEFKVRFVKGKFRFHIKGSLCLGAGAKGEVEGEITPELFPEFAIWVIYQLYGIDYKHLTIITKEAFMALSYVLIMGGRAIYEEYYKSISAEFEDIKSDFNDFIDKISTQLTDAKRRSTERNDFANVINAKPGSVYLFSPEAKGIALYILMGDGAYDRIDINNQGDGFLPDPNKNRKKAVLHILSSIQTKREWKKVLTRITKDGSVRPGKEEAIVKLAQEDLAIFLQIGFNRDKELEAIIDKLNLRDFDEVMSRLKNSPAYGYAFSPNCSKQYFLHCDENPFYSSLCHFVPVDTQYNQKWERNE
MQIYIQVKQLGKRKDSIEAQKFIIKDSLFTVHDLLYDIVTKQVDKFNHRAIGNIDDEPTLLNYLSLTQIENQSPSGKISFNLDYRQQKQDIQSAFDNVIMAFNDGLFRIFLNDNELSSLEEKINLQENDKLTFIRLTMLSGRIW
MFSKQLLKFDLLIYLKSRLMVSGIIRLINVHLGMDLNIHLFIHLCYYQCYYQCYC
MSSSTSAFRPSWTQYGPLPMERCPDCPCSTTLIRLTSKEVENGNYGCEFMKCESKPEGQIVKKCTHFEWLDDYVKRIQFNGAPTREPGAQSAVSKNEFGL
MGSQASLRVISIEANSLTSSVNLTTVNTFGSYGFGNAEGVAEASHLVVKPHQCHYYGGRAPGYPEPGFWGNVRFPMIENLNTDGGWTAMPRGEQFDERISHLHNQLWKVIFETQNVILNVDKISKAWPGNDKPSPKVIQRHLERVRKSGSGISFQTSQKRAGAKHGASTPRKKHKRAAKKVEETSEDEDEGEESPAAVAHEE
MWLFTSKPTASSLSAGISSALGTGDDVLANYSIGGDTADTSPLAEFSGPLGVRWSLQNGVSRKTNDPVSVFTCTNFSSLPMDVKATLAQTVKRMKTLRHPNILAWQDGTSLSLPLDATQKLPTSFRIVTERVLPLEEYLRTQADGANFTFFASWGIYQVARALAFLNDDCKLSHNAVGPGSIFVNRSGEWKLSRLDYVTSLADSVHQGGGSSYEAPSSKSLSPVHSFAKL
MKTSRPSPRARPRTRGRCSASLLALTLALACTGRDAPTEDEALALSSAPDEDPSAPPPRVEAAPTRDVDVEEDSSEWFVVHEPAAFPEPSALASITALDLAFAEEDRIARLDSGADDCARVDLSQLADRMPALERLRISGCAEAARVALESAGAGLRELELANLTLGTATLVRLAGMPGLRALTLTRVRLEPTADAIPLRGLSNVERMTLRELERDTALSMLLQRAPRLREANIIGAWAGHRAMESLAEAKRLERLTLVDTRVGNFSLNKLKGLERLSSVEWRGATFNNNSPLYLRELPVTSFTCACPGLGDAGLASLRRVQSLARLELPESAITGAGLASLEVMPALAEVIITGRDIGPEGFAALAAQPALARLELVSERFADPTLKHLGELVNLRWLALDCPEFDDRGAAQLAGLARLEHLDLGGTAISDRGLAFVEDMHALEVLRLHHTRVTNRGLAHLAGLRSLRVLELDHTDVVDAGVAHLAGLEQLRELRLDDTLITDAALPHIAALPRLQQLNLANTVVTRQGVRALEALPALTAVNLSGTRAAADE
MILSRSDYCNGLLGNVRQDLVTKLQRVQNAAARTITRSRKRSHITSVLVKLHWLPIKARIEYKILCITYRCIKGSAPSYLTNLVKEYVPTRCFRSANNSLLSIPTAKLKTFGHRRFSFTSASLWNDLPFFIKNSGTLSTFQSNLKTFLFRKCHPDA
MSTNFLKLNADKTEVMVIGFRAQLVKFNLPSVTVAGVDVPVQTNPVRNLGVMFDSGMTMSAQVTTIIRSANYHLNNISRARKMLTTEAAKLAVHTLVTSRLDYCNSLLIGVNKSLITKLQNVQRTSARIIVKRKKYDSITSELIALHWLPIQQRIKFKVLLLVYKAHHKQSPSYISDLLQLQAPRRQLRSYISSPHFIVPRTRAVSFADRSFSCYGPKEWNTLPNHIKDAQTIHIFKKLLKCYLFQEVYCN
MKSHIALILLFSLALYTDARKDPGEYWRDVMKDEPMPKAIQHLMPQPHKEKNDCHKSSFEPIPNVSNYHNDEDGLKQEKDFEPRPNVSGYHDEDVGLKQRKRFRAKAKCIWLS
MGRDSRLNGSAHVVSQTYRGPYQAHAPFGPACALARVSADSALVMCSTQNAYDTRGKLAAVLGMPVGKVRVQYYEGSGTFGRSCYDDAAQAAAILSQEVGKPVRIQFMRWDELGWDNYGPAHLADVRAGVDANGAVVAYEYRGWQHGWSTTESSQQLALGTPAGDSGDGTARQLNKLNLGSMYDIPNVRLVNHQLPGVSGYLKGANLRSPLDISFSFASEQTIDELAYLAGFDPYLFRQSNTTDSRWLGVLNAVAQAAGWTPRRAASNLSDANVVTGRGIAVGTHLSSYAAAIAEIEVDKHTGRIVAKRMYGALDAGLAVNAAFIENQISGMLVQATSRMLKEEVTFNNTNVTSLDWNSYPILRFEEYPEVTPIVVQRMDQKSSGAGEEALCSAAAAIANAFFDATGVRLREYPMTPKRVLAALGQAG
MKPKELELRSTIVQEILGYIPHWMIRWGITLFAILLVMGLFIAWLIKYPDVLAGKGRLTSAVPPIVLQTTQPGTISRLYVSDNVIVDAHAPLAEIQSGLSGSAIAYLKKWIPLVEQELAKHCFSIQQHDPIHTYTGTLGPAQEAYNHIQTEIHRYISTFQNDHHQTQYQYITEKMAHTKSLLAITKKQLTYTQRIVMQEKAKFHAKQKLYQKKMLSQVDFFEQEASLLRKLEQVANIEKDIVGHQMTLGEQQKTLKELQFTHRQNRTLLESTIRGILQSLQKEVALWKQNYQLVAPFAGRICHLDRWITDQQVSSGQALFAVVPTDEHYIVELHLPSTGYGKITKGQLARIQLPAYPEQEYGYLQGIVASIALVPHADTYRVTLTLPKGLTTNYNKKIAFKPNMECSAEIITEDMRLLTRMFYKLLRLKND
MTETTTAPTVAELEAQQAALTAQQAELDRQMAAASLASVQAAKAVLDRAASIKVADDLEPLLEQLPANSVARQQITNVITVNRGVRDLLGREVTRLEALAAEPVEEEAS
MHGLGLATPLLVLDHATLLEEHQRFEDAFRVYERGVRSFRHPHDEAIWEAYLAKFVQRHGRSRPERVRDLFEGAVLQAPPERKKALYLKYARFEEEFGLASRAMKVYQDAASAVPAGDRLGVYEVYVVRAKELYGVLKARDVYCQAISGGGLPDEDATTMCVRFADLEVGLGEVARARALYVYAASFTGPEVRPEFWKRWHDFEVLHGDESTFREMLRVKRTAAASAGACVIGSAGAAVAEMDDFRAAPKRLLRACAGQQLHGVVHQCKRTRLV
MEWIFLLSVFLMSSVENVISLPEGVCGEYKRLKRINANRSVTVTFCCNYYHKRDGVCVACPIGYVSKGENCTPCMDEQYGKKCAETCDCDETERCNHVRGCIAKD
MNIYKNCKINLSLVVLGVVHIQVQSHCDGVNPYLSPVQFNNHIFRRILSTNESDDVVVETPVEETFNNKNEPIDEELGELFYSNGGEQTVEQLLSKTVNAHCHGFGNCIAKRANSILRVCNNHSEENILNDNDLLNQYLNGDVLNIFNENKSLFKDLLNKDMCNTYEINFDEKKKCTLLTNKNDLQINKEISLLGNESEETKKLLDLWKRVMKNEENKYNLLKRNLYQQYLKLRNKSRLPIDTLDKILNECNMLVKKYNNNYDKTLNEKFQGWSKGTPHNIFEFKMFVMACRLTWRKVIKKLHTEYTELLKLSFK
MTATRKAVAALILALVSASAMAQFDGFEGGFRFGGGPDSREYRDYDRDYGYGGERGFGGDYGFNRGRDFGFGGGFGRY
MKKKFDAKKYYLKLVSLNGREPPEGLWVLSVSMYDLLFFHRDDDGLHLSGHGPGIKKLKKAWKTWLKIDFDWEDIQWPKCVIDGFIEWYFTSEKALKRRRA
VLKEPAGRSSGAQEGQKKPEGGRKAGPRQPEGRSLASTAPAMFNYLAAEVRPQLHRSYGSQQAVWGPLRSRLEQLRKPWWREPSPLVLQHSEPARLAVDAFLEQGEPGYLSALADERELPFLSLLDMDYMSRQSNHSLPGGASATGDRETEPGDADAADRASLSSELTSGTYFPLMSDVHPPELELGWPGAPLFSLAGQTQATVIFQRNKANSVKDLLRSLLSRARTVIAIVMDLFTDMEILCDLLEASSRRHVPVYLILDEEYLKHFVEMCSKMALTQDDFPNMRVRCLSGDTYYSKAGKKFTGQVLEKFVLIDCDQVLAGTYSLTWLCSQVHTSLVTHFRGQIVAEFEKEFRYLYAESKAVTSFCQPHSGPCPSSRAANCLLKPVPVTDGETSSPSSSLSNVSIRSVKVSPFLQSSGGAVRHQRQDPAAGSAARKGKEEDPLQQQGEPPDSPDPHPNKAPAAFYHRSNLLTARTCLQPEPAAALGADNLPSSNKLGYNQADNLPSSNKLGYNQADNLPSSNNLSYQADNLPSSNNLSYQADNLASSSRPSPLRQEQSPSEGAGSNGTSTKQKGPPAACREPAAGNDHPEKKQSPGQGKAELLPPYALSRRDKKPVVLCYDQLSEDTLLEKNSAYGAEKRMTLGHSKLDLITKYNKLKSKHIHSRFEL
MISSESLRPTPQTGRKALGKLATRLEEVKNPWRQVSTLELSHNEAARLATDALLESGEKEYRRVLTEERELNFLSPLEIQYITDNATKNVSDNNSANGSEREFGEADAVSELTSGTYFPMMSDEDAPVLELGWPELPVRYGPSETQIYFQRDKSQNVKDLIRSLINKAKKVIAIVMDLFTDVDLLCDLMEASNKRKVPVYILLDKKNLSYFKDMCTALDIQNSHMSYMRIRSVCGETYCTKSGKKFTGQVQEKFMIIDCEEVIAGSYSFSWLSAQVHSNMLLHFSGRIAESFDREFRCLYADSQIIDHFHNPDEEGLPFYPVYPPMIGFSGPREFQERVSSRDKLSSDHSSSQSSSSLSSIKKAPGMATTVYQGGLAARGFCNANGHSASGAGQTGVEWTKTETPDYLRNNLGGTIVKVQGLNLYEHKQNLFHSGMPKTAPMMDTKMIGKNPNIPILNKISDFFLPPYKERETYNFRRSPPQSTAFGGPNLTHDEPESQQSPPPAPRPCPPTARFPNSG
MQTPEARRGMRSTGQLKTRVEELKNPWRQSSPFVLNYNESARLATDALLEQGEKGYLQVLSEERELPFLSSLDIDFICGSANVNGLSRSLSMYDSDGDGANSSFGENCLSELTSGTYFPTVSDIDVPALELGWPDIPLGTRSKGTEVQVIFQRHRSNAIKDLVRSLINKAKSVIAVVMDLFTDVDILCDLIEAATKRRVPVYLLLDEKNLTYFIEIYENLGFNKIYISNLRIRTLTGDTYCTKSGKKFSGQFLEKFLIVDCEHVISGSYSVFEGELSETIPVVHASIAGCRIIGRMCVGNRNGLLVPNNTTDQELQQIRNSLPDAVRIQRVEERLSALGNVIVCNDYVALVHPDLDRETEEILADILKVEVFRQTVAEQVLVGSYCAISNQGGLVHPKTSIEDQDELSSLLQVPLVAGTVNRGSEVIAAGMVVNDWSAFCGLDTTSTELSVIESVFKLNDAQPSTIATNMRDSLIDRYGAASSATRGVSTLYLSFCASMG
MSQTPGDPEQTTATRRLSHRHTHLAVNRPGESGDSLI
MVHRSGSLDLEVEDVEAARDSVAALAAELGGRVETLTAYAVAIRVPVERFDEAIDRLSELGRVLARSLRAEDVTEVFQATDLRLRTARATLERLQELLAEDRDAETRLELLREIRRLSKEIAALEARARTLRELARLSRIAVTLHARRPEVVLAAAHAVRELAWIDQLSPLETWIAAESRPLRLPVPEGMVALSPRGPLHAESAGGSRFWTHRLERVPRGDADWWVAALRERLAPGHAEAVVEAIG
MKSVKKFLNERMSSVDSADGVGGGGKKSSLERLKCGDDTPTKGYVSLPNTPLPMSAPIAVGDPSPSPSIADSAQNTPLCTLQEDDSTSPPPAGSGTTPGKNGHTQQEQSPFTFPAVATPTSNPAPNPVPVQRKSRRKISLPWFRQSSVTGHGVLARQHTIDTPSSFRFFRQPSSSGLKVYSNINI
MTTLTDSFRTVVRWTRIALALFVLVAIQACDLTSVEDNGDGNGDGDPPPDPDGPTINLEEDAPPGLHRKLSMMSERVADFGGFYLNADGQPVAYVLEPAEGRRSEVRAALEDVFGDGILARGDSPRRSVEDPTLQLREGTYAIDDLLAWYERLPEVFSIEEVVMIDLYERANRLTVGVTTEEVAPQVEERLAEIEVPREAVQITVREPPQTNNHSLRNNVSPPRGGAEISGTCTLGFVGRYQGDWGFITNSHCTAQRGNVTGTTFNQSAGGSQIGVESADPSYRSCGFLGLRSCRDSDAAFVDFDSDVGVSTDVMRTQNWAAPGNGTGSIQIDHDAAMSLDEVSAHPVSGDMVDKVGRTSGWTYGFVNRTCTTGRPVDNNGNPITVDGDRVLMKCQYEASYLSQGGDSGSPVFIWNDF
MKSTQPWLSCLILMSSPALAATSGAEVLPDRAALERSAATAMRILTTVPNTDEALLQMEALMPHMGGFYLGEDGAAHVLLTEDASEGERLTARSIGDAVSKMRSFARGQTQQRLTPTVVEPARFRFSELARIRDYATDVLNLEDVQSLDANERANQVSVGVLSEEAAEHAREYWARLELPPESLEVFLQPRIEAMATLSSYVRPVPGGYSIRNGNFSYTTCTLGYPVFSSVLGMFGFITNSHCTDVSGGVENTSFYQGNPFVFATEYLDPIFQTSSSLAGCPSGQLCRLSDSAFAGGTNYPSGALKEIAQTINYCSLPQTHCSTTVNSSSPVIYPHGFASSPLVGQYFEKVGQTTGWTYGQLQQSCTTVRDSASGHYFVCQYTVAAGVDAGDSGSPVFTWDGTNNPIGGILWGGDPTYHDYFVFSHYSSINTELGTMYYY
MRQARRQRSYTIRYVADALGETIEEVDAWERSALTPTSDQLEELAALLAVDSEALRQGIVDPLDLEKLADATFVSDKLPLPNGPRDVEKLGVVAAGIDGDFAFNGAVSEYIMRPRGLYQRPGVFALEVISDSMYPAYRKGDIVFCDRTEPSVGDDVIIETFPEADGSAGKAFLKRLKRRSKSTLTVEQFNPPEDLTFDPYEIKHLWRVVPNRELHGY
MPSLGSEWSRRSLTTREVFLAADKASIDSYLEPVQGTTEPVIPRGDLGSFVLAVQPAGERETDDGRRDQRRRGAGAPADEFDGTLRVLGSILFDDLWAGMSDGAYNLEDLAKMAEVHPRHVYAGPSVPVERQGWREADTAVIVDEE
MIILDFSGRYNDKSPVHTRKNKGRWPDTFIDEPYVQIQLLREKYRDKEPGRIPLPQNAQQIWRQHKYAVMARNVPLYKKIGADVARMSPRDDFSSLARLLVESLRTPPSQGGLKNALQHMWGYVSDRYEGPRDAVSVRSLVPLLARIQDLTLRHRQPYLMESVALSELAVWIQGK
MSPLIENMDRMVRDIPAMPEVAQKVMHMLGDPRTTNTSLGQALSSDMSMASRVLQMANSPFFGTRQKISSVSQAIFILGHAALRSLIITVCTKGIFKNPGLMEKKIWEHSLATAVGARLIAKKTGLMDADEAFLGGLLHDAGRMILAVVYRNDYQLIFEKFYNGRLPTDSVLEAEKQEFGFDHAEIGSRVITKWRLPNVFGRICRRHHVSNPQILEQEENPNAVALIGLANLMSHRLGLGGAAPDDSIDIDNTLFNKQLKISGDLVQSLMAEMAKCYEESEEQFKM
MNWSVSKDVQLLKLWIENNRDSAMAFQRGRLKREGGTLSISARKVLVSALFSDGAFQTTIDLDGVTEIYLGGLKIWPDNDSGSKNLRHSCNEWAMKMP
MLPPAVSRPSPGLHCGGLPAVEGLEMIVGKIPFLEAVNGSTVLLPCSYVSCIGILRLYFNWQFNNNGTMQKLCEATIPAEGVDYDVSVVRERVEFAGSSKTNNVSILLWNITFEDAGQYTCFGRNPKEKGRNHMHVVDNTLTIIIASAVGGGIALLMGFMLIKNFTIYVLARIEEKNSSGVDNTENGLSGSKADSKPTPKKK
MKAWSGFFAVFALLVGVVWYSPRLAFEPGPLSKGHQKQSDNCASCHVPFEGAGRCESCHKPKEFESNPKLVFHHQLAQESCNACHKIHGGGQPKPFKHELLPKSIEANCVGCHARPNDQLHRPLGENCANCHHQTAFKPAQFVHKSLPPAELSQCTTCHEKPADRLHQKLTGSCQSCHGFTAFKPAQFEHDQYFRFDRHHPETCDNCHQNSDYSTYTCYGCHEHSPGSIAGEHREEGIRNFEDCANCHASGDENDIRSRRSRSEADYSQPAQPSSEPVKHSYKKRERHSEHEEDEDDD
MTVLVLSARPAVAGPPFMTDDPVPVDYRHSEFYIFSTQDKARDGENSAFPAFEFNYGVPPTRNCILSSRLPEARRMTRLRRRE
MFHAAIHLVWSGSANATQVAAPKAPAVAAATTTTPSPTQAHVCATRVKMGPELNFSLQLLNEDLSVSVEPGAYLQGEAIRIQASLQAEAGFFPKLFIDRCYVLDNPQQSQSRALFFIVDNHGCLYPGNLKAAWFRQEDPAVVLTMQTRAFWLDDKTPEIHVHCLLAAWSQKSPASPGKKTCSYNVTSALWKNVDEPSRPSVCLCCHGVCPSEPGPLDHPQGFVGKGQLHWVALGPLRLRKEGLPWLEGHGQTVKKLLVVSAVFVGSCVLGTLVALGLALFHHLRHSRKYWLLSGDRAEHMELQTLAGALAIREEIEQESPLN
MKGIGTEVTRIARIPISGVVRDDYGVDEVHFEYQVVDGNTRPDQIGGEWLKRSLTRPPSRRPREFPLTTAREDIPRDDALKTPRHEQAVWFDLRQLSPEPTVGQKLVITLVATDADDKNGPHAIRSSPIHVFSIVSNEDLQFSLIQKELRLRQRFEQVIDDVERTRKNLQGYRRQVDDANNSTDGSGGEDGGEQVNPAERSINEVIRGHNETVAIAEGFADIRDELINNGIHTQQTLTRIDEGVLNPLQRIPHRRLH
MAKTQKQEVVAAETNTGLSTNVSGIEIDVEDIEIPRINVCQKMSQSDAPVGSILFDKTYEIAPPDTPVKTITVAAQKGWRENIPFEEEDIPRIAWSKEQADAIESESNWDMTEFAEITLLMRQPEGSEEGDAFQLPIGDHNYALGKINVGKNAYRSTYKRLATFAALQSGVPIHSKVWNFVSEELSKGKYTWFNPSLSVTKEEADEDVTAFVKNFLGA
MKLSKLVAPVAAVALATGLTACADNADNSANTASTTSASASATSTEQTTVVETESEVVTAVADEDLVEATTAGGETVKIPAALQEEAALHDGLGELQNVETNDEAVYTAEYENGRYIVFSPEHGAYLVQGMIAQTWREAGGVDSQFGVPTENEHDATGAEGWSQVFAGGTISWVNQDGVWGSVTE
TVTGGVVVDSGKFDWSASDKFPSLSAPEPAYHGLKFHETFGALAFTFHGIAICLRDLGMTMNPQGAHYTLMGIETLGLRMPRHVENAQKIAEWLEK
MDFRSPQARANDKPSYGSPYPPQPINGKSGGTKKRLQKTYFRIFDPEVKTIIFGTPPHVPMHTTVTAAEPVGRTWRKNGAASEEGTHDSWFTVGHGNTVLLTIKTLFERFTPYLCPCPEILKGSADQKHLEKFVQF
MESQERYPDNQMPFAPTIPTRWQEFVDRREALLLGYGYNTARAYWADLQDWFEWAVSRDKDVLALTEQDKTQYIALLRRRRYSEHTVRRRRVVLSLLLRHGPDPSDSILDDDQDRERRRDGRQLDS
MRALFYLTVTLMQRLLRQGTIIRSLVFPIIVTMGAMLMTLVVYSWLRGPPVVAVTEDLDPVLMVAIHQEGWAPIVYEDPRAAVESSQAWAGVEGETMFIKEENAKSLRLERLLRQKGQSSWLPDPIQKLPSLDEGAVQGRTMGNLISFLFTLYGVVFGAGMVARDRDNGTLEAEFSLAVPHWYHGASRWFAGTIVLSAFLAMSITIIDAQISLPSLASALRNGFAANAMGVAVGLTVIGRAGLKRGFSGPIAMGLVTILTLMVLGMTLPPQLAGIIPVASIFTRASGWEAMGISILVGLVAMMGFSNRSTT
MAPPVTHQIFAQVALPGTAQAAVTDPPIAQATGATPAQPDLAALLQGVIKAVTPKEKVVLPKLSKPTKAAYVTWRKDFMVHIQYHTSFKPFTASSLTTGIVISPTLLTDLCNLLYMLLSKALENPVKLEIGWDNIDTPDGLSILDRLETELGLKQMSSLNNLSLLAILDKTKKGKTEGLASFHRRFKNALDECKANNVMPFTNHTLMLLYLKNLQESNLESSIVSLEQGETTAWTAITSIDALQTKVKATLKAYASLRQSSDRDTPHDRSDRSQSTPSSSDTSNSEYTKRIKRVIGRLRGDNKDKITAQIKSVLKWKQGGCFIHTHQKHTQASCKKLKSFCEQFSCTVSLTAATTSSGSNTSGPNLTARRA
MRFSNNGAGDTHREPAAQNPFFPLATLKSFGAVIFRSQASRDVACLLDVDVAVSSWVCAPKPLVLASEHYICDFLVTDVEGVQTLMDAHDRQDGPDQKELTAAAASINLRYRRWDHAAVHSGFRLRNSKDLIRYANYTTALGDRVRLLAALNENGTLSVAECLSAFQETKPIAGLASMILGGFIEIDLDEALIGPETKVRRIVC
MKWHTKIIIILATIIFCTALILLIGNQLFDSVFKTFLGHITGLTTNGILCLAIGVSLGTIIGIKLIR
MRTRRRGPATVAEEAAAEEEEEIQKLPSSGETFKTSSAIEMGAGGFFEVPGALGNRFAFKQM
MEVIDGVAYTTSLNYNEDNTLKSITINSGVDFEYYYDEKGLPNRVIASLGFGYKLYTQYIYNQRGLLESYQHDKETYTYEFDSKGNITRITTGTGDEASYTYDSLNQLKTESITQDGSTRVIAYEYDSHGNMVRRVEGNKETLYTYDLDRLIQIEEKEDGVTTSIKTLAYDDAGNLVTDGEYSYTWQMGRQLQGISGTGLTTSYKYNENGLRTQKTVNGQTHEYTLLGSSVTREVIRDSQGTILWTIHYSYAGDSTPVSMNVNGTEYYYLKNAQGDITHIVDEEGNVVASYEYDAWGNHLSITGGEIAELNPYRYRGYRYDSETGFYSLQSRYYDPEIGRFINADDTDVLGVEQDSLLQFNLFTYCLNNPVNRTDDSGYLSIPNWLKVTVGAVAIAGLAVATVATGGAAAVICGAALSGAIAGGASGAVLGAVGGGISGGWQGALDGACSGFMSGTLIGGATGAVAAGFNIATGATSVVGKAHGSTLHKLATNMEAGKMAASGQYSQIGLNKSLNTMGLNGKLRPDVIGIGKKGVNKLVEVVSPKQSINYISNKMNGMLSNNPGSVGKIVSWVRNLFK
MKSWTYQGNTVQYDYDAAGNLKNPHGKTLTFNAANEVEGFTYDEAGNLLQDDKYQYEWDGEGHLLTVKDLNGNTLASFTYHPNGLRKTKTVNGVTYHYHYDGSNLIRITDDNGQTVWAFTWANGKPNTVTNANGDTFYYVTNYRGDIVRIVDENGATVANYSYDPWGKVLSVSENAAVAGQPLGYAGYYYDKETQLYYLQARYYDPETARFISRDPDPGDKDDPITQNAYTYANNNPVMNVDPDGHWAVDAMWLIMDTAAFASNPSLSGAAWIAADFASFADPTGALSTAGHAGRLLGSYNKLRKVIKGTGLETHHLIEKRFARSMGVNKGHMLSVALTKEQHRVYTNRWRKAIPYGKKTVTRKEIRKALEKVYYDNRALHYASLKYLRSTGWR
YTYDSGGNILTMSDGTTSHTYTYGDSDWKDLLTAYDGQSITYDGAGNPTSYYNGSRWNLTWVDGRKLDRVESTDGTVISYIYDVSGSRRSKTVDGVTHSYIWESGRPLREILSGETEVYSAGDTLYFFYDQSGSPYALMYNGVTYYYILNGQGDVVRLVDSSGAASASYEYDPYGKILSATGSMAEVNPLRYRGYYYDAETGFYYVNSRYYDPGVGRFLNADGYASTGQGLVGFNMFAYCGNNPVNRVDPIGQFWKELWGTFIQTIQQARGYFAVAAGVSQVDSPVPGPADVVSGVLLLGGILVCAGLATHNTITAPSLSISIPKAEEKAKEKVAAITSEPSSTVIYRYGGTNPGNLTPSQRDVDAYPTTGKGLSFSTVPKPGAAMTTIEALNATGVVYAVHDGPGHVSVHPIGGTLEDWHKAGSSSIWTTAVKSVVIKWDGGK
MVAVISFTVQLYSSITFFYYLNLIEAYNRESDHEAFLGAFKKEYDNLSSEESKRRLRLLLPHIDINKDQYITNEELKIWVQDKYESLVDISLNDAVFNEVDHNFNSKIDWDEYQWGKNRINNNANDSLTAIMKEHLSEFISRDKLRWEHADLDKDTQLNEEEYAMFQSPKKYAHMITIVAQEEIKEYDLDKDGKLSLEEFIASIHMPNMRAYYEKQFRELYDQDGDGKLDHYEVVKWMTPEVYDKAELEAKHLIDLADDNKDGKLTVKEILSHYFVFVGSKATKMGQLLHEEF
MWTLNQKRMSDCDVLNGLTVVFFCLTYIFLGLTDGLLVARGVDAGNILVRLKYYLMILTVACSLLYAVVHKRFNIFWKETKQLLIIVIVFALISGFEIITVGAYTSDVLDSLIKLALPVLLAYGVLNTLTYRQLQISMVIVLGFSIAGYVCELLFGGFSSASLSEMDYATSSSPTESSIFAGISIILCAFYCYYRNNTVLTVLSTIYAIATFKRLAIIFAIAFFVIPIFVDPNKKVPSYIRQIMKMVFLCGTGIYYLLLLPQSGRIFEELFHQSQDQYTMGRSSFLRTLLSTNYQSYGYGSSAIVIGRSLEMDYLQIAIELTPIALFIFINGYWNILGNNLYLTIFMLFQSFNFLTSHSLNSNYAWGIAFIFIGMVIYMPQYQMNHGCKTAKLEKWSD
MAYSNTVVSRAAFGDGSARGLYADVDRGRELKKVFTDFEELLGTEPVGELLPWLSWVDTFMGLERKVRRTFEALDSVLDKVIEDHRRLREERRRTGADDDDDVDHRDFVDVLLDVNEIDKDATIQLGTIEIKAIILDMFAAGTDTTTTAMEWAMAELLTHPSAMRRAQEELRAVVGVPSRVTEDHMDRLPYLKAVLKETLRLHPPIPLLVPREPPADAELQGYGIPARTRVVINAFAIGRDPAAWGQRAEEFVPERFLDSAVDYMGQHFELVPFGAGRRGCPAVAFAESAIEMAVASLLYHFDWEVSAAGGRGSQAGTASPPLDMSETHGLSVRLRYGLPLIAKMHFS
MAKQVLQKQDLAFSTRFIPDSLQAHNYYKFSVVWLPVCPQWRMLRRIMNISFFSTNRLAAYQHLRSQKVELKDVIWGIVDVAGKINLVDFFPILEKIDPQRIRYRTNIHFGKLYKLFGDMINARLEEKKTNQSEKTDILQVLLNISAENPQGMDQNFMKSTLLDLFIGSIDTITSTLEWAMAEILRQQEIMKKVQAELAQVVGKGKPIEEADVSLLPYLQFIIKETLRLHPPAPFLIPRRVDQDVELCDYIIPKGSLVLVINAWAIGRDSSFREDTLVLKPERFQSLELDACEKDFELIPFGAGRRTCPGLPLALRWMPLILGSILNSFNWKLEACIEPKRLNMEEKFGAIIAKAHPLRAISSPL
MEELGKTFDDFLENVLQEHEGNGQKNEKETDFVDMLLRIRREKPNGFEIDRGDISMIILDVILAGTTTTSGLLEWTMTELIRHPETMKKLQEDIRKHSKHNSYVSEEEVENMTYLKAVIKEVLRIHPPGPILIPRELSEDVKLKGYDIAAGTQVIINAWSIQRDTTTWGLDAEKFKPERHFNAPLDFQGQNFMYIPFGSGRRLCPGIGFSMALAQVTLANLVNRFKWKVEARPLGDDDQYNLAETAGLDVTRKFPLVAFPSSV
MASSISTYALLLTFFTTLISFFFITSRSKQKFKNGKKLPPGPRPLPIIGNYHMLGQLPHRSLSNLAKKYGSIRLGNVPTIVVSSPEAAELFLKIHDGVFASRPKLQFADYVSYGNKGLAFAPYGSYWRTVRKWCTLQLLSSSKVELFEPIRRREVESLVDRIKRAAAGGQVVDLSAKAVELMENIMYRMIIGRSKDDKFDLKPLAQEILRLSGHFNIADYVPFLAPFDLQGLIPQFEAIREGCDNFLEEIIDEQQQDKRKGQKKHRDFVDVMLSHLDQPMNPNDESELHIIDRTNIKAIILDMITGALDTSTVAIEWAFSEILKHPRVKTRLQKELESVVGMTRMVEEADLPKLTYLDMVLKESLRLHPVTPLLIPRESLEDITINKYHIPKKSRILINTWAIGRDSNVWSDNANDFFLERFVNSNIAVRGHNFQLIPFGAGHRRCPGMQMGLTNVRLVLAQLVHYFEWKLPDGMLPSDLCMSETFGLTAPRAKHLLAMPVYRLQD
MAAADHRQPPPDHDPRPARLPYHGEHGAPAGRASHVAQARRGPRRRRHDGRRRQGDHQDARHQVLHAAMELHHAGPGERRAGAGVRALRHPVAAAPQDRHPRAVQPPARPVVPPRPRGRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALFPASRLADFVTGTTRRAMANHRKNFELIECALRQHEERKAAAVDGRDDDEDLIDLLLRIQKEVRFEVPLTMGHIKSVILDLFGAGSETTANSLQWAMSELMKNPTAMLKAQAELRDTLQGKQIVTEDDLAGLKYLKLIIKETLRLHPVVPLLVPRECRETCKVMGYDIPIGTTILVNVWAIGRDPKYWKDAETFRPERFEEVNVNFKGTDFEYIPFGAGRRICPGMGSAEANMELALASLLYHFDWELPGGISLTKMDMVEEMGATARRKNDLYLVPTVRVPRSAAP
MLEWAMLHLVLDQSAQERLYEEIVGKVGRDNGRKITEADVEGMSYLQAVVKETMRRHPPSHFVLSHAATRETELGGYRIPADASVEFYTAWVTENPSTWKDPEEWRPERFEEGGEGWETDVTGTRGIRMMPFGAGRRICPAATLGMLHIQLMLARMVREYRWVPVPGERPDPTETFAFTVVMKEPLRAAILERE
MKTLQQEMNTVLGSKTRVEESDIGQLPYLQAIIKETLRLHPIVPLRLYEAEETVEIQGYTIPRGSKVIVNAWAIHQSTEAWIQPEKFLPERFITKDIEFAGRHFEFIPFGSGRHICIGLTLTNRMLHVILGSLVHRFEWTVPEMVSKNGLDMGEKFGLAVSMATRPNIIARKKC
MSGCGCVHWFLGCNDREFAATEREEALQDFTKWAETYGPIYSIRTGASTLVVFNSTDVPEEAMVTRHSSISLRKLLTALKILTFDNALVAASYYKDFSQDGKTSQMFLEPMLRSEGVLEVDWRDLFPHLRRWVPNNNSLEMKIQDIYFRRKAVMNSLIKEQTNRIASGEEVNSFLDYLLCEAKTLTMEHITIFVWEAILEAADTTLVTTEWATYELAKDQNRQGLLYEEIKNVCGSDKITEEHLSQLPYLNAVFHETLRKHSPASVALLRYAHEDTQLGGYYIPAGTEVALNIYGCNRDKNEWESPEEWKPERFLWTRRNVIQRIFTRPWRLELERGPALVLFRQC
MKGKWYFLILFWFCTTCFSKTPIIVDTDMGFDDWVALLYVLKNEKLDVKAITIDCSGLTYCPQGGINAAKLASLVNQKIPIYLGREDKTNARYAFPAQLREFSSKMSVPGFQSLKAYPFETKSAAKAIVDLAQDAALINKPITIMSIGTAINLADSISYAKKHHQFDSFKQGIQRVYKAGGAFGETIIDKDNHQQLSNFNIQGNLIIPGFFATNNTMAEWNIYAAVGAMQDVLQSGLAITWVSINASDMARITPQGLDFLEAYAKKDVALLFSLNAAKYLVSYQGGWDKIAHNFDFWDTAATVAAFNPDVVSESYHDVALCLNDQGFEYQIPAKLPSLTASNRVDYHFFPMVHQYYYGALLINESPESPKSLSICNLLNKNVVKTDVIKGIDVARFYQHFAQMD
VGHAEQPESLFNNERLYPSMMPWLFPYGLGAIGQEAMKDKPSEKNQKAHFLMYHDKRFQTDPIFPLLAFNQAQIQQSALNSYLLAKKNKFTTICDRLHSLDIKVLDSISKRMQAGESVKPETEAEKQCFQVLNDLDAVNGTVNGSISSKKFMRNEIWSLISYLGAPCWFLTLSPADNKHPISLYLADKQVEFSPELHLPDEAYRLIASNPVAAARFFHIMCQSF
MDRVEEAALGAGEEPRAGRSSASRMARPSDSRGSDNNWIKSNTEGLQVETLGQVQGKGSLQIPADTRASDSASDKGEDSATYGTSHPLEVKDEEAREPPISSNLAGQATEVTLAPLPSSCAENQQLELPPDEVPGSLPEKSSLTYNGEEGDLEEGSCSSSEEDDVEGVQKRQSWGPCPSPAAEREEAEKGSGEGAWLTLNNCLLGALVLLCVGFVLFSGSLYEAEDAPMENLDQRDLGAEEKQSQILDELRDWLKWHAQDSPRDADSLQAINRLLDKLSRENQEIGLLRVQLQAQKEELLTLLKKSNDENDSMESQQQNLLEENLHLRESLLHEETAHLSAQNELQALKEKLQVLEQTTLESRNLVNESLNLENRLDAEGQQIDDDSLRLEETLVAESQMLRQELDKQRMLVASIKHDFDLSSQPGSSETEGESEQQKEMLKKWRNKLALELQRSESWERSYAKKKAKSRGSKLNPGIHVENLADPSNTSAAAFERVAVPHSALKGPIPDERKNPNKSWEQKKSKHGEGRQGQKHLLQGEREEEQDMKPRKKSEWKEGTEGEEGDHQVEWENPGDGFHSHRQREAERESRHGHHNHNKLWKKLSSHQYRVPEGCTGIPDCARKEGLDLFHTELEPVKKQDFQQLLEKYLEMNNLSRYLPELTSVLSAFFQGDVFSHDQMRFRDFVDDVEDYLEDLVREEKGDDDAVDDFEDYVFRHFFGDAAHRRSTKRDTFQRKDMEIDHQGGKVTIHPHKSSTASEPAGGRSSHNSASADEQSDRHNHRKQSRSKEEWSGPHNRKLPHFNQQHHRQERTKPLSEKDIPSNQHHKSHKGFNHKQNDKEEKSKRVGQEGGVEHNSKNYQRRTCDSPLPEVRMDYLKNAKASNHEDPPKEGRSSHDKGHKDFSDTDGRRDHEASKSFTTRKHHHKGRGEEKNRRTAKDGLSKD
MRALRGSNVSAVLHKIDPIVRGWSAYYRTVVSSAAFTALDDYMWKLTYKWAKHGHQNKSRHWIVNRYFGQFNKSSKNRWVFGNRETGAHLRA
MKIEKPLLDSAFEAVFVLFFLSFFMPLSSKAVNNIFYVGLALPVFVWMLRNMRDLPAIFRSYRVLIGMLAGFLGLAIVADTSSVKKVLYIFLYFATCLLIAEKGKAAQRFFGAFSAVACAVFLYLTASWLWQYQATGLWGRQVFWGSATNPVYASLLILSALVFLWLFYLEGFLLGRARWQLLGGIFLLMCATVLCVLVFQARSALLGGGLFFIVYFIQRRLVLLGGVIAIAAGGLIYLSGVGHLLLERGLSYRTAIWEDAINHVTNDCVIWLGCGADEYKFLGQFMHPHSGYISTLYRYGIIGAGLFALFALVFFWRGWQSKSPWFLLALVGWGGLLTTGSGFFSSPQPLWVYFWFPTFMALIDGTRKTTGCKQCTSSA
MKKRAVMCCQTVVIRNLRIFIPLVDQHLLPLHLGQCYEIIFKGLHRRSLHWARTGSSLLFFKISRRCEERMAPWESDP
MIFLSQNGGILRTLSVTLGLEDFLAFVGIFYQRDGRQQQANDENGINKKTLKFKLTQFDEQTPI
MSDGGRLAPTQQTSHGNVTRTALGELRKVSNRRANGYMRAVHFVGRDDEAIATGGYRIGPRSWSACPRAGQLPTS
MTDRILLAASYDLKIHAYNLADCSPLGEYEINNSQANRIVVSANRFYAAAYTFILSYDATGKNLKPLHSISAHDSNVTDICVTPTTLFSCSEDKTIKTWDRRTASVQQHITTTSSLSSMLILSNGYEIITGNEEGEVTVWDTRNSSSPFKMANVKSPVMSLSMSPQGQHFVASYMDGTTVRYKLNGNRLTEEFKIQAHDEIVLRCAHSPDGQLFATTSGDNSTKLWACETGEQRKVLKSDDDYEWIWDASFTSDSKRLCTGSSDGVCRVWDVDSGNLLMQTEKLEKCITTIAIVP
TVSPLASRGMCLDVNAGSTANGTPVQIWACNGTDAQKWTYTGGTLRAFGKCLDLPNGNRANGTKLQLWDCLPNDPFQQWRVDGSTLVYAGTGQCLDVTEGRFSSGTRAQIWGCNAGSPNQQWDLP
MRGFSKLTLQPGQSTAVTFALTPSDLAVWSTADKNWTTPGGTYQVFVGDSARRSDLPLHATFTLSGADPTGETVAGVAANLCVDNKWGSTQDGNPITLHACNGTDAQKVAASSDGTLRIQGKCIDVVDGGTDNKTLVQLWSCTTDNPDQQWVPQPNGALRNPRSNRCLDDPGATLTQGTRLQIYDCNGSAAQRWRIP
MTALGLGAAGLVAAEVPASARTRADAAPAASSACPWVGSNAPVSSRVDQLLPKGSTTDGTQLQLYTCNGTAGQSWVSPAAGVSGPTGQVTGISDLCVDMKAASNADRTPVQTYTCNGTAAQQWNLPS
MRNNTRFIKYGVWSVVLIGLSLLFIGCSAEMLDAVTESVEEANKPAPAPTQREATTKSVQSVQIESGVGLCLDVHAPCQTENGCRVQVWECSGEQQQWTIDKGEIRSGAGLCLDVQKPCQDQNGCPVQVWACSGTKQQTWKFEKGEIRSGAGLCLDVQKDCQDQNGCKVQIWECSGERQQTWMIK
PVGNTPAGVAVTPDGSRVYVINTGDDTVSVIDTATNTVVNAIPVGKLPNRVAITPDGSHAYVTNTLDNSVSVIAIDTGTSIPGGGTVYGLDGRVLDITDDGVLDGTPVQMWDFTGAPNQQWIFTSDGEIRNPRTDKCLNVEDTGGGGQMNGSRVQIDPCNGSSDEKWTFDPEVTDGGQPGGAIINQASGKCLDVADSRSENGVPVQVWDCTGEFNQQWNFV
MVKAVIVLQTFDKTGGTERASKELGRILNNLGYKVKFISFYKSKSVVDRNDCSVEYLIDTYISVYSKRIINKVLDLLLRKKLKDKLLEESPDFVFFTNLSHVVFNDSVYKTILMVHFSFIYFNSGLLTSYLFKKWYKKIDRVVFLTAGDSLKYEEFFGSPNNSRYVANSVNFIRQNNEIKKKNKIVFLGRLDENQKQITHLVKIISLIKNKTKEKWELDIYGEGDDRNKIERDIIELKCSDVITLRGNTNDVISALADSKIMVLTSKFEGLPVCLIEGAFSGLALISYACAPGINDIIIDGVNGYVIEQDNIEVFSDKLLSLTNSPEVLSNFQKASISIAELKFSPQSVEKHWVKVMSDMGVR
MGAQQDKLQVRWQTEAVTGAARTLILLLAAALTACSTDSGRDELVVFGAASLTDALMMAELAFETANPEVDIKLNLAGSSSLREQVLGGARADVVIPANLGIMDELVERDEVDAGQISIVATNGLAIAVAPGNPGNIDSAADFARSEPFLGVCHPAVPCGSLAYEHFSARGITPSIDTEEPDVRALLTKVIEGELDAGIVYESDIVAADGAVDGILMDAPEVSYPAAVTERSSQPELADRFIAFLRSPDGQKIFTDVGFRQR
MHSFATVACLLGVLALVAAPETAAKEPALTVFAAASLRSVLDQAAADFEERTGVETDVSYAGSSTLARQIMLGAPADVFFSASAGWMDHLAGRDLLHFGTRRDLLSNRLVLIAPRGAKGEFALDDPSALLRVLGDGYLAMANTEGVPAGLYGKAALQSLKLWTSLHNRIAQADNVRAALALVSRGEAALGIVYRSDALAAGESVRVMATFPESSHPPIVYPAAALARSDHPQAQRFLAFLAAPERKSLFERWGFTALEH
MVLTVAGRAEFRGANIRAMAPNRFLAFAALTIASLTAVAVIPLLAACSTSSADTAATHEQAATGTPGAVLSGDLTVFAAASLTESFDALSELFMQQNPKISVKAVYDGSSTLATQLVEGASADVFASADEATMSRVVDAGALNGPALPFASNTLQIAVRPGNPLGLTTLSDLGSARLVVVLCAPAVPCGAASVAVLKAAGVTVTPASEEQNVKAVVTKVELGEADAGLVYTTDVQAAEGRIEGIPFEGADAAVNHYLIGSPAAAANPTAAARFIELVRSAEGQRILAERGFGPR
MKKKFDFSLNYTRFLVSPQENKLCLIFGSEFILRLFLFSLSFWGKKIHKKFSIQPFFPYFFEKKRSPTGYFTIFET
MTGEVWNDASTTYSDWRGTAQLDSRMSADLHELVGLDQESWVILGLEIGGGERDGFDHLAVLAAPAGTDFTGPRLEATKFYVTHIKPVDALANLMYSFDLRLRAKGFDGFEIKVADSASLPGDPQGE
MRERIKRFLYYYFNIRNRAKWVRGDLRMKLSINNFDLVRQSDIGTYWSRFRGKYDPRWFDLYNSINQGRYDLKYYIPDDFYYCYIDAFFAERKKCLIYDNKNMYDLYFHDVKQPRTIVKNIDGVFVNNKYEIVDLREARKMCLEEGRVIIKPSVNSEGGKGISFFDRDDDANVLDKLLSRRDIIVQEVIQQHDSLAKIHSSSINTIRIMTLVFDNRVHVLSSVLRMGVNNAQVDNASSGGIVCGIQMTGQLKSVAYDIKVNKYERHPQGGKFEDIIVPNLNNCYELVKRLALRFVGMTKLISWDFAIGVDGEPILIEANLSFGQIDFHQLCNGPIFKEMTDEILCYVARNNILLNRKL
MKRILINYLRAFCEKKQILKERKREESLIISNYPSNVFLALTPEEKNKVIKLWGGISSEISFKEYEVYKYLRGFDERFLSHELYLPLISHALNNYHYTKFFEDKGLLGYLTRSGQLTFPYCYVRCISGECYTNDMRQLDRQKAIMLCEDLDEFIFKISRESSGGHGVQKIVLRGKDQGMRKIILEKLFGESRDFVIQEIITQHPSIAKFNATSINTLRFSTLYLNNCVTIQSIVLRIGQKGAFVDNLGSGGVGVGIKKDGSLNDFGYTYALEKMDSYNGITFKGQIITQIPEILNTILASHIELFPLCNFIGWDVCIDKDNKPVIIEINSSQPGISGQQIHYGPIFGDRTQEVIDYCLQKRVS
MAWLSFKTTLLFYMVGVKQKEFLNSVFIEYSKILFLIFKKLVFKILFLW
MDIFFHVKIFPFCHGTFRTIVFLTILVYQKVVFLTSLFYYFLGNSHFVNATCLKAVIIFINDDCFKLSL
MLKKTIFVMLTASLMCAYTCSGKKRETPALDHSQLVVHTSGLKGAEAASKLCNPGHLGVYPEEASFNIPPWTGEFFVSGQDTADKLNSLKNGPTRIYLGQCLRYASDKLLKDQEKGDMEGLGLASQAFEYYLAVLDLAVAKQEEMLKSGN
MDLIQAAWMIRDLGQPVSAIDIDENSVIAGGWDGLVKKWNVDGDLLWSIECSDRIEAILRLDGKVIVTSGLHISCISEGDIQWTSALEGSADLLAFYDGKIIATSSVYDIEHGDFMESAVWHFSVAGELIKIDRLDERPWFMDSTLGLILGLGRPKCGFLINEKHRDLPTESPVTCGLKHGEKVLFGHADGTISSSQGEIVYKIAKSVESLISGDEGIIAATENGELVSVSGNSNESWKAEGQHVSTQASGFDGNHWCGSWGPSTGYV
MPQMSQVLRERAIGMMTAGMSTRAVARELNAHFSTINCLQLRFREFGSRSNLPHNRRLHVTMPAQDHTRLLHLWDHLRPATQTADETEM
MGNNSFSTLTPFRAARVFWKLARVYGVGCRVASEVILREACGDERFVHIVAKGALAPRFMRGPLDRDRTMSTETLARPLLAVGDIRRWPPSQAASAN
MNQKTEHKRLKVELVFNDSAKDAFQFPIRNSIRISFWLTDADYSTPSEITFDKESVNVNEKIEACILIINVFNTIKEGNSAYIGTFPSIIGKGIIKEIED
MFPVNQVFRIGERRKRLLWSGTEQAIWIDIDSDTALPEPISVAELERLLMEQELESIADPFEETVLREVEEGSLDQQKRDDAWAMLADFMHFRAAHQRAHCQRHHGAPWCHQSNSV
MKSLIVLLSNRVQQCALKADNPGTTALVRWSVEAASKEHIHAKLEDDSRRNDYIKVGDYIS
MSGEHVERRLAAILAADVAGSCRLIGIDEEGTLARLKALRRILFDPNIAEHHGRIVKNTGDGAIAEFASVVDAVRCADEIQRGMAEQNIDVPQDKRIELRIGIHVGDISKKTISLVTGSILQCVSKGSLTPAVSASRMTLAGKFVARLM
MAEQQTTRRLSAILAADVVGYSSMMGIDGAGTVAALRQVWQETFNPALTARHGRIVKMMGDGALAEFPSVVSAVECAAAIQRAMGQRNLIAGVPIQFRIGINLGDVIVEGDDIFGDGVNIAARIEAIAKPGGVSIRYRPGKNRQQARTGFRGYRQAQPQEHPTADTCVQRRFGRCVAQDCRHDARFGNADQR
MANEMDLIRSLIWGKMRQSLQKLLQDSPPPQIVRIENDFHSDIEGASLQGGNMLVLHKMVTDTVLIGKDNSDSQINIGLSCPTSVYMRPLKCKFQSCKVEDFKSIFPKVKYVRVKNFGSSTDDGHCAVNVGDLLKIMTIDKKKKFVTCQDVNSKEDKSLPYKCSVIFTPLQDCRRYTLSEVVNEQGLPARVCFESTRSQAVNDRWNRALKGVDDVVFHNEVTQYQVIATVLGGVGGQARCLGLPTDLPIQCKIAERLTQDFRNSEEVVRTLHKGFDIKNFVEKVNVFQDLKNIKIFDSIRLPKSVPQYQNSPRPARKNGIKSPPVSPKPVKKITTIDPNGLSKDPKSPPVSPKPVKKITKIDPNGPSKDPKCPLVIPRASYSAPDNFPAVTGAEHIGLSRSLHEDNTNIDEDGYVEMGIAKHYYDESAHREKNSRLTENNNSERQKTANVVKKNPPVSPKPIKKIKLPFPDVSSKGPKCLLVPSPPYSPSYSATTDEDSATTDEDDYVEMDGYHKKNSEGEANELQSRRNRNNDYEEVTIQPRWTAKQNHEFADPRVLLTENRDDAKQRRPVSAEYVIPLKSVPPQKNEYSEPNCYENMYVMNREPQTDQSDYQNVVPRADWQQLYSNEREIAPDFESSEYVEMTGHPIRLETS
PRSARPLLSVDAVLRVFFALLLLAVILALYPYTQDATGPIKYLLIAWGAFIAAAILAILGTLQKVPWQTPRVFFRILAAFVGLTLAAALLSDHAGHAMVTWRRFALLFIVYLLATRAYRKPRHISGLMLVACIGVAISTLYAIFQYFGLDPFPWESKDAPIYRELPGTFGNPNYAAHTMILCIVMSVSLAARRWTRWAAAFGILFLVHMYFTGQRGGLVGLAMAAALVIIARALRHHVRAPRRAIAATLIITALLIPVGLGATMIITKARTGVALPLERQLLLRYHAYDGASRMLLANPLLGYGPGNYQIENPFFWTLEEQDWFAMLRKMNQHVHNDILEAGMDAGFPGALVYLLFLLAGMGQGLMLAFTANSPHRRRLGWTFAALFCAFLVDGTLGFNLRVPVSAFLIFLLAGAFDGLHLHAAVSPPVPARSRNVLVFRFGLVLFALLLAVYETRVFYAEKELQFGRGAFLADAFTRADAHFERAEELCPWQWSAPFERAKCALRISQYLETEKHPAEAFAMRNKAIEHFDRSVTRNPSYVLSLLGSAETSLSLGYARTEYNRDSRDQYLEKARRAAEKATTLCPLMPESHDVLGRVASLRAMAIHEALEKGEGEFSQAREQWLTAINHLQQALELGADHPGALHLMLAQAHIALQEFDAAENDLREALESDPANKDVLPVLYRFGTQYNRPDFLREALTNHLERLRHTAPEAHEMFAQTALHLASTEFRVFKNDEKAEKLYLDAVTRLPDRSQTWAAFAQFSKQSNRPQAFQRAVVEAWHNARKAGKDPLAQIQAVVMVWEEGSPKLPQATGALARTLRSALDEGMSPAEVRSQFGWPVEVLLVESQKTGVAQADRGIALLNIGGMLNAMDQWRLAGTVLQAAMNELPPKQAALAAREAAQVLARQNEEKQAVALLRKAVALDPDNPDTRMAYARTLAKQGKNLEAREEYSRLLQMPGLGKEAREVITREMSALQ
MVFVGREHELASLEAQYNSKRFELAIVYGRRRVGKTYLLHHFLASHDGAYMVGLESGASNNLEALSQAVYRATGMIETGKLQSTLPNFPTITAALTHLFEYSLDHRCIFIIDEYPYLAESISSVSSELQALIDAYKNRSQLMLILCGSSMSFMENQVLGHKSPLYGRRTAQYKIKPFTYIEARQMVPHLSYEDSAIAFGLTGGVAEYLSYFREENSLDESIISLFFTPTGRLVDEPSSLLKQELREPRQYNAILSAIAKGASRNNEIATKVDMTTGALNNYLKSLIDLQIIEKIHPVESKSNKSIYTIKDSMYTFWYRFVEPNQGAIENFNGKLVYHQYVKPMLSHFMGPVFETMAAQYVQARIHRGSVPFLPQQIGHWWGTDSATKKQVEIDLVAMADIQADPNARPVRHLLVGECKWKNEPVGQDVLISLMEKARVLHGEPYYWLFSKRGFGFVSDDERVELIDVPMMYEL
MNDVLSSGIKRAFRMRCLNHRWFNTTMVRRRKFDGHILSMQQSGTHWLRYMLSLCMAEVYEKPAPETIQDMDFIGRPKQPPKYSGLPRIVSSHSLPHGLMFMRPVRDRLEFPRYLILVRDPQHALVSHYEKWKARYGVDFSSFLRGDVRDKLYFNDIWRQLEFLNGWGRVVCDPFFTTMTLKYENMKRDPEAALKAACEYFGIPAGEEVVSAAVHRSTKSEMAERSRPRNREQVIRNDRRDPMEWFTEQDRKWWNAVCRELLDWDAGYGLHMEVPG
MTWNWQHRNWPNFEWDRTEFKKLDQQFYLLVGEAIGSIKYLSQEDLSQLRVELLLNEVMNTSEIEGELLDRDSVQSSLRKAFGLQANRSPVSPVEAGVVEMMLDVYANFQQTLSHQSLWDWHAMLMNGRRDLLSIGAYRNHDDSMQIISGPIGRRRVHFEAPPSARVSEEMDQFVNWYNSALTDSELTPLERASLSHLYFESIHPFEDGNGRIGRALVEKSLSQSIGDPILLALSKRIFEAKKEYYQALHHNSTGLEISNWMSFFSKTILESLRFAQRHIEFIIKKAQFLDKYKHDLNERQLKCVMRMFEAGPEGFVGGLSAKNYRTITKTTAATATRDLTQLVIIKAFHKSGELKGTRYFFNLDQVNI
MKQWAWQQNQWPNWVWDSDKVTKIQQDTTRIQGRLDGVGNLLGDEISQESHINALIDDAVSTAAIEGEALNPESVRSSLVNQLGLVDLGLPQADAKTNGQVQLLLDANYNFDSKLTNERLWHWHQCLFPLGAPLNRFPVGAYREDSVVVASGFPPKQTIHFEAPPADRVAQEMKVFLAWFEGEGKKLPGIIFSAIAHLWFVTIHPFGDGNGRIARAIGDLALCKADNLGQRLYSIPSAIFSQRADYYHALQTVQTDRLDITEWLIWYFGRVQDAVSVAEGRIKQCTLRLGFWEKVADIQLNERQRKMLKAMLRNDPREYIGGMTTKKYANLTQCAKQTAAKDLSQLHELGVFKKSEAGGRSTSYQIDRRLLR
MSWMWQSKQWPEFHWHKDRLRPKLDVLHRKQGQLSALVKLDADERHSALDVLLETIIASSAIEGERLDRDSVRSSLANKLGLIETNQTPGLVTDKSNGVANLVLDAVSNPDRELTAERLYTWHRWLFPENEFRLEKIDIGKYRPKGQMQIVSGPSDRPVVHFEAPPAETVPAEMNRFLQWFNDSAHDRSISAFERAALAHLWFEVIHPFDDGNGRIGRAISDLALAQGDAGSVRAFSMSSAILQDRKGYYRAIENSHSGSMSVDDWMSWFLSRLDHAMDVASERANRTLDKARYWDKFKETALLPEQRKALNKLLDGVFPQGLSAKKYSAFTGVSKPTATRHLADLVRKGCVEKQLAGGRSTTYQIVPVAHTTALGPDKTDGIRYAALPLEDLPERQHEEYRMIGRQISIAEKEILKDMEEGRMPADRIRSFEDLNDHVDANMYLSDVERVESPIADYCAENGFGPQGVFRIAGEIAEPLDKWLLNGRQGNALDYLVPPRPAQFYLSTNNKSYPVEDLAHASELFLSAYGLKDPGKVLLETPKGDLVGTFSRTGQVLEATSQGQRILYQPGVPEPLRISESTGERDEILRSLKRYPDSYLLAWEKVTAEDLEGRPTGQAGSKGIARREVNLGLQVIRQELSLRGHTFSSGLRPANISTTHRTTGNQLQAQPRPSVKFNKI
MLLVDVRKSWQIPLTHHLLGKWQSMAVPEQRYTPILRGAYRNDPSPMQIVSGPYGREKVHYEAPPATQVPEEMARFIAWYNKISPSKSDKKIPGIARAGIAHLWFEVIHPFDDGNGRVGRAIADHTLSQSLGYPTTACLAQDIAREEVDFVLAKTRFYEVYGDELNDRQARMVSRVFTEGRRGFEGGITTRKYEAITKCPNRTASRDLSDLVTKGIIIPLPGGGRTTRYELTIVEPTRPGLVRGRNK
MVMMAFGLSRRGFLYAPSLSPTHGGHGCGAGTYGVVRILGGERPTVPLYGSGRAGLAIHLLTESPVSTRKG
MNLDSLDEILRLCWSSLFRGAVQSRDEFHTPVMATYDGKQPNARTVVLRETIIDTRTLLFFTDVRSAKIDELNHCPFATFVFWNPGKHIQIRAKGQLTLHHKDQLALEKWKQLPPRNRKDYATLQAPGALVETADNHLPDFWSEENLSISQTDTFVENFVVLEAVINQLDWLFLNRIGHKRASFEWNGTHWLKSWLVP
MRVPHSEDFPIIIGSIILCNSLSGYDAESQILSQSYADVILPAIYHGAHRTDWYLQWVCYQTFPLKQMCFTDIRVKNARSGGHSKIQKPQHYEPTEALFLFNRHAKKPYPLLKKKDDILKNNVEVFERLVDKTPIEFTLKDLAKVYDISNTDFKIRQPTASSRYLYRKPWNRFVWKLVRKLTGEYKY
MIGVTLVSINFTDLQKGTISLLKGVKETLLATVFFGIFYWPLNEWIVEKVDWIVEKVDWIVVSFITKLTAIVLFLLLSRFQKRSLAIKALNNKLFFLLATVGILETIGILSVSFGQSFGDGIIVNPISSSLTVVTVALAMIFSKERISRVQGLGIAMTVMGIVMTAM
MPDGSRSEARFRTVRLAAERVSNGRSFFCQHETPELVWRPEWQTHPNGVIDIVGFVISAADPRAVASLYGTLFDPARIQPDDDGYRLQAGKTTVSFITPQRAQSLYGEVAAGDEGSERMVALELAVSSPADSSRYLQQQGIATHTRSPDSFLVAARDAFNVALLFRAV
MRTFVTVLFSFFAVNHCFNSKWYLQRRAEENELNLNETYFPTLKNYHVHGNKVSESEYPWIARVVHSPKNFAPHVCTATCIDENIFITAARCVYILKVVYTSVIYQNQRLSVKAFVLPSEESKQLYDDIGFIVVNREFTGDWNIVETFNVKRPDNVFKWFEDMKFDEFEHKVVGYAVDKEAISKREVQLTELEVVIDIQLCREILSYNHIIVGFNVPCYHSCSYNSYVNEDERCDNYHGVLGGALFNVKSKKLFGIATWAAYYRYFELPVGFSVPNSDSYFKDHACSLKIRDSQETNASKGYYQKLCDNKRI
MTTYSQRRVNDYVRAFYWESTLVADELGLELTPGEMGQVKERLVYLWIMEKITDPTIQKLTTAILSEFAEGA
MEEFIVMQRPISFSPEEILFHELRSQYEQLAMEYADKFEKDYAQFKTIENVVQHSMDTAMNYMAEVAEFTADLLRDWKIYTVDEEIFFKHYISIDNLLDGYDFVCGEYEKIIDNAKELNAYRTARREYRGRISGGGFGLEGAVKGMATAGALNLATGVLHGTFNIIGSIGSAMANSVKKGKLYKNPELCNSLVNGLYIDILDLYKPVIQIINEELGYGLKEEFTELDYQRKKGLLSNIERISSEEEQKSVLIDLLKIDPTDFEIYLKVLSITGDPDGSLGKMADNFGVPLFNYKMNQLFDIVEQADIEDEEDAEFIKQKILQHSFTFGIDEEKLEPIYKELENRIEKFKRLDFDYLIEAEAGEVAHSSSDVREIIHELMESLPTAQRELSLLFDEAITKCSNALSHLTENGLEQGEWGIFQMDDSPFGNGKGGILLTNRHLYYKNTFSKPKQILLGSIEKITNSKDEIIINDHDKIQIVSIKRDTLSNYVSFLIKVVALISKTYNIREEQSASDQYAAIKKRIISIKEKKVREKLFLPNENPKAYANAIHEYVTLSQNEEILILFDDTIWSTAKKGFAITNHRLHLNLKENNRSFPLTAIEEVGCRGESHNKHIVLLMSNGEEVICKPSILEAVYWDDLLEPIADAIFDLSDMINDEPENIELMEPTETETNEEIGYEETVIDTREESVLETIAHTATIVEKPIKTKNFAKKVEGFYQSLNKNIEGYFYPCDRDDTKSQKRLKGALGSYAKLSTEETPYLLFDNTAFGSAKDGFLLSNEAIYYHNMWSNSGRIAYADIEDIEFKGNLYINGNEIQLELIGKKYRPELVDQLKQLILG
MTGPAQRPADPEAAAGQWLATLGEQPAATTVADPTPPTGAELAERMGLLAVPAQDREPVAAGLPDPAADPARWEALVRCHQQLYAEPG
MMDCLIAQKIAIRNRITSICSNFSQGWCILCCYPCYMCQMYRRYDECCGTPMAMFFPGLTLRVYHRAKHNIEGTIFKDCLADYFCLFCSACQLDRDMTFVEQTKGVLDV
MAVVMKQPQVVTTQTVTVHEVSDWESGLLDCCRDCGICCCAFWCFPCFMCQTVNEFGECLCLPLLDNCMSPGPISMAMRAAVRERFKIRGSIFTDCCALCWCYTCTWCQMAREIKRRKNLAVMTAQTTTFPLMSHVYE
MSVYANHYDLQSKFLICIQIAHNRGIISLNTRIQYRHSEAHLQLEVHCLEFKTLKLAQHTTMAVLASNVVFQQPQPMSVVAVKSEQWSTGICDCFDDCYVCCFASFCFPVFACSTASDFGECACLPMLDICCLTTQCVGLGIYTPPISISLRSSVRHRYGIQGDLASDCLYATFCNICSWCQMSREIRRRRTVFTLISTQPAVIAPAPVAMAVASQSTVVSQSTVSTTLTG
MQTLCNCRLWEVQDPVVSVKVKLTQTYTDHLQVLLLCTLCRCFSSAFFTFTCIQEKEMAVQGQPIQVVTTTTTHSSTAWKTGLCDCCVDMGTCCCALCCFPCMQCDTANKYGWCPLMPLLDSCCCIVSCILRAGIRERYGIPGSCCDDCCKIMWCYTCVWCQMHREVKLRENETTSTFVTTQVIHT
MCVCVLDTNIIYLSIYLSIYLSIYLSIYLSFLYLVHILMLMKQLKFLCHQLFFVLIDELINVARGPPRHILPGHIDDTEAIHNVEVVFPEITQGQHLVVNRKEKQLFHFPSLTSFGRIALPITIRTPLSYFFDYLFLLSNLIGLFFLLPLSLTLHFFLLLLFSSAFLNLVFSTFFHTIYLFDFFFHFFHAFNFNHFTSFFFFCFLTFFPSFALFISPFSFSLIKLFHISPFLSLSLSLSLSLWGEQIETKKVSPFLQCIPSSFSLSKFPFSKLYYLSTHFLFLFMDEPLSLLLSVHIYLPFHFVSLSHSFALSLSLFLSLSLSFFLHSLHYQNFPLLPFLSSPCFPFLLFHCLSLYLHSGLNWFPHCSHPLARLHIYNSFIA
MILPRLSPLRTSVNLQYIYIMLGISVFIYYPLWKKIIIIVVEMNTRD
MASLAARRLTPERLDDLPPSDPAARASRRDLRRINALMAQGKIGADLVRAHAATPPRRIADLGCGDGTGALRLLRRLGRAPEGGTLVLTDRQPVVTSAALDPLAALGWQVDVVAADVFDYLDAGGRHDLIVTNLFLHHFEGPELTRLLHAVAGASDLFVATEPLRSRLALWPSALLGLIGANGVTRHDARVSVEAGFRGQEMSDLWPGTPLAEGRRGAFTQGFAGRGLHG
MKNKARNNIKFLIITLSLALVVILNFSILSFADTLDFYVVDNAGLFSNSEINILNEAIKNSADDAPYLFILTINDAKGKTAIDYADDFHDELIDKKGFPADSALFLIDMDNRELWVSTTGTFIDKISGGNLDYLLDSAQFYAKNGSFYEAAESFVDISIGIISGSIDVERPKEDYGYYPGFPDFDEDLIVPRNPTLKDQYFLGIRLDFIIAAFFAALITFGAIVIYTFVSYKTIGKSGDANMHRARLNIRHQSDVLVGRHVVTTPIKTHTDSKGGSSHKGTGTGMSFGGSISHTSSAGRSHGGGGRGF
MNGVNEKRQRSQNFCNDEKISLMDIIEKYKNIVENKKTDCISSNQKKKAWVQIANEFNAICPDSSFRDCNTLKKFYENKKKEVRKYVLHEKKHINATGGGPAFNMKKDICFDYILNMKYKNIVENKKTDCISSNQKKKAWVQIANEFNAICPDSSFRDCNTLKKFYENKKKEVRKYVLHEKKHINATGGGPAFNMKKDICFDYILNMVNHKTVAGLENKFSNLTDPPFGDNSSQADNPEENPFADNATGDYPPITLEELEADYQLQDVQLLETEQSEVSNNLYSSTWTNMTPNKLKACPTSVLKKKCATNEKEIFNLNRKTPARRSAASTVRALATSDIASKYDKLLEKRLKIAEMQNIR
MQLFVRAQELHTLEVTGQETVAQIKAHVASMEGIAPEDQVVLWQARPLEDEATLGQCGAEALTTLEVAGRMLGGKVHGSLARAGKVRGQTPKVAKQEKKTGGAKRRMQSNRRFVNVVPTFGEKKGPNANS
MYRAYLRPPWPLYQTAAGLLTPLVIWGAVALWSHRLPELERLYLGDYLRATLTPDLSALTRLLDFGSRKPSGTETQVVICYTIRGQEVPAVGELKLTLHPHPAIVSMTRGTYGSWLRTNIYGRRWPVELLMRPLLLGFAFAAALWTTAWFLDQERHLEFRASGRQLRGPVMRSRAEFRRAVKGVGIGWRTEGRRSPGEWVLSFWYALRVRSWRELIRGRLVKFIRIAPELETHHVQMIGDTGSGKTQAILHVTDEAEAVGETCVIYDPHREFITRYYRPDRGDVILNSTDARCAHWDPSYEIDYTDQTSAEATSLAMAASLYPGSPQRRDWFFTNAARLILQHCMVHYRPNARELAQLMTHAKPLIDAISKGTELEEMLKENAESQRAGIISTLTAPVFALRQVPEPEGERTTWSAREWTKTRKGWVFLTSTQDTRAALRPLQSLWIDSLILRLLSMGEVKELPRVRMILDELPTLQELSQLKSALTEARKSGLTIVVGFQGRSGIKAIYGEEAEGIFSAPYTKIILRTGEAEAAEWASKMIGDREMERIREHRGEKGERTYTTEQRMERVVLPAELAGLEPRCGYLRYGNEIVALKIALADKRSPIAESYLQLTGEGVPTLPMPNLDELRRKEEQERQSKAEQAAAFVYRPASKRAKKGPAEKEGTCPQES
MLDWLYNGVDFSIAGNGGPECVAYISPIHRMWETAIVVICSVVEILIAVKYIRKNISQKETDDSLYHSVPTLGSNGTIKQNYIVTKPRLMLKSVLLVALSLVFGVEIGYKFATKQIIYILNPCHVLTATMIFLLAVDENKKSKLVEAVFRVQLNLLSGALLAILLPVVNTRFLSCETESYWLHHCLLYLVPVYLISLGGSYSCEPIRDCWWPVLSIGVGFLYHFTFLQCLALATQVNLNNMLCPAVSDPFRGSFYRMWAFLHQHILIAVHGKLYCILSHTIISLCRKCYTCVGNSLPNRNNAAETLSRKNK
MFLIVGLLLRQLKYGKLEKTQFFAVIALYVFVSIVFGSTVFTRTSGKRMYELIPFWSWRKVIFEHDFMLLEENLLNMHLFVPIGGLLELCLKRIKWKQAASIGLGISVVIELSQLILCRGWFEWDDMIHNTLGCVCGYLIVKKLYVLKRKMADY
MEDREIEYRVRPVTRFIVTRFESVGHPNGRESGGCDSKGEFDNFDTAYQVGYALCRDEHQRLGWPIGDQRIKYPEPLLPRDGAAASEPNLMPMPVA
TDRYRQTKMLITTYNKRNTTWLISKGRKVVRTIIGVLTGHFDFKRTLHIMGLEDSPLCICGEGEDTAEHFLCSCNRFILARQEILGHYYIERASLRDLTWKQIKQFIDKTNKFNNDIYF
MRRRVPVPVLGDVFDLMNGACAQFRRENRAKGSPDPSDGPGSPVTSPRSDGPAQSAGAEARAPGAPTPPEASAVFPHPVTDEYDPEAWALALGGDGPSLTSDGLLAIPQASVGTEVFVPLHKAQACSVKYFSAAVVEYLRSLEHYKIPLEGLMHDFLVDMLMHCAPPEFHRLHQFIQFQAIASQVSTAFQLLKVEDRWPPSFQLAMDMLSKLKAYAEMIEVYLARGKVVAAVELMIRFNVTNVSEQAIVDRALECEDQQEKFTALQLVQKWKNGAGSQTALEDHIPELMDQLM
DGSHIRTLLLTFFYRYMRPLIDAGYVFIAQPPLYLVKKGKQANYAYSDEQLNELVAGMAKPVIQRYKGLGEMNPEQLWETTMNPESRTLLQVTLEDAIRADEIFRVLMGDEVEPRRNFIETHAKEVVDLDI
MHVPPSSHDHPLLKPTSTQLTQPRLKNSSSPKNRMHSPHQLPNLGPVHSTPGARQQEIFPIATAVMRSSRNIPDISNFASNTFGGFFGNSNNASPHSLGRPRKNSRQVTYPQDSQPFPSGDSRALMNGQPNAPLPHPTQLPNSAGGSSKSPSPSTNNNHIIKVPKLRL
MRHVQGEASQGALVPDSPTYLLVSTGTGLQCDEAKPVCARCHRNRRVCRYLQAPADILAMPSHPSSDDEVPGTPSKQLLHHCQAHWADIFPGFDQNEAFLSAFRSSPLVRSVCHAIAASHLRHVHAAQRPHVLAEYSHRAAALDEYKQQLSLTTAQLGQTGVNAMILGAMLFSLLTFPLSPAEHETGVESSSPWLSRDHDADLGWLTFQAGIGPLMKSVLIYLPRAFQFLITIFSPQVGGTVSPNVGRVPPPVPRLWTRFFKLDEEGLFAQLSTPTNASFPVSRPAPLASSVRLLVPTTALIRGLEPTPSNSVSYPKEMSWPLPFRRLRASR
MQLSDGMMRRKFWLFGIVMLILAGCGGKVQEESPLPTETALLPTQTPAPSATPRQMVPSPTAFSTREPTSTPEPIQTQTNESLPDLSGVSLHEVTHLMDPRLFQVSMNGWPEDLPEDVIVRVGLEIYSCEVLFPDLFPNRVYCWGKAPTRGTEVKIQVILEDVPLPLLEIPFRVPYPSSDG
MIDPRLRTLRAVREVGTVTGAAAALHVTPSTVSQHLKQLSRLVGAELVEPVGRNVRLTEAAEIVLSHASALDAEWERTLADLATLRADEGARLRISGIASAIVSLICPAVDRLRDIDPRIAVEIREDAIGDRFRMLADAETDIVVAVAGSHRVGAAEDRGGEAHDLLVDPLDILVGEAHPLADAISVGLSDLADETWIGAGDIRDQQVVFADLCAQAGFRPRVRHDALDWSAVAALVGHGHGIALFPRTASLPAGAAVSRIPVTWPDSPSIPHRLLRAHVRPGSAAHPVIAAGLDALREQGRLLAGPTPD
MSIARLRAFHAVALEGTIQGGANRLHISQPAVSQHLRQIEEMTDKPIFRRSGHRLELSPDGQVLFDAVDRMLRAEHDAQRALRGPDGGMGGTLVVGSDGPFNVLDLVSELRRRNPGILVEVKLGNAAKIWADLLDLRIDVAVIADSPEDARTYREELARLSLVALVPSAHPLASARALALEDLAGEPLIFRETGSSTQRLLGEALAGRGLDIAPTMVLGSRESVCAAVVRGLGIGFAFDCELNEDPRALGIPIAGFEEISTDHVVSMKAQQGNRLVRAVFACIGDVAQQRRR
MIDHRLHVLRALAEHGTVTATAAALHLTPSAVSQQLRGLAREVGAELLRPEGRRVRLTPAAHIVLRHADALLGQWEALRAELARQNSAARGTLRLCGVSSALAALAAPAVARLRQSHPLVEPLITEEESAECYRLLLAEEADVALVLPGPEAPPVTDTRFEQSPLLTDRQDLLVPDGHPFARRESVELAEAAGESWIVKKHNNDTYPLLTAACAAAGFTPRVTHQVKEWYAVSALVAEGLGVCLLPRIVPLPAHPVVRVPLCGGPAPVRRFLTAVPRGTAAHPLTAAGLEALHTAAAAHARGPEHRL
MGVEWSRMRVLDAVARAGSVTRAAALLHMTGPAVSQQLRRIEAEAGVRVVIPDGRGVRLTSEGRVLADYAAQVAELMAQAEKDLHHGDELVGRICIGALASIIRTTLTARFPAFQRRHPRVELRIEDGETTGHLDRLIDGRLDLVFAESWSPSPLRLPFGVASHLVASEPVWIALPGNHPLREKAALDLTDLADEAWATCARDSDGHLALTQLARTIGIELDIRHYVADHSTQLTLVDAGLAIACVPASARQPDNAGIAYRRLNTEMHRDILLLTSDKTPPRPVDALVAHLTATSHK
MLDIRKLRLLRELAHRETIAAVADALAYTPSAVSQQLSALEREAGVPLLERTGRRVTLTPAARTLVEHAETILAVLEQAAADLAISRAELTGTLRIGAFPTAVRTILSPALVALSRDHPGLELMVTELDPATAPAALRAETLDVALVQEYDYVPVEPDPALDTEPLLEETIYLAALSDASLDAHRETSWIAGTPDTLCHTMTVRACQAAGFTPHVRHHADDFGTVLALVAAGQGVALVPELGALTCPAEVTLTPLATRRRTLLAYRRGTGNHPAVRAARSALRASVAQGSAATQGSATVQSSATAQSSAAVQGSAAVQGSAATQGVAATQGNATAQSSAAAQGNASAQSSAAAQGNAAGPAQRSR
MFELKIVKGDLAEHLRLLALIEEHGTLAAASDVLELSPAAVTQRLARAEELWGVALVERGPRGAHLTPAGQALARHGSRIEREVAEATHAFAAYRQGLVRRLRVGAFQAAALHLLPPAMTALRHRVPDVDLSAIDLQSSDALGLVAGGDLDLAVLASWDVPPAPAPEVDVVTLLEDPMVVVFADDHRLAGSPRPVALADLAEESWVVIRGGTAARHQFDRVTHEAGFDPRIRFETESYDVAQALVGTGYGVALVSRMALRPGTALAHRPLAGTGAHRTIHAATPHGDRATPLAVTFRDLLADVARDLASVPLSHLIVDSRTESAGPAGERRDMQGRLRWADQAVGDSWL
VTSCVWATGDVTPQASAEVHVAASSDAGVEVSGVMYYDNTRWSRAPTASPTAEPTSGPTGSPTAAPSASPTSATCVTSEWSDFSACSASCGFGTETRTRTLLFPNPDCASVAL
QHTRNWMMEEKDKAGGGINFSTKLTSHFESKPGQHERGSPIRKQDKTSLLLIHATF
MFGTECKAVRNKWILKVKRKVDDSIKSHKTRLMVKGYTQKNCRETFSLVV
MTASTSSAAPTALDGEIEQAVGDLAALHHDHQAGLLTPERAALAAAHRDLGLAETAVAYHLNVLMKLSAGTHPVDAALLDRMRRALTNLAHAAAERDENQDRAASALGAVRAASPVAVPAHTELTPHDLAALLSLASGGTVREHLHTHRLSVRTTHGRIVDYAAYQRLEHQGLVARDTSRSLIAGQPVTLTHTGRTALLGSRRPAASQAPAPTRPVGAWPAPARSR
MNLYFRDVLTEEKEVRELIGESSEVIKRKSIQYRFILP
KDVPAYPIHDCLLVKFSDREKVIRELHLSQIAQLGKMLPMDLSYHRGGRRNSDQLLRWIA
MDHTEACPLCRAPLHLGNVAAHPVALALAAAVAALHPRRAAARAASAAAAAAAATIDGAGAITSLPLFLLPVTVFPGGACPLNVFEPRYRLMVRRVLAGSRRFGLLHPPRRSFFGSVVPRAAAAAAAADDADGTPGGAPPPARGGGGGGGGTPPPPPVSANPAAPPSPADVGSVLEITHVSTHADGRFTIQTTCRGRFRVLDRCELDGYVVGRVQALTDEPEVGAAAPAGNADGGDASASGPSTAAGAGAAAAAAAAPPAASAPPGDAAGGDAAGGDADAPVAAASPTTPTVGVATPTTAKPPTPPAGATGAAA
MPDFISCLYGRERGHNNANARALFISCLYGREPYQEDAVQNISFISCLYGRELFSFFTPKKLKFISCLYGREHVHNLVFGQQTFISCLYGREQGGLREHMANNFISCLYGRELDTFLNGQIGIFISCLYGRERWSIAQVLQKHFISCLYGREPSDRKKHYQSFFISCLYGRELIAAFPVLASTFISCLYGRELNNLIRLCRRDFISCLYGRERESLRSICSSKFISCLYGRELSLSLTLVLGYFISCLYGREPSKLSRLVFGVFISCLYGREHFISQAVKSQIFISCLYGREHHIRGRTMGPVFISCLYGREPSSL
MRSLSPPTHDNIKTIKDICSNTPGWHAHEATWLQAASSYQAAKGDPWLVNPSAFQSDISSELKDLYSSRRKGGYIKRIRESSPDGNCPLCGSLGAGTVDHYLPKDEFPEFSIFSLNLVPACTFCNSAEKGKIFRGDSAPARIIHPYFDTLANNPILTVAFEQPFEAAIIRAKPVPTLSGSDLAIVKFHIETLLGAAFQDFVRNLWTKLPLTTLASLSKSTKGVTTLDTLSHVNAQLQYNQITYGANSWPAAFYRGIPTDHEAIEYITQKASSRLLEK
MRYLPPPTDEDEKPFAAGDVFETCVSMVRNPALRAQLRAIRSDVETEASDYDVKAAGRQLYRKQPHGQVGSVSGDEIVKVYTLRMVPKKSKGRSIYDRIMAAPVHGRCPLCGIGTVNTLDHYLPKTFFPVYSVAPNNLVPACNWCQGEKSEYYPITRDGQLLHPYFDNVGNEVWLGAEVVVGAPAGFRYFATPPSHWTQSEKARVAVHMKELNLPVLFSSNAGSRLSEIRARLASLHQKGGEAAVRNHLRDELASIEADHNNSWAAAMYRAAVASDWFCNGGFLET
MLVEVERACPLLAAAIAKSPLGDVDVTRCQHAVAKHRARLVEEVEALVQKLVEKNLSVARCEGGCGDEEASDGRAASIWRAGELHQGVEPERHEVVEVVCVRVVEDGDGGVLEVAGAQLRDHDVMLGKPVDAVEPERLVRAAAAPVDPLAALLVLLAALVQDIPFTLMLLTRIAWRLPAADFLWAAALCGSLSMHGRSSAYCTKCEGVRVVEKHNIHSVDMAVAR
MNERTPCRPMPSSMAKPRRASRSCRRPDGVPSVVVGSPATYLRTGSSVWDFSAVGLVGLFLLSISGELEGGLQCTGPGQDKMTQKPREALEWGRGVLMAIPLILRASSFATAVVLLAVSYSTAFGQTQLYVLVGDDTGERFGQAVSGAGDLNADGFDDFLIGAPDDGTNGNQAGQVRVFSGVDGTLLFDLYGDAPGDLFGNAVAAAGDVNADGRDDFIVGAVWADNNGSMAGRVRVFSGVDASVLHTFDGLAGGDFFGIRVAGVGDVNADGHDDLLVGAVQWLYNGTGYAQVLSGSDGSVIYQFTGDGIGDQLGYSVDGVGDVNADGTPDMIVGAWMADSGGSNSGMARVFSGLDGSLLYQVDGPFAGDVLGASVAGTGDVDGDGRPDFIAGASGSDGNGSYSGAAHVYSGASGALLYSFDGNSAGDQFGNCVGGAGDVNGDGYNDLIVGAINDDAVGADGGSATLFSGRDGSILAFMPGNAPGDSAGASVKGAGDVNGDGLDDFIVGHMLADTTGPRAGRAVVWGGAGCGVISRCQGAPNSAGSGALMAHSGSTSVAANDLVLLAGGCPTNQFGIFYYGADYTQISFGNGYRCVSPGGVGLFRFSPVNTGGSGVGSWSLDYLNPPQASGQITAGSTWHFQFWYRDPVAGGANFNLSDGLEVAFCP
MTRRFPLFAVGAFAASAWTTPLLAQGEIHTWVGDARYQFLGHDVDAVGDVNGDGYADVLAGLPGDNGFGQSSGLARLFSGADGSQLWAWNGTAGQDELGHCVSSAGDVNNDGVPDLIICAPKADFGGQGSGRVRVYSGNGYGLLHTFDGAANKDFLGWAASELGDVNYDGFDDIVIGASRFDDDSIATGPGDGYANVYDGRTGALIYQWVGPHNNAFLGHAVGGPGDVNLDGAADILVGSYGEDVGGNNTGQVTLYSGADGSIIYQWNGDNPSDKLGISVDGAGDVNNDGYPDIIAGGYQADNNGTNSGMARVYSGADGSVLYTWYGDNAEDLFGQSVSGGQDLNGDGHDDLLVGIRHEDSFGDNSGAARAFSGADGSVLWTAYGYADNDLFGHAVDMSPDINGDGVPDVIVGGIQYNDGPGPGNGIVLALDPTTGPPPPPLPYPNLPSSFVAIGAGYSDGFEGHAGTPPSHFGINELAAVTRDPDINAWCNIGQNGSCTGGISGVGPRTGSYDLELGATPGFDGSNTLATGLVLGLNGGGSTAYELDFYLYNFGEEPDTDDGVYVSTDGYDWQPVLGGWSSRPVGQWIHITGVDLGSTSIDISGDFYLLFAQTDNFPVGEDDGILIDDINIVPAVSGYQITSISPGTAGAANTIQVEGATPGVDSTFAYSVRLGSTPVPGCAGLTVDLKLPTILGNDTADAAGNASFTAFVPPFASGVTVYLQAGELSTCQVTPLYTHVFP
MANSSFNLLNLSDLNGTNGFFINGIPTGISVSNAGDINNDGIDDLIIGANNADPNGKYDAGQSYVVFGRTNLGSGGTLNLSDLNGTNGFLINGIAAGDFLGFSVSNAGDINNDGIDDLIIGARNASPNGISAAGQSYVVFGGRNLGSSGIFNLSSLDGTNGFIINGIATGDFLGNSVSNAGDINNDGVDDLIIGTYGTSPNGNSEARESYVVFGGTNLGSDGSLNLSDLNGSNGFLINGIAERDFPGSSVSNAGDINNDGIDDLIIGAPGATANGKNNAGQTYVVFGGTNLGSDGSLYLSDLNGSDGFLINGIAERDNSGSSVSNAGDINGDGIDDLIIGAGGANPNGISLAGQSYVVFGGTNLGSGGIFNLAFLNGTNGFIINGIAEDDYSGSSVSNAGDINNDGIDDLIIGA
MFTIDLANLDGASGFSIQGIDAQDLSGFSVAGAGDFNGDGFEDLIIGAYGGDATASLSGETYVVFGKSGSFGQFFNVGSLDGTDGFRIDGVAASDLSGRSVSSAGDINNDGFDDLLIGASSAAGIGGANSGESYVVFGQAASLGPIVQLAGLDGADGFTLEGAATGDQTGTTVNEAGDFNGDGIDDFLVTAPNANRTATTDGAVFVVFGGAAFAATANIDQLDGTDGFRLTGLDNFESIGSGAPSFGDVNGDGLADIIIGAPQADVVGGDSGAAYVVFGTTSPLAASQSVSFLDGADGFRVTGTISGDNLGRATGAGDINGDGFDDIIVSVPNREDGLTDQGVTAVIFGKANGFSADQNINALNGADGFRINGVSAGDLSGFAATAAGDVNADGFEDILLASGQANGGAGEGYIIFGSATFGAEIELSALDATQGSIITGGQVGDQVARY
MTSTNVINKIIAIRKQKRISMYAMAELLGVSQSSYFAFEKKGTLSIDRLYEISKVLEIPIYELLGLGLPPSDEINRLREENKLLKQSLNTINYLIQDLEDIETINESRNEERIPLRNYLGHLVE
MADDQPRPIRSAPSARATQAAADAAHAERVAHLAAELERQGWVKIRADTDEDRAAIRRAASAAASDLGYAVRSTITPDGAVMVAVDDTGQPPNPVRDQRDDARARRAIDDAFRRPED
MKNNRRRCFWVFTFLMLMSGGCSKKLGNPEIKKVNFQAADHGKMIPELSDLIRARLKGIRMKGLLIYNNSEEKDGFGIEKSIGETETNIYNDIMNQ
MELIVECRPTGSLTVTRMIADDPSMLNTLRFEIDGLEQTDIPAIIDALLAVAELFPVLGQE
FISGSLKPKIAILREQGVNGQIEMAAAFNHAGFQAIDVHMSDLMAGRVNLADMQMLAACGGFSYGDVLGAGEGLS
DIEEDIRANFVNVEKPKVSILREQGVNGQVVIAAAFTTAGFEAHDVHMSDLHSGLVTLAYFKVFVACGGFSYVDFLGA
MATDVVERKVGCHSRVGGRLRTTEEVRRFLVVKVGEVLPDVDCRVCLPDALEPPGMAVRVVDPVLFSLTVDISNGVVDGSILAHNNVNVIDSPLVDGAREGLGNCTVDARDGVERLNSSFVITEDVNFALSPNAAPFFHLLYRLLVMGSCRLTLREILLRIILGFLLQIWMWL
MWGSQSEDYECDLKRSWCSQKKGCCAKSLPAFPKDYFYFFPRNLALCTLLDHWSYNTTTLESPPFLTESASHLFILRKAGFGGCSLLDASQNCL
MRCGTLSGFELFWAAKRFLLPRNSAEQTAMRRDMQRFMMLELIAVIAALAVIFAVPVMTLLPG
MVPFLIRDHKFAGLRRVTLAPGRTLRAIYRHAILDESDPLQSKGNFDVQLVRRRPAAEKNLRGTPVTRLRGDIQRRHFITLG
MLTSTVAILHDNEGHGEDHYVVRALDGENFLDAVMDGVTGRRGWEASEALATALMHAAITSPTDLLTVLEDVNQQLYRRGWGRFLLTTLSAVFGCGETLHVVGAGDSPILLIRPDTAQLLASRTSGFGSMGPMRAIGATPQLGTLYRAEVHLEPGDRLLLATDGVTDTLTRDEVVDVIRTAVSPEAAVQHLHTALAACHARASVGAEVRRDDWTAIVRFFHSV
MMPALDTLISFATRWGPQFGGINSFNSDLLGAFSALYYRQARTVCVVLWASEQERDDAAAQQVMLISLGLEEQKQFASGHEHMAWQALAAAGIEIDARCVWLGHDRITGAIALASKARGGRSALIHHMSYERYEPFVENAQRARAKEDEQRQLFQAADVVMAVGPLLRDALYDMLDHESIPMLVPGLAEITAKREPKTLKGFLSGRLSADARKIKQAHLGVAAFSQAIRQADAQPEMPNRFQGKNEPKLLLRGVDFENITQGAGDDAEAELRHYAQEQAGRVVNIQPLPFTAQRQVLFDDLRGSSVAMMPSWHEGFGLVAWEAIAAGVPLVLSVKSGAYKLLEELEHGAYKSWVTTMDVEGNEQAPYHSATDVERLVKALTLIAHDPSKRHDAFRLREKLCGLYSWAQCAQSCGQALGWVLESAPVQVHEQRPNAPLATDTTAPIETVASQVLPGFVLPKATWRPRQGLSDSQLLRAEEAIVPFDANREPFLLTQLEWVQRSDFTLQVRLLCGPGGVGKTRMALEMCRRMQLQGWNAGFLAGECDTDKVQELARSLVASPTPSLIVIDYAETRQPLLLALIKGLKDKSQIQPVRLLLLARAGGEWWNVLPGKDSQCEDVLEGPATSGPFILPALHANPDARQSAYNKALGILRSSQHRSSDLC
MPSSLPANLPSLCLPISFTRKTLKTADEKHIINFDSVSMGSQRTSLSRVSTVSNMSRPLYCLPPSFARCPCPTSLPPPLPPARAAPAPDFNGPCPPARLPRSARGLFALPRPSSPCLRCPHHPLLLRLLCRNPLNPSSAPPCLPSLHSLPCPAQQNPSRPLLARSPLPLAPSPRRGRAVPPSAFLIPRSPLRRRLRSLPPPPPSLISVLLSSSHTTHPNLYSLISSTYSLSTPSPTLPRLPPPLPLPTLISLLFSLLTLSISYSLSRPPLSSLISLSSLISLSLSTIFPPVLLSSPFPTQLPHSHVPRKALTSHSCALLHNFIYSHPPPPSTASNCMDLVQIFSHHLIFSSLISLNTLPLYMTLISSRLHLSLSLNTLYSSQTLPTLPALTSKPHSNTHTPPPPPPTTCPPCHRTYKPNLSPTLLFSSSAKNSH
MRGLIFLLVTVATCRAAYVGSSPYGGPLVHQPQIAPNYAPPAPVGQDGNVIDTPEVAQAKAAHFAEFARAAARAAQDEKDHQGASGYNPHVSSPTYSYPTAVQPTAVPFLRQDYQQPTPIYQTANHVPAPPVYNYQQLQQYDAGANFANQKGYTLPAKATTFVPAPLAEDGTVLDTPEVAALKAARLAELAEAEARAYKHASAHPEENQGQAYSGSPVGPVNYNPNLGHYSASREFPGSSYPGTQPYIAQQAYNPSSGYQPHHYQSQHLIGNGISFKIEHSSKMRSLIILMGIVAVISATPTHYQYHGPPAPIGHDGRVVDTPEVAHAKAAHLAAVVQAASRIPYSVASYPADSDYHGYSKPIAISHQMYHNGHEYHGPPAPLDHDGRVIDTPEVARAKAAHLAAYNHIASSAPVSENHSPIYNHHYYGAPHNNPEEEHSGHAHLTDYDNNYHGYDDDLSSQIVLSALCAIATAQSAYLAYHTGVPLGPDGKILDTPEVAQAKAAHLATQAYEAARNTLGYRYAPALAIYAPAITYGAPIGADGRVVDTPEVAQAKAAHLAAHAQEAAKTGGLIPYGALAYHAPSPLLYAYGYAPLASDGRVLDTPEVAQAKAAHLAAHAQEAARNAA
MRNLHQKLEDFIKVINDKQKEMQEHQTEVEKVQKQDKEFTARTIAIFQRINDADIIIVMFFMEEYVNKNVLVPNYKGESFVLNVPSKWHNPLGQVALIDWYRRLFDQRPKNGEIENDQVFEDLERLQRPGEVSSLQQIVVAKDNFFAYPGAAQGNEDGQANRRESSRLLGHLDYGRSPMGLRNFQNYNHGQLRSTQICPQVEGSS
MESNCHQVPARTSVSNSIIVNFSISRFSVLARSDFKELVHLLRLNISMNGIRILSEGTFHGLQELEELDMKNNPVIKTGLPRGIFDDLPRLRRLQISGYVTDDSKLSSYGNLHNLREIVITPDAFRMPNGLAKLEKIKVIYFVFCSNFNNLTQSMLESFSKTKIEELAIFRNSFGLGPLNPFPRIPKLQELIFSANSVSAFPSNMFVKLTSLRRLIISRNRLTSFNINLPNALEYLDISGNLLASFDNGAMAKLGQMPSFVIHVDHNPLQCDCNQIEFLYWYQHTRIHIMNKELITCQHHVGSRAIVDTDVDSLKLSCRPTTNKLVTYGVSVGLAIFFISVGFVIYRCRHLLRWRCWQLGDRIRSRRKDDLLLPELNITHHSYVNYDTEDDISNEFVDGVLKPGVSTRLKLITKDDWLLNSTPIDETVRCIKEANTTIWIVTKAYFNRSICRYGAIFSRNHLGPSNILCVILSTEFDSYRTMSEVKQLITKKHTGVNIVEYSDNEYEKRLFWAKLDRFIADSVPGGEYPDPLVTGGD
MLAITFFLSAILASSAAHKAIDRGRLGPVAAKLVGVQPSWGELLLAVAGAVEALAAIALTMPQVRTGGALAAAGVWSAYSLALASRRGAVIDCGCYFAERPKPVDKIAILRPAVLAALALAVAASPAGDWSIDTLFAAIGFGALWFAAGELASIKVFARTGQ
MKGLKKQEKNFLENLKRAGKSGALPSHIQIAATALPITGTKKEVPKKASKDELERVAGMASISTASGGKFDEKFPGEKPPKHSGKHRKFLPVVEGKGIGSKEKQQTEKILNQLLSISTSSHEILDVNKAVTMFNVKKEKQRKKEKDVNRAKSGKLKTS
MNTIEEEYCHLLEYQKFDESSIGDEILKTNIDQISNSAFLKGCALSVFDVYRKKHVYESEYYKELFKETDKEYSEVKVHPDDYEALFKNGIATFKHLFSNNTNAKYNKVIREYRALVRGKYQRVVEHITILAFDKIGNIWLSLSIVDIAPNQAPPYIVNSKIVNFKTGLVFPPLDEFYNKDTILSSKELEILKLISAGQLSNEISNKLHFSVNTINIHRQSIFKKFNVDTSIEAIRYAENLGLLDSPL
MELEQVHRVYLVGIGGIGMSGLARYFAFKGCVVCGYDKTRTNLTCKLEQEGMLISYLDDESSLPVAFLEPDAGTLVVYTPAIPKNSEILAHFKENGFCLKKRSEVLGMISRGTFCIAVAGTHGKTTTSSIIAHI
MRLFQDGRSNRGPKDNPGYEGWFRRYVRCRHDVLKNIALKVGRSWEDVHAPLHHNAIFMVDDRVACVLLYLTHADGYDASALVVDKFLRRYLALNTTSPSDSAKVRLCTLTPCTSSSVLDNDSADTGRHWVRSPIGQSYSLRCLEHWVLVLPLLGKDASNGCLAEPETFADTVLRTLTDCPGSQVANMHHRTSLGVTPWAAATAPDAWDRLRRVWKTHVKNNKNHECEMVEANVTVEAGMEATVAASVSEAEAVNTTKVVTMAVAKAYEARLEDECRRKNIQFPRTCNKLRGVALGQATAVYHDVDLEDPRRARYMSEQILKDKLQDIAKKPMNDVSPNLKTSDYMTACSERIDVRAAGEILRTPDIRKRMYTSLLNQLPGKVSEYTKDAFTKKWDPVDFEWGDLIQIVVDISVERQTARSTRRASTTMIIKIVAKTRSQAEMTEDSKAVDATKAEDATEAEGATEAVGVSTVVDVRRVADTTEFVDEARKATTVCVNDMEVVDAQASAIKARTVEAITPATEAVPKTLRLVGPEMTKARSVEHLRRKQSIATRDIKIRARSETREDGADVREVILDEAGALALVGQPKGELPPNFHVQNPHPPSVIMSGQPHYNNVWCDGIRTEFGINKYYHWMTELPILDDRTVHPVQCLFCGDHERLHHFKDCPDIDQEGIDRIKWMWGWRMCGYLRNPKFQKYAKKTAQMRKMLGKKFYRIYTPDNAMNMATLNSVLETKTEIDVVQLAKTWKGYAVDEQPVYADVAANLRICLSTAAGPANLPGVQLCYVLSRSDSLLVSRYALQSIGIDLNHLLEQVAQHQSHEDGDDVGVPDEDEDIAFGVATRRLQGGEQDLDKLDEDAAVSLLEKAFETLKIKDTGKYVKTHKLKDIVMQA
MVPTHVESGERDTAIADRGYFDGNEILACAEANITVTLPKRMTCGAKAGERFGKQDLAYLPNQNSGQ
MLEDFRNSSCSAHSPETVATLSMFKRILSALSGKNSPAPAETPVRHSDAAPPELNEGQLITVYDSHGREMKISRNEWREKVFLPNLKQQWGDADELYNLIVSGLNDGFATDLMPAAERLVEIDANPERSHVVNGIVLMHSARLDAAEATLREGLAKVGVSGIILTNLAKVFAERGEQSVAEATLWQAVEADPNQENGVMWWLSIQQERGGEAAYLAALHTVAALPGSWRAQLWMARHYLQQQNVEQARVLYEEVLAGGQFDRSALQMISGDLGNNGHIPLIVELVGPAYDEHKHDSTAGLNLLRAYQELGRVDEGEALLTRLYALGFAPIKSHLDQFAHAFEDVRRQEDKAFRLILPA
MIYKFLDISNKEEENFELLNEEGVISIVDIILSLTPNYKITWTSKGRNIDKKTINIRDHF
MESRVRNPWHDPRTTKYGRKFRRYRSDNRRMPYGELSAIVGNVKEKESRGDGVLSKMVSTTILVVYVGFKEEMSSLIGIGEVSNDRVQVTNSQMLASACPIEMTGDLESFEYFLPSWISEHLGERYYMANEVNQLPLPPLEESPPRDELPDQGENPGGDAHSSLATEINSMTQDDLDHLRESYSFPTGVQTRIPKEGETILSTCTGENNWEFFPSKVPGEKVPQVPRSWGTPGKRCNKLPILTDTEEERTKKVFDKIRPGGYFNVPTVLNSRTFHQFFASDRAEMSSNGRDNDTSGDVTVAALGDEGEFRHPRDEHPRSESPRYGSVEYPRTIKNEWGRILPPLPDLTILRLLGGKVQDPLGLGLFSSSSSSDSRSKSWSDSGLPLELRSDAMSKRISLTKLTKKVEESKVATSSSKGVVIKEIWPRDEAPDSSSSKKVKNNEFKGKETIPPLEAKKLKPGKAASRETMRLAAPGEGPSKKLGEVLGSGASVMTSADVAEKILAVGIVLGYSLAVRSRDIGNDDAFHVARAKSAETKMIRAQNRAIEMEGLLAESGEREQKVAEEMAKLRDDWEAITKKLAKMEMVVADLKPRSSPLKSLNLQRIFRKQSKMLLPNTSERGSTFARGSLLTTIPISGLTSTAWIWIAIYLRGRRLRPRRIRNKEKGKARPTPCLLKHLFFVLAMYNT
MLIKIIIAFILIVSVIFLVLFGYTTWKTKQVEQAIPPAGDVVSVLNGELDVHYVREGSGDPVVLLHGRDGTLQEFTLSVMDELAKDYDVIAIDRPGYGYTKSSNPEHFSTKQQARIVNDALSELEIENPVIVGHSYGGAVMLQYLLDYPEQVRGALSLAGVAYQDEPPTESFYALPRYPVIGPLLTNTVIYPLGGMMADNIYDQAFHPADAPETYVNVMSSLYLRPKQFTATAHELAHMYDSVTGIQNHYAKIQTPVTILFGDQDQILDHKQDGERLHEALPDSTYLLIENGGHKIHHTHTSEFLDALDELMEKSS
MLVKIGIICLFAAIAITLIVWISAVRSVQIAEKNHPPIGDFLNIAGKRVHYVKMGPPIGRAPVIILLHGAGGNLRDWTFKVAPELSKNYTVIAFDRPGHGYTDVYDIKGESPQYQADILRQATQKLGIKNAVVVGYSLGGAVAIAWALDYPQMLDGILLLSSVSNPWIIPPSDLYDYVGHPLTSYIVAPIISAFVPTRKIRNDYARVFTPQSPPDGFLDHVGTALTVRPKSFRANARQVRGLLRNIKLMSQRYDELSLPIEIIHGTRDRSVPAAIHAKVMKQRVPHANLTLIPSMGHGAHQLATPEIYSAIARLTRP
MKMRDMQVTSRKLRCWMSCTVELMVTFTESRLARYSQTQESRYAKLG
MTAPKAKITDNAARKAARPAVSVLIPFLRDDPAELLQLLDEEAASVDGAVEIIVLDDGTADADLTARLIAQIKAMALPARLITLPANEGRAIGRNRLASAARGGSL
MTVRFFDKSKFFRILFAIMVAAVWMPSVFAQKKRAAKKLSAVKETVVDYERQRRFNEFFLESVVQKQKGNYSASFDLLRHALEINPEAPEALSAMGLMLEAAGSEDSLNDGEKYIKKAVELEPDNYYFQQQLAEYYDSHGMNDDAVKRYEIMSRRFPEHDELLYNLAEIYRSQKNWDALVSTLSRLEVQEGKSDEITLRKINAYSSAGKSDSSLVLVNSLIASDPSNNTYRVIRGGVYGDMKDYVKEMAEYKAVLAEDPENEMANLAIMNRQLAQNDVRAYLQTANSIALNEKMSVKTRTGALNSMIMSGVRGTVDSTAALSTCRKIFAKADSDPMLIDIYQGYLTILKASEDSLAPVWRRLLAARPEYSQVRLKLLQYCVKKGLQSDIAKLCEDGVQYEPENIIYYFYGGLAQFTQGQVKRSAETLKKCTELIDSNTNTDLASDVYAMLGDVYHELHEDSLCFQAYDSSLVYKEDNINALNNYAYFLSLKRRDLDKAAAMSLKTVKAQPKNATYIDTYAWVLFELGRYAEAETFINEALKNLDTEKGNASIYEHAGDIYYMRKKSAEALKMWRKAKGLGGDSKTLDRKIKTGKYIAN
MKIIIDYITIEELKEMSKKMFESLVKIVVDIEKEIIAVDGELHSEEQELLIENGSKYENLWGVNIYPDNQNEDWIEFDSMINL
MIIEIVPHNNKLTQNCSDLAGLFCRTKRYGFEYEILIFPEARHSTAFTMGVLSGSAT
MQKTLLFLHIPKTAGGTLHGILKKRFKEDERMAIASYPNISKCKGILKLEKLSYDERKKLNYIFGHYAFGIHELLPKEFTYITFLRNPVERIISLYYYLKNPARLKKKKYTIKEIENIMERMKTLEKFIEEGPKNKLSNGMTRLLCGNEKIQRDSSVNKQDLEKAKKHLMEYFCFFGITEEFDKGLSILENIFGWEPIEYKNKHVVKHPSVAEIPEEIIRKIEEMNELDIELYQFAKEKYIHFKNKYGIL
MPAFSANDDHENRLIFLHIMKTAGTTLERILKRQYGDLGAIRFYPKKLEDNLREFNELSSAEQNKVNVIMGHFRFGLHRELTGDYKYITLMRNPVDRIMSEYYYILTHPEHELHEPMTSQYKNIADFVRSGMYHLLDNTHTKYLSGMDDLGYGEYSSEAVEIARENLQKHFSFVGITEEFDESLIFLKRMYNWSTPYYIRENVTNNRPKTESLTPEERAVIEEYARMDIELYEHIRSEFEERLSQQDGTFRNEVEAFKLLNGQCVKYFEMAIRVPGDLYLAQYQHVLGVFNNLLKDQHLNEAKTVLSYAIAQFPDAGELIAIAEMLKEHIDNKNRLSKLQRTERLDVDSAMLENVLDEPR
MDIISSHVGINQSDLKTLCAYQLCGVKSLPFLLAHLVVPGLADSSCAVGEQQKGVTVRLSSQTDAPYIKKPELTPIEFQNLYTRYRIEAHAKRVESYDMAIASLVRLDMEMAMEMVWRNLPEPFGGAFSDDAKCYASDEREIDMKDHTRGPVVDSI
ILSISFIIYWLLLAAIVVRIFGEMVITAVLTRTPLEVIIGIMLLLGAQLAAQELRVFARVHEILLLLTFPLLLVLFVISARNVNLLHLLPVLAFGPLPVLRGALATSLSYLGIEIITMLVPYYTEQGQALKYHLYGAAVALVVYVTIVVISLGSFGADALQYLQWPTLEQIRIATIPGVLERLESVFIGVWVVVVFTTVGSLLLMVNLSLSHLLGWQKRNRLWHYLLILPMFFLARYPRNIVAVELYAGIISRAGLILITAAGGLLYLVALLRRLKGAGKENG
MWAAWPPTATAAPTTNTTTTTTTPAVAALASDPIQNPPTAPAHHHRRFPIPSLPRKPKMDQLLLKINGLSTQLNPLTKNIERGFGQVKQFAQERLGTASNLTELPDEYLALEAKTDAIQKLLAAMLKATGHESLVPQAVTETVTDLSRTLRAGVATAVPAVPGLSSLLPAAVAPSAEPESPPSPRGAEGGVTHYDVNW
MHKQLRRKCILLLLGGIFSRSLLSPFGTHSLHYNLTVRSQGGSVQSRFFAEGYWDHQLFLQYDSDKKESAKPQELWAEKPLGTESWDTEIQELAEHSKDLKATLANINALQEQKGGSHSLQETWGCKTEEDNHTRGFWDFHYDGEPFLSYHPETRSWKVEPSSAQTLAMEVKNSWDADGIQSKVYQAHVQGELWYPESTILLSGTALLLESQWPPLWWYALLLLGLFSCIPLCKKRRTSAEEGSELVSLKVLDQQQRAPSDHTGSTQLGCQPLLRAPEAS
RWILILWISFPNSPQDYFLRFPRHKPGDTTIFGPLNTLIISNVGRIKKLILLSWYPKSYGEDTDPIMKELLRLRDGSAQTMVDQARQPDAGSGSSTERHVSHHKVPRHH
MSIERELTFEVGDGIVIGDGEGEVSIEISVDEDDVGV
MKFVFISMPMRNDAMRINIRLLAVSPPIILVLCWLYERLNVPFCRIVCCSTAVSSVYGSRRTMGVTVVNSAADNRRTMTL
MSRPGVWSRVMTNFWKYLRKDWSTKHYIGEDAAGHRYYEIHNTRQNVARVIALRQNTLIPTFFPILETVAKLDFRSGLQLTQRFTGYDPPPNNPTSQPSVEWQSWLKGARRFPPSEEEIKLNRVKEQINPVCFSGYDPPPNNPTSQPSVEWQSWLKGARRFPPSEEEIKLNRVKEQAQLAENEATERRAPHVATKEVPTQDRPASFPQYEDMESAPGAKKSG
MPWDSASPRLTQKQEARSPASADFFGYQACPRLFEYIKFQDDEKVARITYCCEEIKPQSQQRIDLGETASWMHLRTGPSTRRVATSGWVMSFSHLQAPYVQP
MKALKMEFFKCRRRRIWLPLLVMLAAQLAWGLYSLRALSERELQQGWAMILYNFPMLNAMMTPVIAAVVASRLADIEHKGQTLKLLETVQPTGSLFDAKLICGAAYMTGFLAVQMAVVLLFGICSGFAGNPPVTKLTEYFFSSLVTTLAILLLQLVLSLQIQNQMIGMIVGLIGSFIGLFSLFFPPSFQKAFVWAYYALLYNTGMAWDASTRISDYYFIDFNLSGFLCLIAYFLVIYGVGRVLFIRKEV
MAMKIAMRLVSGGCALLACTTCSGSRSEDAYCKAVLSGSPSLGVPVKDLHVYGTLHHGVMALSSQCIRPVFYFSSIDNPGEGSEAAARMTAFNRAMFMTPSKYSGMFALEGRVDVRPGENLVVLVDVHDFHEVSDAETDKILDAVHASKGQ
VVYFHGGGWVLADPQVYDATPRALVNLANCIVVSVNYRKAPEHPFPAAHDDAAAAYHWVVTNAASLNGDPARMAVGGDSAGGNL
KAQACDWSDKFVRSNDDEPKTTEYKINDYCRNNYFKYKEDDTVSTNADGNLKIDSEVLDAEKTVITLPFAPSDGSTIRHYELNDDGTAVDTVQVKDRIMRLISDGSGLAMLAFDDLDFTTLLSKYYSTLSRLLNSAITITEQIMLDEYDLKSLDYSIPFYLRQYGKFYGIVSIQSTANKACEVKAVQLPETVVQEPERPSQAVSIGWQWEDVAIYITASAAPASDLDVVITPYTYDGVALVQQTIIFPAGQMKVIGPTITRIVGGIEINSITPEYDDTYSYIIAEQTTKNA
MVHLPKKTFEKAIFSLKSSKYFFKISKYEKKYFLNSNVLIKSLKKKLNNFKKNEVNENFKKNKNLDSKRSKILFKIIYSSELICWILDIRNPIGTWNSFIIDKILLLKKKFILILNKIDLVPSWITSKWLKIFHKKNTVIPFCSTHVQFGKTALLKNIKHIKKKNFPRRHMTIGVLGYEKVGKTKLISSMKEKKSSKKILTENRPKRKIIKLLKNVFMTDSPGIKYALNSKQDLFELKADKHEKNVELNLHSVLIKKFIGFEKKNYFRKLNNFGFFRDMLNGSIPWYSPIPSCKKRLKKNTIPWIFTQLSLSSSVGRATDS
MLNLYPDDYWGNENLSQAYLLNGDFKQSKKYKNICAKLRPNYVVNHSDLGVNALFLDGDINKAYQEFSRVNELNPNYPFEFPHLADAFLNWMQGDLDSANVQIEDFLSSRINKLLPTFQITSRLFVSHYFIFIGKFDDALELLEESVTLSNQRPKQNLIPWTRLELALFYWEMGQVENFESMMKSAAASSVGIAQVQALGWLAIQYARSGKINTAKKLLNELRKEDRVMPVGIIQQPLKSELARAKKAFGNQIEGEIAFVNGNTNQAIKYCNKVIKLVPKSYLPELTALNPRIRWVALRSLALIYEKMGNWDSAIAAYQKIINEKILVITVPAASNIWVKTLLSISKAFEKKGDFSQAKTYRKKYKRLRLSER
MKNLKKIILIIPFFILSCASAQYLESYEPINAFFETQKIDKNKKYILQADKAENINALQIFNGGEGEKYIIDSTRPSDNTDGLFAENIGKKYIANTHMIPLRGIGKKRIFLLIISF
MPKKPFRVAPMALPRLMTIKEEEEEEEGGKPRGRPVFDWDPTKPLPPAEIQLKLDPNLTKVLPHEYGKSRLCSCCFLPLLGNQCRRLGWAGRRVSAYHQRRRPTPVPPFPLPIGTGRPKPPPRPPGHQEDDTAFALMTTEIHGLIDKMIAESEKDDDILEFNRLSREIDWLVTQMRPAPRVASPIPEDLNAIGVFVNAANAIFHKIMFLRAQGINQEVTKQCEQRMATGDEEVLKIVALDLIRILPNFSVRELMSSPNSPSNELTTGDQELKGNLYR
MLAAKGDGSQQDAWRWNLYINVVIAGVASAMVNTSQQIGGSLGTALLNTLAAPAATHYVADHLPATAAFAAQAAVDGYAVAYWWGAGFFLGGAIIAALLFRRIGHGISLRH
MRRRISFIHGMVSVVVIMVSLAVVSCGTYLTTNSDRGMEKYAEERYAHALKFMEAGRFELAREQFAIVNRIAVSPELKALAAKGQDKAVAVIEAKR
MSPPQKSKRRSHKLRPYQVSVKQDDSVARTSSVATKDDIVAKTAEKFRELAEARGHDCSTVKAHGFTDATAESSRGPSRKFRDYPQSYHDQPYERSNPLPSFPVTARRDGPDLASPYRGGDGSDAPPGFEFAVKSYQHEVAESARSGAVGEAANAAHRAEEDARRMAEATRELMGQPLEGENGVGPRGREDAFPHIGEGVQSCVRSMLSCLHASAEGTLLGYSAAPEVGAGVGEGGGGNPYVSSSIYGGFSDNGNTAASSENSGLLSSVNRMMR
MRLPGGVPVQHERGAHGRRVAFLDGATVGEDLVCSLEETLIPAWSESRTPDWWRDEYSGKPVRLMIECERDGLPACRVPAEVDVIPDLLTTVL
MFDKAGLSDAAHYPYELGWIFVEERFRRMGMAGALTTCLVHGLEEWPVYASSRTNNKGMHKALVNAGFRQVGQHYPGRRTGEEFQVFLKPAG
MSLPSRTSTRGSRTGRTRRLLAATSAALVVAAGIVATPAAATGVTATGTVGTASPVATRASEPGVAESDWTLLDSEEFTSFDRSRWHVYDTRSNGDASHVFRPWRAKVRQGALQLSSGMGKNGVWSSGTVGGWGWQAATLREGRVDMRVRT
MSKNKPSHADMRKMFYEIVQFAKEQGCPVTVYKNLEKVNGSNGYFSSDPKPHIKVGLKNRPWPKAIELIIHEFCHYWQWKDGFLGHKDDEGNIIYSRILAGEDVTPKEREKASQLVRISEYDCEIRTASLFQKWKLEEIFPPSEHIKSANTYNRHIVWSIGDEENEGSGIFYAKYDLLGDKLWKGETFTHFWNPRTAAGMNRIIGPITNEQREIFDAAAGVKRTVSAVKKRKKRKKAN
MIALLFASAHAAPQYAWPTTAGAWITAHFDDNGSAYGALDYTCGVRSYDGHKGTDIGSGRGAPVRAAAGGRVVEVMDGFGDGYVGNYSQGYGFGNHVVIDHGDGDMTIYGHMSAWSGLPAPWSTVRCGDAIGAVGTSGNSSGPHLHFETRVNTDGSYLFSGYADDPFAGACSGPVSFWNDQAGGTPTTACADGTVPTPDACDGHANGEWCDGDDLITCSGGREVARDGCSWGCESMPVGVPDQCYAAPADTCDGKVDGLWCDGDDLVECRAGDVWTRQACASGCESMPFGTPDQCSAAPTFCDGLMDGLWCDGDDLVTCSGDRETDRDTCSNGCQSMPLGTPDQCAPSSNGNCLQTPPEASPTAPTTSCNWMDWEMSPDGYYLISRFGADTDPTTWGHTTTCGYLQSHYDAMGCVYDAQSGRCLPGTTQIPWIQGHVDYSSQAMFDANDRAWPGDVPHPEYFYVAGAQRFGCGATLRVTNPANGSCVVVYAEDGGPGATFEGPAYGGRRILDASPAVSDYLGIQHWGWANSDMVYVELGEPGDVPGHACDEVCGARPAADGAPISPWDPNHMQWSLDCR
MVCFTNRPSAATILPLTLTLSPLAGRGNVPCAMVEREKYGAAYPFSPSERGEGGGSRMRGSTGCGGLS
MYARYAIFYTPAPGSDLAVFGARWLGWDSAKGRTVAHPFAHGLDVQAITKTPRRYGFHATLKAPFHPAAGLIESDLIACVTDFAAAARVAPLNGLVQCYRSGFVALRPAGDTSEINTLAETIVRELDMLRAPLSEADVARRRRAGLTAKQDARMLDWGYPYIFDDFQFHMTLSGPLDEETANRVIDSIAPQAARAIPDPLCMDAITLMGEDAAGQFHQIRRCSLTG
MNSNFSRYAVYYLPCPDSPLQRFGDQWLGWSITDGKFTNRLKGKMLATEHEKITAKPQKYGFHGTLKPPLRLKDEFGQNEFVNAVRHIAKMHHPFTMPDLNLLVTSQFMALVPMEHSPAMHNLASALVTGLDNFRKAPTVEETARRLSAGLTSRQTELLEAWGYPYVLDEFRFHLTLTDRLEKDQIPEIRNYLQEQIAPIMKQPIRVRDIAVVGQMENGMFTLIERVPLGS
MDPEEIAKLYECLILTAMKVLKMNLEMYEDDKEKMELFLVGRIFGNKFVNREGLNEVVEQVWCTTCKVKVESMGVTNFFMFILGAKQIIKECCQEVLGYLATN
MNPTSSFSTQSLTSALPLISILHSQPVTAAEDFNRDNEKKFSTDLISEKIVLTKRCVDISTQTDALSDKSQRFVNQLDANVEVEIDEHRSPTLKKKSVQDKNQKDLTHAWSMKRFCASESDSLEDGNHFLCREFCVLNGDSVCTSSNSLIKCDNSLKHSLDSKSFVEPVECTCHAATQFNCDCDETLANCTYQERIIDYENFRIIEHVIDCAQESYRQRSGKMQCPSSWQDIKDDNVDDNKDVNLACRELVAFIGESYQIINNTQSSILHDQEQSTNKILAETPKILEVSRSENQIEEKEYYDHSYDADHFISQSTNRNIKNILDDNNNKNLLEEVGEIQKPKTNSLIESNIPATSVTMTFDHHPKIIQSRPKEEQMMSMDKNSTPSVALALKALKSKLTPLAPSFHPARKKLQTSSSATTMSSSLYAFGQTSIYYQSQPPPTKQNSPQQQHIPIKLNETRLQQHLHGQDEQLQQQQIVPIFQRNKNCVTSSIEHQQHVIQQSDSLGGLPHIHLQSLPTSGAGAATTATNSSQQAQLYPMQVISLAPPSLPSSSTAAIGPQQKKILFPGC
MITRLLAAFLILLAPLARAQDRPVVATVNYPLAYFTERLAGDAVEVLFPVPDGVDPAFWRPGIADIATIQQADLIVLNGAGYAAWTTRASLPRSRLVDTSRGLQDRLIETETVTHSHGADGAHSHAAVASHVWLDPALAMVQADAVAGGLVRAGLLAEDSAGAALDPLKADLAALDEAGRAAFAPHAGQVILASHPRYHYLARAHGVEVSALDWGAGEMPDDDQWRALSALVSDTGATLFLWEAAPPPDAAARLAELGLTGVVYPSLGWAPETGDFLSAQHDALAAIAAAGG
MYLDEIMKRKRINTPSSMAATPITSDIVLAEATRRAQVNNQVKAGQDMIALRGREMGDDARLSQEWMDTGREQANIATGIELANLGLAGYRGYNQQQVVKQQETARLAQEEQMNKNLQVFIAGHAERMKGYDAMIKMFSGTTVKGDYGEDIKL
MNIPFTLEELERFALNGTLESHILSNRLLPLDVLTYFIKENAKYVSDLNKYIVKLEWEIE
MVELKVAKYLALFLCIFKTVYAEPSCSNGFGATGPNVICTAGANDYVLRKGLVSDNDRTTAIVLKGCRITSIEAGALNALPALEDIDLSQNSLQALTGMFDQTPNLLKLNLSNNRIESLQLNIFDALTKLKSLDLSNNVILGSNLESRIFEELHRIEFLDLSGNFMTRTPENLFQASHTIKTLKLSRCNLEEVPKFATNPNLNSMTRLTLSSNEITRLHDKLFINFEKLESIEFDDNLIEFIHEDVFKSLKNIKTISLQHNKIKDLPESLFKSLTKLGNINLSHNLIEYMPVNAFRHTALKNLNLADNKFTYLQDNFCLELRNSGVRLKKFYFNQNPWQCACLNDILIEVKKMGIQYNGAKYIGQEPVCVTTKEFNCKRQPNFNEEYMDLYNDIIG
MNMYIAEPGIYRASNLNLSP
MKAFLFTTDALLAFFLVMVILSVMIMSRQPLPDLNNEILFQMTQDAIEVCNLKAELSKSCVKKLMNHVGVSVAFDEDCETGISFSRKKIDGSFNLLVCHKQ
MRPDPTRLCARLALLVCLLLAWPPEAGMASQADGHDPAVNALVSAAHRGDSA
MTAGSQPVRTEQDVVLARQTVRKLVVQCGLRLVDQTKLVTAASELARNTVIYGGGGDMDWALVESGIRKGVCS
MSCSQKHVLSDLWQLDSMFKRSLLVLATVGVTILANNAEMTAHNHDPTSEPHSHTSKDPHHQMREISAGEPIPTVKLVVHPDTMRGYNLEVQTTNFKFAPEEVNKAAKPGEGHAHLYVNGKKITRLYSSWYYLDNLKPGKNEIRVSLNANNHQVLAHNGKMIEATQIVEVPATKK
MPFIRGLVISSQLLDDCPALRDQLPLLCWGGLRLAELRQRDAKPGQEAGAGSAAPAAPSDSYEGVDLLWLPPSSGGSCGSAGSSGSSNGQAARAGAGPNPAADAEAAAAGWAAGAGLSWPDEVVLLAAGQRGSDNGGAGGTEDDALLALLARMRARWRQQQQQQEGQGRQEAGGSAGSSAGSTELPPWELPGYGGGEEPAWERLRLALGHANLRRAEAEAGPEAAGEDKGGGLVLVLTAMKASRERDLAREGLLNLVPQDGVVFAPLDPSLPPAAHLPFSALLHKASDELEAGPGGVPRFGPRVAALAAFAAAHPHISLVDPLEAAAKVTQGVINRAELARVCGSLSRLDLPTCSPAGDDTGPEPRQPQAGQGPARRVRARVRVRAPRSTLLESFTAEEVAAAVARLGCPPPYIVKPLVACGTADSHRMALAAEAGALAGLTVPLPAMLQEFVNHDALIYKVYVAGEAVFHTVRPSIPNLAPAASSPSGGGPASASASSPAPQPSALTLHGGRLEFDSLKSLPTPSSLPSATAAIAATAATSTSAASAVGPTPAASAPSQAVLEAVAAHLRSELGLSLFGFDVVVASGSGGGADADDGGDGDGGGGAGRKRARAAEEAGRAAAESDMGCMEVVVVDVNYFPSFRGAPQAAARFRAAVLQSHRRQQQQRRQ
MNDPHRIRVTRSLLQQDDVGRLAAQRYGLVGEVRTRLWTIGDNDNYMLHHDGAPRFLRVYLASKHWGTGEADYRFELDFLLHLHAQGLPVAYPLRALDGDVLSPVPAPEGMRYLAMFSYVRGDVDPFPDGEDGEARRFEIGRHLAGVHRAGTRFTSRHARFALDAGFLLERPIERIGTVWRPRARPRLDALLAEARACVEPALAALPAGGDGVIVGDFHGSNYHFFEGRATLFDFDLCGTGWHAHDLATWLWDARKRFGDERARALFGAMARGYESVQPLSAASRAALPALMIAREIWLAGEHCADIESLGEQRLDARYWDAFEERLARWLASLPAFDWFERA
MNHEVSHDKHEEEQVTNTSSIALREQFPNKRKKSDDATRNASKFSLDISKKMRKPGFGLGLNRNHYFIFGFLLGLVLSFYIPENVWDLVQSECPQLALENNLIEKFGEDFEPHLNLINKPLAAKKPKPTRAYNHRHCLLRLIN
MPIEPNANDALLISTYELGRLDDLARRTDETVFIALFMSVFGTDLTVDTYKKMRVAIQDRTLANPQYAVEPDGSGLARYDRTRRTIFVTADAITQSESDDHSSVNLFIAVARRSTAYPGRLLRSLQLRRTVPTQTRPQGIALDH
MPLAMSCLFPTTGGAWRQLPRHKHRAKGVLLGNSSEANRSGERGEKVVKVASLSTLEVSTEMNRGMSIPPLWLTYHWAFLETRTRWRVLECPSRAHAYSYGVIITTSPSASRHSGTCNRPKVPFQPPIYCPHNGWANHKVRARTL
MTVNLKIKLLAAARSVRRAVGLQMRNKFLCVRGAAEGLISR
MGVKHIEAHVDSMLVAGQINGQYEAKGDVMALYLGQAKTLLQTFYSYKVHHINRSENKPADALSKLASTSFQHLAKDVRIEVLSNPSVPLREVCVIQTGTTSWMTPIIMYLQSGILPENKAEARKIQYKAEHYQMADGIL
MCAHPAPLHPIVS
MMGRNRVFCLIFCILLVVSIQTGLCESSSASSRLLEEKKVLQLQVKALREEVSLRSAGKQGTRTSSCGYVEKQVKSMQTAADEKDEEIAFLKEQLRHVKHSLSEMHGASCAQKVNGRYGNTGQGSGRVTFKGKYAFIVEPMERRRFDDPQTMEHILQGKPVVITDSELTRSAIMKWDLDYLGRELNDQYVRMFVSPNRQFRYHSNMNNTQYYEWISPYETRTGTFSTFVETVRQLDKADNGSRAYFQFLLNDLNLTRNLDEDVASFNWNWLHDSLLHKVAWGELKHNLLLVGMPDVATPVHYDGMENLFAMISGHKRCILFSPSQYRSLYPFPAHHPHDRQSQVDFDNPNFDMFPRFREAHGYETILAPGEVLYIPSYWWHYIESEENSMTVSLNFWFEPEWEKESNGKEDSDEEGIKGEPPKEVEELILKREIEMMIAEATHPSKVAEILKEILDKRYDFIERKRYWQ
MKGQNTQGQKSLLLIGESGRRDDVSTEPVSPGLLKLAERERQEAAWAKAAGDFKRLKARSKRGRLRLWVERSMRETMLKGGDEFHHPSWQTTAAFDHTQTYTDGQRFRILTEPYTSANPLDTYAGLLAEGWELQTLPGVWNPSGAILIVLTSPVGAKLPTWKELGISMHDYCDHWGWAEINDPA
MTLDVPEIPRFRSVVNAIPIPYWRDKVLLEALYLTASREAELSTQTIPWDLLHKRTKPYGLYLTFGIKDFEMAPARDHEPAKIEKILVIQEACAKRMKGKKKLADDTELTVEETANYLPQQLREGYVKNPASVDPLIVKSFLGELSLKSIALPCNPVFEPWTHDLLKIISKTGTLSFKLTRFRMWQIQRQWLSSLLKPKNKRNERNPLRHFRLTHLAGEYDFNAYDISVYSGWTMGTTAGMFGIQSSNQIGQYVHLKWKDYLPKLLKPISDFE
MGSGDDDSLSATLHRRAAFLFGGSDGLKVLNSMYVIDMDDLDSQGGVGGGERPFVNREPPLTCKRLVFPPTSVGAEQVRKEDQRWMHDLSVMAARVEREQQTGMKEHDVDDLNMYSDFVDNIKSAAARMLSACDAVDAPEGIASTITRVGQSLVIFGGQGVDGAGADVYIRNLPSLVRLHPEWGQATALTAAVGGTATPPLPPRFDLRLPSPAYPRTPATRERGAAANGGSSERGEDRAQAGMESSDQRGVSGDLERAEAVNAALNRRVMLLERQLAAVRTQKEEISYQLQELVEQLSAASQRDRDGRGGGGGGEEGSGGRGSVADNQEGSSTSFMSDRPYPSLSSYSYSAMRRHVDDVQRISDNFVSEIEHGVDGIGGGGGGGGGGGGGGKKKEPPSPRHTRLVEK
MLRQGLLVVLSGGSKQVSTGPCAGAAPGRMQHGTQPCISISAVSNIACPARSCSASVAAADFENHTVQQAAAAASSSSSSSPSSSSSQTLLGKPVVCSGGDTREIPNLHIFTLFALRSETLPSCQHHVETGLQVEPPMTSERRELILHGSKGNNNLSTPPFPPPPLHRLNHYPAPLPLPSLSVNRKMGSSYSNERLNSVAGRARVRCLQMKFPSLQHVTCTLHALHFQSPRATGAGKRFVRFFSAFHHRYASATSSSISASQYGRSYGTAASRAMPRLFIASRHAHPVAAIHRLSDIW
MAETVSDERLIDLAAEVRRVADCPYTPSLQRLHDVLQRIDNQRLLLRWARGNACQIDSLAAAVLEGLELWPNALDILRILAYIPAFRDAVLQQKPMLLDTLIRKAIESDSAFDKYSATCVCLLSHPVEIAIPSKFPDLLMQLVDKAVRSLSPETIRPIYQILSAMGSSYLDILSFDVIARLQDRLVEVLTKLNMDDRFGDLLCLGVLAKFASRPCNPSDLQMPNIQSSPIDRNSMAVADRYVSARKLFEDKRAPKTLDLAVIRAITACSESCALSATDIAESLKLSGEIVEAFDCREKQAWVAKNGGKVKKLHQKILRTDIAPEIQCQALNLLVTLSDGQHLPHELFPVFEKLLLTSNTTVFSARAISQYMIQLNEAVIGEILLKLLRLACDNNPPSHELLTQLDGGLHLVATITAAIPTATSLREVLLSCIAGKQIERLLQQFVTQLPTSSESVVFHNMAEVCPRACAKALYQLHQDITVMLLSTALYASGLETSLRDSSRLLLQKQKQLSNSQLRYDNSKQGGVTSPLPLREEQTKSQDLRAHLEAARGKVAELEEQAGVREQEFIGLTNERNELLDQIETHKDCLKGLEANLDNIRQEYDQAQSDADMAVQTAVENARQRDLAYLATLTGKDQIFEEQSSMLAASEIRAEVASHAETIQDQKKAIEELNSGIATAKDLAASQEAKIDGLRESERDLISSRDDIARKAQDEAQVHESAISGLKEELQAAKAEAAGLQKQYDNHAGATEAEILRLEESLRTYTKKWQSELTEARNTAALADQHQASTIADLRSKIKRLRKERQERAKEFAEAQELSARLMAVMGITKNQRAFCDTSTTGSREERSASSLCKPYSPVLPVSDLTASSESSDSNRSGPTPKRTKRPRSSQSKRDDVIPTGRASTRSVLKQSRVPLAELGSRQSQGPATPTQLLHHLHSYNQKEVDGEALRASNRHAGSDDECFGGGDIFTSTDQQRLSVLGNKPPESMFDETTAEF
MEVSSKDNSKANHVTDQRDESALESDAQPERSKSHFFPSFSTLLCNLKSGTEEIIAGANKLIKGTENVLWTKTALSKFLLEQQKFIEQQSAEQIVAQAVPPWTGCKDEYSIKRQCLSLSSVEDNLLREPPAGCDFSFDFSSYLPQAVGALEADPNLQQLRFTLVPKKISEETFWRNYFFRVSLIRKAAEREDIPAGERSDVEESNIPYSSGGDWESQLLTDLDEYELVAAQNSQENQKWIEEIEKALDEEMENVKNNLSA
MLKNWGAWLGKENENDQVKEKRQRVVGKKRRDDNPLEYDEEKQTNIAEKDTKLSQLLQKTHSLSDSIFNFASKASKKLTESVVETAQSLRKSVEEGKLNEFIDKTILGDFQKEQDKFVLERKTKKIGVPVPPWVGYDEEDLIQEQILALSADKRNFLRNPPAGVQFHFDFEQTYPVALAMLEEDELLRKMRFHLVPKELKEENFWRNYFYRVSLIKQSAQLTALTAQRDSKCLDSDRTGVVKHPCSPTVQKPTQNEVDRKVSTRLPMSEFVINALKSSTINEEELCKRPVLDKTELKKHDVIPEWERELQEELEEYDVLPDTEIHDEAWDREIEELLNEEL
MRLPLALQLLHLLTGGHNDRFQFGNVNLRILQQNMCPFLDLLFLPKAFNRLLDAFRFLLDRFTLGP
MISPRHDNNSSSFPAKDSFASPPTTRYCCFVIPSRLALFGFSVIIFLFGAGFGAVGWVIANKLDKQLLEIERLALFFHILIYFLLVIFATIGIYGVVQKAARYTSLFASMILGQFVFNVASGALCLYLLFRNGPNGDPTLGGYRQCMDVVVAHPNDFFLRNLCEQTPLMKGLSVGLYVFMWLTEIIAAMVSNQYSSQLHEEAAQMEMLNPRPRESFYC
MTPALNKTPRNLRTRLVAGVFAVTGALAAAPVAQAANEDHDATQIVTTTADQTIEALNDQVISDEEADRILTNVDLDAVAKFTLGNKWPDLSADQQARYTEAFQTFARNQMKEHLSGLSGADFRVTDVIPRGENDAIVVSQVRTSDNSQPQEMSWRVVANGSWHIVDIQAQDIWFAIEQRAQFQAILDRNNGDIEALITEISS
MIATKLLNILPEALRLKADGIINGEDGHGQSRRGALLAFFIRVASAAIAFFSQVLLARWIGAHEYGVFTYVWVWINIIGTLCAAGFATSVVRFLPEYQETGQHDLVRGFLRTGRAFSSIMGLLATLGGLLILYLLDGAVPDYYRVSAAVALIALPAFALTDFQDGVGRSQGWIDLALIPPYIIRPFLLFSFIGAAVAIGWNKNAETAVYAAIAATWATAVLQYWMQKKRMLTTVPAGPRQYKFGFWLKVSLPVIAIESFAMLMTNMDILLLDLFVTPAEIAVYFAAARTISLMAFVHFSVTAAVTPKFATLYASGDLDGLQKFLKQTRQWTLIPSLIGGVILLTLGKPILWLFGPEFTAGYPAMFALVIGLLARSFAGPLQGLMIATGRQNIAALALGMAVVINVAFNFLLIPKFGLVGAAAATAIAFTVESILLYVITRRIFSGPPSEKNSRGADVASAK
MELKSLAAGILQIYDEANDVTPIYKFTPEQSNIVFHFTATIIKFIFCPDIQSNQSKGFVLNGKFLQAFGPTGEIRCDHNMIELSDSLPIIAINAKVFSIHPIFCLILTQENITEMLIMIEICDFEPIPTFPFLIDDEPPKTGNCTKKINLGKYTQFCCTTKQRIQKGKPLSLKIVGDPEKNASNRTLVFRKARAIDVTVMHLKHNGYHLKQSQFTFQKAVPQRSACEEKRIDWLGVADSAPIGQPNGFLAKVHFERILPPPRPPASTQALPKTNTANGFSINLWLLKCIICYFMSVG
MDSTELKLWEAAWRQLLREALPSLLTDPETAIDENGNALTLEHLMGKGRWTDLTDQASSIPTKALQTIREHAVTAFFSMVPDGPIIPYYKIVQGTKEAFTKFVEWLTRAIEIQVAEVAVREGILRDMVFTNANGLCRNAILSLPLDPPPTLQDMLRVCQLKVPYMQAAGQAIIHHYMDDVLVCAPTDDELTHALDLTINALIAAGFELQEEKVQRMPPWKYLGLEIGKRTIVPQKLAIKTKELKTDNGPAYKSRELCSFLQQWGVEHKTGIPHSPTVNHVIAPTEESPEEEGLELEEVLEGAPEGDRRDPDEEEHGLGYPTQHH
MNLRRKLSLLASSALLVPVALATGAAGASAAGASDAAEACSSYPHPATGNFRPSANPVSTPEVREFYPWNYLMPAEGVYEVCLDGAAGTNYDIELLYWDSTVRDYVVVAASRGAGSTERLSYTAVGDNLAQFRVRVVAVEGYGAYTVAVRMPQDG
MNHHKPPEQPQDLHPATNPAGTSKSSEPQDLHRAPPRKKKRRHRVTPARAGTLIDLHTAIQKGHAVIDNFSLSSEEQALLMEENTERQATAHEYANHLKLPTWDRLNDNLKQVFRIASHGSHPHAVALSCNLSPKTATRALKAKKGSAYYVARIIKRVLTMAGLSPDMAITMELAHGRNSKNPELHIHGVIQVPPSLRDRITADLKQALASDYREVASNKAVLLKPINNPGGWAYYCVNQRKYTLDYLENPDFATHAACRTGRALYDETRTWLQALPPLEQPLESRESPPVPRAMTPAGLALIGLIEAHRALLTTRRELRRQRRKEIKQEFLEDPIGFRQKTLAGLQRRIYGTPTDLGFTAAPEYVREPPLQTHAESPYKPLQDTPERNGYTGSKKSNKALTGAYRAPGENPAFDDRKLSLADLGDDLNPAPKEEDDDQFWADLTAELLEAEDQKESVAEPPLDYTPDPKPYKPSPNHWHPLRQTLIEMAKERTQNLDDSYYENRSMSEIYQIILERPKPSAAEHYRGLSLSDPDEFERTPEDDEFDLLLASLWEDDPETENPVDERNTKQSHVDIVDFVLSGSSQETVPEEKSLKDAPVYSDD
MNKIKITILTTIILLPSVVNAEQNKDAIDVIKSINKLVRNNNQEYKYKSILTKEERSSDARGMDNSITISPSTNEPTSNGDNGYFNNYYNNYYNNFYNNNYNNNYNNVYNNNYNNNHHNNNNNNNNNHNNNDNDNKVNRN
MKKKIILLACLLFVFIMGISVTSYASSNVKLSNKSILLAKGSKYKLKVKGTNKKASWSSSNTKVVTVSDNGTVQAVNIGKATVQALIAKKTYKCKVEVMSKDDISYKVIDIYSWTCGDIWNDGFCDIYHYVESGTNSVGGRMNIRKTVKNVKKALKQKKKYNTFMKRLQGKKYKRVKKVWGKIYVEMNILQSCIEDEMPRYDEDYYFPHENFGDYIWDFLSEAYSL
MHVKVCIGAIEEHEAVVRIKGGNPVWHRFHDIDKQRLGTASPFDLLVQGLFGGFALGDIVADRDIGLYPSIGTDQWHDGCIHPIKIAILGTVADLSGPDLTIGDRSPHALEKRLRVVPGIDETVRLPDKLVFPVAAYCAELPVGGEDPAAPVRDGDDGVPIKRRLIGAQQLCQAASLVICAGAGVLL
MGVFLKIEDVEDEKSFYWLRALTRGFAFIGMHGNSHAQFALQNCILFA
MRGRLAPAAGLATLALVAAASALAWREASALVPRDGGRGGGSARLFLALLVAAFAAYLVGLAAARRHGVGRRAAVALALAIQIVPLGAPLLLSTDAWTYWSYGWIAARGGGNPYADRPAAFPDSPALPLQGAAWLETTSVYGPAFTLASEPVALAAGDSADAAAWAFKTLAALAAVAAALLAGRLARRPAAAVAFVGWNPLLAVHLAGGGHNDAWVGALLMAALALAAARRLQAAGVAWALAIAVKWVPLPFLVLRALEARATRRATAHLGFALTAAAVALAATLRYGIHWPLAVVPLAGNAALETSYALPHRLEQIGLPRGAALAIAGAVLVAGFGWLVRQALRGRARLGLAACLLLATTPYLAVWYLGWAVPLAAAEEDRAAKMGCLLLCAYLLPQAIPL
MPRRPRTPPPPAPFAERVRIETEPAYRARYYPGLDAAIAQRGRWRSAEVEALVRRLRSPP
MYFLAIFIYNTNVINAAGISVKKLGKLLVLCVDRDNDLGKKTGINGPVIGREKNLEAAAALALADPSESDANSMFAGVKKFDELKAEKVDAEIATLTGWGKIGFKSDKILNEQLDVVMEAVQPDGFVLVTDGAEDDQIIPILQSRAKIVSKEKVVVRQAQEIESAYFTIKEVLKDPFVSRIVFGIPGIILLLYVALGSFSFQIIAFLFGFYLLLKGFGIEDRILHAFNSITESISVQRTSFPFYVGSLFIIAFGIITAYNKFLTTPIGDILIDSISIAQPTYLFIALAALSVVIGRSIDLIHFNKAYLLKKYFLSAVSIILLWFILDAGTLVFLRQADLNWFLASILVSFIVLLIAFRISEVMDIRRKVSALFVGLPIYSKEGLILGKIESVDKRKNAVLFVDNKTNKKKELTRKDFSFVSGKIVLG
MVVLSEKYPIDAPITHRTRHIPLTARPMDFIYFCFFASHLTASLVIDLQWLYPRSLVPGPMRALLEYYIKFSNDPLIGALAGMKDSSSLTWFKTFITLEGIFQIPVFIVGLGALWHGCKKIYPLLLIYAASSATTTLPCIIYLFSLPRPIAQTTIFEHAITYEQLMILLLGYVSFFIVPFIMVFDFGNRVYKLILKGLEAEDQKLD
MTEAIDLLKEEHQLVKRVLQVLRKKCWQVVKEGVVNYRDFEDILDFLKNYVDRQHHGKEEDILFDLMGRELGDEIGSGPVTGMLIEHDLGRQYVSGLENSLKQHEKGDEEAVLDIIANSISYTHLLHNHIEREDMILFELARRSLSDQAKEELNRRMSQAMKEKTASDSQEKYRQKVEKWEGALP
MTSQELYEIVRKEIDARASTDPAFRTAQRNIEKGNGDFTDSAQCSRILAEILGEKLANHILELPPDSGRSEVCQRLLKDHFDEISRKFEQVQSNQDKKNGINLNTKIPKFPAERAKSVGGSLEDTTKSDETIQRRCRNAVSNVANAMHDSFIQENAKFRNDAGLFVQVSRTGGADCCEWCAEVSGTFWGYNSDLREVFRRHDNCTCTITYTSSKTRSRLVGESDGAGGTTHKWVESRKSWQEKPTLPDVHPTRFTPEQAKRFETEQLQKQGFVRVGDGIRLLSSDLTNGENGGRISIASNAHPRDEELEKMIRDCIKQEIPCFADDLARNFSRIKPEENRYIVALHGNPNSTFLYGKKVDARTLANIIRSRKDYNGTDEIVLISCNTGNEENTRKCFAQKLADELDVTVHAPTKYGAIGAFGHYYSSTEKGVRDGEFKPFKPTPKNKKE
MNPRPLLVTPFFVVGALAFTTPPAQAGLMLGLDVGPNFVLNAPDSGEQDLDLDQDAGIGIAARLGYQLDLKILKLIPEAKFGFEDPGAPDAFRIMGGLRVRLLEGFSPVAFAHLGGLVGDLEGFAWDVGGGIDFTLIPHLALGAFVSYNRAENRPLNLDNFEGPDAWEWLQVGAAVTFTL
MNRRSIIASLFAMVLAPLGFRLPQPVSLSTHWTSPDMKLEPDGFTIDYIEGSITPIRRYQRIHLDNLAVLQHASTNDDEFSALWEAWSKEQARMNGGD
MIKRVISGNETAKETARKYLLISKFIQLFNVGDGGIFEYSIGDWIEAQGRLGIEIVKKFDD
MPIVALYEARALLPGLLSFSGHVPLRKQGHRTTSLPLSAIPHGGVCWTLDLDLDRDGEWRASPFPRRAMAQPWFPGPFLTFVTPPGNGPASAWIAGSGPETMRERGNQDRHFFHYYRYLIIPFAPHNATRFTKVIKYYLMIFVRRIYLVEETL
MWEVTDDQGVLCSIDDVDWTTRCCAAGKGQRHSCDACGDHDQCCSTYESCVSCCMGHPEGEAHRQEEPRIIDHPETGYAADLFSFCAMRCRTHKASTSHENTYVGGRHHCFSRIARPLSNPQGFPAGVVPARALQGQTCEAACRDAKAGACTKAAMKAVSNCDGLLSVFPCEAGCFEGKGARFSTYAAPNSRTPHACLGASEPADDCSLAVPEAAAVCGCQKS
MASNVTATREATRRTHTYSFHREGLVQLGQLGGLITGHNKTVFTENYGNILTLLDSHVDEWGLSTLLQFYDPDLRCFTFSGYQLAPTLEEYSHFLSIKIQHKVPFVCVPEKPDLNNIANALYLSIEDVLGNWKKNGSTQGFYMSFLVEKAQELANKKMWEAFNALLAVLIYGIVMFPNIHKFVDLAAICLFVEKNPVPTLLADTYYSVHSRYGKGGAIRNCLPLLYTWFKSHLPTSGPFITSTQKWSQRIMGLTGNDIVWCPAGMDVEKVITSCGAFGNVPLMGTKGVINYNPKLALRQLGFALENKPSDKEIFESVCFEKGTDLKGLEKVVSAWNDIHTSDQISLGEKNAVAKQAYTDWVENRVKDRLLPFPKVNPLYEQPPKIPIATVPAENCIPVNMESTQLHEKKSDVQPKHCLVDQKRVELTHEAKMLKGGSSRVQKRARTEKGERDTTVIVEDHQKILKRAMKEAEEKLKQEYREDLKAYKLKIERDARVEVKNLKKKLEEETTKRMIPSRMASVSWISGRDESGATVRAAGEDDRRWLSGVCEFYLLPFRHPTWHDLTGSFSYLVVIPSISK
MNRRKKFVLTFLTTLVVLALSFGLIYAGGFLIVTNTGVPYKWDPAQPVPYNPDQGMLGVLTNAQAVQLVDDNVKLWDNQHIPTSSLSFTNAGSLPIDVQTAADFAQFDSKNDSINPVIFDVDGSLLDDLGFDPGVLGFASVEFIRTIAPFYILEGKAVLNGNWIDGDSTDGVETTVEVMGSTLTHEIGHYLNLDHTQVNGQFFIGDDDDPGFVTYGDPTPQSTNLMFPFFLGLPGEPTAPNTDDIQTISALYPAGGFPAAFGTIEGSVFESDGTTPFAGADVIARNVTDPFGDAVSRVSGALFNPGAQFPTGGSPDPALEGQYELPGLSPGASYTVEIVQVNPRFTGGSGVGPIDPPAILPSFEEFWNGTSEGATTADNPLDAQNV
MSRKRKNIMKKIGIIGAFGFKTMDMGGQPVKTRSLKATLEKYYGNEQVVCVETYEWKKNLFSMILSLLKVFVTCDRVFMLPAHNGVFYISRILIFMKKFSHKKIYYDVIGGWIVDILQQNTALKEMLKKFDKIFVETENMKINLENMGFANVVVLKNYKKLNSIPDEELNYIWEEPYPVCFFSRVLEGKGIEDAINTIIEINKDKKRIVYMLDIYGPVDLNYKSTFEKLVSQFPSYISYKGIAKADESTHIVKKYFLLLFPTHFYTEGVPGTIIDAYAAGVPVIVSKWKSYADVVMDNITGFCYEFGNNEEFKKVLCNVIDAPERVIKMKKFCLKEYSKYTEEEFIQKLNESLN
MDCARKSDREVILDVLTKPLVKDHTGAIPKNPSVHAASFGPDFRVKEFCNKKGVVKDSFDLALIHVGETTRAKAAIKPLDDKEHKGGQPTQSSPQKKGQEIHKKLKILINEGKGDATTGEILKEIKDKFGCDFIKAGVSISGYAVKRKTEVYIWSGEMDAVAIRRKNGDLEVFVVEWKTTDNLYTVGTNWWEGATHFKNPLYQCLVYRELLRAHLKHNVVNAKVGIILVPIHQKYPVIICPGLCLDFQKMRENLLLKRLDEFEWRAGFDKSIYVHDIKLPRKLFKESLIPAFYVDKSTNTLKEDTRLKDILNDDATVGDLCRFLRLPFIKEESIKKEDTTKEELEQAATVLSQVWQSVQGPLRQPDDGLTEEQERDEQNRRVRDIVCPCNNTCCHKDGPKRKGCPCKTVGQYCTDACSCNKPGKQCKNRQVGKQSSVEV
MTDMGVDPMESGSIRGLNGLMLLAAQEVGIPSVCLISEIPTWGIQMPNPKTSKALATTLAGLLGMKFKLLHLEPQIIAIEECMIEMRGTTDFQLKGLLEHEIFEPHISNASDKNQLTKQDMERVESLFTEATIDRSRTMALKVELDRLGVFVDYEDRFLDLFRECS
MGKGPNFSGQQPEQTGFDRDNVVQERSIFCFEKGYVDYSQADLVRYAGQLATDFLVLTLYIKL
MSEKTVLPKELLEPVDELNADLAGMGDFVERLYKKHWKDLCHWLRWRYGAGPPDPEDIAQTAFVKIAAMDDHSNILNPKAFLFTVASNTALKGIQWLARTQRYVENELHEVGQNVEDISPDRVYSSRERFNAVTEQMALLSDKQQDLIYRSRILGQKYDQISAETGWSMADISRQLKAALSTMHTALGEYENEGK
MNSAAITAPGLRRDEGLTLQTTGYWASVAAGKPPTLRVLAPLAATLAWLLSSMPVTFGGDPWDGTRARQTLTRGATALEPASSRRIGLREARSLALRTLFGAEERRLRAAQMEADRTAGWEDLG
MNRLGDACKVEKENGSKDSCSSPILVLCFLPAPLPGAPPPLRHVLLQPVPALPALRPNPTGQQLQRACVRQCQNSTIVIEPPAVVVTLPGAILSSFPQNTVVGSSTSAAVGSILSCDGVPITSGCCDLSGISSRYYGRRSLL
MDLLNRCAFRLGLSRTQTIERICKELLPPNWETLFWMHACLENLGKNAKELVLLERRIHEADKFAISGAAKRAAQGRHAKNHCDKKSVFKWCDEHMHKFKSMDDAALNIAETFIPQKFRTVRE
MWDRHPIRLSLVHMLPEVADVRGVPLAPLFGRAGLADATPRDRDETSLGGDRVVARGQISTLLFHLARRSGEAAIGLDLADAADPMRLGLAGRALFAGRTLRDCFSALHHQMPDLQGGVSAAIEERDGVALWRHRLADSDPEHAQVLNEGIAAFTLRALRAIAGADAGPVHLRFAHRAKAPATLYEDRLNAGVSFGVGDGIEIRFDARWLDQPNLLFVPSPEGTVAEQPPAPVSLGDDALIAMIEALFDSTALTGTLSLVDTARSLGLSPRTLQRRLARLGTSYEVQLDSWRHAQARLYLGGSTTPVASVSRALGYGHPAHFVRAFRRWEGRTPLAFRTAARIDR
MRHSAVTSPRFVPASAMIVLLDTLAEIGGDVRGVLRRSGLGLIEGAARDGRAMLIPRAAFAQLAADCVLALHAESCRKNRLQPFPVRNHRILLLAMLGCTTLREAVVVMTDFYAMLGERSAQWAVTKNGHAVRFALDRRTREVSLAEFLISLFSLASYHRILGWVIGEEVPLIDVTLAYPDMLQEAGLNDLFSIHPRFEERQDSFSFAEHYLDWPIARKPTEVDGLFALFPFDLLPPDYGTETLAQRLKAAMRGALSMGEAVPNTVALARMFGLTPATLRRRLAREGASLVSVRTQCRRELALTMLAGTSLTVREVASRLQYADVATFRRAFMGWTGMTPSAWRAADGK
MHVDESVSGGGGSGGGNGSTEAAAAWGRVKRRLRAELGEDVFASWFARLELDCVVGGTARLTVPTRFLKSWIESHYLDRVLNTFKAEVEEIGRIEVGVRGTTAPVRPTRSRRHASLTLS
MWGVWGVGGVWEVWGVSEGWEVWEVWGDRERSDNGAQKEHFFISN
DVDTHNKPEAIVLEGKYWKRKLNAVTAEYKKWRMFHRNRLSGGFKDGQDVLSDMDMLEWQPHSNDSNMMVDEDYMEFMTDTLFSTITANQPFAFPDTREIARGASLADFIQPSLVQLQPNLDDFMDTLEPLHELLYSKLPPVPEESSSGTASSDDLYRSLSHNFLLPQTDLSKSQNTQSRNMSFYHSQPSSYIKTPQYNYPYSPPISYPSQSTKSNRQYPLYSPYQQLSPPPAPPSPPPQPEYILPIAPPTPPSCYSMTSPRMPMRSNSLPLTDKTPQTRREDDVFAVPKTKLRNRSRSGSCLVAAGKQPPPLLSTNSDSSLSVPSLSVSHSSASTQSALLAHLLTSNNAVGGFTGTGYSNNEQDKISGSISNLDSPYNYKQTSQTDLYRTSQERLASPRSVIYSNQSDNGTLNLSQNRSVMDRLGGQMTSHMSSVQLVSGSSSDNGTTTFTFGGITGSRRDNKPVPILPHPTSTATLLITPLTPSQSPAQIVGSASSSPKHPDSPSACESLSLSPLNVGSPGPQSPSQGSGGRGTYKFLVRRNTSVCAT
MQCSDFVLKQRHIMTIMAQNMGLIETSARLLSKKPPEKEIIHSGHFMVSNFEAEAQDDEDVYESNSGFEKPKNPSGGVILNPPSNNSSSGSSINWIQRTKTNVQHISIETSLTKLFQCMSLAYRQKLTSPKWNRFKGIRLRWKDKIRLNNVIWRCWHMQFITKKNSLVCQFASPLDTDTHNKPEAIVLEGKYWKRKLHAVTAEYKKWRLFYRNRLLGWGHRDEQDPHDVLSDLEMLAWQSPGDDSHMMVDEDYMEFMTDTLFSTITANQPFAFPDTREIARGASLADFIQPSLVQLQPNLDDFMDTLEPLQELFSSKLPSVPEETLSADNLYRSLGGASYLSEPKRDMSSSYQTPSPPTSAPSPYYQYQPPPKPRQPPPPPSYPLYNMPPQYQPLSPPPPTRRTSPSPPHQSHQEFILPDLPPPNPYPRVPMRSNSLPLAGNTPQRREEELFAMPKVKTFNRSRSGSCLSTTVKHPPPLVSANSDSQLAVPPHPHHSSALLAHLLTSSGTATQAYNSQGVIYSGSESLKMSGSGSMPSLNSPYYKPTDRHSE
ALGAWDPELDLVPWGAALGGPRLRVRADGVPGLVPLLAAAGPEGRAAAAAHLHRHLDLCLDGREGDGRPVPAWRLDGPRGSRVAETDPAPGWTRGESWLLLAVADGLLLADGDPAWRADRLASAAEVLLRRGEFLDGPVVPPAEAGAPDGPLDTSAAAIAAVALLKLARVPGGVGATACAERAETVLRALVDGHLTRPGGGRPAGMLLDGCYDAGSGTAVRHELVWGDYFLALGLTALLGGVDLGRV
MQQRLADRQSEVLHIRRELLEHLLHDSTVALAITLMLASRLNNVLEMYGTQSFESLTDKVWARLVQLARRSPQGSTSDVCIEVTQADLARSVGGSRYRVGLELNRLEARGVIALSRGQIKIMREHIERGAGKVL
MPEAKNENAGRINGGFELSRQIEKQFIKFYQEHGHYHLPEYSLISQDKDLLYTIAGMIPFKPMFEGRQQPTHKRVVTSQRCIRTNDIDSVGKSKRHLTGFTMLGHFSFGDYFKQESIRLGYKLVTEIYGIDSNNLIITVHPDDRETHELWSRYLPKERIVLTYENIWSSGQPGILGQCTEFFYDFEPHKKLDSIDLDSDRFLEFYNIVFVDSQVDIDGNIAPNPIKCIDSGLGLERLTYVLSNKASIYEIDCLCQSFEHPILKDHYRTCS
MVKDLGNAQEGLKGPKKNAQPSGSHVFQPTGTIFEPFHDDGTLNVASRLFTRKNATPPWRKNAPPLGSHVFQANVTIFKLKQEIIETNLLTKFHEDWTIKVVSRVLTWQMFKPHDTQRTTGDHKSSP
MGDGLGAGYCRNYLFCPGKDIIGTNILTKVLTRTKAPLPPFGSCFQSTGTMFKIIQDIIGTNLLTTFHEDRTINVASKVLNHFSTRPRYHWANLLSKFREEPTINEASRVLSRKFAMPLDDHVFQQTPTGGNMFCNQLQPKLIQDTIWTNLLTKFHEDQTINVDSIVLTRQMLTMHD
MPPPPGSHVIQITGTIFKLNSHIKETNVLTKFHENRTKNVTSRKNAPPTGGHVFSPIWIIFILVRDINKTNVLTNFHDDWAKIVTSRVFTRKIAPPIGGHVFQRTGTTFKLNQHIIKTNILTNFKLDQDFIGRKLLTKFHEDWTINVASTVFTNKCGRTDR
MAVNGQTVCRNQCYVDGRDIKKGPWGPRSLTRTVSVTASYDDDDDDDDDDDDDDDDDDDDDDDDTFYHLNVKNVNARVFTDGGQRPILKPHLSNQAKNVTSKVKTAPPPGGHVFFTDRDHLRTHLRYIYKTNLFTKFHDDWANNMSSRVLVMHLTGTIFELNSCINETNVHTKFHDNWTKNVTSRKNAPPTGGHENCPPTCSMFFQRTGTTFKLNQHIIKANILDTFFLTYCELDRDIIRTNLLTKLHEDRTINVASRVFTNKCGRTTDGRTDDGRTTDKDRSQKLT
MPVIGKFISERNVYAVQMSFAWLSREYAILYTLFMTPAKNCFLEQAMTVMSAALAQSSD
MQLLLHTSRQQQERASTLNIILSADSAYYPACKFYVSKGTSCRETGGRLGKCWGKCWGRTFPQSTDYMRQSC
MTSTSNLVMPNRKLYPSSSRLTSSPYSYYAYQQLPLKSVTSSSSSSLAQSTNNNNKYLNVQPEATSSSSSSNNTMVQ
MGLIGDRLFGPTDNKWRFIWQIIMFGVMIVIFTTIFKTSCVDVYQMGYDDCTTVFNKGVGLQENYYPNGTLANITIIPIDPTLTHKADDVEPLFIQNQP
MTLPKGVAIALVLQVAACSGTQKPLSPEDGRRHPDGIAVDPLEHPPDAVEEASAADGVVALRTPLGPEAAKETTRSFLLAVSREDLEGLRKLMTTDATSINPSTRARESSFYFFSRRFGRLDYFFLSNVSFWQEERVELYRANEGDTLWSDTVGPTASPASGSPASMNDALEPSDVIVRVPLSLPRTPAGQLLGEEVTLLLRRSGGRYVVHRVVEDFTLPP
MDEEKKKAAQLRGIKAANSVLNTLSNATHYRSYHAYQRSMRWLGRYLGLIICFACLTVIPPVAKRMPGLVTVVILMMLTYLLAYYWIMAKSKSLKADSHQAMLMMAMNGVELERWYIYDGIFDAPDQESLELVFFTERSFIVNVWYLIRHKKQTLPIASADLADLKKYVEGLARVSRDDYRYVGYTTVTDEMIHSLSTEGIQVTEIPAKYRTRPGRWDYAVAVGDWTAALWNYPRSFKAVVLSSKN
MKWINFAKYTGVAALVAVLVMSFTGLSFWAILVLAEVGYLTVTFRQSHPRLAKWLVVGLLCYGAFVLGAYWFSGIFPLTKGVFSRATIQRDARAALLASDGLGPAQAVVVNNLTKAGDKLAEEINNLMATNGSPRDITNALGQFRVRWSTIRSSLEPAIPPPTLKPAKATSTVVKPMFPGVTLEINLTNGQVLTIHDDIRQGQSWRYLSFNGAFSHRIDKGDGDLANWKLADNNLPWTADYAGKLQVKAGNTAVKLTVNIP
VALPAASSAFVEAADSLPAASDALRAASASEAEAEDADDAAERADDAAAVSEVPARLSDAFAFVSDVFAAEADLAAALACSAASPAFVVAAEADDAALSADFPAAVALAEAS
MLQKSVENAELKLSGFIAEHNLPFRVMEHLPGLLKNVFPDSVIAGKITCGRDKTRNIIVKKLAPDADQALSEKLQKCKFSILLDESTDKSVVQSMAVVARFWCPQLKRVCDRLLGLVEVPSATAQIMKGEVDKLLSVRNIPKENCIGFGWDNASVNVGEVTGLKALVKIDNPYVIVVGCVCQSLALCASNASKEIPLEFDEFVRDVYNYIQHSPKRIAAYAEFQGITDTKNHRLLKVCDVRWLSLESVVMRILVQWRALKVYFYEEFKAIKSASAEKVLKMMENVYTKLYLQFLGFILPQVNKLNILFQAEGVRLHCLCNEVKMFEEILIEPVGPAFLKYWKHYNCTGTLDEKFSSLTISQKPDESDEIDELEDEEDLSYLHSLDPKEWKDQDHYKVLGLDSKRVSANDEDIKRAYRRKVLKHHPDKRKAKGETVDVSNDYFTCITKAFDILGSSASRRSYDSVDPIFDDDIPSVNQESKDNFFKEFGPVFEANARWSNIQPVPKLGNASTPREEVEHFYDFWYNFDSWREYSYLDEEDKSKGESREERRWIEKQNKVERTRRKKEESARIRSLVDNAYACDPRIAKFREDLRKEKLAKKLAKEEAARAKIEEKQREIEQAKEAERIAKEKAEEEEKKKMAEAKKAKEQHKKKLKKVRADIWALLKEHIQSDDVVGLEKANKIADTLPLERLETLSEELKKINSSEKIWLLLDQEISALEDKAADQKLARSAEATIQTKSQKEEVTTSGELWTYDETTNLIKAVNMFPAGTSQRWEVIANHLETHHKMSRSGKEVLYMAKMLQNHGTQEMLRRAASQQKGVVTKNREATISAEISSAEQREDKQWLPDEQKRLEQALRSFGPNEPERWEKISECVQTRTKKECMIRYKHIVDMVKAKKKAGAQGTGTAKT
MRTLVAGLVCLVIGIGITEYARRNPSKTEAGAETDTKDNTPSKPKWPKEVATLLETEAPGTDAPGTDAELAALLTVPSIDGANFPAVAGGAFEARQLSGGFGAALFVIDAKGKSGLVRAAAGDAPKLLFSRNAPINAISVDGSTVFFAEGGLIGSTLARGGEGVTVRARFKNAVVTSLASSGDTVVATVMPRSADPASTDAVGAVISIDSDGKVSLIAQEQVKPRAAQTDGKGAYWIAGYPAGLWRGALDGAFSSQLADTADEPIALDGDAVYFRAPLGSGVELKRVGRAGGNMSTVATADVNQLVVQSGLVRFTTAGATPKLYEVTSGAEPTEVLTLSGAAKGLALGGTTLFVLTTGADGASLLRAK
MRITLTHAIMVAGFAGVCLCIIYVGREINSGLSLVYKQIDSQPKRIADEIKLPLASPIPAERPDLNDLPPQLIQRIIQKR
MVSSEHEHETEHEISSGEEVYAEEDSPTLTSTIVPYETIRIAGYADITKFESFYGNPDKNNTYLPTAKANNDAKNWLFQVGRFMKIAKTPEYEKVNAVTTKLQGLAHDWLVHLTM
MIKPSVQQRILNFIDNPLSWGIAGFVIGLALGANLASMWIMFLALILYLVLLRYHRVANPLTETKLFATGPGFLICWVFGFMVNGWAF
MTGKNALVAVAVIATGAAVTAASLYFRSDKTVVVTTEVIRSRDLEAVVSASGKIQPKRLVNISADTPGRVVNLAVNEGDRIKKGQFLLQIDPKSLRTRVDSGAASLEAAQGSLNQMKQSVETARVQVEQARQTLKRQQDLWSRQLTTREALEKAENDLKSAESALQEREKQVMSQSSRIAQERAGLESARYDLTEQGPHRIAHRRHRHAAQHPGRRNRRHRHDE
MAMKRIAILLTLCLLSNSVQASDDPSEIYGFWATEDNGRIEIRPCANNVGTLCGIIHDADTDAASLKRNGHVLLEEFIYSGDRSWKKGLIHDPEGGGTYNGKLYLLDKDTVKLKGCAWIFCGSKIWTRIHEEESRMR
MRKWTGGLALATLLAAGTWMGSAGMAASGNDIMGKWQSEENKSVLEMYPCGDGLCAKIISIADGQKTDDKNPDPKLRSRPIVGLVIMQGAKRTGPNGWSGRMYNRADGGTFAGTLTVIDMQTLKLQGCKAVIFCKSVTFKRVK
MKRGLSLQLGGLALAIAMIPAAMADARIWPEDAIVGRWLIASRDAVIDIHPARDGGGEYHGSIAWLLDKTYKAEDGPERAGKPLLDDRNPDPTLRSRPLIGLPMLTGLKFDGRDHWRDGRVYSSNDGRTYTVQVSLADTEHLKLRGYFGIPLLGLTSVWTRVQTLPNP
MAKRFEATPLFESTVNADFRHFVGVGLKLEYHLGDKLSVGALGVYAGAINTGLVDKIVPTLPDMAQVDSLEPSKGQFQAHLNKMPLHGAAYVSLTPWYGKLAAFDSAFVNFDFYFQAGVSFAQLQSDCPASICNDTAPGQPRPDPNNAGETLPPDFNPNNDPPLNSGGRVGAYLGAGIHVFLNDFLALDLTVRDYAFNDNPSGADYDANRFVNDDDSRFLNHLFMGVGLSVMLPMKAKRTR
MVAEVKTKMKEEILQEKTYRERYFANYRIEEMRKEYEEARSYVIPLPGEYYSKIEVDKDSLEKLDKIIRDVMTLSLKKRDEERMKKNVEKMVDELKKTAGKDDSEDEQKNEEVKEKEATGEESQKTTEEDVAEKHQDDESSRKKEEETGGENQEKVDEKLEK
MTNFQLKYFREFAIMAFERKCELISTYINTNSTIRIKCKCGYTMNIRPKTIRTGKWCRVCERRSANRMMNAHPLNNIYFSRDKKDTRGDQVEEMFTLVMGDQVEEIDTNSSEGINDAKGSIITREYTNKEMFIVENINRRGGILLSPYSNEKSLVSIQCTNRHEFEMYPMNIRRGYWCKVCEKGK
AVSLSLIVSLSPARNPASSYLKLGSFHLPVSALHFEAGPLVLDVVQDSRDSVMLGPGQLRSTPGHSPLPESTAEDSAGHTRREEEEGRTPRRQWTNIRALEEGSWRGLPR
MLKKQFIYFLFFIFIISCINNDIIYKYEKDFFLLMNNEYKININDLNNHYVLILGNNSCNSCKEEVLLFYEKKLKLLLNNEKVLILINYSPAKSMISENIRLIEDQEGILQEYEINAYNPQILFLKNNKVIFHSYIEKNNFIIIEKFIQTNLSNH
MKNAKLLLFALRIAAAVAVCIVLLQYGAKLIKDLPAVVFLAVSVITSEIIVFARTSDKERLAIMWICGGLAVIIFIAGVIANGGGATFSFEEGKITAMHFFFGFLMIVLAGLARKLLFSFFGGG
MKFFSFLFMASLAVAAPVMDKLEVRNAIEPRQNNANSAIGTIGGTLWTLEQATSSNLQSIRRTVRAIQANTDATIRVQLVATLEANYQAIFQAIQASTQSITSVTTGAAGGISQSVIGLSQSSLGQLASALQTTVRILGDIRVALSIAVTDLTPDLYALVQDETTAVREAIVPFLRPLLTFVQTARGLGASVGLNVSGLNNAIDSLNNVVGNLSAIIGL
MYIGSTKLATCPLTRIVILVLYVTTLQPTSSLATLNADGVDKYVITRRDRYGTDLFKVLYKTGFQCPSHVCVNTSALVNNTTPCSCSCNINTQTFLPELGSCGDTASIKKMLFGDCSEALGMESRKIKNSQLKSSRSFPGFSPSEGRLNNDKAWCARYSTEVFLEIDLLEVRHVSALATQGFKRQFFNYVKTFNIRYSYDGKTWFDYQDDNGGTKEFTGNNDTDTIKYNYFRGTFETRFLKLYPKDYNTRANKCLRVEVYGCKDNAVCSRFFEWPFFLKSLNLAKEGHVNINSADSYQSCQVVPGSAAYYKYQLGNKWMAINQDIFKVEEVQGKLVFKWADTTDENILGRIVQIKVTCNYSAVASRPPKTACLIFKTSGQIICEYMYLVTEPVEDTDEKDTSTNGSSSGSGVLIGVAVACAVVFAIILILLVVFCKRRKLLSEKPLRPQENLLRLSATFRSLCHKEGKEDQRDELHLEIQHQKLVSGYVSGQGMKIGDMWEEVFRRFGKENSAMNAIDSYTGDRFNPFIDLRSMNDNDRHGSGLRFVNTKEGVQLAIDRSRRKRKKHTARLHVGNAFSNQEEEVIIPFPPSEGRRAVGEAVYAEIGSPSCEEYTLLKPAPDRCRSKYQNLIKPKEDHSGYLIPLEVTGSADCHPDGAILSEVQSPKPPQYKHNDKTYDYAKPEGIIMLTASRSSLDSLDSHRQADVLSSPKNTTFQGPNSPRSPGASSKEPSHDKEGDDSLQADDYIEVLPN
MIPWEKNAVSYINDGDAGACPVCGSREIRAEKHIFGDRLSVSFMCMKCNAASHFDGFLPEKEDGRIP
ELPHQLFAARSLGLTGGLPPEALADFLSGLLIGHELLAGLAEGLPPGRPLALIGEAALCRRYATALAEFGAPAPLLPGDTAAAGLFGFAVAAGLVAPAAPAQETAP
MDAYSLNLKCNTVSNLRTAHIFLCDSLVYLNSIYDYSLMFSLVCLFITTLLDIYYEFFGIVVNEEGHTQIRTYLWIIQYIVRFISVIQMCDITSGEAKKARSLIANICNRHLDVNTKEELMLFTNHISSRNIEFSAGGFFNLNTHLITSAIAAGTTYLVILVQFNSASDNKD
MVQDRRAAMPPRIGPFRVVDLIGQGGMGVVYLGRRGRSFAAVKVINEEYARDRRFQERFRAEIDLAGRISSRYVASLVATPTRHEELYLATEYVVGENLRDYVRRTSRLSRPAVLVLAALLAQALDAIHEVGVCHRDVKPSNVMLTRGGVKLVDFGIGYAPDLGPAARGDGWMGAPAYMSPEALRGGRPTEAMDVYAWACTVVYAAVGKAPFDADWHRSKDASPRLTGVPAAIRPLVEHALHRDADRRPLARDLVRTLVGLQDFQGLVAGGPADALAPLATEHWPLPELRQVEPPARSWRWRMSWPRLTRDGVRGLLALLGISVVALASVLMIPVWSHRSGTSQIPTSDGSAAPGLAGPGGPTTPGGGKIPDGPPESSNPDASSPTVAQTWSAYLTGGGLTALEAESPAPGLTATAPGHGLHGACTPPAWTTGRADAIRCLDQSAQVHDPCWVPAVPTAEPIDSVLCGYPGERELTRIDLVTPLRRTDTPPAPPDHGPTARDPAPASIQLATGDLCLADSRSPDDGSAQVPTYTCPHGEAFGFPDRSGPVWTIQFRSTAAGATAPVALTRCYF
MANGEQEENQVGLQNLEEQKLLSTSESAKPEDVDDPQGEGADPWEYAHDPTFVENDNFWTRNKALLLTRGWVYGTHLIYDLDKRTLSQFSVSEAARSD
MGRQPRSEVTRRKIIDSAVDLINEIGYPAAGLADIIERAELTKGALYYHFDSKEALATVIIEEAMVLLHNVFQSARDSEHNAMESLIVGTFTTSDLLATNRTAQAGTKLLRTFAGFNPTARHSYVNFVDQFTSDIKRAETDGDLRPGANPADVANTLVAWILGAELMSSAASDGQDLRDRFAQQWRVLMPAIVTAESLDHHLAFMVGASTRQTNIVERTAT
MKNRQVLNKYGFNRLSGCFLMVLVFAKRFNSNSRPGKNSFHGRIAGTFFEFSVEKPDLARVNKNLVQVELTEIKL
MGLSQHGSPLIPAALSGAAGAARMLREACAASDGLRLRTTGELAADILRELRALEENDPPPSPHLLAEAAGSCADLATLAACALPHIGEEHLSQVAAAVHLASGSAKALGALGCSSSEDYVLRDARSAAWRAELAAGQADEALQQAVSSRKTAPGSA
MYDPDAFWDDIIDMLSELRKLLGETSRYDDIDIIFDRDKHLIYVTMPLRHITEIREISVEDGVLYIWAKNGQMTYKELDLNLPIKSIVSYSFKNGVLDIVLEY
MSVTLAYPAMGPILEFMEANKRIHLTSRCPALHTIDKSTQLRLDSLEFRTNCIEINKMSYELCHCDQQYVENQNQIDDKSSREALAPGDIQMDGDAFPKFRNFVAFRIRTGLGRESVRRLPAHLEIHVALKKLSSYLLGGRTTPIKVADEKAAIGYALILPINETSELMVVEAKMPKNQQSVLQLVVQPKVDRSAFTHKIIDHIYTYWLLYSFLTNLVWMGGSIVFCVMMGTNAIPWVVGLIVISFSFLWFIVPAKE
WAFSRHFRHFVLSSVDEYWLAKQAMEAHSSQYLWFRHVYVLLSRYMFANSFTRLQIHHGNSNST
MLFEPDDFDGGSVGGAIAGVVVVVFLVIACFAFPALLGLVVPFLLIGGAVKGAGAMFGANTPNSTKPKAGPSVFTAVGGRKTSKGGLGGGPRRK
MKAYSQSIRKKLYEQYSVTEYCSCTLAGDIEEVTLCGYEMDIAAARYPVCITYYESGWGKEYRERKFDLGERQEFYIENTRGRSSHQYHPFCIIACEDNVVMLLALAWSGNWYLRITEDGRILSGQTKEMFTRVLKAGEEFYSPSVITAQTEDGDLDTLINRMHRFGRDIWLKYNAESRSLWTEWNHWWTFEDCGINEEVFLANARKAAELGIELCTLDAGWFGNSTDITWSKLQGDWSKCNKERFPHGIRYLSDNVHKERMKFGIWMEPEAMGTMSELRKEHPEWEALRDGKPCNSPYVCLGNKETEEWLFQTMTALVEDTGCDHIKLDFNLDPELGCNREDHGHQKNDGLQVHYEGYYRVLKRVGERFPHLVIENCSSGGLRTDYGIMKWAHTAFLSDVDISSHSLNCFWHLSMFLPVENILHWIWSETREYEDGTHVFESFPMDEDAEESRIKYTLRAAMLHQLGISRDLTKLSPKWSRLIKSELDFYKNCIRPLLGKGDFFHVLRTEDIYAFLIKDEEKGYLFLYNTGSTVHQPSLIIRGYDNSCKCKIENIDTGVGQYYRGKQLLEQGFPADAINGESAAIYKIIEMK
MKLDGKDYLQVLNKTLLPTAYLAPIQYYSILLQSEQCIIDIHENFVKQTIRNRCYLYSSNGKIFITIPRVRKKSSKTKTKDIKISYKENWQKIHWNTIISAYNSSPFFKYYKEDLEKIFFKREKYLLDFNNNLTELILSFISEKKHYTFSNKYDKKIQVNDFRNSDFHLNFQQKYQQVFMEKFGFIANMSIIDLLFNVGPESKNYLFLIDLNCKIKNKINN
MKKNVYIVLTSVILIVVLTLTLSMLNRKKRDISDREAGGLATQITDLYDKAKDLVLKEEYRDAKAIYAQLLENTHDEEFAQRVQKDIMELNMRMVFSAYPTDDSIIHTIKKGDTLSGLAKKYNTTVDLIMKSNNLTNDLIRINDGLKIYTASYKVLVDRSQNILTLKSNDSILKTYRVATGINNSTPVGTFKIVNKLKDPVWYRTGAVVPSGSPENILGTRWMGISAAGYGIHGTTQPESIGQHITAGCVRMVDSDVKELYSIVPIGTEVTIIE
MNRRYFFRTLLALHLMILTSTPSWAAPREPWIETSLDAGEALLKKGEWDRSQEEFQKVLRSPHVEDRIRACRGLAALYKKLRMPIKEARILKRLAEEQRFQRSLVPESPGFYEAYKIAKGDTYAKIAARRKISEEWLKRINGRKLLVEGKTIRVPKERYTLVVDKKAKKLFWKRGPEILKSYPVSVGKEGTETPEGEFRVKDKIKNPVWYRMNQVFPPESPENLLGTRWLGLDHKGYGIHGTRLPGSIGSAASHGCIRMYNQDVEELFTWVPVGTRVIIGAPAAVKAQGA
MKAFHEKIKVKRDGEDIGVFDDDSEICFNKKNSVVAEKNRHILFSSNDHIVFMFAEYQIGFSKVPLMLDFNAANKSVCVDLSQKKNNKLQKKMTTFKAITTVSDSPYDDVTTGNGQPIFFSYSGGFDRLHGGKVSDTYVVKRTCKRCVINNYDSDEKSDLHFIEETFTNLKATKSKENLVTSDYLCEGATGSSIDGKECDDNVIGGPSDDILSCGLGNDVINGGNGSIYGGNGMDDL
MLVIPTFRERPTLTPLIEVIFSALPKAIAEHTGVLIADDGSKDDTEEEACAWLEAPRPGCRPPAPSAIPELLEALTERTPMVLSTRHGDGVSLAAGHSTAPLFIAGFKHALEPLLLENPDASPPTEVAYSFGRLTSGSSKLGAKVMLRYVLQLGALYR
ASSSTGASTAGLSVVKVLSGAEAAGAAGAAGAARGAADESRRLEHQKKQEKANRIVAEAIARARARGEQNIPRVLNEDELPSVRPEDEGDRKRRRRAGERGAGRDERPRRGKGGQAGGKSKARGKASTITPVVGKKRKRNVSSDNSDSEALPAPSPRRDDDATVQKRRSNRQVKRKKYTEDLDIKITDDEEDEELDVTGPARPEQPPAPPPPEPDGAEALPSMQFFV
MRPAASYYHASEDCLYFADSENHAIRRADMSTRLVETLYPADNDKGRSGLWTWILGKLGLRRDVRTKSVGSNSEPLLFPWHLLKSEDNDLLIMNRSLDTMWNLNLDRGVIHDIITGHSSIMERYGQTIMEKVSCLKDLSCNFLQKLVGVSYSLDGVPYSGLMSSFVILRDKLILCDRVGQRVLMLDKLTEAATSIKFSNFGILGVPYWLSFSLERAFPTGNVYGEHLFDHVQSFTLFPGKVGIHLAIDIPHNTELLEPLHECCVWRQARGAAMEVSGLESTASASEKIGVAQQWYDELDNLPFEEEPGANVSA
MFVRRSEALLQRSRAMGTLVLFICLLTLHATFAAKIQQPHIVFMIVDDLGWSDVSFHGSKQIPTPNIDSIARDGIILNNYYVSPTCSPSRGSLMTGKYPLRLGKNRLAMC
MSNKRPNIVFILANDYGIMDSQAYAQKFTGVEPSKMFYETTNIDRLINESTAFSQAYANQLYSPTRASIMTGKYAGRLDCTTVMPPRETYYNQNPTTPEGSYAHDVLGNKDNFLIEEVRSVPIKDMFYEYFV
MLLTNLVTLSALIVLIISTCEAKQPHILLVVADDYGFHDIGYHGSEIRTPNLDNLAHGGVRLENYYVQPICTPTRSQLLSGRYQVGFKIYSWTDQ
MVKLIDLTLNEGYSFKVPCIDAENLHTNEHYFHINHLRRWREVFNYLAGLLFKLVFILPYSHFCYYSNFHLMGGQLKRLEPDNCESIKNDGEVWSILVENGIATFLERMTGYSALVSYAVTASWTRGWVQIGSTRFTISTNAIADAAGLPAAGDIYYRRSLHTEIQDFNAPGDRPVKYISGYTHDSLPSPWDRVAEAIMRYFTIDGRYRL
MISFETQVFDVDHILGPDGLVASGMRDYEHRPGQVAMSQAIMRSFETSRHLLAEAGTGTGKSFAYLTCAIFKAIENNCRVLVSTHTINLQEQLINKDLPFLAKVLPWEFNANIAKGRSNYICLRRFKFAKDAGATLFDGENSEMQLLAKWVKTTDDGSLSSIPFVPSPKTWEAVQSEHGNCKGRKCPSFRECFYMKARRSLETSNIIVANHALLFSDLVLKKQNGMGIIPDYAYIIVDEAHNIEQVAEQHIGINLSSSRIAYTLNGLFNSGKNTGLLKITGQSELCPLVDDCRKSSELFFQSAEDWIKQQGQSFSGRCPANFIEDTLSPALRALRIKLNSQAGKLDEEDDNRFELGRYVEILKDYEDAVSSFIKRPAEDDGFIYWLEYKAGRFARINLRSAPLEVGPHLQDFLYKPFPSIIATSATLSCGGEKGFDYLAGRIGLNDYDSIQLDSHFDYKNQVKVYIETALPEPNSFEFTKAAADKTLQYIKMTQGRAFVLFTSYRMLDEFADKLRGPLEDMGIQLLCQGSSIDRTTLLKEFVNDTQSVLFGTESFWQGVDVPGESLSNVIIVKLPFAVPSRPLIQGKIEQIRKKGGNPFNEFQLPSAIIKFKQGFGRLIRKKSDKGIVAILDSRIITKYYGRLFLKAIPECTVEQIRS
MVRLNNNGVSFFLTIYLSTTYLFTQYVIRYRMLKSCFSLIPFDKMVYNGTKRGVNTVGKDIVVFDVETTGLEVEHDEIIEFAALRIRNGQIQESCHFFVQPEQEITSKTLMRTGLSAEEFTNSLPIYEHHQEILNFFEDAVLVGHQLSFCLSILEKKLKVNFENSQWDTLELARIFFPTVHHYQLSYLAEKLSLALGDGSRHQRSETNAWLTWKLFEACRLKGLKFDLSFFDQAKSFLEGWAGKGFIDEAYQEIIRRFPDRQIRTDLVLAPISEGIFAPGQTPTANVPSSIEWVVDSFSPGGILERNLPGYESRPGQVRMAKLIAEGLTSSQHVVVEAGTGTGKSFAYLIPCLWSAIKTGQKVVVATHTIPLQEQLQKKDIPILENVLPFSFRVSVLKGKGNYCCLKKWQGCLVNSREIPRGEQRLALLSVLVWLRETLTGDLQELSKVPGLMQIWPSLSADNETCIPGKCSKAGVCFLQRARKKAEEADLLIVNHSLLFSDLKTDYNVLPEYHQLVIDEAHQIYQTALQHLGSDLNLDHVTQIVSNIYRQAGPNFYGMVKQRLRSLTQIVPSVPWEIFEKRLENVPEICHMILDQAQELFQLLSLILGTNRTFRFVASHAAQTWWAGLNVQIENLFGRIKALGAALESLKNALSGEDADEVEELKYVLTSHQRELQVLMDTLIQAIDVDNPKQVTWLEQNSSLYLKTSPIEVSDILKEKIFSRLDAVILTSATLSISDSFVHFLRDIGLPRTTITEQVDSPFDYERQMRLFVVKKGMNHQNSDEQKAMDLTKFIFEVAERMNGRTLVLFTAHKLLRETYAALYPRLARAGIETLAQGIHGERSTLLEAFKRNPRSVLLGANSFWEGIDIPGDTLSCVILVKLPFWPPTLPLVEARSEFLKSQGRDPFQELLLPEAVIRFKQGFGRLIRSKGDRGVVILLDDRVIDKYYGKFFLSSLPIRTHVRGENELVLRRIEEWNTSELD
CPQYSECHVVKARREAQEAELVVVNHHLLLADLAMKEEGFVEFLPGADAIILDEAHQIPDLAVQFFGVSLGSRELSRLIDDTRASTMGFAQKELHRRIDRLQTALRDLHAQMPRKEGRHELGDLAISLREPIDELRLAIAELANALQEFGDASVDIEKVHGQLVNSGERLALLVSEDAWDGLRWLEINPRSFRLNLTPLDVSSTLSGLIDNDYQAWIFTSATLAVGEDFSHFTSRMGLANVTGLTFPSPYAIEKHGLVYLPSGLPQPSDFGYTEELLEKVTPLLQMTSGGVFFLFTSHRALNVAGKWFQTHKSILSGRKLLKQGSAPRDDLLRRFRAEGNAVLLGTGSFWEGVDVRGPALTVVCIDKLPFASPADPLMMARLEFIRRNGGNGFTEHQVPQAVLAMKQGAGRLLRDQSDYGVIVLCDPRITSKNYGRTFLQALQPMPTTDDLKEVEAFLKKHEPKGAVA
MNTTKWFAKDFIIQNTLSAIKDAISNAGGNEVFLIGKPDENYMIADIEVYAMGNKNAVPAILREVKHGEVIIHNHPNGNLTPSEEDIEVASEMGSLGAGFYIINNEVEYLYPVVKIAKEHPYEKLDCVMLSNLLRPGGILSVTIPGYEYRESQVDMLTSVSDAFNNDTVATIEAGTGTGKSLAYLLPAIFWTVKNKERVVISTNTINLQEQLMYKDIPTLKIENIPNFKSVLVKGRNNYICLRKAQNLQFEGDMLIEEREKKQLIHILSWAEKTRDGSKADLNLLVNDNVWEMVQSEADQCTRLKCNFYNKCFFYSARRNASSADILVINHHLLMADLVLRKETRGYDSTAILPPFKRIIIDEAHNLESVATSNIGLSFSRMRILKPLGKLVNQKDNKKGLLPFLKNKIKDVDFQCNKDITVDITEGIYPQLLDMRIEIYACVTNTFSDIFESVNSYINNKFLENGTELKLRITDELLSTPLWSDSIEKKIRHLCTEIHNFVILLNKLIAAIERLDKESRDILSSLFIDITSCKTRLNTAKNDLFSFIRSEENLCRWIELRSYNDNFFIKFCNAPIDISNALKAYLFDNYRTIILTSATLTIDNTFTFYQKNTGLHLLPEKRITELILSSPFDYKSQSMICIPTDISDPDDSYYKKDLVENLSKLIAITDGRSLVLFTSYRLLNDIFDMLKPYFTGQGYTCLKQGEDNRHNLLETFKNDKTSILFATDSFWEGIDVKGDALECVIITRLPFKVPNEPIIEARTEAIEMKGGNSFYDYSLPVAVLKLKQGFGRLIRSKEDRGVVFIFDRRIATKSYGKVFIKSLPKAKCIKKNTEHVFKELENFFKRR
MQIEEIFGKDGLIAQHLPSYEYREEQIQMAREIEEVIQEEGYLLVEAGTGVGKSLAYLVPFLKWAVNGEKRVIVSTYTKVLQSQLLEKDLPFLRKVLPFEFRAEACFGSANYLCLRRFYQSQLRGFFNSSQEIDQIGSLIEWQKATSLGLRQELDFEPSVALWDEVSRQGDLCFGKRCPYRQECYYQQARIRWFKSHLLIVNHHLYFANLAAEDMVLPPYDALVFDEAHSIEEVATGYLGTEVSNIKISYFLNLIYNPKTGRGFLNRLGDLKENIINKTIKLVDEVRLASSNLFQNILEKIEVQPITLRLREPNFVPNLLVEPLMALSGSLEEIKKRSAEEEEVLEVSAFTERCQNLALGISRILNQDEEDYVYWVEISKDLKQPKISLQMAPIDLRDEFRERIFEVIRPIVLTSATLTSNSSFDYLRSRIGLLSTRELILGSPFDYQNRVLLYLEKALPDPSTDYDGFKTAMLERVKELLLGKVGGAFVLFTSLRLVEEAYNFLTQEISGRKFFKQGDKPMAKLIEEFRQDEEGVLLGTNSFWQGVDVAGSALSLVIITKLPFAVPDEPIVEARVERLISQGKDPFMNYQVPQAVLMMRQGIGRLMRRKSDSGVIAILDPRLILRHYGRIFLASLPNCQKTNSLKEVNSFFSQVKIE
MKRNIQEYFTEEKIKNCLPDFEPRVEQVKMAEKVFQSFSKSENLIVEAGTGVGKSLAYIIPAAIFSMESETTVVISTETKSLQTQILEKDIPIAKKILQKEFRAEIALGSNNYVCKRKLSNTIDSGNFGIEMTDHLKEFYEWEKNTQTGIKSEYTRFASNEFWSKVTRESDNCLGKKCPNFSSSYYFLEKEKWRNSNILVVNHHLLASHIAGEYKILPEFSYLIIDEAHNFPEILSKTFGAKLTFEDIQKSLDFIYVVGKKGGIITKFQKEKSIEGLKEQIKGTNKLLVSYFNKLYLEVPISFTTQRIKEKLKLDEGDLECALGEIVEELSREIADSANESDGVDSKEKVLELQMVSDRLNSSIDILKKVRMKKEADLVVWLEANGDRFATLCVEPLQSSSIFQENVVGKMESIIFTSATLKSGKKDFSYYKNKLGDIPVKEEILNSPFDYTKNSILYLARNLRDPSSESDDFQEDVIKLIPYLLELTQGDTFVLFTSNKSMNSVYDALSKNSTYPIFSQGELGPNRAKEGYLKTEKSVLFGVSTFWQGIDIKGDKLKSVIIVKLPFQPPNDPVLEAKTDELKKLRLNPFMELQLPLAIQTMKQGFGRLIRSKTDTGVVSILDPRIRTKSYGSLILDSLPPAKIVNSFGELKFAYSKLPQYK
PQALAWALIGLALVIGYSYRDQIQGVGGRVLGELRPGSAVSGPGGGVTITRRSDGDFRVEAEVNGRVQPFLFDTGASSVVLTAENAAALGLTPAAADFTARVSTANGIAYAAPIQLDSLRIGTITERRVNAMVARPGSLAGNLLGQTFLTRLSAYEVRGDRLILSPP
MRASTIIALVIVVAGAAVLIFSHEAGMIGGISNDQFASIVAMVAILIWMGGGAFSGRLRTDMRNFMIWLGVAAMLVVGYTFRDELRPIWSRIAGELNPSRPQVSGEDVILRRSADGHFHARARVNGTEMELLVDTGASRVSLSQADAQAAGIDLSALRYTVPVQTANGEVFMAGTHLNAVMIDTITVNDVPAFVAPPGALSGSVLGVSFLGALSGYSVRGDTLTLSP
MTGKNTIVWLYNSRPNLKSEIRKEKNKIVNVRTNGEVELGLLAVIDGDALKEEGTKIGASIATDGVENEEALEVGAVVGELANMIKAEVADLFADDVVSLGELVCGVLLARDKLLKIYVVRVFQPPLLLLSQHHTSAVCTAVVLARKRTRSSPPPSSIVSDEVYTACDFNYPRKTCLCKLDDYYHIKKQIESDFVDGANVRLSKSFLDLLKVEQQSKLKDHLKKYCQKESACVKIHFMLTLFADSWMVTSCWKNWNVTLCCIRAMAK
MHPYDHARSSARTHGGAWQDYFALHAWFDHTKSLLCRFTHRALRHHREGVAEAVFVFGAAIRNQDGMEIDTVELGRQHLLEDCPRIVCAADWMAGFEPRNGFLSRPRKRTTSPGRA
MLQLITTVDIFASGESPSIMPEISPSDIGPGFPGFVLTFSVVVVAAILIVDMVRRIRRVRYRAQVAERTAAENAESPEPEARNEYPADLPEAPAAGTDADADKGPQDR
MAHDEYQSRLMIASFRENLALPNDQQVVEIEDALLEEGRIDCRFSIIGRFATEKSLNGNAATRTTVKAWKTKVEARVQTLKDNCFLFKFAEERDILKVLYNQPWSFDGNLLVMKRWSAELTHSNVTLNIVPFWIQLHDIPPDMFTYKIIQRIAADLGEVDEIDFQQGGPHQGSFIRTRVFVDISKPLKGWLPVIQKTSHKATIVEVKYERLPNFCFHCGLIGHAERYCEDSPVLMREEREVRAQFPREFGPWLKAERKETRPYAGKEDLERRLKRFLVEKGLATEGGSSSHQGRSMPETLVVETGESMAVENSDAAHNNGGLQPGDDDGQRVTRATTIQPLIANDRRAHLTRHLPDSPRTPAPLPKRQVCHQEYACPPTIIPPQPTPPRPISSQVFFPPLPLLPPLSHSPFHTWPSTNPSGPSAVPPPSVALSLLSQDTDGPPSLLSTGTTCFFTQKEEPCSVNQTPPEGPTFTTYSPPLAHNLNPPACTPHEPSHIIPPNSNAAQTQPISLHTPSPSKRKSPKVKPLRISPYSPSKPISGGSEGKPRKSVAVLNVEDEVEWVSVEIAEVEKMSVILLSPKRLDTMDFVNPMVEVDLLQFMGLHCKAYKLHPLKHRKNDVKAKLEQVILQHMRPKEEVQFWIKSIEAIESQVNEIVKEVCGRMETQKKIKIECLLGWNEAWDLFQKMVGEETLNSHLEIPKLVEVVGKEYCAGLPLALIAIGRTMASKKTPYEWNHAITVLRKSASEFSGMGDEVLPLLKFSYDNLPNATIKACFLYCSLYPEDYNIIKDDLIEHWIGEGFIMEFDDMNEACYQGHDIIGTLKLNEAHQVEKWELAERISPMENDISAPTESPTWPNLVTLLLERNKRLKTISNGFFKSMTGLRVLDFSDTPIKEFPMEILKLIELQYLNLSITLIFTLPGELKILMKLKYLNLRNTFNMQHIPFIVYSRLPRLQGLNRYHINTDDIKLGDTGGPKFKKQECWVEDSLGELECLKHLKVLGITIITIPDLQRLFTS
MASATSWPSATTTSWSWSAHPSSPTTPGRPGSTWSTCGATDILSRDSLTDPSARPVRPVRKTLVTDLSDVPGLHRVDDIEGITLGPRLPEGRRTVVLVSDDNFDARLEGLGPLHLRLPDRRRNLRLAGDRPVHR
MRVVIVLSSAVLLLLSTLSQLQLHCQAVENYDCGPLETYTATASCDFNCDDVCDVIIPGQCICNDGYLRNRKTGLCVPDEQCFPAIEPIKLSCLQD
MNPLPQVRWPVVGPRLSAASHAPLLFLDFDGVLHPNGAAPAVWFKQAGPLMALLHRWPTLDVVISSSWRFHHEWKDLLDLLPTALAERAQGCTGAAHTGALARHTEILNYWQEHGGGRPWLALDDSVWEFPVHCDRLIACDGALGLRPAQLLQLEAWLESLRLLPALSFEQISAAADHVRSSRDASISSLQRKLRVGYRTAQALLAALEGELVSAPDREGLRRML
MKQIVELYISDCNSLTSLPFSVLPSSLKRIEISECQKLKFTTTSYQQLQEIGEWPKGVAFTETPLYNRASDRP
MSKYEPKVKSALWQTVFFLHIAISSLLLVFICTFKLEVYALKMSLLWSGAAMAIAALVAQNRYSHFNALLTPNTFVSSEFVTVLLKYQQVGSWVLLLLAVLGVFIGV
MKNTKVRYKMYKAKKNWVSAGLVTTAVLAGLTLGSVKNNDVVAHADTANSVVPQQQNTDAISEQQQKVDNANSAANQADQLRDNAQTDLNKKTTHQKNLDQAANKADQLRDNAQADLNKKNTHMSNLNKSKDAADQAFNDYKKETDAAGQLFNDRDKAALKAEKQAQTDQNKSTEDQGVLQNLQDAANKAQNTAEQSKAAYEQKKQAVDEAKDTAFGAHTDADNAYAQWQEDAGRADSAKNEADDADDAAGRAYTDAGNAYAQWQEDAGRADSAKN
MTREDAGHSILTTDGGNACGLSGSPFVSDCDYDQAGAILKWIYGELNAPAERPKGKFLIFAQSRYAEGFGNGLSSEGVIYIPEICAAKGGCRLHIALHGCEQNRDQVGMAFIEGSGFAGWADTNNLVILFPQVEASILNPKACWDWWGYTGKNFLTKDALQIAAIWRMVEGRGKQKDSGFPAAFSVRRQAIWQADGVSEPGHFTESRGLH
MNRLLAACIAMLLGACGADSNPPLPKLTLDPERVAVVGISSGAIMAQQMHLAFSDHLRGAALLSGPPYQCAEGSLERALGYCLKAAGATPDMEKLAAEITARAQRGDLAPLHGLRGDHVLVTHGKNDTLVPESMSRASLALYQALPEASSMTLRFDGDGAFAHLWPTTSAGGDCQTTATPYIGNCNRDYAGEVMQAMFGDAPAAAPAQAGGRLSAFDQDAYRPDGEDALLDSAGLLYQPARCAQGERCGLLIAFHGCEQNRAALGETFARDNGLNRWADVYGTVVLYPQTRSSYLPLNPKACWDWWGYSGANYDTRSGVQLRAVANMAAALGAPLQ
MATMSKSLDQETLHQEYLLCKFVLEHREPIEDAHVGLFLAVTVPSFESESHASSSRNIVDSLKNILVILYQFMYPYAMCGRSIAAISASLIAVEKLSDISPLFFIGLLQLAKNIGTETFVFKRPVVFPRSLIVSIIFMTFYSLGLALSKDIPDIEGDKKFGVDSFSARLGSKKVFWICVGLYEMAFGVAILAGASSSSPFWIKIVTGVGNLVLALTLWYQTKYVDVTNPASARSFYSLNWKSDLAATIH
MGIEEVDNESEPNLESMVLSSKSSSFDIKWQVWQPVPIAFLSAFLSKFYWTEFSSSIIDFDSQWWSENGVILFSGIIYSIFLFVGSLYWLDNWKKRAELFVASVVTTIIAMMLIIGGSILCWPFLIAGWVLITVFWGRYNLSPWRTGVWLGFGGSVVLVLAGVLAHIII
MSGRAGPPMRPPAPGQAAPRPPGNIRAAGAWPWHRPPGHAAMQHPVPGPASQPPPPPWLHAAAPQAVGGHYAAAVATGQAPLVPPPWPQAAAPQATMGLHPPAAAAAGPAPQLLLPPALPHAAAPQAVGGHHAAAAAAGLAPLVLLPWPPAAAPQATMGLHPPAAAAAGPAPQLLLPPALPHAAAPQAVGGH
MELAGPSAVGWAPYVAASPTPGRPVARTSQKGASAGVTNAARVLPCLEDALSRRWADLQDATRRSFTPAQKFDEKKGFQCNAYAHADCMRGPASRRRSREVVFFAEERPQHWGVGCKWAPMRKAA
MIRNILAILSGIVFGMLAIGIFESIGHTLFPVPQDIMQTAENQDTEALFALISPQMLLFVLLAYLLGSFFGGLITSLISKRIMSSIITGGVIMLGGVINLFMIPHPMWFILVSFVVFIPFAFIAGYWL
MASRSLSSSPDSAAAGWGSDSGRPDPVEERSVESGTGSDREGAELEREFESAAERVRELVQTASREELLYLYARYKQATVGKCNTSKPGFFDFEGQRKWTAWKQLGDMSKEQAMQEYITSVQTLDPEGFKYKRHLSTTSNSQTPNSTMAKTKELSKDTRNNIVDLHQAGKTESAIGKQLGVKKSTVGAIIRKWETYKTTDNLPPSGAPRKISPRGVKMITRTVSKNPRTTRGDLVNDLQRAGTKVTKATISNTLRRQGLKSCSARRVPLLKPVHVRARLKSAREHLDDPEEDWENVIWSDETKIELFGKNSTCRVWRRKNAELHPKNTIPTVKHGGGNIMLWGCFSAKGPGRLIRVKERMNGAMYREILSKNLLPSARALKMRRGWVFQHDNDPKHTARATKEWLRKKHFKVLEWPSQSPDLNPIENLWRELKIRVAQRQPQNITALEEICMEEWAKLPATGSSERRKGERRTTFGGAAVSCLYQQETIREEDKNIFDYCRENNMEQVRSALSTHNIDVNTKDEEGRALLHWACDRGHKELVTFLLNNNANINSQ
MPTRILATLLALALTGTASLTAPAAERTDYITQIKQPQGDASTAQDPLVKQTLNQHYPVNADASERLARISDGAPRECHYIRSGNDEYCMTLHSEKTVDGARHKRRYLLFRGDNLSGAHAASGLVVLHAFTAENGAWRETARVQSEVGEWGRAPETWQWQELGAGYWSVSGQSSFTANGETTGGLTLLYDDGERLAESHIPLTYSLDADFCAPAEQKTCDNDNLFADKTRLEETLANSADLHGIATIRRDLAPSDNHWPLEISVSGFKGRKITGRETNGAYRIAPIKEYWQDKYLFRYDAAKHSYQMPDDYPL
MTHSLALTLVVLSLTTTISITTNTSNTNNNITTNFTTNEFADYHDISSDLFGALDVKETQASMIINQMAIMHMMDELKEVKLNSGGGGSRGTQHGGCGRNVMEELSQLKDELQTLKSSLTKHADESVAQKTSCVRTVREDLALVVTSQARQRQQQTTLNQTQTTLQQSQATLNRSQATLLSDLATIHEGQTKLQENQVRLYQSQNTLQEAQTTLQEKVDWLQQSLSRLLTQQYTLGSSSMTVSERMAVGSAEVRSDVMEDGVLLSRDEVQEECPEGELILHDNCTISECHQGSLRPYQGVRAPNLSFSSLVSKGCVYREETALTWDASRALCVAKGGDLFVPGDLHSLDQFTSIHPHGPWVGVRGRYWLDGRLVEAEAWDEDQPSNDVGDVDTLCGWIYPKTRRLYDAPCHTYTWHSLCHIGVSYPQR
MYLKVFKYHLPALIILGIVALIGYYAYPHLPDLIPTHFDIAGNPDHYSEKGTFGPLFFVILFAFFLFILAFDLFYFSRMVEGKIMAATNWGMQGIMAVIYLSTIAYPLGIIDNFLAGMAAGLFAMGVVFTSLYLGAKRRLDDEAIRLESSPYFERVKPSLLMRLIFFVRPYLPNYIIQTKEGLRILGTLYDLRLKWDEIEDIRPASPVRGSFSFVKLSTKFTGVVEIVLRNRRSSVIITPEDREAFVKCAKGFLSNG
MHKNAKLFVSFNVKNYHCKYNLRANTVAFFSKLFVSIYDNNYHCKYNIRANTVAFFSNINKHKNAKLFVSINVKNYHCKYNLRATQSQSSPTLTSTKMPNYSSASTSTTIIASTTSGQTQSHSSPNYSSASTSTTTTASLISGSTKSELSSHAKTTKCLNTKFLYPF
QLHLSLDITSLSFRSTTANGAIQVISPSSEYATISIAQVDMTNSTCTLLSVILTDLIAAAVLPQGNFACILGTTLVHNSVLTSINLNAAEVSSFVFNVVSDSHYCIDSVVHIGVLHAKGSIVEGSSAIANVVGLRGSLQVLDTLGIRGQINVTNVTLLDSSMDPGFLQTDLFNAQDSSLLIVLENALLSGLTASDDAFFLKLGGAVKLLIKSTISVIFSSYTSFEPAIKYDEGVMWPVLLPSHSPFKISIEETSSTSMEVLSIPSGGFVSQGNYFFVGEKNPGILAALTVNEWLDILVRPLRDMQCPIGYQFYYTVRAAKVYA
MKPRDRVDAIFNAPDFDELIHWLRHQPLLVHNEKLNFLMVHAGISPDWDLKTAKSCAAEVEQILQHGDFHYLIENMYSEQPDRWSPDLQGLARHRYIINAFTRMRFCYLDHRFDFACKSPLKDAPAELTPWFNSL
MKRFQWIIAQRYMLRQKSACLSMLIVAMLAVTAYLGINDSAAAMRSNAERFWDAAHFRDIEIAAPSLLSEADLRAIAETEGVAEVEPLWYASAAVAGQQNAAVDVVSLTETLNTVILTQGRMPRSAGECLLEQPVLDALGLSVGESIELEGCPALAQTRFTVCGAAQHGDHACVPLHVPGNRYVIVLPGAFDLASLHGGSMKALVRIEGAEGADRFSEDYLRKSELVCRRLNRLAEQRAGRQLSLEDADFLDSVLGLALARLYDIHPWLVLDVWGSSCYYAIRSAAENVAAMGVTFALAFIIVGALVIYASVSRMAEADRAAIGTAKALGCTGREIAFKYLAAGLVPTAAGMLAGIAAGYAGIQRIVLAIYGQLYVYGSGVPAFRTGMTLAVFAAGLGIAAAAALVACAGILRTPAVKLMNERAAAPRSTARAKRSAGTNLTAKLVLRGIRSGKRRIGVIAAGIAGCMVLLVAGFTIKLAVTQSLERQFSDVERYDLKIVFDPAAEHEGTPLRAQIQSVLTGAGLEQGAGQNGWIALYDRGCFFTAGGRMNGGELICAAPEDLAGYLVLTDVSGGGRITPSARPGVYIHLRTAETTGLAPGSRLILHDGSMGQRSVAVSGVFNNYVGGQMVMSEAAYEALFGEKPAQNCFWVKCDALCRAEIARTLRSFPVTVTESSAKQAEYQSYTAALDVIAALLAGIAALMAGGVLLNLIYLQYYRKKRSLVVMRINGFTAWETLGYVLGESVVTHVIGLLLGIAGGAWLGRRIILLMEARQLHIVRSVQPMAWLISLLIMLLFSFVIHAVIARAVVRLKPTEDVMTR
MSLQPEGRIKIAPSLVAAPLHAVGELISHLEEGGADFLHIDIEDGRFVPGVLNLGIRLIEEIRPLTELPLDV
MTKTLLDGPGRVLESVHPRFLVDLAQGDDARLPQAHQQQFRERLMQELLARVQLQTWTNGGMLNAPLSLRLTLVEKLDVRRCWIPVIWR
MKLKDNYFLLLVFVCIAIFFVNLDAIYVNIMEARNFGAAREMINLDHWIFTTLNDEPRYEKPPLPTWMTAISMMIFGMKSLFALRLPAAIMGTLTVLFIYKLGVRYTSHRIYAFISGLIAATSFYILYSGRDGQWDIHTHAYMIMAIYGIYKIFTEESSKYINAIISGLLIGCSFLSKGPVSMYALLLPFLIAYGVVYRYKNFRGNWGAMAVMLITALAVSASWSLYVYFFDTNAVTRVTDKETGRWLDYNVRPFWYYWSFVIQSGIWTIPAFVGLLYPYLKNRVFNKTGYKFTLWWTLGSVVLLSIIPEKKSRYLLPVLIPLALNTAFYIEYLFRRFDMMPKKEKFVVYLNFGLIALIGLIFPIAGFIYFSEILSNIWPWFAATSICLVAIGYLILNYLRKNKIKPVFYLTVWFIISVIFFGLPMARKIEVNPEYKSILLVDKYREKDPIPVYEYKSFAPEIIWEYGRPIKVLWNGDGFDLPSEDRFFLLNLSKEKESMQETFSNYRIEKIDEIDMNPVGNKHKSRLFRELYLVEKL
MQYLKRVAKPKRDSRYARRVISICFDEQSLSRMQPGLKLVFAHEEPPIFIDISEEKKARTTF
MIRKLRKFLFTAPRRTRSRMLFNQDLLERQRNDVFVLMHQQMSGLR
MRIAVYCGAHLGADPAYALAAQALGHWIVRNGNELVYGGSKLGLMGVVADSVLDAGGTVIGIMPKFLQDRERVHTGLSRMITVNDLDERKKMMMQHADVCLALPGGVGTLEEISEAYSWARVGENNSPCIFLDVNEYYRPLRIFFDQMVEQHFLSAEDRQLVLFSDSLIEIDNFIQCFYKNTPHD
MMTSIQSLCVFCGASQGNDPVFMEAGRALGRLMAAANVQLVFGAGGSGVMGAVSAGCLEAGGRAVGIIPQHLVEVEAALPGLTELIVVPDMHTRKRMMFERSDAFCVLPGGFGTMDETFEILTWKQLSLHEKPVILCNVKEYWKPFVQFAESMLSHSFIRQRNMELFTVVDGVEDVLAAANRTVVAEAAPAASALF
MSAVLKKLINGRLTAAAEEILGVCEQTIVVYEEEISRQRRLLDILLKPEIRLHRTELPQQHVCKQEVLADQQLRNQGRNSSLGREGPQPPQMKVEQEEPCTSQEGEQLALEQENDTAKLNSASDQTEHSDKFLLSDADKARRTAAVEDPLEKICIKWVRSESDFTNSAVSEPNTDQHPSDSSHIEDNQHHRTENHAIATSTTETEPKPKKKRNVGNTRSTTNTDPKPKTKRKHGDARSASNIEPKPNNKCNSGSRSTTKTDPKPKKKKSDAESTTSTANIEPKPKKSSNTSSTTSTEQKPRKKDDARSTSDIEPQPKKKSKHVDARSAFSTEPKPKNKCNTESTTSTTNTDPKPKKSGNTSTTNTEPKPKKKSKDRDERSASNTETKSKNKCNSGSATSTASPTPKQKAKHDYGNSRASGTTEPDPENKPYKCEECGKTFSYTKNLKVHMRIHTGEKPYKCSYCGRTFIQKSTLKRHLKTHTGEKPFKCNTCGKRFAQVTAVTRHMRTHTGEKPYACETCGKKFSCGANLTKHKRIHTGEKPYTCGDCGKEFTDSSSFKNHRRVHTGEKPYKCPRCRKRFTLSTTLKKHIRTHTGEKPFKCNTCGHSFRQQTDLKTHIRIHTGERPYKCSECGKGFVSSTKVKIHMTIHTRDLQSSSSKKNDNCAFNEKEIKC
RARSDRQPVVAVGSKHEQQRQEERLPSIQNFGLEVRDASPQDLRLIHKSCSIGVQKGKLPFTAFDDTIQINKGPLLVGKKSAQIFPSSHGGSVINLTLLVPQPHLESLAVCGNAYISAHGHWSAYWVFIVFISQREVEVSLCSVSEMPPAEEIFLSISRTIRPPSTDVGGEGVPVAQRNVPERTDSKSFLVLFQRDIPMLLHLLEVYQSMVRKDAAAGGVKSSVSSSEKKKPSSSSTNSSRSAASSNRPAGTPRNPQVSSNNKITHQTSQRPRGGKKKSHYASGGGGGATMMQNGQDGPYHPPQQQEQRSHRRSSRGGSRARQQVAPFASPEDILASVAGGDAMMDDETSRPSSRYAAQARQTHVGIKRQLPSESKSSVASQRTTRRRRAAAAAEAVWKMAQPTSEAETMDDDEAYGDDAVEEPEEQDPPSSSRSSCRYCGKSFSCNSSLKRHMRIHTGERPYACQACGKRFRDKSILLTHARIHGGQKPFQCDICQKFFSQKCNLTRHMRIHTGERPFKCQFCGKCFSQKSHLTLHERTHTGERPFTCNVCNRSFAQKGTMVAHQRTHTGAKPFKCLLCPRHFSQKGNMVSHMRLRHGKASKKEEEEETGTTRRKGGRRRRGD
MELLVGGADSLKLWDVPWMVTELQALPFDLVELWVLKQGRWDVVTLGNAEVVLTPEEQVVLVRPMGVHRCINFGAELDAIERRIWPPPAVPLNLRMDFHMLRIASNAQVSVVRVAVWEEDSVEPTLFLIELPQSRKLCPGEFADMCTKESIAAANACGVWDTLFKHTAAIDMWDVASTEWDPKNAQRDIDLTGHVNFVLFKKIHVRVAVGLGRALMYVDRLLLRQQAAQAVQAANGANAAGGNAAGGNAAGGNAAGGAGGANAAGGANAAGGANAAGGNAAGGNAEADTGDANPAGGNADEVIEIEYSDGEGEGDGAGAGGNAAGVVGGNADEVIEIDSSDEGDDNAASANVNANTAIPGGNAPGENAEVIEIDSSSDEGDVDAATLEYYDSHGNTLSDPIELPE
MVAPLTKQYVDLIKTFKSNCATLIDQFKNKKIESIKTFLEAWQSLNFNFTYEKKYRKVGSLHDFKNDSLEIAKLIMLNDHFSGGAFLLYGLYSLQDFPKGTIRLEHNEFCHVLKMLRLKGRKDLINDKDHKDLHLQLAFVFCTFFKANALDFVLFKRQYDIEGLHYSSLIYNKKYEFDESDSEEAETKSEYSVHKVLMQIPKKLIDLEKNYFELKSEIKSVPHLNLSLEMVEEDFSTTLWKALTRVSNGEESEQADDRIGKRRRALKNRKMHALQRYSLRNIDENFDTKSEPPSPPPPPKEKKKRRHGPKFFFTNAINDPDEGADCVSETEEIIDDKAQKQEPLPDFM
MDSAIMNLCMDIEDKLALLKEIDLFQSFQDATLRVLCEQCNETYLNNGDILFQEGSNEESMYLILMGKMMVYKRNRQIAELGPGAYLGEMALIDSKPRSASAKALSETLLIEVSRLEFDNYLTTEPVALRSMIQTLSSRIRNDLQIISADIKSMSILAHDMRHCLTPLGLVEGFFEDHIERLENGATREVVDEPSLEQLRNQLALVVRVTDELSSLINQSLGRIKKINVKYELERADILELVKESVADHARHPQLHNIDIQLKVDMSTAMSRFNRLDIRRVLHNLIINAAEASAPGDTIAITVLQLDGKTEVAVQDRGTGIDKEMEPLLFAEAVTTKVGGAGLGLLSCKEIIEDRHQGTLSFASDGDRGTRFYFTLPD
MKATIVAALERIATRKNSAGAGAFGATALLSKFFGISLVAHSSGGVILTAGSGYIAGTFISAFVVSAFWILLPIAVIVGGVIWFRKQLTNALRALGTRLVSRRK
MMSIDQVADYYEVSSQRVKDLYTQNKKEIDEDGVQVLPRDYYNGGLIKKDFR
MQRFAAAAERLVQYDFSLKTKVHKQDPWPRRLSKRLAGSRGPFYLRLAKMNPFHTAAVVKDPTAPLVAAYLQARHSIVPVIVVRHPVSLAASLKRLGWHPTLRWFARQPALVEDHFAEQDEQAFLERAWDDPIDAAAAHWRTVYRVLLRQAQAQEGWHFVTHEALSAEPVLTFQYLYEALELPWSSRVEHRIETLTTGDRAAARKGRVQDFHRDSAALFDFRRSQITLDERRRIFDLTADVALQFYDRDSFALEEQPIPSP
MRKLRPLHPPRPPKPIDRLQNLKTLRPLGATKWVKAHWRYDYNRRAWEWMLGHWSK
MEMRSDPKSHTWTSSAVGKIITTTHNMIECCAGGNFHALMHEELLCYFSPYYTAAFKGGFWEANQGSASFELTELQAKLLVTWLYSGRIEDDINYSDVLDLYIFADMADITALRRDIMDHLFKRGLKWQVFDEESVPDHFKTLPPSSGLVRWMVDNFAQCWARLFGSYDSYLKPRIAAFEIPGEFFDQVRAAYCRDWTEVGECHFKTPCHYHEHETVEEWRKCAGESFEMADKAYLRGTTAAGPPIKDRHVVYDYCKDFEGNWQKIWMTGNKTICEETDTESDY
MKKGSVHISQEQIKQFEMEGYLLLNDFYAEDDINEICHAIYLIIGEVIDEHGLMIGRLPYHPTRFDDGYIEIIRCDRRLGGVIYDAVKQIPAFVRLVADRRNEQLFKLLRRESLPGLAGNGFGIRINNPEEEKFRASWHQEYPHQLRSRNGIVFWAPLLKVEPDMGPVDILSGSHAEGMLPMTKISSGPDAQAGTYAMRLLNESHYAEKFPMQSPCTAPGDLLVMNFDVIHRSGKNISNRALWSMQYRLFDFTESVGRKNGWVGSFGAGVDFSKFHPELLLNQGE
MKAHELTHNNIRPFKCEQCGKNFTRNHSLKKHVNIHKCSSEKLYSTSSNLNRNKSTHDEVRRFKCEICDKAFKGRHCLMRHMKTHTDEKTEKCNLCDKAFKHRSALQRHKLTHENALFECKQCGKNFTRIHNLKKHMNTHKSSSEKLYSTVSSLINSIAEDIIDTEILIMEILEFTGI
MENGRESKVVCQVCGVWYRTISGLTRHFKCSHCSKTFAVQSALNVHLWIHVEDRPHKCDLCPKTFGLRGQLTAHKKSHVSRDRYLCNICGRSVSDLRSLTRHKLTHSNERHYGCKVCGKRFKLEHTLKEHMKVHTTRDRMFLCHICCKTFLSNNALTVHTVTFLGKLTGFLWFCFLFSVLFLYRPVSIKH
MLIEAIGACNTVDRYHTFAYIAQNADIASYSINLKRFKCDICQKVFSYDSNLKAHVQTVHENQKPFQCGECGRSFAMKRTLQIHLNGVHKNVKPFTCSECEKSFVCKSSLKQHVETVHKNVGDHKCQFCGMRFVLPSALDTHIKRVHQKIKSVPCEICGKIVSSQNNLRVHIQAIHSGQRRYTCGECGKGFTTQAVLSRHIFCVHAGMSTSFPFQIIYINRLLDVENALVL
MPDTADTSPKTPEPDDCLKSPNCADQQHTCRWIVSDEGAKHEHLCSRSFSTPIDLDKHLREDHLAHMSSKTKYKCLWKGCVRKDHQEFASRNKLRRHLSTHTSYKPFECKQCGEGFSAQQALDQHIRIHTGDKPYVCDVEGCDKAFKQKSALTMHKRTHTGEKPLVCEYCGKRFCESSNLSKHRKIHNPVYKHKCTEPGCGKEFIRLDQLRRHTEKHERQRKKTKDRLQRATPPELSIQVEPLYII
QLSVSESPTPCSGPASLNTSLTPKHNLSPPYTISGNNTTIRGPMHQHETDVADTYFHLSNTLPHKSNELPPGYKYGLSFNSLDVIHSNDIFVEKVGANQDCVCKVCHTAYPNRSSLRNHMKKHENHSLKRHQCDHCPYSTQYGKNLTKHIESMHTVANSQELHCEGCSQRFPSETLLRDHDCIMAQCNTYRCNECGRVFKTKLRLRYHTDVHNPRKPYVCDIEGCDRAFRTPKYLKNHRDEFHRIHPKNYACPVEQCDLVFHRKTHLKRHIATHEGKNFSLKRIVTLCHELIQHREWNFK
DRAVPVEDTSYLFRATKDISFKCCFCAYVTTDQHGIISHLVSHGIEQFKCQHCSVLFDSMSELQCHSQMHRTDMSFKRPSSLKSSLREQVCLTPTHTYKCQCCHLAFKEHGDLTKHYQTHLAEKSFKCKQCPKAFAHSSSLAFHSRIHTGEKAYKCEQCPKAFIRNSDLTAHNRMHTGEKPYKCELCSRAFTRQSTL
MSQLQNNQSIKIEPLDNINSDQQNENSSLVNSQICSDDDEGCLERFEKSDIKVEQEIVTEIIRVPVKKQNPRKCKICDKSFPKACDLKKHMVSHSNDRPYTCITCNNTFKRSKALSAHLKIHSGTKPYVCDICNQAFPHTTALRHQKVFHKGARKYKCEICNKAFIRVGHLQQHLATHTGERKFKCDFCPKTFTQSGSLSNHINTHTGLRLYECDKCDKTFNNSSDLHKHFVTHNEERPFECELCNKLYKRPAHLKQHMLLHAGVKPYKCDICDRMFSRSYHLSQHLLVHNNDDIYSCTICDKSFVHEGRYINHMLIHNEELNFECDQCDKAYNQPASLKRHQKTVHKDN
MEIKQNPLQTHISSRLEDKSVRNSRGRPNARNTIVFSPQPKSTIAEFLSKHKKSQDNVSKASLQITETNDCEKYNLICGLCSHRSADMKSHKKHMMDHKQFICDFPSCKYSSKLSSNLLKHKRVHTNEKPYLCEKCSFRSNFINSLKVHKRTHSSDRPYQCKHCNYKCNSSSNLKKHCLHRHHN
MWLQLQNDRCLVSRTSTIMSAIVKVEIKQEDNINTSIDEKLLLNDDLSGIIKQEPNDITIKGDLTGTFQDANDVKQGNECVNRSPITFNCTLCNHTTKYKRNLRVHLLTHTAVKDFKCNQCDYTTNNKSLLKPHLLTHKIFKGLKCDYCDYTTNNKSNFKGHLLTHKTTKDIKCDYCDY
MYIYNIFLCNFISLFYLCFLYLSFFFFLENIQESFADWENELNKPFHCSTCGKGFNHNGNLKVHERIHSGSGESSFYIGATSFLPFVSLNSKLQESRVFSCSVCGKCFNRKYVLQVHERTHSEKKFYSCRFCDYTCNQLCSLRAHIVYKHKKHFSVFCSICGKGFSSKQELASHKRHEHN
MSEPTTLDRWFLSDCEGRLEIWREAVLRDVTRDEHGEIDSYSLPAVYKDTDLIASWDLGTWDEGEDDADDARRRMWAEIVRAHNVGDEVERLRAELADRKRLSTSPTRDDVTGLETLCAAVHAAPEVGREVVKDVAWRTFGLIRAWREQGDEVERFRVALLAAEAERDEAHAEAEGIITGITYLQGPEECLERECEEYFDDTGAEHPGVGFCSHLQAKRLSVEEHLTVVTARDGALAELAEERLTSDALRKNRDQLNDELTAARAASEKSQSASKDRPPEQLDDLTIPVHDVLVALGVIAPDQEEENRD
MEFRSLRQFKDAILEHNMLNGRDIKFEKNDSNRCIVVCKDNKKCNYTILCSRVLSTATFRIKTLFDKHKCGRQFFNKSAKAEWVAKVIVDGLKNNSKMKLNEVVADIRSRYSTQIPGCRAFKTRQIARQIVEGDSSKQFSMLWSYGAELKRASAGNTFKINTAALAPGLRPRFERCYLCFDGTKKALTKTCRPFIELDGCHLKHRYGGIMLMAVGRDPNDQYFPVAFAVVKSETKDTWSWFMKLLIENIGDGRWCFISDQQKGLVQVIEEEYPSYEHGFCLRHLYANFKKKFGGGTLFRDLMMAAAKATYFEAHEAKMLMIKEAKLEAYEWLEAIPKNKWCKRAFPFFSKCDVLMNNLSESFNATILLQRDKPIITMLEWIRNYMMGRFATLTEKVERYKGQIMSKPLKRLDREIEKSAS
MKIRELKAYIQRKFSCKVNTPQCQRARAKALFLIEGKLSDHYAKVLDYGQEILRSNPGSTVNIGVKSNPNGNYFQCICICFKALKDGWNNSCRRVIGLDGCFLKGRVKGELLSAIGRDANDEIYLIAWAVVNVENKDNWKWFVELLGADICFEQGRGLTLISDQHKGLVESVKELMSYAKHRQCARHIYANFRKNFNGEMAFFEVDRACGAVENGISESFNSVIVEARRKPLLTMLEGIRLYCMERFEVMSSKAKTWEKEICPNISKKMNYFHTNMRNWLVYPAGGSVFEIRNGYDAYKVDLNAHYCSCKLCKDKFIAAYTKTINPMNGSKMWPKTPYKKPLPPKERRMPSRPTIKRKRHEIENKSKYPTVSNAGRPETCRICLQIGHNARTCKNEKQLPPPKPKRPTGRPRNDDFDDRIKCGRGSRGGNRGRAGDRGGGVRVIINDDDDFVDHYMENENEHVIYDDEGVPNSQTQTCVPETQYDADGDLQEVPVRDEEDSEDFWNIIPN
MERVVLGGSASSSCAGKSKLASNSFHRTIFRLTISLLPISSLILSRAQLESFLDLTAKRFLHNSNCISERDYEYATVLLSSFLSFANNNNENVEAFDNKEEGASGGNGGDANQKRPDDDSTGMDASSVGSQDNVSLDRSARLTNDSLGRSARLSNNDFSSVGRILPSSDLPPRSDRLSNNNDFSSVGRILSNRKIRARVSAVKIAPIMGKSSTACQTAFGCLNSPEAKTYAEAYNKDLDIETKTGLQMDCVIQTYTSTADKMITTGLRINSGMKMIGAIPFKNFKTTISTTQFLDGYYNSKSGDIYTKTSFTVRGDHSRVAARIANYDFNCRNPAFSLREDYSDRVQYLEVPNSHSAIIREDYIYITLTLRTKN
MFVESYTADQSNQAEHRLRVLHPMLRRHPRVRSVRGVHRIARESADPSRGVLLRYKRKADGYTQRNN
MIGINDFLCNKRYWPHGYTIPFHKTYDTLLELIAINLPRTKVYLSSILPMNGRPDGLLVKENVFVYNQEIDIINTFIQEKSKTYKATYLDLNTPFKDHGLLKDDYTIDGIHLSEKGYQVYLDYLKTIEPTLFI
MSTLPSKSEIKKNLKLLKGLLESRPMDLDARMRIARTYRLLDDVDEAVAHYSAVARYLSLAGNPLQAIAVLKELLQLNPRHEETMLFLAKLYARTRAADATNRGRVAVPILDVAAAADDGGIAPLDEGMPATATGIWRAIRPTSIADLTVIRDIDEVGATVDSDVEAPESPPVPLVTRRSLSSSSLPGVARLTPREEDALHRVPLFATLDAAALETLGHAMVQLRAAAGDVLFQEGDPGESCIVLTSGAATSSRSVRDERGCRDVMLRKLGPGDVAGVYSLLSAETRQATLTADTVVEYFEIDSVAVAAVVMKEPSARQALVSVMRERLLGTLFLDVPLFRGLEPEARDALAQAFVDKEFPTGDDLFSDFDDTDGLWIVVAGEVDVTDLVADAAAPRRRLRVGDWVASLAGSEGRTAGMSASARVDAVALCLSHKRVQLLVGGRGQAGRLAPGEPLSPTVVVGSLRR
TVAGLGPLLAHEIAHFLGLFHTTEPDGRVLEALSDTPVCGTDRDGDGDGFLSTMECDGAGAGNLMFWTAQGRELSAQQIDVLRRSYVLRP
MKRLFLILFVLLFSVVAALWFDEYKGYALFTAGPWTVQMSLFVFAGGFLALWFALNALWSLLRQLWFMPAGVRLWAGNRRRVKARKKLVGGLMLLAEGRNEESEKAVLQGADVVDLPLLSYLVAAFAAQRQGAWDSRDQYLELAAIGDKRAQIGLALLQAQLQMQARQWVQAMAKLDWVRERAPNNHHALRLLAESAEARQDWERLAKLLPDLRKNGVLAAAELEDVETRTAQARLHVAVEQGSEQIEAVWRGLTRDQKRLPGVVALYAQSLIRVGQSEQAEHLLRGGLEKDWDPRLVNVYAELDLNPATRTSEVLERWLKDRPEDPDLLFAAGCQALRSEQLGSARSYLEAASRQVERPMILCLLGDVYERLGESNKARDSYRRALAKALWEEPGRSSVSLLAVPPAKVRSPAEAEAVA
MNSLQPFHLVGNQICNDGWGEMRSAQVILMGNREHAFVVGGGPAGLVAAIALRRKGLHVTVADGGDFPSDKACGEGILPEGLAALERLGISLDPNEGRTFHGICFINARTTAEATFPFRSGLGMRRVVLHRQLLRAAENFGVEMLQRTPVTNLSSNCVSLGAKKVSADWIIGADGFHSRVKSWTGLDRGLNARLRYAFRLHFVCAPWSDMVEVHWSQHAQLYITPVSNTEVGVVVLSRDPQLRVREALQYFPQVLARLGGADSVSAERGAVTGNCFLASVHAGKVALIGDASGSVDAITGEGLSLAFRQAEALAEAIANRSLANYGRAHKRLRLRAAIMARMLLLLERQPRLQERVVSALAAEPDIFRRMLAAHVGHISPISLATASARLGLLLLASNFS
MLYASGQSFVAVSTQRLIPVRTRAAVCLPVVSTRVSDHGRWEFIRIAASRRSLCTRTATTSRVALTYFQRTVHPHDNIAGRTENTLNHFSASSSSSRYAASSPSKSSKRCFASRSAHQKSAKSSTTHQPEVTIEFTNENDQLIPTFDEALLLHTRARAWAFSGLFFGGLGLAASLPPILFSASGALLLAFHISVDRLMLHLIAIHLRAHITSAKFRAVEFEDLQEPGSFGGQQHLIPPVKKWNVLLSSPGCERAFDCTTEAPPAVETSENKEQSNSSATADQDETTTREFKTSSGSGIRFEFRFGDQANVENPMFGRSTATGGGDPVSLGDIAKYLQLLYLPTEAELVLNKDGKDRDKAAVWEVRIQNHAKITDNRSSSKEDLLARKNLEQDLLDSVTNAYVVKETEVLTLQPLRSSEQLPPAYSVKFGSVQPGQIAYMKTVFDRLRDNARQQGLEPDAHPLQRYLTAPPIDALLIVPALYHTGGTLFLLLGSTIFLLRREPQA
MSSKSKNMWFTKSIEDTLKTLNVNPETGLTSEEAVNRLKQFGPNRLEAKKKKTVLQIFIFQLNDWLIYILFAAV
MNILFDKHWHHLPAAEIVDLLDSNTEKGLDQFDIKHLQETFGPNALTAKKGKGPLKRFLLQFHQPLIYILIVSGIITALL
MARRPLVARCPCGPGRSSRVAWAKNAQGRNRGATDQNQICRGAKHVYRVLIVEDDRAVQKLTAESLRRMRMECHLASDGVEASQRLQMAPYDAVITDMRMPRRHGHALCVELLAWEQRPLVAVLTGVNDPRLVHDLEARGVDAVFLKPVDYRAFARRIWEMLEARRRNAPSASAVTQTVPAPSGAADGTVGVSDAGHELLAMLGYEGSAAAPALDRSDAVDPNWLV
MPLIKRAPSSRAPHGGDTSTSQTQSYNTEDDSSAETSNDYTDSDGESYGSYDEDEEDIGPSDSASTSDHEGHYARPQRLPPQQRQHQLPPAGQYGQQYPPQHPNSTQHQSPPQHQLPPQQHQLPPHQPPRHQLTPHQALQPHQPPPTEYGPEYGLEEEPQPQPQPYRRPSRRERPPKTATLDDPRYPAYGRGGFPHPTAPGRPGPGQFFGRGQTGFQNHVGPYMGYPANSQMVPFGYDPNNPYDPYGGEGRGMYDMMPYQGQQQQQPPGFYGAMQPHQYGMGSHMQMHLTPPPPPPPIYICRYPRTG
MGKVARYTGMKNEVVLFKGQRNRYDKAFVTAGAKLVTYGSEHEGTTDELEAAINENTACVAFVIMPFALQGVGLEETIRVAHGRGVPVIVDAAAELPPRANLSKFHKMGADMVAFSGGKGIGGPQSSGLLAGKADLVEAAVMNSLNLDSSVAGIGRPMKVSKENIVGLVTAIQLFTDSDEAAEWEGWQLKAQYVAERLQGIEV
MTGNEDTIYDELGVPSVVNATGTKTRIGGTLIREEAREAMDRAAEAFVRLSDLQAVASERIAAVTGAEAGYVTNGASSALALAAAACIAGDDLETMARLPDTEGIPDEIVMPRTHRNGYDHALRLAGAEIVDVGANDYHLGTGSENTEPWEIAEAITEETVAIAYMEKPFTRPPLPEVVEIAHNHDVPVIVDAAAELPPTGNLRTFIEQGADLVAFSGGKAIRGPQSSGILAGRETFIRSVARQHLDMHAESSVYEPPEALVGADGLPGIPRQGIGRSMKVGKEELAGLLAALDAFIEEDDDAVLSGWHERAERIAAALESVDTLEVELANAAKTDAVSTVVVTVGEDASLTAAELVLALRRENPRVFVGADNVHRSWFTINPRCLSDSEVDYVAERIVDHLER
MLGLTEASLTCTVTVLNIIHQMLKYSSTVTHLLVRDFRQGRGFDILEELLMVASSPGDHQHHRKINQVFFLFFFEIHEFGVMRGQSLIDMKSELLKMIHELVFMDDGTGEHWKRDLATRQSNTTGMSPSDLSIDSGDTPSASMANTIDSEKKTPDVSITNDSSVHRSMHSSNIGTIPVKSKAAFNVLENVYCNTESKWTRLEILTCILQIMHQASNVQFVLDLHAIEPLLLKMPTVEQEERKKVVSILEFVIQCSAVEAPPLGEFSALKQLLQNANDRDMVALIFQTINKIVLEKPLHKLVVFAFLFHSFLFHPFLSTCYPSSSIPFFFFPPCMCVHVCRPAFRITGVVDVLMDNITLLSKCLTKEDGSTTRGLSSTVEVKPIQTKESNLSIDKAKGDALSPLEISPIATDYTPKSPQNDAQSMILLDHHDLYELAMDILLNMLKDDDENCKLFRQKRCNFAVFTLLKSRAHSEAAAKVISNQTDEESVSDLLSALSGEKESYEYRMKMLEALLQMISNDNTTSVVIKNSWHKLSGFTALFSLLASLDSLWPNCNDQMSQLAIHLIQKSFAVIITIIRNHPRNRAHLWSSSWSSIADAIIATGVARSLHCQTIISMLFDLAMEKIASHNQQQRQCSNASNGRRLSNASKDSNETKYDFCEAEHPLFLKNSEAILIILKLLSVCSESLQLQIFEKLLALINLYIIDNNGVKQFLLKNKQLLSDVWNLYYIVVFSSFTQSACILFDVLHFALCVMSCHVLQSKGSFNTYTISIPKR
MIKTTADELWRFDLDTQLRFLRITLRGFWTEAVLDRYEPILAQQMRANKLLGGETSILVDTRELQIQSLAVAERQQAQLAELKPFHATRCALLVPGALAKMQASRIGSPVDHKVFSDEDSAMAWLGLKTS
MRRLPVTLALLAASVAVVSVLLSATPATAKLAAERSTVTTPTPTTTTTTPPTTTTTTPPPPAVDPVNMGQIVDKAANAVVPGTEVGVMAVDTDTGQAVASYGAGTPMYTASVVKLLIAIDLLRSQNWAPDAGTSAELERMISYSDDDIADDLWDEDGGNSIITRMVSLIGLTGTQAPDDEAQWGEALMSAQDVVTTYEFIRDDIPTAAQDVLMTGMTQAASTAADGFQQYFGIPTGLAGSSWAIKQGWMLLMSTTVLDTTGMVGLGPTMPYAVAIMTELPAGTSWESGMNAVSAAAGALKAAFTA
MRRRSVSIGLVTAALVTVLSCSGGTDPVPGGVGGPGDAGSGAAVPASPGTGPRSPSHPGGRTEVAADVVAAVSGRVAGSRVGLTVYDRATARTVAEHDPGGRYFTASVIKLAIATRVLRTAGWRPPPPGPERERLVRMLAASDDPVASELWARYGGPAVVHRTVAEAGLRATTPPAEPAEWELTVTTPRDLRILYTYVTDGIPDPAADLVLGALSGARTTAADGLDQSFGIRSALPDTETAVKQGWMRVDGELVLHTTGLVGADHRYVVVLLSRHPAGTDLTTAATALTEGVATLAPALTGIRPPPGSAGNDCPPGTRAEGPC
MSMFSPTTLPSPGRFLKARFLLPIIVFLVVVRFSLPGGGGKEKLSQWGFLPYSNQTWSDADQTTVPDDKLKGGFDHDREKEHETTPVNGDETKPVEWDEKGSTGGHKITEPPEKDPAIQKAIQEQEHFDKVCGGLKSGKRIVITLNTGATEILERVPTLLKTSLLCAPNVHIFSDLAHTLGDRQVYDSLDTVPAEVMNGNTDFDLYRKQLELKDPVKVAEFLKGMKDPKNPGTAAAWTLDKYKKLHILEKAWAMQPDMDWYFHIDADTYVIWSSLVEWLAKLDPTKELYLGSLSFINNLPFGHGGSGMLMSGAAMRNFVVQHNGTAGRWDYKMQNECCADWVLAQILKEYGMGLMNSWPTIQGEPQSTIPFDGSHWCQPFVTMHHVSPLEAQQMGDFEARREDKTKPLTYEETFNGLVSDLIPTQLDDWDNQALGHTENGIHSYESCVEKCRNDQKCLQIQWKGDEEDEKKCTIGTEHVMLGQKHSGGGEDRRYKSFWEKERIGEWAKRQECKGPIKFPFEDGKNP
MAEAKRKRIDNNGGKRKQSVQSFSNNKKRRKNKKDEKHSGPRLPNQLRKQIEFLNHNKPIHSDTNSDEEDGGLHINYDLYEYEEVIPEEESKKNRRFDPVDNLEYNFLKNDEDGNDDDASRGNEDSEQEDENHARMLQRITGMPSEAFGGKVIKKNITVTEAYPESQFNPSRDTLDGSGQIGMKDLMDPLRGTAGYNAHNKRISLVEKKSSAISTPLAKVDQDRIDRIEEPLVKRNREAPTIFFGEQTDVGIPTVGAIASEFTPRTEFEMQMASVVQDAEVVDAHMKDGARLLELNMISLEDVKDRHNHLAKMRSLLFRHEVKSKHIKKIKSRTYRRLKNKDKLKTSFSDEQMDPDSAKELAFKQEFKRAEERNTKTTPGGQSDTYSVVWLPRMKELELLSLISLINMQF
MRTQQRLFTLTLGLSCLMAYPAYSQTKFTSEDRAFFEKQMPHYTRWLEEYNLSALLSFHELKVASDSVVVYLDLAYETLDESVTAWKMAKADFDALNNQTLEEHLFYHLLHLLEIEASECSIELFSSYTQRPYAYIGLYYDQGTFQVITDFTRNVIREVPITPLYLKKIQTASAMLDAPAVRDALYGKVRAFAQKFYQDKNAEIEVLEGDQAGDVRLHFRIWNLRREVLVDEANPLLARILNQFGYQLDWQVTKCWILI
MSLTIITVAYNSHLAISSNLKSLIDSNRFPVVIVDNGSEPESVEAVKKEFSNVNLLEMGQNAGYGRAANAGLSVCDTEYVLLINPDINIDVEMVVKLMQRAKEHKGESPLVAPAVTTDAQTFDGAKERRWISGSIMLIDVEAVREIGLFDENIFLYFEDSDLCQRVIDSGQKILQFTDFLVLHQKGQSCIINSDAQRMKSWHYGWSWSYFKDKHERRGFYMKALRRALIYWIKSVTRLDPMKRLEYGCQARGVLAYLLGRKAFGEQGRPELLP
MDNSARDNKTCDVNVAMLSERLLSQPYSLCTTHQPPSNTPELVDKIKEISEKEIGEVSDSKVLDFINQIIGEYAKAHVPDLITEAAYVMQAAKVCYDEATRKENPRIARKKNIVRDSLSPLLFVLCMDPLSRKLNEKYTKVIVQTNAESHSTNHLLFIDNFKCFAIDSLTLSAMTSEAKEFLEVIGLEINKEKSATNDTCCEDTATLLEDVSVYKY
MYRLTVLAVLLTVVNGHWCHRGGCSRGHPPHNFHHHHHHPWHHQHGPDFQFDMIANNVIQCDESYQEYCKAHPNVALETYGPDGYTLKYKLPGFDEKSMSVQIKHRVVSVKATKGTEVFQDVKALSGILKMEDAKWYHEDDSLVVSVPYKVAIGTETTIPCGDNINKEVQNVVQIEPPLDIDSLYRNAVNGLPALNFSPNNNLAKVNNN
MVIIIKGDESMFCQNCGKELEDNWTVCPNCGNRIPVKNETEEKIKEDKEDTFEEEKLLIAMFREHPVRASLCIPVLLYILYLVYQLISKNMHELNLWFFIDAGAKMTFCETGIWLLYGPVNLKEVKEKVRKKPEKGYRILSHVGSVILALVILTVGGYMREEPAAEETAPGISAVTEEAGNEPAGDGTDGNMTLEEYLGKCQEVTGEDLVRNPEQYTGKDIKIEGSFNILADSLIMNWFTDSGIIKINYDGKAIDTQGNVVGNVMAGDYGLAAGRYGTDSITGYPCIDAAAIIIDREKSRSGSGENAEATGETESGAGEDIQETMEMEYIFPDSDSRYLSEDELLDLDSDVLKLARNEIFARHGYIFKPELFTVQQHQPLKSA
MRKSRPVLFLLVVISVILLSGVSAGADRSEGVRGIFGLKYGILGGGYIKVENVSDKFEKESGQSLGILLDYPIKKRLHFGIFADLHSIVVTYKPLHVNIKEDSETLLEVGAMLKYLIPTGQGKFSLRPGVGGGYGILKNMPYIGNSNYWTFRSTMEFIVKIGRTTDFAAELGIWSAPSGGNDDIDVTSKAKLLLRFGLLFR
MSYTYVASVMNPTSSNPTAIPAATSGSRSKLLSSNLKNFVSKPAITHPQKALTVQLWNADQKAKMSSSHGNGSGEEHVGPRQTATATTSELDEMNAKHVDTSGTRGVGQGGRNGQERGRQYGTESGDKLERDFTNG
MRTIPARCAATRSTSRSIIWRPASIRPGAVTRATLDEVRAAPGTFLLDTVSGLRAAGEPGA
MSDSIFVCKLSQRYISTQNDILRISEDNESGEMTYTILLNTGDSTMELYVYTSLSADDEEEDYSETDNTPKYDINSDLSIKKRASTFGFYMNDTLEGIKVNHTYLVANKHIIWGERCHIADGCTINFTLDYLYLTSKNISDEEILKMAPRDDARIKKVTDMEKFNNELQSKIMTSLWISLPVWLTVLRIILKVH
MIKAIFNSMIKWFSAVLILLSLFNIGFAADTNGVDFNLIVSIFFAVLSLAAMFTTVKAASPDKEISLVWRVIPFLFAGIVFTGLYFNPGPLAALYSAGIPILVIFAFYIFIAATSRIKEWSWRIFFIIFVILVVIVWIFINYNIPFVSASNGGIVTPSSTPSDTGIGIIIIALPFGIVLAAAIYVTRRSEAAMARINPITGPVPLTQQPFISTSAEVERRQREAARLADDQKAKLAEEQKQKEKKLEDQKLEQKKLEDARKVESDKTKLKEYQDAANEIKDGVNTLLSLIKDYPKDNDSVKNINNYANNAIRYANQVITGQLTDAEQNLNKAKAELVRANEEKNKFDEILRNKSSAFEIPEEHSKDTSISAPDTGGEPVKIRAISQKIENKVLKQSLEQAEDLAEDSRHLSAHFNKVARGE
FTFPENLRWLIDAMGVVASETFTATSAPIQYAAVKAFEFGPEIETYVAESRKVLKAIASYTYEALTGIGLKMPAPEGGFYLFPDFTEYRDQLKQKGIETSTQLCRKLLEETGVALLPGADFGQTDEELSARLSYVDFDGKSALDFVKMHGSISPQKVNDVAPRVVEACNAIKTWLK
MSTTGCDARDARSPLASPNVRRLANAALSPLASPLLTLGETSARGEDSLTQLYQTLLRSLSASHFYVKVCHDSMDTPQKNYLVNAYEP
MRKNHYRFSALMVLLACLLVAGTSFAKKIDDRGPGKGPRRTAARPSTEYRVHDVGNFWSAVSNFGNYGEPNELLPSGEWPGGSGVYYIWEGRLWVGALVGGEPLVSHADYGNYELDPTDGSTYYFGTGPKSIQDGLSHFDDLNSDIGGHTPIGLKFHQRSLAWSLNEYDDFIIFLMEVENVSGGDLNNVMVSYVFDNDVGAHYDPDQPAIDDLVDFDGWAPEGENPYQYDWVDPLDLDDNGITGYDYWGWPVADPINPFWNGYSPMAGEPEPETDQPEQDGIWDEFQIYIINPADYEEAGWDLPDYIRYHESVAAKGIVAGEPVINHQTGDTLRGYLIPRGMSYMYDGDFPQSGENDTGERMMNPAVDGFIGTRFIYIPDDPYLASRNNLPEDEWDPPHRPFSHQWWNWESDPGSDREKYEYMEGKHDLSQGQRFMPHPFDYGAGAPVFDYRYMLTSGPHSFYDGEVKKYIMVTGVGKGIEGLRRNLDNSVEAYYRGELPEIGDPENLPDEAFADQIGFTGTSNVDILSDRHFLLPIPPTIPDLHYSALDKGVKLVWDDGAEHAVDSFLGSTDFEGYKIYRSKYNPQTWEMIAAFDLASVGPTYLLSTVGDTLNPIDFNGSRYAFNEPDYAEIIGDENNVLGTDWNYVPVDLPNLQHSAEDFGGDFVDKNGVVIFSGIEAPINGLKYFYSVVAYDPDKMADFGLPSIESAKSNYRKDLSGAPQPVIPRPNIASNGSLDDVKVVPNPYKGTALFEAQYEDQISFINLPARCKISIFSLSGDLIEEIFKDEATTGAVYWDLISRNNQKIVSGLYVYAVETPEGDKKIGKFLIIR
MGTLSNSHASIKKLICENEVNIQRASWAKPNQHDSNQKLKLASDLLSFPSLQVTSCNGKLIKVNLKLRIRFLKGILNSEIHPHRAVCKGIIDTEYINGKIVCTFPKISKTPPCWGVGGGKKLSYK
MELVSIDENNQAIKITDASTKSSISIFKIFVEYFNNLVIDFDVLITGDTFLSLFIDSSTNKRNNDELPKVPNLIFFAAENLAGLYTVYHSTNEILKIKELDYEKWYNIKIKIYENDYEIFVDNNSLGKYPFDSSIILEYFFLYNRWTNN
MIRILFTIISVCLLTLNYTPLIAQTKQVKQPKEDETLIYSNPSKPIKLKPGDKHPTQTGWYFFTAHEFKDKDVNPNGVPYGFEDQMKWFKNHAAIDNSKCMRVKKGVLHLLTIEEPDSVVNFFGNTVKYSTYSTQTVAPISPQYWGVFTENMRYEVRMKCGPELGFNHALWFMPENSRYGKDGGASYVGWPECGEIDLLETPRAKPNEKAWFTLHSKNFNNQSPNGSTHKTLDLDDMNQWHIYWIELTEKEIRAGINGDCYFIHKYGDNGNIDWPWNTPEGWYFMMTPGFSFSQGSWMGSANPADWNPGNPPNMQIDWIRVYTNEKYKGEAPSKARYY
MGRFLRVAAAVALATLVATAAGDENLIKQYDYEFNGEVDDMRWVGARKSTVLVLTNAMDIHRSLNSGITFEKLGPAQLPAPESGSLAAEDAQIRRIIQHPSNPDVVCLISKGVVQYFSSDGGASFRTFRTPAPVAELHLHPTISTLMLVTAYSPKCRMTPAPNSKPSSANDLDDGKDANGKEACYKALYMSENAGKSFSLLRKYVVQADWFDRLAASERGLGRVQNTGEQTTSADEAGWHLFDRNKKSLATHDPKTVLVTTNPTQNTGDQHFGSWDVDVSLILSEDKFKSERTLVPGGNKFLFTQHFIFVAQVSTDGRSPVKLLMSADRCASFYEAQMPFRLTQKSFTILDSNTGVVFLHVNHLHDSYGYGHVYVSDSTGGNYTFSLRDNVRNHGGRCDFDRILSIEGVYMANYVDNVEELENFAAARREREDESDGSDTEGNQMVGSHQVKLRRPATQVKTVISFDRGGEWTLLRAPTVDANSKPITCATSDCGLHLHGHGTPYAPIYSSPAAVGIIMGVGNVGTHLSSREGEESTYLSSDGGVSWSEIRKGSHIYEIGAHGELILMARDDQPTTSLIYSWDHGKTWTEIQFSQQPMDVENIIVEPSAVSRVFHIFGFSAGSSEKGVIITLDFSGLHQRECTGASMPQNPTSDYELWSPRDADAEGLGAGSTCHLGREVTYLRRKCDARCFTPMQFEAKRFVRNCACSERDYECDFGFRRNVAHGPCVRDPSVANETLGPPEECPESGFYSISNGYRLVGGNTCEGGKNLGPTTFSCTRGVFGVSRGGWAVLFIIFGMVAIMLYMNFKQRKGPLDSFGTQDFGNDVKSCWEIFKESVRLRRTGTFSGMRYSRMHSPDGQAGMDFADADMGDDDMHDSHSFVDDVASDGDFNEDFDEPQLISTRDADHDKALRYRHTPTPAASVPVLRAPPTAASLDIFGEDDGGDKGDIV
MMPLSNPLQWCLLVGATLLFTALFMVAGLPGATLLGPMAAAILLGVRGASIELPKRFSWLAQALTGGVVARSMDVTILHDVALHWFPMLMALSTMLAGAVLVGWLLERSGRFPGGTALWGSMPGAAPAMIAMAGDFGGDPRFVAVMQYLRVIVVVVLASLVCHFLLGSVPAPIAVPGAVPAPSASVASTLALVAVALAGGWAGTMTRLPAGALLGPILLGGTLNMTGLVVLDSPSWLIAVAFTIIGWTTGLRFRRELLRDLVASVPLMLLSTFGLVAMSLGSAWLLVTLTGKDPLTAYLATSPGGLDSVTVVALGSAADVPFILTLQTLRLFGTILMSVLLVRFLRRR
MMRGRSAPRFAIPADSPHPRPAAPGPAQRGLRWAGLIALAALAAGGLERAGLPAALLLGPMLVAIGFGLGGAKLAIPRPLFSLCQALVGCMIAQSLSLSVLQEVGRDWPIFALGVTSVIAAGFASGWVLARLEVLPGSTAIWGSAPGAASAMVLMCGDFGADMRLVAFMQYTRVLIVALLAALVAHLATGGAGQGAEAVDWLAVPDWPGLAATLALALGSVLLGRWLPIPGAALLLPLIGGAVLEGTGVIEIVLPQPLLALAYACVGIGVGLRFDRAVTGHALRALPRILAAIACLVALCGLMAGALVVFAGIDPLSAYLATSPGGADTVAIIASATDVDVAFVMAMQMARFLLILITGPTLARMVARRFGS
MLARLGMSSLDARRMLCTEGFIAGFDIPFTARGIISLGSGAVRAAVDVEKQRNQTSRPKTGERALLVMGSVHADPDLEVPAGRL
MKLKGKLILFTVLLLFVSLSLVGTISIIFMKAEGEEAFLEKAKSNLQLGYAYLDQRWPGPWAIREDGLYKGDYLVNGNEEMVDAIAELSGGTVTIFQEATRVTTNVIRDGQRATGTTASPAVVDTVINQGSIFLDKANVAGTNYQK
MNVVKRLKLQTKFNILIIFVILFLMFSIGFVAKIQVEKQLLSIYEEKVAVEAKLGLSIIDEKYPGSWNVREGNLYKGVIKINDNNKILDKIGETTGGITNIFLNDSTVATNIEVNGERKIGASADPAILETVLQKGEVYIGKADISGKLYLTMYQPIKDGKDNIIGMWLTGTPIESIQKNVYTILINIVMTIAVIGIITMIVMLFLIRSIIQPIKLVNNQLKEIAVDVV
MKTIVKKMAAIMVAAGCLFTGMPDFVMETKAEEILGEGQFIQPAENISDAPEIKFNTLYYTDDSGYVTDGYVALKPEKDCYVIRGNRYNGWTLYDSNLDRLPTNSDGIYYEKLKAGETYYASVSGQFMLNNFYDEIGGDSKEEAMLINTNQEYNGSLAVYGDKDYFKFVADVTGRAKVVIYNSMNQSSSFIEVTAEYYNSGISLFDDGEIEFIEPDSTGSFYINVKEGETYCLKLRESSPFDGEGKYILQVVTAQVESIDFSPSQVSLNVQEDYQLKPMILPENVVDDSVKYQSSDENVAMVNNNGMVYAKYAGTATIICTAEDGSGTEGVCEIIVKNPIKEHTAAINALTNMGQGTVRVNAKKDKYATGYQIKYSESMSMKGAKSASMATTSKNITNLKRGKKYYFQVRAYALNAEGNRIYSKYSPVKAIRVSKG
LNGIGSIILELISWISGTVLSTVSGPAVLAPRVLAHLLAHLQIRILPQVVHLVQHAQLREPRPHRLLVVPPALDAHAPVLLPLQQRAGLQRVAAQFSTPRPSQPAGGSARTGTPASPATASSPAAAPAASAIPRTSRRSFRFLYCLRQRRIPLVLPDMHRRVQLANVCHPAPHQLHLRRHVLDHARIQPAYYLLVFVQAARLHMVHCHFVHVLAARQNLRQSRLRLLPHLLGPVQDAAYHPRRLLRQRAGGILPRLVRPAP
MRCTCGRAARTRLSVQGPLCGRCIERQFAKRISSSLKAGIPTRNQRIVLLDDSTAEAAAARWFLTVRLSGLALRIVTERIPCSWKARRLALRRNAVVPWRMEDELRLFLEEPKKLGRSGRALRLPRVFSDEESRVLAAALKLKATRKLAHPFGELLKRDPAACHGWLKSLEWLRGERRA
MDNNVVIYDLAFSIQSGPEIKRFLSNPKQFLIDLGVMDKLPDFNGVRWYGGSEVSISDIEDMDIDDVTIMCHHVPVVYQNYCFIECRALK
MAFFTFLYQMVEFYKFRVTGWQNSEHCILHDDRRQNGSKNKGREDHGMAEDYYKVWPAAPATGAHEMTNTLSSLLSPRVTRVSSSR
MIIPPGGSSCGNQILETAPYRFAISADGSGSAKLYGLCEPDADTLTFDECSPSSRGPWLSLPIVFRPKPRSRIYLDGVTSNRSRQTRDSHRPNFAKADDGMLVDCYFLTISTFIVFLASSRNLLCRGLVAKDYELEETAIVEISVGSKFTDGTLIICARAQRGIRDYVEFQDAFVSPFGKFQIIARYEVPPDSPGAIAELPRRFSFCLVRVRIILVPGKVCSNGTEEEVEKKKAKEQQRRRMERAVYPDLG
MATVALSRPGADVVVLGPVRFDVFYASLVSFGALLVLSLTDEYDSVDYGIGSVDEEE
MSENYTLDDDLSNVSFEPHNESEQKSPGWNSDGIKNTWNDRYIARKVVFPDGQMEVTV
MRIRKPTPKIPRSDSEHQIPHPPPNIRLRIPHLTPPSAIDWNKFRNPSQSLLRWPSTSNISRAHSTNSAKPPTTASFHLTKCQAFEARECTCEKNRHTKLINQPIAYFGSKQKLAVHRASLADQLEDCIAANKYIRTPRSSVHSVVVDEATLNNTSRGQPDNVNSIVGTSVLKSRSQVPKSAVIMNQIQQRLRREIQLRRNVEEKLSKATATKTEMTINSAKLSEKSNVTLSFNKKCNNKLSRWRRQEKHEEAELQY
MVQLPKRKPQILLYGLVLMVAFSAVTAPVAGQEGEGPVVTLSAANGDLTVGETTQVTSNYEFAVEEPGSGEQALSAVSGTIWLFPGTEVQNVQASVNGQPVEPTVDRSDRYMTVSVPVSDVSAGETVTVGMSYSVSGEAGSLNVPLWVPEYETTGSNPVVSLTITLPEGQQVQGSAFPKLNDQNGNVVTANLLHMPGFVKFNYGSGASSFFTIELLSTVIGVALIVGILGGWFAWTRGYLGGDAHVA
MNQFKFAMSVLMLLLFLSAAFGLFTSVIGGTANNGEKLSLGMVVAFILGLIWYMTHLWKRRI
PIKKSKMNMTKLKQSLLAVIIGLALAAGISYAASWVGPTANPPLNNTDAPVNVGGTTQYKTGALGIGGWFAADSGLSWGKSSFLNLDQGGSIELGDGKTKGATPYIDFHYGTGQSQDYNARLINSRDGTLVAKFPKSGGSFVIEGDGSKDSRLTIGDGQPTVWSWANGWATAGDLSLIEENVAGDRIYVKPGGNVGIGTSNPQAKLDVNGTIKAHGNNQINNVDNATLQSFQPRCNLEQTFDSSENVMYCQSGCSRYCFSRGYSGGTITEWHIYGIYDEFDTQSQYKPTSAQCSCIP
MKNQNSDKLTNLKDLVPFYKVQYIKQQKNTLKDNEKLNENTEDIVYSVKKKFNFIKEKGESTFSKYENTKKNLELLNRELKLNKISLGQQKIEYDELKAKNEEILNKFENLKHSKYTYQIMLQRVKKEKKLLYFYLNSLERTVNSLKNSERQLHNNIQKITSENKNLRKSIDEKKTEIVKAKNKNEEILKYMNVNKEHSNILRIRREMINEYKNNKLNNADIALMMQEKRKFKKLLIYYLLYNNYLKLNASNIFENASNIYATISKLREATGVTDIYDINQKFLDIENKKNLLQKEEELSQKRLEDTIKEYISLNNEIINTFSEDKNILRKKILNEKEKISEDIYDLYKLVFASEKELEDINIKLDKIKKFLEKQNNYFHSINMEDMMEFHSNEDMLQYIKNLKVTIEILMKITQKNRENGHISRSYKSLEFLEIINLYKNVDFHKNMCRVDDTQDLENTIKLESKILAKGKHL
LLAILIANATHRGVYGMAQISDRSYEHLSTIQANYIRPETLQEASDIINNAVAALPIFRHYHIQEDVLHASTDGQKFETHLETFKTRYSSKYFGTNKGITAMTLVANHSALNARIIGSNEHETHYIYDLLQSNTSDLKPDVLSTDTHGVNHVNYALLDLCGYSFAPRYAQFTSVINDLFNVTESDDGNTHLALKKPIKMNVIEEGWQDIQRIVLSLQEKRTTQALLVRKLSGYPSRHPILQALTEYNRLIKAQYLLDYIDDASLRQYVQRALNRGEAWHFLR
MNKNIVIAILAIIVVAAVGVSAYTMMHPGKTTENGKINTSIQFLSNDTLKNGDQVAFIVKDAQGTPVANKEVKITYTEDGKNQTYSIKTGNDGKGFLVLKDEKAGKHDITVSFAGDDKYNGCTAKKTITIEEGQSNTKTSTATNSTASTVQYNNNNKGSSSSGSSSNPLYYDSEHNIVYDSNGKIIGGQNAGQDAFEVISTLEYQKSLDNGNGLQ
MMFTFLFQPGRNKELCEKLERDIVLHLDPVQRAIQKAYQVFETCLREGVEKSISSCEEKLHNFLFPRGNKGGYHGTLKSVFKNGGVSKTRKGKQINLNMKLSSFLTGSVDKEFRKTFPNEQKCGPFNGVIDKFSLDTESLKEKYKDVKLQLIFLQTEEERIKKKLNKRIRDQKKIMYNNLTETIEKSMQECYKTAAGYSGPGMLEKMRETVSRHVHESKGTMFAKAKNAMLSHLLRLQVEILKTMKDTLNNSIDLSLRTNCQAIPDVSAELAMVKKIYKNLKNSSN
MRKKLIVICLTYFFIIVCLSGCFESSDKTNFKNKFLGSWIGTSFFENVSNNISLTFFEDNTAKQEDENAHIHWFTFDVDDKYLKLMLPELPKEYAIYYRYEFSNNNTELTLTNESLDTILLNKQ
MHDDESDGAQHGGWQPPEYVSPWIPASSPDDDESGSAPGGTRPGATGQAGDGNDTISFGQDRGHAYGPGGYPPGYPPPGYGPQPDYGQPGYGQPGYGQPGYGQAGYGQPGYGQYPWGGYGAPPPPPRSGFGRTLAYLAVAILAAGAGAGAAVALNHSSNSAPVSLGNGGSQVNPYGNGNGNANGNANPYPFPSSGNGSVPNGSSGNSGASGNSGTGSLNASALAKKVDPGIVDITSDLHYSDATAEGTGMVISSDGLVLTNNHVIDEATNVSATLVTSGKSYTAKVLGYDATDDVALLQLQGASGLKTVTMSDSSKAKVHDAVLALGNAGGRGGLPSTAQGTIQALNQSIEASDSGARTTEKLHGMIETNAPIQQGDSGGPLVNGSGAVIGMNTAANSTGYGNYSTATTGFAIPINSALTIANQIKSGQAKGSVHIGLAGFMGVNVADASKPSNCNTGGDGFGGLGGGQQPAVSSGALICQVYPDAPASKGGLASGDVITSVNGSAISSADGLTKFTSGSHPGDAFAINYVDAYGAHHSATVTLTGWAK
GILGSGFALKVQQKQRQKHFNRQIPAAASLIQTAWRCYAAENPDSSTWKIYIRKPARNYHLLSPSPKPKKSVMVKKKKFKLDKDNGPSSPDRMLTVPHITYDHVTEDRKPDFSFESYENSDCQRSWPSFSTDQQSSSVPSSPVKKSPTFLDVNTGP
MIIERRMSRNPVVASPDMSISEASALMKQEKVHRLPVLDKDKKLVGIITEKDILYATPSPMSSLSIHEMAYLLSKLTVKKLMSKNVVTITKDTTVEEAARLMVDQDLSSLPVMEDDKLIGIVTKSDMFKILLELFGARHFGVRISFIVEDKPGTIAKISQALSEAGIDIITFGTFMGTDPTNAICTIKVQGAPMSEIVEIVKPFVIQLLDVREV
MASCGSAAVDRGFEMSLAALRLNDPHIKAIVDVASQVALYTFSHNANEWEKTDVEGTLYVYTRSSSPQYGFTIMNSLSMENRTEPITKDLDFQIQDPFLLYRNARFFIYGIWFYDQEERQRIADLIKHLTRHERLNAQHGAACGGSPMTLDSGEGQGVDILHMLTKAMDEYNKQASSPQCIPASLSSGRLMSPLVFAQPSQQTRTNGSANLSTMEPSNLLFPTVLLENTVCTNTLNSRSIALTRSQLQEALLQHIQNDDNFLNTIYEAYLSSQRRGGTGRRQI
MPKNEGSAKQTLEYLVDGGPISNLMPNGFRAVLPADTSVSVDIKDGTAVVDFSNEFKNYKKKTSSASCNLLLGR
RARRGAPEIEVRAHRASGLDAESYQEAPAADVEPILLPWGPIRARRYRWSGRGFEQTTEQANPRYRPPTPERATREAAAPTEPAAPTEEQLLAAFRREARIRRGARPRFRMQANFAGDRAQETGLVYGRQLVIVGPGIQGGRSFLTYSIPAPTDGDLRDVSAADVTGDGRAELLFRVRQRFGEVEREVLAVHQVTERGFPRLLEVEVKRTQGDRVIENQVVARRGRLEIRPGRAVGWDAGSWTFTRSEQDSAEPLLLPWQDRAVRYRLRGGRLAR
MNYDYRTSAQHPSYRPSPVYGNSMYPRVASQHGQDASAGRPTPSRHTAPLPPLSSSAELGVRVAIKPECRINSPPLLSPQVADIPRSSFQFNFDFERKILAEAEKESQNWSKIAPENVPSRASRSCPSPVRCRTLLSDPAIKPWLKVKSYKQIIIPLSVFESFNIISHLVKGKKHI
MEMNDGARGRALTVMLVFFHDNEKGQRIYGLAGNKTGLKRKTYEIKRHMNLVTGKWNGKVKAAYIHEYNHPNPPDWQDLTKRIMTFANGVWQSNGK
ELDFDEELEDGEEVEEEGETAAAVRSRSRSRLMASGEMGMGGYDDNVGKALVLIAAPTPVNTSCDADVSGEEDNDPGGIASDTSPEADELNLSGFDPPESHALVVAGPSAASSGSGDDNGPLQLLQLGSSAHSSDRDLDISSHLDLDLDLEHRDQYDASDDDRSFDFSTAFSSVFSSDTGYSTDDTRPRTNRDRQAAARIKRKIRRRDRAIAELKVNQEVLAEEAARSGRDKVAVAMWYQSLLTDVVKQRVSEAKAEASELRTERANLARDVEERDLWLKDLATERDGLREDAKKSKNLVRTYKSIIQKLAVKEEERVTELTEGHRAEVETLRRMLEEKDERIDELTSMAAASASAAAGASASASAGAVAVAVAAGSGDDAAPNDDSRYGGRGGGGYDYYCASSSSMGGDSSYLNRRLNLSSAPAVSIDEEEYTTDEGYGGRTTTTTTTDDDDDERGRDTSRDDFESSVSEGGGEYAGFADNSAMTSDDEWTADDPNGGMGAFPIVTAESPLARMRRSGHVTAEGLSTAVGDDAKDDDDVIGMMPPSEAMARMRTQRAQFDRMAASAGLRGEDFNLNLDLAAAATATANGEERQHLLAGADVPAAVVAVSPSSPPRHLQQQQQHAGSDTSEAQRAHVAAVAAAAASAVEEERREASLAVVMNNAPSPPSRPPLDHHREASLSRAASSSAEGGIDVSRGSLSSAGGGFLKPDASSRQLEMHQRYCDMISELQGRYLEKSRQLEECRAENDALRRRTDELGRERKGHMEELTAVQRRCTEMVTDLKASRARLCHELEEHLQLKDKEIEELSSANAAQAKLLQSTRQSADASGRQSARAEMEQMEEVRRLNEMYTTELAELRRGHRREMAALRRKETDAANELDRSKREAEELRRRVESTGAF
MAELFDTGIPNVNKHIASTLEDGELTEATISSQEIVPHEGTRQFQREVLVYNLDIILAISYRVRSPCGIQFRQSATATLREYLVKGFVLNDERLKDP
RKTELGASRTQAGNSGSLDESTAQGAKPKCHLHSQLDEGQFRRDLGQSTSRQEQRTSSPTNFRSFLQTSAFRDTDGSEVIPDFLNTSLDPSGIAVRTSEEQQKHFNCFCFVIHSGYWATGPSRLLGEIAFQLDKRILMHIFQAQKRLYGFTLLNIREKITEVSTHPVTGNVDKGYQLYLTQRYNTLMNRLNQLGYKAALHPLFSEFVVNTYGNLKERPNENSLHLISPYALKKVILTAAPKKLQKDLLLLLSCLCYMAEEDRKPLFFC
MKPYTLGAFLLSLAISGSILAKESVDNTYLNPQLIAGQTYSNVFSILRWIKAPGFDEHVGRNGGSADYAVLSSSPSEWRFSSTWRYDGLQGGQDQEALRDNGRTYCSIKLGAVDTCKPYLEASGLLYNPAIWGVPPSRIVDGMTWNVKLNQAWELGGKDGVETVTVIRVDNQRGSVTLMREGDATGFYAESDPSTIQLSHDGNMETFDVMPGRSHWKGYTTFVKGVVFSDELLVTRKDVLRAKNGKTFEATERRIMLLNAAPYPTL
MLAAVAAFADARVAVHTRDHDQAAALVERAFAPFSIRWYEAAVERWTSIDARFERACTLRLLPGREQEAADELRAIGCRP
MDTKCKYCQGNKNIMAEPTISGRQQNVKLVIDGTKGTVYAHKKNTVYIFNFPFKFCPMCGRSLTGGDSNEN
MKIILSALIAVSVMLNTKFEKMIGKHISIFEKATCCDFENKLFGKDFYYLDSSLKEKEYFTMNYNTLSVVVDENSTINSITIHFRNVLDKEFYNVFVEYYGAPDSIQTIKNKNVSSRSSYTDPDDNLSQYLTKSTFDLREGKFDENPLYIIWKKKNYQMRAFLRHNQNLSEITFSSNQ
MLGVVTVPPWRPGMKAAMLPRVYRDAVEIMVDAGRAMRAGQIAVAMGLPDEASKREGLRSKLKRLVERGWAREEGPGLFMVAEPVAREVSGQGDAGSRDGIAPSSASSPGG
MVFASEDRITNIMHLVEPLQNVQPQTYWPTKFGRVGQINLATFQYLSAGMIELVPDWLQQEPKSSFNYYLVGKLGCSVQFL
MVALRDVGFTHHTPGLNRRYFVTEEEIRVVLSRLPEEVRCRLKWVHLNDRFGRIRRLGYVNRGKREIGLCATPARISLGGYLERTQTPGQFGAIPRCQWPTLAVRRFFLYDVLLHELGHLQIIDAKAKSLRRKFAKETRAQEFAEHWCRELWSRPFDHPDPVHNRPTPEEIEAVRAGWALSWGEFKRGWIADKTRKYDEAFRHYERAVAHYPANAMALEQLGLHHYFWHENEPHRSLEQAVAILTDAVRLDPALPKAQLLLAMSLSKLEREEEARRCFQRAIQIDPYCSRAILIYGESLADWEKYTEARKVFERTLRRDPQSIRTIQGYACCLANDPDSKPTDIARALALFQKAVAIAPNDADAHYRLGFALLDFRAEIEPAKRQFEEALRLNPTHEDAANALEEIQAMSAGTPLS
MKGKQVMINAGRFGTYWAGVAMVFVMSSSAVLADTIGEEIQRQKRLAELDHTINVNERLLEIKKQESEFRALRQEERKPAQASNTEGNWAPNAPGRNGNVQVQYFGQQPMENAGGIQRRQLNPDGTLAEEIKTPEQIQREKALEVLEMASVREAFPVKGEDGLVAIVELSDRVVEVRKGNQIGGWVVTNVALDRVEFSHSDIGETKTIYRAR
MEFTREAHRFYFNDDQGKLAGEITFPAINDDQTWVIEHTFVRDDFGHQGLAGQLTKAVIDAARVEHKHIQPLCTYAKAYFDRHPEAADVLVTSSQSKE
MIPIEHNPVLRRFSGSLNGKEYAVEYEYSKEGEVVITHTYVHPDLRGHGIAGKLLEKISFWAEEEKKRIIPLCSYAVVFYQRNKSFQHLLSDKSDLSSQGVCQISKKR
MDITISNVPSLNRYEVRSSGHLIGVLEYHRQPDAVVIDHVEVDPGARGRGIAGHLTETAVTAFEAEGIRIVPVCPYAQHWIATREKG
MSQANDGVARWGDDKIERNGVNFIVRDENGSTIAYVTNRVSEDGYLWLEHTVVSSQLRGHGIGGRLVQKVVEMARNEGLKIVPVCSFAVAEFKKHPEYHDVLADPANASS
MHLRISSLYNCGCDECNAKAGQLNLAIGDKFKPLLNTGEKAFKRLYEKGSYSSKDLQTEKVYKDLIDQTFEAFNFAIQDNDMPDVMRTALQDDARLFGGLKANAQLFEASKLLLDDKGNLKPFSQLSHEYDKLNIAYNKNYLEAEYEFAVGSSQMAAKWDEFSDNDRYELQYRTAGDNRVREEHAALNTITLPKSDSFWMHYMPPNGWNCRCTTVEVLKDKFPTSDSEKAIKAGEAATSQISKDGKNRLEIFRFNPGAQKVVFPPAHPYTKVAGAKAVAKQLKKSGTINLNDYIKGEFPTNKEIKNILTKYAELSPEDFRNGLDDVKFLKSKSYMMQHSMSYNPRTGEWVGGSKISLSSHEFSSIKFNPLEEFRGGLAAIKNGTKMTFNQEYSFESMWHEILHAKTKTAPYRLSQLGTKNMEVVNQFCARHTYPDFISKFGGKAIYQSEILDNGYGYKSWIEDFRFKLKTYEIDEKQAVKELMPNLLEDYGGIGKKVSEFFAANIK
MDSTRVMTTKELSKYIKLNEKTVLKKAQNKELPGVKIGSQWRFPIEAVDQYLQRDIVTDTSKDELDFIIGTSGHIIPLSRLVDKALVKLDMKAGDKEEALRELAEIADKAGIVSDKKKLFDQLKERENMLSTAVGKGIAIPHPRDPGPDLFTRPNIVIARSSKGINFSAPDREKVHLFFMTCAPSVFVHLRLLAKIAKVLQTGDIIDKFMNASDNDEIIRILLELERDNIFSDKKF
MALASYERLMTTSPHLLWATERSWDAAEAREREHRYEGGENTCWFVQEGRARVTMEDGTMSDVLEGQWLFLRPGLRHQEFGGPFRFLSIGLRWRWPNGLHLFEKGLPRVAAENEVPELQPAARDVIARTAALVPRHHHYLTLGETSLAAFGRLAALAGDWAAAFAVAMERLEIGAELLEDFDPRLEAMHRMLGTLPLDKELPAAVIEAATGLSERQLNRLSRAAGGRTLREAFENRRYEYAREALLEPGGRVKTVASALGFTDLALFNRWFRRRSGRNPREVRGKMQS
MSGNPSIAEFFHAENGMTRFPANVEQLRVESGPAHCWLIARRNDVELRFLLREQDRRFLAGLLVAGLADSQALRRPDEIAADDW
MKKSIEEEEGEHEIDVSSTNVKRDDRAVKEEQDDMAIPEKETSPIVVSSPLIPSPDNFIMKSIRKAFSAYPWDRISYDITINHLLKAVKTIDGKTTNLYRFPWAVMIVHPWIIPTSSEMEMEFFTKFVPKKLTKDDKIEKLEMDMNGVVAIKRQIIIDEHNLDVGGGGASSPIVERVFSGVGEEGGGEFTPIVARVFSGVGDEGGGEFTPNVDRCFTIDGKGIRDHEKDSLLLVNGLSNMACD
KEHNDWIEREDFSIFLTAILLTHPGLDFLRETQEFQDRYADTVISRIFFVYDRKDVGRIHLTCLRRFKPSVIETWKQLADHDDIKMVRDYFSYEHFYVIYCTFWELDSDHDFLLDKDDLLKYDGHALSRRTVDRIFSEIPTKFTSAVPGKMGYDDFIRFLLCDQDRQTDRSMEYWFYLFDLDGDGCIRDHEMKYFYEEQVQRMECLNY
MEVGIDSFASVGTSENGETADATRSVAELLERIEQADRSGLDIFGIGEHHRHEFLDSANAVILAAAAARTERIRLTSAVTVLSAADPVRVFQQFATLDLISKGRAEMVVGRGSFTEAFPLFGFSLVVIAEIFAEKLELLLKIRDNETVDWSGKFRPALENQAIYPRPLQKPFPIWIGVGGTPQSFRRAGMLGLPLVVAIIGGETHRFRALVDLYRDAGARRVRARNVESFIAFNRLCRRHD
YQNFATLDLVSSGRAELMAGRGSFIESFPLFGYNLEDYDDLFTEKLELLLAINDQHNITWRGRFRSPLQQIGVYPRAAQAKLPIWIAVGGTPSSVMRAGKLNLPLTIAILGGEPARFSQLTDLYRKSAADAGHEINKLQIAINEHMYIADSSRQAADEFFPVYEKMMNRVGRERGWSPLTRPQFEYLRQPTGPLLVGSPEEVIDKLVYQYGIFKNTRFLAQTISGNIAHEKLLRSIELGRPGSLPPL
MQYWHFSETAYPYLPPEGTYDSVRVTLPNGVVDPAKAAALWDRYLDEWQIADECGINVMINEHHATATCMDPAAPVIAGILGRITTDARILVLGNPVANRKDPVRVAEEMALVDLLSHGRLEVGFVRGVPYEVSAVNSRPVQMSERMWEAIELIQKAWTTHDGPFNWEGRFFQQRHVNIWPRPHQQPAPPVWVTAMTPGSAARIADNGFVVASFLTGYEGTRKVFQAYRDRLADTGRPAPGDDRFAYAALTYTGRTDEEGLEGARKLMWYVKANKVPFEFVQPPGYTPYFVRAAGLAGQKSAYDFSSLTLENLIDEGIVFAGSPATVRGQIEKFHERVGGFGHLLLMGQAGFLEHDETVRGIRTFADEVKPAIDAMFSPHGAGV
MKSQIDNEVVKLSEERQKLYEKYVENLKNKNKNKIKTLKFGLFSFSAEGIKSKQESYDLLFESAKYADQNGFTSIWTPERHFDKFGGLYPNPAVIAASIAAITENINIRTGSTVLPIHNPLETAESWSMVDNISNGRIGLGVAPGWHPSDFVYSPSTYVNRREVLFERLETLEGLWSGNRLQITGVNNTTENLKIYPEPIQKELPIWITSSNNVKTWERAGELGKNVLTALLGQQTIEELSNNIKAYKSKLLEYGHDDNKEITVMIHTYLGRSNEVVKTEIRDTFIKYLKVHMEQYRHELLGKEIKVDTLGFSDHDEDSLAMFAFERYFNDRTLLGSKEKCLPLLEELREIGVTEIASLIDFGMPNNKVLNSLEILNELKQEVNNEF
MDCANQLFGIVQRFWGKMGGPLYSVPDGSVFTRFDDGMCPTLGHDCSSHALTVIRLLRYIFTSATDVPEFQRQVSTPNVPKFGLALVSLAEKHEAHELKVLAMTTLAHLIPIYPTLHRSQYAALSALSLTFLNGSSPQPVPTPLVEAASSLYSILHHTGGKVGAATQWRKSVDDTIAFAWGAFHGIRTTFSSQGAPRETPQPPNNDPVVGVPLGIDRLRAAVKVLCELIMTSHSRPVILPLGSLTQLCVALLRSNGTEEVEGHVDPVIRALELSAIPHIQALGCNLTLSLAECAGHHLAPHCSQLLFYMAHHLEQKYPSALRLTFLRSTSAILEHCIIPQDPLLPSRLARAVLPTVTGVLDTQSDVEREIENRATSGKGRKGKKRARNYEGDEVFKVSKTVICPTKEDGDALIAALDGTTNVASKSLGLVAGTSLESNGSMQVLRDLELLLHPRVPPLIRSMPHIESLSLFRTEEGQDELDTRRHLQLGTMDDLNTRAEEQSIPVPSNLPAPTFDSPPSQTSTIVPTTKITPFHTRVQEPDSTPKVLQGRSSPSKDQSRLAAGAGEPKSIPGVRQTPPLNTMTASSGASSTNPTQDTSSTHMTPTAPIQELIGKSWVEEDEDEPMPSIDMDSDSD
GQFIKLINVLVSGGMVKAYLQDVGVLVNGEREHRRGRKLYPGDVGELEEAGRFIVASD
MSKGIHLTEYHDAVRLWLKSNATWLKSVEYYPELTAPLITPCAFFSVNGWDPVDNQPGNGQLQVLLHCEVLAVIGLKEKTHQLDARNAAMFLSVILQNTNFDLKVTPANVVSADADATEPDLDAYTVWSVKYVQKATIGMPTLEADEERGIRFAINPIDINDPAEYHSLESFNETTT
MSEPMLLASYHDTVVSSLKALPWVVHAAHYPETRTQFLTPAVFLAVNGWQPSPGCTGQTPVTLDCDLFVVCDMAASDAIAIPEVYARAAAADLSRWIDGQTFDVDSLAPAEFTGAVRDDFDPLMDDYLVFRVSYTQDMVLGLDPFAPSGAPLQGVYLGKAPDTGTAHVNDYQLICGKPAPHKGKK
MLSFSYGNGKKLTLSIISVLTLSLFFSCGQSSGGYGVVLLSPDQNSLPNTTVVEITDRSDLRESYTVTPVDGDGRYEIDTWRIEFFKKRSEARQRAEEYGEYLNIWARNLRDGLAIRESPDSSAKRVYRMRPGQEIKILELVKEDEISGQPGNWYRVLTKDGTEGYSFDYYLQIFDITAKPEEQEGPDLSEIKEALQRDYRPESFEEMVENERIRLDRFSTDYGFFPDLEKQEIEIRMHDRRFTFQYDSIKRTRDSRYLFMPDELEVVLRGKDKIQTIFTDEDQQRDYSFIYIEDEEIEDIRQGETERREALFQDLLEKGPRYTGSAYGSISFQEDNKFLWQDFQRLVPTVIPNPNYREGRVSFDHFLAPSLKEDYQGVLALRYSLAPNEPILFLYSLENERLRLEYVPPQNVDERVVTERSGTPLIMAFSRDD
MRNCTLRKRVVIGTALSFLFALLFLSGCGSNYIGYGVVYWSPDESLVPNGSMLPILRQSNIEKSYTLEVDGHKEGWSTDEWRIGFFEKKDEALAEEGRYEPFIHLFAVSQRDRLAIRQEPDIESERAYVLRLGQEMKIIDQENQQDSVGQYEGHWYKVLTDDGTMGYCFDHYLEAYDGRTGPSQKVSPELAFVKEALSRAYRPEYFREMMRSNKIVLERFSPRFGFFPDLAQKSIEMRLPSGNRTFSYESIEYTEGGSYVFTGSGLSANFFGENSFAATFPVDGNNSSERFVFIPEDDITTAIENERQRRADEVLRFAKAEYPIQYRSSAYGTLKFHEDSTFEWTGKQRLSPEVIPADSGDKGTFSFDYFLGSQVASKYDGVINLTFDQGQNLLFFYTLNESGLRLTTIDQRSVEKNIVQKVSFSPLVMAFFKEQE
MSEDEAERIIKEMSKPSAEAAGHSDSESTCDDASSNSSSSSEDKDGSGDEDEATESEGEASEEQSEDSSDSEAEQVQEDEGSSDEETVDGSDTEEEEEAEDAKKGAMAKKAQSEAEESDSDSSDESCGEPEVTKKIAATKTPEVNKQDEPKKNYKKKAGQEEKNTKKSSKKEDKKEKKEKASAAKTTEDESKQKTKKKKESKTKPTEEPCLKIEDQKDQEKKRGRGKDDGKSKEKVTSKRSKDKGDQNETGGHDASKLAVVEANLAKTAAPTSEEAKGSSSSSTEDKINSSTHHTEYLRYRRWIRNGKRFPTVLGSRLTTEEGRASLFVDWVKCGGDVDSIICKHEQSLTESRSSQVKYGFRSERWLNEKYGEEKAKRIVGRKTNLGLLIPDPEEPEDNLYFCLIDIDLKNINELKRVTSLEAKGQVSAEMVKAFTEAGGVLDGSALKGDMSGKEGMSKAVSFMGAVGKTGQAQGTGKAARRNGKANKGNSDSAPAKEVKAETPQSKAKTLITKVLKDANTCRDLAFRLKPLEMSDQLINQLKALQSGLEKQARALQDLINQDKNKMKHYTPVMEEIANLNQLAKNKVELGKALLRAAEKATKGSEEKAKEPETGTGSTDKNNKKPCHNRGGKIGANGDDISQPPKFQKPCVPVRGLDLVANASYSARRLLKKICNGDYWRHMEGQEFIESLDLSQPDKTVPLVWHVDGVKVYKNQKIWVYSYSSIEWFTQCQADRTAQFGYSFLLFYQKLAVRSRSEPRNNYKIVPKFHYFFHMQEYIEETLRNVRYEHCYPDESLMGQLGKIASRCHANTMERTCLHRYRVMLDLFLASGLNEDDPE
MAEAGLAGAAVAGLVEHVRSKSRSRKGRSRSRIRTGIPIAAAGLGSAAIAAAYEKNKAKNEDKKEKETRRARSRSRSKSRARSSSESQVGVPPHLIEYGDDPVYGRIPASNYYGRAESPYHTPRKHTHSRSRRSPSTDSWSSSDRESGRNRRDKRRERSRSRDLATAGLAAAGAAGLAAHKYAQRKERKKNERDRRRDEGEARQDSYDDTYSTIPYPPSPPPPSASSYPQDNYYPQTNQFAQSPNQTTGPPPGHYQYPPSNYPPPGTASMPPPNHVSHNPADYPPPPGAPPPAQHYNYPVPPAQDPYAHLQPRGDENV
MSTVIVVVGVLPFLWSAALYLMRRFSVRTPHAPRDQAEKSFLLLLLAPVAVGLGVLAVAQLFDIHLSLPLPLPQATGIDGGFVPAGPETGEPARVYPDLWRLWPLATVIAYAGVTAVLATRLLHTQIRIALTCGRAAPSLALGAGVRVSGRTAIALAWGEATILLPQPLLALLSPVQTHLIICHERAHLTRRDPLYFAVLAWLDVLMWFNPFVRAQTRHCRLAAELDCDARVTAAAPEMREAYAESLIMAVKHAAGHARQCVPAAFSPAKSGDYRMRISEIMHPVPGRGKTRLWLIAGGLLLVPLSVAQLAWSQGPATSVAAVTTSAPARFFTVMPVEGRLSSGFGMRRNPVTGEMSQHDGVDVATPIGMPVRAPASGRVTRADLAEPWFGKVLDIDHGNGLVTHYMHLGDFEVKVGDTVAAGQEVAKSGNTGRSTGPHVHIGVFENGKAVDPVGRIPLPNAG
MVNKGEKLKLDLHTHIWEASSFETPSIDTAYKVINQCKKIGIDGIAITDHDHAHPDLLKISFEYYKIFTKHFPNEIVVFPGREIELHMKHDYFQTYEVGEFMLPNGKLFRNYCHPGHPSQQIEINHIQSIEVNNSIHNWHINKNQVYELADKHNLILTEVSDAHDINDIGKNYIEIPLEDLLSSGIDLNKLQIQGWENHRSI
VPQRQRRPQPLQQLAPQPLQQLAPQPLQQLAPQPLQQLVPQRQRRPQPLQQLAPQPLQQLAPQPLQQLAPQPLQQLVPQR
MNSYDGKSPGADADDKVPSEFSDATTDDDVDLSNMTENEKKEYFAEKERKKQAREKRRREKYGSRYDEILKRKEQKRLEQLIKEQKENDEQERLEKEQTEKERRRSSVRRSSLLSGKPRETPKSMVTYEKGTPREYGPKKSADKRDHGLRHESWGRKDKEGRSRLGSDSSMNEGFMPKFKRETTMLQKLPPIPQGKLYTGDDQRGKNAKHSMVDKESTMDTDEAQFVKDEDSGEDNKETKPKPPEPVIEVGADGRLRLKKKKIDLSELDDETLRRLGIDPSLSAIEIAKRLKEMFGDDVKLTIGGKVIGTKGVEEFDSDLDDDQLADQEDLDLTTLKGKRRVNVLFKRGGSALKRHLQRIIDECTLLERAKRNLDERESSIDFLAHYRLVDPTKIDGYAKAFVIEDEDYDTVLNLNVSRIRIVYSKDRTAGYFRVLHIDEATEVTFRMFSVITALCERVTNMDSLSQHLLEICSIADIERKMDLYKAMFYHNVPSYRNSNHITSESLKIEMIAGGLNWTQQDFVMEKMEPNKYGEISFLDYMCYIPLFLSLHDNICDNPLDMSNNKYQMPPRKRPPSVQRDMNPLGHPMKKTSTYRMKARSLEIMEGKIPQDECSKDYLDVLNKYARLPTIFSNTNKRSGSASSQSESGSSTNNMIY
HRLSTEAVYIRDCLANLHPHLWTRRALVIEHAGLSHQKQDRQCIIGGRLKLDFSVTIILHNTTFNYFSKMSQRIQSNNHFIIYYSICDLDLSSTCTVDPNSIIHYMSSPLFLSVSTLFNILLSQLIKAA
TYNIHNNGDDHEYPDPNEDDKGYDTDLGSEGSDGGGEPEGDPGSDGEFDESRRFFTATEALMNENPRIEPQPDTLQYPPQFGPRFQVAGFLYPIPNVNYQPGLNYSKAELKKACRDFPIVGWKRHSKESKERFLRWMLNEMGAMMSLIHGDPVTPMAGLARAYPKWKRQHDVAAAEQTRRYAEDIINNSSRGDDTN
MATNEVASDFFAVGGTEKNYETERFLGWISPVKCSISPNPFHTAIPNTYSYRSVNAAFKGSCSSSTQSLNLDPNSIDLFGDAHIVTNNDAAPHVHLTNPSLSSSGLLRVPEPLVFVDATTTLSTEFSFSISGNGDPNSSHVGIDVGSHLSLAVANASYVGLVLNNGEKLNAWVDYEGGPKVMEVRLSK
MKISPSLQHLAPSPTLRINERVGQLWAEGKQVFHLGFGESRFPVHPKLQVALAANGHQKSYLAGQGLAMLREQIAEFYSRQHQLPIAPEQVMVGPGSKALIYALQMALA
MPIGYYSFKINEMPFAVWGDIDDLKKESLNYIENIETDLFRYQMEYILAELRKDPENLNAAISIRQTYFHALETFLSLLASALQATEYPIGWILKYTNQELRSFINKINDKEKIFNFHKLNEIDWTKIGHLIHRIEYHSEEDTLKIDKMLEFWKKWAIFHTDKNSILEYNSLKHGYRTKFGSTKLKIGNKEIEPGKYGLNYSVVKPFNNNKDLKLNFYTDFASSHWNPFRNSIEILLISCSIINVKAFLLPYLVKENLSIKYEIPKNEWFEELEKECGPDLINLNLTKSIKNIDPSELLTKAELDKILNKFE
MAASSSAGQIDKGKGIVIDPNDEEVVLLDDLNDAVVDPHFYLLARVHGSKHLKPRAFTNVMRGLWSPTKGEPWSFDKRLIVIKQVSGDDYFHNAILKDCPFWVPIYDVSISFQTEEYISLIISRLGRFCGFDERGPLD
MWTFNFPPTRRRTVAVNLVFVFITCGGCFSMMNVLVTSEESRLVIWALRVLKRSDICGAWPPPMGTCNAKCSILLICCQFCQIWSRLFSKVTPFPPSCWARFHLMSSLSSTRVCSAASIFVVSCCFSAKATRI
MGYVLQPREKSVLTVIIAIVVLGAVGRGIGRPSESGSLAVSSPSETLLSESKSTPSENSSSESKSTPSKTSPLESKFTPSPTSTTPSRTFTEISGPSAILISDCPSSNNTFYSADLGDFNDLLGLFSGDVDPTMSFRKLCGFSYPNGLGSFLVDVAARSLDDCINLCAEYIMKNLANIDTGTADACNAVCWRNTSDKNEVPG
HQQGPRDNDGPGNRGNGKGPGPAHQDNRRGPPQDFGPVRETFRQHRDVIGRGQPLPPGIHIAKGRPLPPGYGKRLDRRSLQYLPHYEGYEWRRLGTDVVQIAVGTGIVYAILDGVLN
MKSVKEAKDYQFLRLTLDQFVCYCLAAYRKAAINTDHVYAKWKPS
MTFQQREMTAEEFLAYEARHDDILFNFADGKPVAVMATGRRGRIAARSCQPLVTICE
MRLISSAVAGIMTLFGAAGIPSAKAAQWSEAGRLTSIPYGHKEYCNRNPRNCGAHRVLPPMKLTPQRMKLLQSVSSSVNHRIKPVSDQENYGKRDYWTMPVNGKGDCEDYVLMKRAQLMARGISPSQLLITMVQGSEAHVVLAVRTDRGDYILDNLRDEVLPVEKTSYRYIKMQSPANAGQWVSIAGRSVAVANN
MTRFFEGKAAILAGLMMMSAGAASAAPANLVATDRTNPPIGHYEFCRTHSQECRPLGRDTGPMQLTRKAWSAMLEVNADVNRAIIPDTDENIYGVPELWAYPRTVGDCEDFVLLKRKLLIDRGFSPANLLITVVLQPNGEGHAVLTVRTDYGDYVLDNMRGDVRLWSETGYTFIKRQSSEHAGRWTKLGPGTATTAVGTVK
MIRRRAALIALLSAALMPRGAIARDFDAKVFMPVLGSAPAPVGYVDFCHRHGDDCHARTAHPVVVTLNDDRWLDLIVVNRSVNDRIAAATDMEVFGVEEYWDYPVDRGDCEDYVLLKRRELMQRGWPASALLITVVRDEDGAGHAVLTVRTDRGDLVLDNKVEIIRPWFQTPYSYIKRQSPRDASAWDRIDDRRPESSVSSIKHQR
MLAITSKVFFVRLQRIILILLGVTAISLWTANWSLAAPGVNWSIFFAEVNRQYGSSAVRNAQSWERMLQQAKAMPDEVDKLKYVNRFFDEFLRYRIDQKNYGVKDYWAPLAETLARGTGDCEDYAIAKYITLRLAGIEDRKLRLIYVKAQMGGPRSPIFEAHMVLGYYATPNAEPLILDSLVSLVQKASMRNDLKPVFSFNSQGLWAGLNVRSTSKPTARLSKWQKILEQTAREGISLQ
MTAFELDPQGKLDILIDQVGRLTEGLNEIKLLIREQSEVTKQQGQLIQQQTEAFQQQAEAMKQQAEAFQQQADTAKQQADAAKQQADTAHLQAESISRLIALLERKD
MILPFTFVKTPREAEIERRARRLEAQAGFLPWSDWTDFIDEAQDELLDGLRSRARRLNLRDHKIEKDRSAPAGEVRFICSFISDIDMVHFKIAVL
MNLQGPESGHLKQWVNQKLSRGDIESIADPRMHGQYDINSVWKVTDLARRCTDDSSAHRPTMSAVVIELKESLDLEISTEGTRGRSTTSTNSNSSSRKDRYGSDVSQNSVFEMAKVGGMSASGPIAR
AYTCKFVPGKNELAADTVHENFVVSVIALALETKLSRDLLKMEGASSLSAEDLSRQLEEKTAEARAEGAILKLTVHDDGMETELPLIQVPDVAIEILFLSIFLLLSSLMLADAATQRRLRSVPGGFRRDYIASLVSLVLAGVLQLGIMLGVLRLLMPETTRPANYFPVMAILLLFMLAFGQFAALIPGDRRFVPAYLLLFISVLAGGTFLRLPSSWMEKIGQFTVHGWALANLAGMKTHLSVAVAAVLAAGLIVIAYHLQKHSEYLSGS
MVLPLLQNAGKDGARREIIYDYLKDLLPSNKSQEQQLRYLGKLLVEMNEEGTIERIGLRWLLSSPSDRKQP
MAEVSVGFPELVNATFGVNDGRPKTLFDHHNAHLATQSLAGASLLAKAVCQSTSMVNLPPPSLASQRPQDFVVNALIQNNADTCGSWLAGDEAGPGTLASTDKAPLRAGSLPQGKKRSAYNNEIG
MPKYPDLQETIRQEALVRDAEVVRKYEEYQKRNDFAAKERERKAMMVPPMPNKVEMDFEKAIERIKYFEDRNKPEVDRLNKLQAGIERKLVEEAMSPEDRAQAAVKDAVRMLKSGGR
MRLTPHETIGDTRRCPYGTPRWFPIAYLDGTATSPC
MVLVGPPGEASRSQRLSALGEVPLILPGLPHGNRRLVEQVAAKNTVPLNVILEVESVGLTKKLVAAGQGYSILAHAAVQQDIDRGELVGHEIERPGIRLTVALARLKERRSSRLALSWEKIVLETLEELVTVGAWKEATHWLGH
MKNDIDKPNTSLQQELKTESNLQKIVVSERSEADHAGKIDQKVVKQEDKVTTTEKPNHAVELPQETCVHPNCGIMLLGVKALTGTETLSWSLVPRA
MLAVLGNLTYRRLFTAQIVALLGTGLLTVALGLLAFDLAGKGAGAVMGTALTIKMAAYVGFAPVINALVARLPKKPVLIGADLVRAAMALCLPFITDAWQIYVVIFVLQSASATFTPAFQSLIPTVLPREKDYTRALTLSRLAYDMEALVSPAVAALLLSVLSYNNLFFGTVVGFFVSALLVTATALPAHAAPAAAQGSLWHRTTLGARVFWRHRKLRSLLALNLVVAAPTALVLVNTVVYVREVLSRPETDLALALACFGIGSMIVALGAPRLLDRFGNRTVMLTGAGVVPVVLALATVMTYSAGAGAWWWLLGLWVLLGAANSTILTPSARLLRDASTEQTRPYVFTAQFSLSHACYVLTYPLAGWVGAAMGLGPAALALTIIAMIGAAGAYLSWPRHMDAGAGDTAGEDEAIEQSARAHHTRGH
MATGINFSPMDVIKLSRNTEERIPNKYTHRPIPTSFQKHSAKKPWPKHSTELDPNDIHGHLKECVILGEREVFFRLLCNCEDSFLHSKDHRNRSNIDDILRLAVENGRCDMVETLLGRGADVTISVNKLSLLEIATKSRDLDLIKVLAKYDDIKAVNVRGENLLFSTIMAEESVNTNEEIFDYLLREGVDPNQLSHNGKHPLHVAAIQSVKAIEKLSRTGCDVNVQDNILGDTPLHNACNRCCEDNIIPLLGYGANMNALNNLGQTPLEKLLAVASGSDFHSRTRRELAKRLMAIGFNVIEPKETPQRRRNKGVELYQRLQQNQSDILSLKCLCRREIRNHVSGINFNLAINKLEIPLSLRRYLCFVDGK
MVPGVRVSLLLTMAKTSIRSDQIRSDQIRSDQIRSDQIRSDQINSQVF
MFNKNDKGQDDQIDFSGDMSSTSLSSDPKVFEDVDDSWSDVVDDDGTQRLRKREERRRRSAYRKAAGDNGHSGGAHVLKVVLRIALIVIILAVVVVGVLVAVGKINEARHPMPICQGKVQCDAEYKRQDLNRATYDMDTKLRDLIDDMTTADRQSVKQSDVDAVAKSITKVEKLGGEFEKLPAMDNAGVKKAFTQYKARYERKIAYARDIMTVAPAASKANRICGKTPLYVPMDPTWMSQQSNYLTTCKAAVDAIPDVKNTDVASYKASWNAYATKTGETYAAIQELGPSDQFSSNQQESYDKLQRTLSQSEENERDVTNKPLQTLTKGLDDADEKDGNDSDLSTAIWDMVSKH
MNGATALVLLLILLVAVVGLAVIADNQMVRARRAEDRADRYMVASTQAERWLIADAAAVNTASWIKAYGEGRGAMDPKRLRDRLHVHPLQDEYFQGMRS
MLGSAVDGAGDAGYCVLEGRVLQLTRSLDVLDLHVDNDDDDERALGHGGST
GHAECTLAIRADTLYLVGFKPKGGSWYAFKNRNHLIQGSTALTFSDDFNSLTGGGTYKDLVKVAVGKNPAQESLNILPNYRHGTTSEQDTKKALVRFVLMFCGAARFQPIRADVTAAWGQAEGGKLRPSFRLYL
MDCHFSFGPNGAFFAKSDSIWAWSDNNTLPEPLRLILEDPNHPQANKFPYDVAFPMEPGRFSMSWKTVKGEDYYEELFLGPSYTKLAEYMRNIAQNGEHCTRTVFGPNASYFTISPSGFSWQNLPPVLESDILGRMKKGLPTNVALGVHGSFVVLYSDGNVTFDVATHYPAVDAMIRNSAENARRKGIAFLALSPHAAGQYYVAYGDASASWNIPTEWTVDVTTVSKSLRPVGSARIGSTLPQLGGFSAAGGTFSAGGGGSSAQGQPPATPSTLSMGLHAAGKLWHAYQDQQGQNSGSPFGTQTTFIDTGNSSPPAFDPSTLGNFDPSTLAGGFDPSTLAAGLDPSTVVNGFASLFGNS
MTAGVGTSLWIAPEVLMGERYDDKADIFSFGVVLSELDLQVLPYTHAIESDGSGHRMRDLAVLQRMVMGKLRVQFSPGALASMVALGYACVSLNTKKTSHSSRSALQVTDDSA
MGDDDWGFLLDENIERAVGTCLREHGYRVDHIVSVLDPGVDDLTAVLPYARAEDLIVVTKDVSDFSALNPEDHEGLILINSHRLTATEMCAAVRQIVSAYSSRDALRDHFEFLDQWVE
MAGPPTSSSGHMQSHMRQQQHQQLSPDRRYTSPNEQNVIIHPHQSGQPPSGLAVKHIVPDPINWTGKLRRLAVVLTTVQSVTPSGPVMTTITGTTLSPAVQQHLLDS
MASANAETLLHRGRPWRKRARRLSCSLFCCSCLPCEERDSLREQEMKINGGPQHPHLDQRGSGEKESIQITVEDLGIVNTSFSLLDEDPLTSRSSMDRSASSVSVCPRALKKRWLKPLSSLPIQLQSEPAVASTTGEDDEEDDEEDPLLYDSGTDSTPASGSLLTPPVINLIPPSPSDVVSDDQFFDINSEESVAHASDCDGSFAAGDQESNEEKMESVEAEESNEGLPVAENRVGPDNAAEPEEGPSGRFEEEGEAVPTKEETKTRILRSAYQAAPLPEFPQRRSFNTGIHLLSFTEHNLDDPSNRDRPISRSCSLEDTISRSANFHEFTHTTAKDEEGSPRPRRKTIASVLLQSKDQNGNFPEKDVDRQVAKCLRELNTEEVCQWFTNIGLQKCLPFIKDAKLCGADVASVDVNTLDLLHVTSLEDREQLLSAIYNELHPPSTITQRLDSLLGPNNVETFTETLVKMSKSTSAPHVSCLSMNRRSLKLRNNCQNYMVQKNPQLIEITINASERIVHLRTPKETAVGKIMDSCIKMLGVTEDKSLFALKEKQGSSEELPPDQQIGSLLTSTSENRQLELHLCKKEKAAPASPNSPEVGNSNENGNTNKNIQGNQLAKEERIRELNLQVDSLQNVIFQVQELHHGLVAFCSELKNMDGDVDVDRLGSVELKQRLESLKSKLNDKRQSLQNLKDNINNSAALKKKQLEVRLLEKMKLNCQVFKEEICMVHLNRQVAHLQNALQESKERTRKKSVAIGSLSQLVSQQSPAMLLLVQENQGSDGSYGFACGYREGRGLVVDKVDKVDNTHLCVDDRLVEVNGVPVVNFTQEELTDLLLQGPSAQIVVLRQPPPTLTCQPHLVPPDPMQTVCPESEVVAVETPPRRKVMAI
MIVPRSHTFHSLSNQSPDHVQEEDGSPEQRRITIASYTPQSKDQNGNFPEKDSDRQGAKPLGEFNTEEVCQWFSSIGLHKCLTYIREAELCGTDIASIDLTTLEVLHISSLEEREQLLSAVYRELHPPSTTSRKLDSLLETFGPNNIQKFTAALVTMSQSKSSQRVSCLNMNQKKSFKFRCKSPNPMNQRTSLLMEITIHASVQIVHLRTPRETTVGKVMESCLRMLGMTEDKELFSLTDKPASSEELSSDQQIVDLASSENKYLELYLCKKEKPKSVVQPQSPAPTFNGSLGNGPVNQTRQVQVVSQPGKEEKICELNEQVDSLQNVILQVQEIHHGLVAFCSELKRMDGEVDASGLRSPELEQRLGLALGLLRDKRHGLQSLRESMRDTASHRNKRSEVRLLDKMKLNCQVFKEEISLVHLNRQVAHLQQALQDSQDKERSLQSQSHQRKCSTLGQLVTPQCPAMLLVVQESRGEDGRYGFTFKLSKDRGLVVIQVDNSHSPLCLEDRLVEVNGVPVVDHSEHELSTLLLLGPSAQIVVLRRPPPPGTQCSDSGSEETSSSWDPVLR
MPLDVISFALKFGGAVCVLAGCWGMGYGVCAGMNKRLAALREFYRLTLHMKGEIRCMSEPVPAMLEHTAQMSDPVFRDFLLNVSHTLYEGHGRSLASIWSEMADRHLRGGPLTGQDIDLIRRLGEMLGSRDTKMQLSVLELFLEELQTVTDKLYREMDSQKKVYGGLWVLGGIFIVILFI
MFKTRKKDWNRKVQTTPTGESMTEQHHLDQVSIHRIMERYRKAGVLDHVQQYQGQYMNVVGATDFKESMDKIIEARELFETVPSRIRADFNNDVTQFIDFMQNNENIEAIEAYGMDASHLVAPQGSESPPEPFIPPRGESTTLPPETPPEAPPEPSQ
MSDRALTVVLLAVPLLLIAGLVASLSTAWDRWQAMQNAFEREVLLRVVTPDPSPDALERTRRVVQERLKAYGARRSRVQVQTPPRLRVQASGLSEDNYRRFLRSVTQVSRLEFRLVKPGAKGLTVSELREARAANPKLGEKDLMPPSALEPAALTNADLERAEAVSDSDGTPRVRLTFTPEGGRKLERLTGANPGRRLAVVLDGKVYTAPRIGGPISGGVAAVSASSAAEAKGLADKLQAAAVPLRLAVENPKP
MLYAACAILKGLGMAYIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIITNTTIIIIITTTTTIVVLVVAAVAAADVVNVIIIIITTTTIINKKYLSYLLLCFFSHFHANIVVLVVEL
MGVSNGWAGLRIETEARAGKAIDGVGGMGRMGHIRSSFGKAFGSGAFEVLGSMVNTKKERVALSLPQGWENDITPPLQKRKARYNVPQGGRGSSHLR
MLSITAAELEKKAVELKDLLTGTLKNCNILLKPGVSRAGGGSLPLAELPTTLVAIYPKEISPVNLAERLRQGDPPVVVRLQDEGVLIDPRTLLPGDEEVLAKALQLVVSK
MESLMAEEDSGSSSPSNKPWLVKFEEDPSSGTMRLLDPVTRSPLRYSPNATLKQLNLMEYRIVELHKSYGLKFGENNVTINSLHKLVLLPRNHLSFGNVYAVFMIYNEGKLGFMRFGDEELTYVDVENSYYDDIIVYKGECYVVDKWGTVSCINSALEVIQVSPPLSCGFGGKKHLVESYGELYVVDQYYERVSVRHGREDDNIFGEYHNSRYDSDAIDFKVYKLDQEWSKWDNVKNLGDHVFVLSKDGSFCVSTKEFDGVKGNCIFFIERVSPTVRAMYHSRVFDLEDGSMRDLASLPISRMVEPPSTWLSNDLSPSASESECEILLPVQ
MENFKSKDIKVYDVIIEVEVDYTQSDEYRDKVKKLTYEYQNSFLEDKKRENFNKLLEYSNEMSKKEKVELTLYTEAYDHNVTLNGKITNKETAEITREFMRNDLMEQVTVTKEEVILKVDGVGVFKGENKSNIKEGIYNLKVTDSKIEEKDSKVTGIAIIGDTRREIVESLIRNIDEKEKLFSDDLYKSLLQRPENPITDSYYKGVNRLRLCYTMAKKGYKDSRWVSFKQAQDNKWKIKKGEKGTLCEKWEFTKKVKEKDKNGKIVEYEEKLPKPYSSYFMLFNAEQIEGMPPQEEKEKKSLDEVINQLKANSICNITKVNRQEAEYNDKFDNLFMPDDIFFLNKESYAETLIHEMCHATGSLNRSGRHQSINNLLQEELIVEIATVFLKSDLGVDFKGDHINHFSYYDGFKKILETDHHDFFRMCAEAEKVADMVYKSYEGINEKKKEIEAIKPPFENFNVVFFSSEYNFNLEPKTKLTGIEAYEFLEKILDLDNKSKDKYKTTISVGYGEDLHKFNLTLGNCEFSMSNTVSEALEYRLNFYRDKMQEYISKTGKTEFLDRFNKQLKIDGNIIKNIKAEEKEYVFYKRKINKIKLENKPKAEKKPLRRRNSRSRSR
MTEQQKQAIIESGKQYFRSIIIPNHLKNLNKLHLSSFDINPFLINYLAAFLCGNTQPTSLAKALVYPHIFDKVIDASSEQDVQSLVSLLQEVTGGASNFDGIDFEFVDAVDGRRKFCQFKAGVKTINKDDIASVLCHFKPLISQPSSDLQFEDLVVGVLYGEKDNLSDYYKAIATHYPVLCGSDFWQHFTGDKNFYARLLKAMGEVLDEGDFDGSELIQKSVEEIAEEIRQICARGI
MSVEPLPPELLQHILAMACCDDGATVSAARSTSKAFRDCAEHLRFRSVALTSNKQVAAFLDASAGSAALVVHLFLSLGPEDPDSALVTALLRAVSPTLETLHCIVDSGAEWSLRPCPVLAAVAHTPLPRLTHLTFRHRAGPVAVAPGRGALYPALAHLHVSFAARIPLLNAHMLALALAPPRLEGVCLSNVELDVWALSSLTAMLSMSADGEEEGERTPLLLPGSVERYVLIPSMGFERTGFSTRVDGLDCFVLDPEPLRTLAQWREEWLEMLRARYMG
MCAEIVKLHVFIFFSMAYDSIKIICFTHRLYTSTLNLKQAMAENIKCTQLIVHKFKTFLNFLFVAKTIWTGYSKNNLDATMEKQHQRIKNLKNLWHFLPATKWVCTSYDT
MNGVLAEMLKKYQAESIADKKNAVKEVMQELVLCALSRSGFFKHAAFYGGTALRIFYGLDRFSEDLDFSLMKPDPDFELAGYFPDLKKELASYGLNVEISEKRKTKES
NPDPHPENARHLGLFVVGRIAAWHGMRVGLRGPAANESGSGTTAEVYLPPTVLAGRVVAEPSGPRHIRAVSSPSAKLASAIAAPAEEGGRLLGA
MQFSSRRSFLSSASAIGLEGLANVRSAYGSPSTGSGGELGTADRNVLQYGARADQSFDNTKAFQSAMDDTAKAGGGTVYAPPGGYLFRGNLKVPRGVTLRGSYGCVPSHSGIVKNLHAVRPGQDGTALFVTGGKGQEDGVPFLTAGTNSTVAGLTIYYPEQVTDVAPVPYPWAIAMRGDNAAVLDVELLNPYQGIDTKTSARHNIRNVTGQPIRRGIFVDAIYDIGRIENVHFNPWWTSSGPLYQWQLVHGEAFIFGRADWEYVLNTFAFGYGVGYSFVKTDTGVCNGNFLGIGADCCNRAVRVEQSARYGILITNGEFTSFLGDDPTQVEVLPGHSGSVRFVNSAFWGPCAQIAKVDGDRHGTVSFSDCIFCEWDKSGKKAALQFSGGKAVVRGCEFQQSKPQIELGERVRSAVISHNLFEGRQQVMNAAGKRAQIELNGATE
MSDASTIHPDAVLTFDAAAHPVDVVQRAAYRFSDLLTVELKRDGDLVRCDVFARDPERLGTALHELRAEIVDQVLRARIREETQNVRNLVLAVAFSRTGLAEPPA
MMHSWQRRASGAAVTAVAVSMVHCSIHVPSKYAGQGVESGTSHGSSSV
MLRALAAPLRIAIVTELGDGPRCVHELVDA
MAITDVSDTEIAESIIVPSQSPPPKLSEGEKELLTTTWAQVENDADRIGAVTFINLFEKHPGVKDKFIPFKSIDVNNEHYNRILRNHGLRVLKTVGKMIHRVNEEENKMINIVRDTGTRHKAYHAEAMLIQTMCPHFIKAIEGTLGEKWTVDVKTAYEHLFAILSFHMTDSLLIAQKTK
MSELINEKKKFDETWEKEALKANRTIWLNSRQ
MWRTGVTSETSGEASQTTVGPSQTFIVQRQRGTGPHQTKREPIQSTKEPIQTTTGQSNYLQRSQMIYINDQSSN
MDFLPKRRADFFARSLLILLLLQLSIGMDKAFAEALYQIDESEIISFQKQQVTLHKIQWNKIPAFFESTHLIQFPIPEGALLSAVQSKVYQEKNFEIWTGRILNDPYGHVVIARNLSNQDQVAIEIWSGGKSYSVVDTFAGPAMLENLSLLLPDLDIPRLDSEAQLTTAVTTKSNDQFIDVLLAYTDAVVAFSPSAATLLVARMAEVNEMLEDSCARFRYRLVHIVQVTYAESGNFSTDLGCVYAWADGCLDNIHTLRDTYGADLFQLVLYQNNTCGLAHTNSLNSFNDVTAASVVGFLCGADTMAHEFAHNIGIYHDRYEGGFSADEENSTYGTGFGFVDLKNKQYTIMSYPNHCNSLGLSCTRIPQFSNPDLVVKGSHLGVRRRTDAVSQMNENFGYIANFRSSLSSYDPGIGSSCIANKSGEDIHCFIATAVTGSYMSHEVLVLRHFRDQVLLKSKLGRTFVEAYYQYGPYWAYKIKNSKYWKSIVRFFIFIMVFLIEHT
MYPYSSSESGITSYKSSYLAWNSDDSEDMGFYVEPFPYCQEDFFYRSGFCKNWEGEDDVYASGAANLLGDYFSRFVWDGFKISEGSDAFNDRYDDLKMVKDLSDFVGWDEYKYCEGSDGLDMVKDLYGDKELYDSYGSSGTERETQFRAEHIPWFDRDYGFQDRVDEDCGAAELKSKYSQSWESWDEMGLYEQIFGDWHNMLRSDTEAG
MNREQMILALIWLLFFLIVSVLLIGIAISNEKIEFTNEATKEYRYYFKINLKDGTEKVVKFYTDFKYMKLEDVMRSYFNGNLNSIVYCEEGKNIIVPLTEIDSYEISFEKNEEYRATSIINYSKIMENINLVYVFLLFIYLICVILGKFNLAFYDNFKESIYIISRLSILFMSFITLMDICIFSIKDDLIKPEKTEFISMKRYKLQLNLKVIMILLNIIIGAHLK
METRCYVCSVLVVFDKENIRSCCSGYSTTVLAKSSLAVGLGTFQEFLLPVLHVLRLSAPCLQGASLKGTAVAESQCPWLLSRVLVDSIKVNGCLFFRLSTRQENDSRNGSRDSALQGCNCSTGNIHGRVLLGAALTGGHHVGLQKSTLKVDVVVIQSLVDSSQHLFGHLLRAVEVVIAVGEYLGLDDGHNAVLLACRSIAGEDIGILQDRKGRWAMGPDLQHAAPLGKAATVLVVLSAAFAEIIQALGGAFFVCTHQGNDTFVDLDAGNDSPTLEKLHKWGAIICVLIQGLMEEDDTADCGVHRFAGCEEQLAVVAPVVLGVLHSNTLQPLPHCSGTLISGKDTLSRSDDSLGICPQLLLELWAQVFEVSSHYNGRW
MFWKSILAGIGFAVGVLIVFLAVSKFLDVGGTTILQGHDLEENILPTVSATRTTDGHLVILGSLENSYQFTIENVSLSGSVFDGNQLIEQCVGNVQGQVKPKEKTQFVMNCMQKWKDINTLKLTIQVKPRMAFKSKGA
ELLVLDEPVASLDPNARREFLRTLIHLIEDPSRAVVFSTHITSDLERVATRLALLKEGRVSFCGELDTLKERVKRLKISSDAALPADFSLPGALTCEVDGRLATVTVADFAPELPDKIATEWRVRVQVIDLNLEEIFVELHANHHSTEQELQTTGVYQ
MAIAEFGTLAWAQARNGHMTNGERLRQLRASTRVLPAMVLGRAAGLVGIPARNTVRIDLDSIPFPDSAIAKEAEQECRDTVSPVLYNHSMRTYVFGMVLARRDGLEPDPELFFLASMLHDLTLGETHRAHAPMDCFAARGGLLAEEWTAARGLEPDRRATVSNAISMHLNTVVDPRFGGEAVALRAGAGVDTVGMRYRELDPASVAKVVERYPRCALKSDAALKFAAEPPGTRARFLRMCGFGLMLRFSPFAE
MPLPTAVKIKGIIIILLLLVSGGMWMFSLFPNNKQGSQAQPITLKQISDLPSINDPQASPFATLALLPAQAGSLIPYEVSVGQSAKVNIVLQSSLAGEVIDGVELQIRFDPTALANLRLEPSHAFSSVVHQSVRQEEGIITLALVREPEEVVSSDTNIVLGLISFTPRVAGETTLGFTTAATLVAGNQGQNVLEQTKDLMVQIR
MVLELGSIYDEKDMIEKGEMQKAEEFQRILVLILRTAQGHHTHKLKRSSKEQRSALKLAAGFNAKYGDGWKALVKRILDNWTHPIKSEEKKDLPLGLEEQLSRQLVNKGTRLSDAEKKGINHILEVAERIIANTNDYFSWEFKRNGDKRVANLIQVIMEKEGSSEEEAREKLKIAILDDETKYQLLSNQVLRPLQNAPANVRIFLAMLDLLIGGHHVWSATCERYKVHSQLDVKEITIATTQDESGDMSP
MKRGRRSKLIEGLLGRFFLIRHFSRRRNWRRYLRWEGVGNGCCLGRGDDGLHFRKGCSRLRIQDRDALSALATTDPYPFRSLEQGLVQAESGVAGWAFDDHGITLADFRDRTWPARPRKAST
MPVQGTDISLSVPIRYPDQPVESLVLQWRTSADVYVLQVKLFKDFQVAHVHPLDDESGKCVHDMSKQNHAFIIKGIISFKTPECAWFIYESSVPLPEFIQKTSMARTTHSHKQQITENLILGFLERLREIHNMGLFHGRLRERGSLLIAERAIPKFGNFQGHSKTVIQTRCDDEVEDLKAIKEVLKDALMPMKDILNSQVFGFVDSFIVNEFITSSKHLQSVHHHPTFLSEERLMEYIILTHERIQHIQAKHPIDLSEIDVEIMKFESCQSWTNSVPDDYIDVLYFNREKWVEDTKPAYLNTPRGFLHFARNIGVHRKDKTWAEHGTMIVDTWPEMLSAFHQVMTCFDLETKLVK
MFIYQGKLKWYNYSDNELFTIIFPKGVIRENDTAYVYTQWTKNKRNVKDQFFQTIVAKEVHNLPGTTDVSFTLSGPWYKYAITTEQNFDKINVHMTSESKGDAKLSLDRVWQAQGQVDPDTALRVWTGIINRSSSTGASIVREQSIFAVPEGFGDGKPVVSFWQWTKDDAGKAKTSSLKSTPMKVVESNDKAIKFTVKNYFDMKCTWDKSNGKLAVDVKNNNGTWFEIADLTQSAAIVPQEHSFDSNNLTATTKGEVELRLPSPQAILPRIHDPMPFPKTLFDTLTHGAAFIEQAGYLTIQAQNKYTALHADFHKQTHLAETLQKDKENLESQIKYLTVERNTANDRIEKLENEAVAASASYLQKVKDLEEAIAGLKDHDAVDESTINKLGEDVNRLMRENMDLYNQLTAAKHDLNRHDAIICALHSENTTLESKKKAAEHALKRQENKNKILQKAYNELTQKLTEAENGNKSLQSQLADEKAVHKETTKKRNEAVDNLKNTTTELDQTKETLKTAENDRNWYVGEHDKLKTKSKQDHLELERYKHRVEKYDDTVGEWKSDVIMNKYNDTKAKAVYEKLRKIHDGN
MFVYSGKLNWGTSAKDELFIVILPDGTARRGDSVYLFSQWTKDAAGVKKPNWFDTKVVTNVSKTEDGEDMIEMSHNFYSYVITTKQAYGKLDITMSNPTNSKSYMTLDRVYQSKGELSATDSFRIWTGKLDWPEYASNEPILVLAPEGVGAGKPVLAFWQWTEDAEKKPKVTFAITTVQRAENGPGEPLKFDFDGPPYSLCCIRDEGTAKLTVHMKGPEGDKELGDLTQAVSKQSHSHEMTPPEPPTEKSELSICYPQTEAALPRIQTQMPFPRGLVETLTHTASFVDQAGYLAKYATERFNVLDKSFHGLREQVDKYNKQIHDLSSKISELSDENKVEKSKSADLEKKLSDARDEYVKKEAELTKQIQDLQKTISNDRDHDAADHKALDDAHKMIAEEQAAKAKLQKRLDETEIALAAAQARVQQLSAQISELNSEVSHLRGQLDVENAHNKELDKSNKELNKKVAKLESGLASTQKQLARTQEDLEQVRAESDDKDKIIEGLEAGLVAIKKDRDTKKKAVEELKKKTDATIHDLEAKVQGLEAKLASSTGGSGWQPPNDSLFPFKQTTPSPLKQSIATHQSKSTWARLSPWIGTMANRGHFFNTLDDAPETAKLKTLRIHSDGNWVSGFTVDWTDGSSKSYGVLHGSPVHTISQTPDLPIVAVNVVTDNFGPRLPYQTLANLTFFGFDKTNGYQQVDEYIAPHAHDRWTTAVAKTSLAPALDGTWALRGVYGYYDGCIDAIGFVWGAEK
QGILGLPGLPGVRGKPGPQGKPGLRGLPGPRGLPGLEVSWETKARSDHQAFQDLRAWLVSLGLWERSGIPGEKGDRGETGLPGPPGEKGPTVRGDEGVTAWGFVVGAVFRTIRRISNSHLRS
MTEKSFSDDEPCRHVSPITPQPSVIRRPMPPPPPEPHSQANTSYYLFPRSRISGRMNQQDNFAGKSDVPIGVRVAGADIGGGDVGAGGKREVGTGEMGMAERCNGAVSIIDGAVDDGNVRAGQCKAPAEIIGAGEEAGLHDVGETGVSHAIDLPMEFVAESSLVVGRVFGNWGVGGWKRAKFNILADINEGGLIGRHAK
MSESDYEYSVQALDIALASADCVKLITDHRDDYSQYEGYVIAVSDKLALLQIIDDWHTDGFAVFALSHLAGWRQSPTETGRSTILRALGFHKSVQPDGLNFDSLPELCWALKAMEKTIAVHISDGCEVGEIAHVSRRLILNGIDAVAAPIEGPVEIDWEDISQISFDDEYTTGLKTYVQSLKS
MLNVFITFLLLIKDTFINANFRPTLFNNKNQWVKLYANNNLIMATPVFTDLSVKLSQIVKLAR
MNRISFVLIGCVVTFLSGIFLLSFKNNDPYPVSLEQLQNYRRFHDFAGRSVLAAGVLTYDGGAFFLSDEIDGHHWVIEIDLEDADMRQCMLQMVGMNFVIVTGDFLANARLENIEQVTGGGIACFAN
MAEWAQRGRPAVPSDNNSNDGYNNPAVFYDELDSSDNESIGDGKQKSKKRVPKCARCKNHGIPAKVKDHKDVCPQRFCLCNKCIATSVRQREMKKQTAIRRKLVRYKKLTEKQKKISELKKLPMPRLNVSDEVIPAELPPSNNPSHMANNKSSTSNAVVEQPLANPPVAQNSSPMVLNLSAPEPEDTLKTNMNECNLTETEQTEILLGFSSRLMKRFGYSSEALSLIYVILKDTKKDVELAMKRIIEANNEIQETTLYKILKAGISYYYTNGPPVGHAVDNLGKPTYFGRVPYFGAGDSDLFHNPELSAKPLDSSDSPPKRPSSDPGVISPAAPASLGFFPYALGTPVMSAKVPTSPANPLQKSSSYPGMASPPTTAGLGIFPYSLGTYVTAAKVPARPSSPRERPSSYPGMASPPATTAGLGIFPYPLASYAATAKAPQPPTSPSSPRERPVPPYLANKVPYSNPLPLLSVADRLVTTTPRK
MATSSFDPRSVSEAPADALDSRPRPPSKASEPIPAQQAHVQLGTLTPVMTRREVHLVTERVFHVVDVTEHCAGLVADSHAQQGALTVFCPHTTCAVKINERETCFLEDLRLFIESLVPREAYYRHDDFEIRDPETLSNDPDTEPLNGHSHIKQMLLGSASESVPIVDGRLALGGWQRIMFIELDQSRRRHLQLQVTGWA
MKKRIISGLLILLLVVSALIPNMNIQAASVKLNKTKATLYVSESIKLRLTGNKSKIIWKTSDKSIATVSDGKVTAKSSGTATITAITTNNKKKYSCKVTVKKRLSANKYTVNCDLNDDFQEITVSYKKLNKNETISYEIKNDGIATIESEELSNNKVNLYIIPNKTGSTKLKISTVIIDTHNSYYASTIEETDSIEITINVGISDSDEWIGEETLDSVYDIFITVSDDQIGMYKRRKNSISGLTDFVYQFKYESNYKENTVYGTELRYKFIDDEIYFNISDLEELNIISR
MQIAVPLAFILMATILMASCASVENPEPAQGSKQATENKPKSSENNKKEIMESQTATAVHTQAPTPAKQPTKEAVSTRAPSPEKRSTREAVSTRERSAAPTAVKKPTKVSRTKGVQRSHPVARPTVASKAGTAVVEKLKESLNITVTPTPDISPTEEPTPTVVESSTKTIGNPTSTLDPPPTSTVEPTPTDPPVTPTPKPTVVPEPIPTPEVISRTTTIKGSVFSESEISVKKGTKVIWENLDAWGHTVTSTDGIFDSKTISGNMTFERAFNEPGTFGFLCVIHPSMTGQITVQE
MFVSDNLKKIFEENNGQDLLYIILRYMENNGHASNKFIQMLNLSSNGYGIFISEYMGFSLDMDWDYPDQFDKVFFFMGDMNGNESSSIPFDTYIELIKALSISYIMENPTKKEEINFYLNKIINRYARFIDKSNST
MSDKKNPKNSTANTPERRNPREPARLTLAELVVALLSAERALDSLASILDSLASILDSLVMLLQILL
MKLKNDEEIENYNKLFNRRLLKSLGYTLLVVFISFYGIGSLLQMIFGGHPETSMILAVMITGIFVMFMCTFTILDQLKGR
MVNWRGERGESEVGEDRRASEMEKMSCEAWITRKVR
MGNKKKWDDSFKAINNRNLNEQDNPKYSLEEMPQEETPTNNQKKKKVFILGAVLGGFLFVAIVILLIILFYSKTSHNQSSNFSDGTTPISSSVQASSSDNITLALTEWNNLSTKEQIVLLIQSYAELNPQTTILSADKIAMNGSINKGVIEWYDSDKFIHKVNVKIDNNKITYDYINGATWEKEQKIDNLEGVIANYYQTQSSKENSRKLALKVITSKEFENINSDINLDQISQGNYSSAQGTWKSLDEGTIVFENNECYYFSKDNKKTKMILENIQGEDFSQEGFLKLNSHAENSPAGAGFSFLPIGYEWAGTDKTKARIWWSNTFGPEASASMPERQITGIQRAIYYRVSSN
MIVYHGSTEIIKNSDVIHSKKYLDFGRGLYITTFENQAKKWTVRKGMRRERLQ
MPLKTQIQEDIKTALRSGERIKLTTLRILLSVIKQREKDTGKEITDDAILGIIEKQVQLRKEAADLYQEADRLELLNKENEEAAILQQYLPEKLGASELTKLIEAVISKIDAETVQDMGQVMAELKRQSQGNIDMKQASGIVRMLLS
MFFRCIVLFASCTLQIALADEDPIVNEADRSFLDTSEIACYECNSDIDGPMCYDPSMITKEGRLCSQKTGNGGACAVIRLDSSRDLLTNAQPREIQRKCVDDCRSGCVPMGFNGEKLLCTSCCNSTFCNLSNSNPLSASSEPLLIAFMASVLSLYTLLSAWGQ
MSTSGYGLTVDRDGGQFYMDVFYTVDGYSDPETGEYFGDTSWSFSVAGQHGGGGGGGFGSESESIPISFDFLGAGNVILQFDFNFSAQNTFSAESTSFYVNMLIAGVAFIDLVLTGGEDQDLIIAGSGNDSLRGFGSDDVFDAGGGDDLLKGGDGNDILNGGQGADTMHGGGGGDLYHVDNAGDVIVEFPAGGGDAVITSTDYVLGANLENLSVAIGGLTTGGNALDNRIDGSAGADSIDGAEGDDSIYGNGGGGVLAGGEGDDFLLAGFGGPDDSTLLGGNGEDVLSGALTGVSWLYGGAGNDHFIGNASSTIWVSYADALGRVRVDLTTPAANTGEAAGDTYSNIAHVLGSSFGDALLGAGAGELLSGAGGGDKLRGRAGDDTLEGGVGGDILDGG
MANIIKGTEGNDKLKGTPGKDIIYGYGGDDHIQGDGDTDYIYGGDGDDTVIGGDGTDEVHGDAGNDWVRGGFVDDNLFGDDGDDLVEGGAGNDTLSGGSGQDRLFGGEGNDTIDGGTGDDKVRSGTGDDVARGGEGNDIVFGEDGDDELYGDAGNDILWGGEGNDKLNGGTGNDALWGGNGNDTLNGDDGDDALHGEAGNDILNGGAGNDSLYGGTGDDQLNGGTGSDKLFGGEGKDTLKGNEGDDTLAGGEGNDALDGGADNDTVYGDAGNDNVQGGDGDDVLFGDAGTKVPQGVGGIPPRDDAVNNAIIGGNDVIHAGNGNDSLFGEDGNDVLHGEAGDDKAYGGSGTDTLTGGSGDDILYGGDHNDTLDGGTENDSLYGESGADRLLGGSGNDYLDGGAENDVLYGQDGNDTLVGGTGNDHLDGGNHNDRLFGGAGNDVLVGGAGDDELDGGDDNDKLYGQDGNDKINAGSGNDHVEAGKGHDTVDGGAGTMSSMAKMATTPFQGDQGTMVLMVAQGMTVSTAMTVMMASPVVKVTTMSTAVTTTTEFTAITAMTT
MPGLFLPQMFLGSFKMLHFSCMQPEKVWNGTSDSLRMMRLQKLECLESRNLVLEDTQKLKRLEIG
MYGAPEAAVSGLACECARASLSGGREDGRAPRVSDRPDAPGAAEAGEGAAVAVRAQTARVELACMIRLVVEARYQSKSLYLYGSGKPWLRRSREIANKQPGQGAAVTPPPPDNR
MKKLILIILAALWFTSCKVTPPATYEIDDETSYLFSAVNGHLEVDVPDSKTFQTPTQNNDCSINTKAVTIPWSITNNDDYVEIAITTAGVFPGIANSRAFILYNEVPAGQRFNPAPLLIRSGDNNNQPVTTVIWRFKLNANEIPSEIVESGRNNISSPFERKISSSPLGSKWFIIVPSDLPAINIYATITYFLHIKTVGVCK
MFHARRGAAPSKRRPWRRLKFPIKLYQVLIKSMCRECPNYSQHTSNGAFGEMESKRSEDSNSETLSRPDKIWLRSEDNTILLWQLGPGFALGDYEWIHSPEKLAKVFPPPIASKGPNESRTNYLKRRGQEPWIASVRTPPGWFTDMHLDLASAQRTGGLEVLHVVEPCTFILLPFCIHAVIAFEHSSHTVVTFAHTAHWDVAREGLEFLRGLVRNSDHPATSVVEVAEKVVHETPIWHRAMGEDGVAATYLLCSCTQPTRYSGSRPSGTSRVTSRNGFLRNEGLLSVSRLSDFDSLHSLRLRKCSPRVQY
MGVITSMSTDHNFSDQKIIRDYLFIMLSELSDIFRKNQDKFKFDVLEFFVQMFSYITDKLIQDMLQNDISRTNVWVQNARYGLKDILKSKIGNENQDKALIANSPINRTIWLFTSNLTSNILTTSTTSTTSTQNSNTEKEKIKEEFKFAALVVQLACIEIKLMLDELSDQLENNKNYEPIERHEIILPACYTILEKSIEYLTKNFTGSIESNSTLTDKAIASIRILSVWLAEKGSLEKEVITVIPFLFDFVVPALKWGAVFVFSLNSQYTGVNLIKMLTPAFLNLTSQDKPLEMFCSHGGPEMIIDYFIKIWDHSKITNDIKDEIVDDLLGPLQVLLNIVVLKREAFITKYKVELMKVIIIGRKISEILVSKLKSTGYSSQGNQIILLANTLLLCLLIISGASPSSDLFENDIVMRFINVL
MEVLNARSKWLVEQQNLKAVRDQVALAEKVYQQAQLQFKEGTISLTDVIXPVRIPE
MAAKIQKLKDQVKSDEPSVADIKQLILEHVGVFHHYYRRELVTHIIASNLPNSKMANLSDKKVCRPNWITDRAYVNQLQQQGDHSYPSCDKLRELATQRLGKQSDLGGAEYKSKLSLKKTRLHFQDVKLQKLIMHIDMECFFVSIRIRNWPDFTLFQVSPLLLLIQEALAYQRIVQQLTWVMKEPIIKTESINERRTQKTNIQVLVYEDELVDELDDCEHQSGDQFEKGSLLSTEQQAFHSMAEIASCSYEARKAGVHNGMFMGTTKQLCPDVITIPYDFDGYSEVSKMLYDTVAYIYIYIYIYI
MLRERMGDAPGSARPRLPRGVPFPMHRDVSSHRWSVAPAQRALDHEPLDANVRAAFSRVAIRLRGEADALARRMADIGTVELVEREGGLQVLYRSRHGEDGGEGLHRLIGDFDLHLTHDGRVAIHMRIRGDVPPAESLDFDRATAEHFRNRLHAFETGCRRLPIARAA
MNDLAFKVNNWVELTTTDEKERATACELQITTANESLFMVEDLRSQSTREYIRVSAYPLAVWLVENYFRLKHEVRVTDAFDHALSHRLSAIGSGFIWPNIDFSADGERIKVTVADSTKTGISPVFIRGNTEPFWISYEAFDSAVSELIRSVNARLDDRGIPSHYLKELWQCVASEKSDPLRQLEAQVGLDPEGRSEELEFWKDLAQKYGEAEVLSLAGSASKLETEALFSAFETRQGSPSKEIAALQKHVRPPQSVAWDLGAQGAKMLRQYLANVDAPLHDIPFLDSFGETPDLTVSGAISQNEEIKILLRSAAHPKSLRFEKARLLGDLLLFQNQKISMVTTGLEFRQKAQRAFAAELLVPTEALNKNLPSSGEFDDDAVFRLADEYDVSQVCVVHQIRNRRLPYRSQLSD
MAPWTADDETDAPETPIVAEGTAVPGEEITPESGPESGDQPTAPDEGEELPPVAANDDAELRLDLDGYEGPIDILLALARDQKVDLKKISILELADQYLAFINHARKLRLELAADYLVMAAWLAYLKSRLLLPEPPADGEPSGVELAAALAFQLQRLEAMQKAGQAMLNLPQLGRDTFLRGAPEPIKVVDIPVFELSLYELLKAYGTHPGRKRDGMLQIQPLDLFSMDDALKRIGDIIGRTLDWTILQNFLPEGLRQPLQRRAAVAATFAASLELARSGIINLRQDGLFGPIYMKRAEPLPATHPSEVTHHE
MEYGIFGCFAVGPCGAGRTGLPDPSLACVGTRTPRGGLLAASLGDRGAVGGSPASRTEKSGGRGGPWACGCTWHQSWRRGSWALVVLPSKHFLSARVGGLPEVQGDDPEHRPCMDHGSRVTQPQGTAFRRPGAGSSDFPASKATPWLHRHGDQAQCSRRGSRGRDQLPRAGLCVTVAWRGRGISSSPAFGSSWLASPLPPGIAFVPHVLLRTAQQESGRPAKRKGLRPP
MGYAPKDRCELLEEEIKIKPVNKSIKMEILQWFPLTVSIFLFNQQLLCGPQADLLKSKFINSFHAQFVTVPFLIINTYISAQIYLKISKLRPEYDGDDLYEVTYGGDSSSTKKIDVS
MAISVPTESYIEGEVHFSEEGYEEMVMEACEYLENTDCGLRVRGFGEDWPVDVGYDLSTVMEELPDLIESIRATGSGEIDFYAQGMERTLSFSTNGEEVEITCASTTSWRPDPRTEKMGTSELDRMLVSLAVNFGRSVEFISPPLSEREPFASWKNGVV
MKGYDACYKARFGAYRVGMTFDGEILVLAIVADRKEIYRFFP
MKRKSLAIAWALALPLLSVRSYAADFWKPFEGTVAPSKGEQILKPDHYKLQTLNQTAVFTFLSGLSDKPEQAGVLALPTPEGKTQQFLVWKTPVMEAGLQARYPGIQTFTARAADNPAVTAKIDYTLNGFHAMVFDKGATYMIDPYSNTADGYYTVYYKQDYRRPLEKLMPCAVGGDELKDAQGVGPTTIDGTLPPLLPKSHGNTRKKYRLAVSCTGEYAQAVGGATPTKVSVLSAMVTTMNRVNGIYEREAAVTMEMIGNNDTLIFLNPTSDPFNNNDLGDLLDENQVVVDQRIGTANYDIGHVFSTSPDGGLASLGCVCRTGGKARGGTGSPNPVGDAYDVDYVAHEMGHQFGANHTFNFCSGNENQTTAYEPGGGTTIMAYAGICGFVNNVQNNSDAYFHNKSLDEMSSFITTGFFGGGGPTCGVGTANYTPPVLPAIQATYTIPYKTPFELQAPQATIGQSDTMEYCWEQWDLGNYRQSENRSDTFQTGPSFRSFFPDTSRVRIFPRINYVLTNTIAYKGERLPAAARTLKFKVSARSIVNGWGAFSLADDVVTATVINTGTPFRVTAPNTNTTLTANTNTTITWDVAQTDAAPINTPNVDIFLSTDGGYTFPYTLATGVPNTGSASILIPGHNSTTARIKVKGAGNIFFDISDQNFTITGGTSLADITLEQGLSLYPNPASNEVTVKHAGTGKLNLVLYNAVGQKVWQAAMDNEIVIPVQNLARGMYYLQCHDDRQGGTATKRISLK
MNPIENQKRGKWGLLLLIGILCLATLLVRLTSAGDRQNVLAAPLGAQSADGLWQDVDETLLRTTGERVIVPTAYRTVSLDWQALNSLLADVPNGAAEAATSDLILTLPLPDGTDGRFQIYKTAVLHPDLAAKFPELQTYAGTSLDDPTAYARLDTTPQGFHAMILSGDQTVFIDPYSRGNTSLYISYFKSDFVTTKTPILEPNITALSEVEQAETVLAPAISGSQRRTYRLAVAATVEYTAYHSADPGNPTVAEGLGAVMTTINRVTGIYERDVAVAFQLIANNDLIIYTAEPDGYTNGDSGAMVSENQTNLDAVIGDANYDIGHAFGTGLNEGFAPGNTCVPGTKAQGMTGTEDPVGDPFDVDFVSHEMGHQMGANHTFNSSICASARWFATAFEPGSGTTIMAYAGLCPTQNIQLNSDDYFHGVSIEEMVDYTTVGSGSSCGTVESTGNTPPVVEAGDSYSIPLNTPFILTGSA
MSKFLIAFIXFLFTGASLTAQDFXSITTESKADFIDKNKEYIKPERKVFFNYDYNSLRSILRTAPQESYFDRGLPGLKLHLPNPEGGLTEFDIYESPILSEPEYSQFPNIRTYIAVNQANKIEHGRIDITEHGFHGLIFTDGDVYLIEPASLGNRQSCITYYKKDNKIRPDFECMTEGSGFNDVDLETRTPLSCNSSMTLVSYRTAVACTWEYSNFHGGTVALTLSAITTTVNRVSAVYEKDMGARLILIPQNSSILYTTEGGYTASTDPYTNDDGGLMLGENQTVLRSVIGAPNYDIGHVVSTGGXGVAWLAVVCFNTPGTNNSNNANLKALGVTGASTPVGDYFDIDYVAHEIGHQFGANHAFNGNTGSCSGNRNGSTAYEPGSGSTIMSYAGICGAQNIQPHSDPYFHWVSMGEALTHINTTTSCRTAVSGTNNTTPQITSFTTGKTIPANTPFYLDASATDADGDAITYCWEENDLGAAGNINASSTTAPIFRSFNPVSSGRRYFPRLHDVLNNTTTYGEVLPSVARTLKFTITARDNHATGGGICRQSSTITVVASSGFKVTAPNVATTWSSPGTXAITWDVAGTASSPISCANVDVQVSFDNGLSWTSIGVGVXNNGSFIWTIPSGINSSDVRFRVRCSDNIFYAVNTVPITIGNPVNTCYTYTNNSTLTIPLTPSTVTSSIIISNRAADEIVKDINITNLNVTHSNLRDLRFKLKSSNGASNILMDSKCSGYANINIGFDDEAVSGTLPCPPTNGGAYKPQEFLNVHDGMPANGQWDLEIDDWYSGNGGSLNSWSVQICVEXKTPLPLHLLSFAAEAVKIGVYIGWTTSNEREVEVFTVERMAHDGSFRSIGHIPAXNIDAISNYGLVDEYPDKGINYYRLKMIGKNGKVSYSEIKSVRFEGRSSVTIFPNPTNGILSIKRIGNEGEIHSINILDLSGREVMSLPMRLHLYELKDIDVSSLIPGMYLVQVNMNTGVETIPFIKS
MEDPGVRDIVQRVGSLEGNPDDLFERCLDVLGGIEGSSATNSELLEYATGLIEQEKVERGSADISDLIQMIVSTVDYQYAL
MFKLSEIYNCIWEQSIELSGTFLQNLDGAKYNSPEANFYFGIESSRICYMGKHQDYNVLEDDDVGVIYNRETGQWEVYVYDH
MEILVETYRNSGEPSCAVVRVRALPGPRLFGVDVGDLKELAGRPKSVVVEGDTELWGNSVNTIIVRAKHGAVEVRTSRRPDNRWESEYRFLPEGGAATEWRSAGVPEGFVTEGMALSAAILLGRMSADDQTTPDAAAAM
MRKLCEACAQKCLPIQRKRKKSSNVPSSFFKVMIGPDFSQVLYLPPKFGRTASTLVDEEAIVEDSSGQRWTVQISVVDNSYAFHEGWNAFSLDHGLEVGHFLVFHYMGRSHFVVEIFDKSGCEKVDFPGRREAEEEKKDQN
MEGDETREKGVLCRRNKKACINYTKECFRVPKKSLSVSDTASLFKVMLGDFTQFLYIYTTCLIDKKVFLEDSSGACWTVRVCMKRRSLAFGQGWYRFVLDHSIDAGEFVVFRKVGQSMFSVQIFSISGCERLSFYETKKRRGNKRKRMQTVVPNARRCRETKNGRIPTIQEVTRREERRARRTNAIIRRLIMEDIENEDLLVKDELPIITPIATMPSEVLFLFVLHKMLKS
MILAGCGIGNLVSSHTLDWFFIAAAGVMMAASLTLVPALAALDPRFENYKLPLAFGSFTCSLEILLLVCCLYSGGTWFWVAGTAVLFGFALVFLPFLLPFLPLPSSMADRKTSVYLLTVTGLLILLLLTSCLSAGETWFPVAAVSVLFGLSLFILPVLLYQLPLSEWMEERKLSMYLLIETALLLFLLLVCDLNGGGNWFFPAALGVLLGLGLVFLPIILRQTLRDLPLYRHKSLLYLSVETVFFLLLLFIVALQGENLRGFWTLSLPNALLFLVLPWGVMGALRYLPVNRWLRAAAAFAWTGLWIWLAPAGFDQIMIPVYGQPEKPYSLAIPFDFTKWELPYNVWNVIMIILLALGAAALLCVYMGLRAMRSRRNP
MSAGWSVVMTRLKNLARRLARSKHGNVAVMTTLTMPLLVAAVGGAYDFGAMYQHKTNTQMAADQASLAAAKQQSDRQVQGGGSGAGTSLAAYAEKLLRLNDPQLASNAQIDSQLINDDSQVSVEVKSGIPTIFLKLIGIDRLDYEVRAVAQIAKRKYVDFYFLVDVSESMNIAATEADRLKLLKTTLKGTKGRETCFFACHEPITDFSPLSYYEMNQRAGSGKAVLRIDVLNDALRSAVDGILESNSDPNSLVKTRVALTFFSETSKIATPPTTDAAVAKKLIGNPGIYSKHTNVNQALSEFSSFLGSQGTGDSVDDPLKVAIFTTDGVRDESANAWSQIALGTIDTLSCRQIKQKTIDLAVLEIKYLEFYDYQNHFRNRVQAYYKDISPTLNNCASPGLHYVASESSDLKNGMEQLINKLHTADIRLVF
MQGSYFHHLFLLCIWLLVKAPQLGCDAVSISGQEDRTAANQCRQKCSLAANVSRSTAKAFESPETCYKECLKKSHSHVSTNSTPISVEPEADCKKFEKNYSYIPEKYIKVTLEYIPSGRGPPSFNASISWNVPSDSISNWTQGYMVLYVLQISPGKENEPICWRVPYMDTSFTSRKITNKDLNNKSEIYVMVTSLSQEGSSRNGDEWGWAEFSMDALPPRVRPETTRDLQTSSPKTTRDLQTSSPSKA
MKRYQHIYIGLAAVLVAPTVHADDFVTSALAAMDSQSARNSPGIIADAQTDARRQCNQLSTLTSAQIRDFLRGQLTQARQIRAAIDNAKAEYAVKMSELAAATEAWESDPAQQQLQAADDGVRQARNAVAQAEEDLVTQQALADSADSHLEAYRTFLNPAVAAQNAVNALHRENASYEPHEGLYWIAWGSRQVERNNAQIVKLEAEQQALIDQLVAAIDQADGDPPEPNYHAAYAAAARLANAIQLIPQKKEMIAARKEDLLKAFGDRNRAEWRAKSNSLYLRLAQLRNELKGILDHLLTLEAKRLYLKTLISNVMPCIESHNQTPTPAPPAANDPPPWDK
MKKIIYQNIFEFSPDGIVLCNSLEEIIMANQATTLIFGYSQEELINKRIEFLIPTHLRERHETHFQTFKKYPTPRLMHNEMNLKGQRKDGSLIPLSITITKISSEEISDLYLAIIRDTTDFIKKTQEFEKTTVLLKEAYHLSKLGSWEYDLIKNELFWSDEVFEIFELDWEDYKPSYKAFINLVHEDDREFVKKSFQDSIENQIPYNVVHRYTTPSGHLKYLRERGHNLYDASGKITRTLGSVQDVTEVQKQKKLLNEYIIKLENKNKELEDYTYIAAHDLQEPVNNIKGIIEILISERKSLNPSGQEIDQYINLISGAANKLSNLIKGLMETNRLGQIDSMTEVDFNEVIKGAISNLHNKITTKNAEIIIPNDLPKVFGFKFELELLFQNLISNALKFQKTDVTPVIKISCHNQEYSWLFAVEDNGIGIDSRYKDKLFKMFKRLHTQTEIEGTGIGLVQCKKIVEQHNGEIWFESELGKGTVFYFTIRKSNGL
MFGKLIRKIRKVLSWGIYKSIPEDDLLERQRYKLFFTFSITCFLLCFAESFHAMSFDTGNNILGAGLQTFAAIFLVNYIWLQRHKQLRISYMVCLATAFACVHLFNYYYGGIRNAGNFYYLFMIIATVILLGNKQGWIMLGLSVINMVYFYFISDNPELVSNVLAANPSDLNQDFLFSTVMAVIAIAALSNSLGSSKNIVIEKIRESQEILAIKNKELQKLSLVASKTDSAVIITNSNFEINWVNDAFQRLSGYELSEIAGKSSQDLLHGTATDFATIQFMHQRLNAKETFVGELEQYHKNGSTFWSQVSITPIVDPAGEIVEFITLQDDITERKIAENKIRDYLKDLEKTNKELDEFAYVVSHDLKAPLHAISNLTGWIEEDMKGKFSDETSSNFNIIKSRVARMEDLINGLLEFARANHKKGEKAIVDLNEFINEVIEFCAVPPNCSIAIHGKLPVLFGDKIKFQQIFANLIGNAIKYNDKPEINIQISAEEKEHDWLIVVKDNGPGIDSRFYEKIFVIFQTLNPRDTVESTGVGLAIVKKIIEEEGGEIWVESELKKGSEFKFTWPKVAKPEAYQFNGAMTYYQ
VLPLINLSGRAEEEYFADGITEALTARLSQVRALKVTSRTSAMRFKRSDRRLREIAGELGVQAVIEGGVLREGGRVWVSAQLIHAESDRHVWADKFEGSAGDVFALLNQAAERLADEVKVALTTDERRRFGERPRVSPEAQEANLRGRYHAERWTPADYDRAAEWYEEAARLDLTPLAFAVTGTGVPPGGPDARTAREVRLRRADGSPIWVEVVGALARGAEGSRVDGLVEDVTARKAAGEEAERRSAQLRHLNDDLQRFASIAAHELQEPVRMMERHALLLKSDFAGKLGTAGDELVDAVAGSARRLRTMIDDLLAFSRLDARELRRERVAADELLDRALANLAAAVEESGAAIERSPLPVIEADPPLVTQVFQNLLANALKFRGEEPPRIRIAARRNGREWVFSVRDNGLGLDPAEAGAIFSMFTRLHPEIPGSGIGLALCRKIVERHGGRIWAESEPGRGATFSFTLPAPLDSGA
MRWTLPQAEGKLEAWQRALGYPLSKPFHLCYNGPSKGAFMLTATILGFSIAFQTLAAILALTHLRRSGPYRWAWGCMSMALLLMAARRVITFSSAFLADRPLAGDLLPELTAFAISLLMVGGVVGLGRLLETVERQRAELDRVVGELRRSNKELEQFAYIASHDLNEPLRMIASYLQLIERRMKGHLDGEIKEFMDFALDGAARMRALIDGLLEFSRVGRRGEPQDGVDLNLLVESVLADLKSAIEEAGAVVTVDPLPSVRGVETELRRTFQNLIGNALKFHAKDRQPMIHVGATAKGKAWEFQVADNGIGIDAKHFEQIFQMFKRLHGQSEYPGTGLGLALSKRIVDMHGGRIWIESEPGKGSTFRFTLPK
MGKSENNDLHFLRVLFGDAEAETDARERLAGAFPGIIYIYDTAEKKLRYTNPGFTSILGFTQEEVQGWNDFGDLVYKEDLDLVQTEIERFLKEDGQHSFNCRLNKKEGDWTYFRTKGTVLRKDDAGKPLSLLFVAEDISKEMAREKELLETRSLLSDTEELLGYGQFSWNSATNEFVWSEGMYRIFGYAKEMVPEKLTYDWFLSQVNSEERTMVDSAMKNALLQGTPFEVECTVEPQGLLPRLIVIQGKPVPSGSNGALKLIGITRDITESRIREKERERMIRDLHRSNLELEEFAYVASHDLQEPLRKISTFSERLRTRAAEELSPESAIYLNRILASTANMRQLIDNLLEFSRVSRNQSDFQRISMNDILEEVRNEFELSIEEAGVTLQVDPLPELEMNPTHARRLFGNLVNNAIKFRRHDEPGFVKVAASKLSKAELEHFHLPPNKTYFSIQVEDNGIGFEEEYANRIFQIFQRLHGKAEYPGSGLGLAICKKIAENHGGFIYAESTPGRGSRFFIILPEQQ
MHPVSEHRPRQDEERELLAAVVDHVGPLMVLEPDGKIVRFNRAAERLTGREAAEAVGRNLFESGLIPAEQLAEVHRAFTVTKAERRQERALTWYETPDGRRLIGWRGTAIAGEDGHVHHVVVEGLDLTEAHEARVEAENRAAELEGANEELTQFASIVSHDLREPLRVVSGVAELFESRYAGDLDESAERLLAALTRSTERMSALLDGLLAYSRVGRIEEWRAIDVGELLSEVLEGLGEQVAESGGQVLAADLPTVHGDWVQLAQLFQNLIANALKFRGEPAPRIEIRARSEGEHWHFEIADNGIGIDPRNRERVFEMFQRLHTRDAYPGTGVGLAIVKKIVERHGGRIWVEPGAERGTVFHFTVADGAGAP
MAATMVVSLTAVPSWAERDPDPAPAQTSTPPAPKSGGQPAVDETVEAAKKQARETGKRVEIPERNTESTTLFANPDGKTLRVELSTEPIRVKKADGKGFTDRHHPG
MQRKKNAKDTANMSQEQLSSTSSTTTQSQVHWTDEDNRALIQIIADLDVMENLDRPHQRNVNIYTQVLQALADRDNTKI
VLEADISEDTLLTFGGSYDKAKENGTGDGLPRYSTGDDPAAQGAIGGQRAGEVHLAAIGVPGAGRCLQVELV
MQIPQASTSKLIERFHDHGQSLWPNETAEPITLLGAVPVTPSARGRQQVDLGSVVASNSLGPNEASLSSLVEPTLLLANTSRLSNLSEGQDKGAENGKSKDTDSEIAQNLKDAKTTMEFAATSAGDSESRPGHLVDVEGDPERETDFIGPRIELEGGPVAEHIEALVVAEIKSISGSRRRKATTPVT
MKIIVLLLLLLPLSALAEERLIELQDGTEIPVEHVAATGVRVMLWLPSEFGMSPRQLEVAQGLAEAGIEVWMPDLHSGYFIPPGRYSLNALKPEVLLELMQKAQSVSNKQLYIIVSGRMSAKTLEAIRLWQMAGVQKEQLGGAILVSPKLYVGTPQGGEEATFIPVARATNLPVYLLQPVNTAQHWRINSVVSALEEGGAQVYLHHLKGVADGFHTRPLDDTEPQEIELTSRLHAMLNKAVQLLSVTSADLASVPTSPELSVPVKQAASSTLLKPYVGEQPELTLKLADMHGNQFDLAHLKNKVVVLNFWATWCPPCVEEIPSLERLRKLMVSRW
MTLRFLILAWLLGSSLAFADDDTLIVATDNDQEITVHVYPNEADTPLLIWLEELDDARPAFESLMLDLQANGFTVWRTNLLDAFFLERSPTNVRGLDGRGVLALLRKAETTGQPYMLVSADRMSIPSLRGARRWQAEMPAGHHFLGAALVYPNLFEPAPPAGIEPELMSITRHSSAPIYLLQPEYGALRSRMTTLLDALGTGGAPTFSRIVPKVRDWYFMHERGENPDEDAATAQMPRHLLEATKLLGKIAPRMPRGMAAEFPDPTPTTHVRRGLIAFPDTPAAPGYRLSATLGGEQSFDDVRGKVALVNFWATWCPPCVHEIPSMNRLAQQFRTDQFRIVSINFKEDPAHIREFMNKVQVDFPVLIDPQGHTADAWRVFAFPSSFLIDRAGRIRYSVNSAIEWDTTEAITPIQGLIAEDTSLPAH
MQLAELNVGYARYPLDDPRMAGFMDNLDTLNALAERSNGYVWRMKSDSGNATDLAVPGDEEMISNMSIWEDVASLGDYVFNTIHAKFYEKRPAWFENMTRHHFVMWWVEDGHIPTLEEAMQKLAHLQEHGSTDDAFGWDAVDQSAWRRCKIAAE
MYYLAEVNISRVLAPLNDPQLADFVTKLEEVHQYAEHSPGFVWRYRSPKNANSVRTTPFDDVLIQLNMSVWRSREALLEFIYSPQGLHHQVMKERQRWFAHIDGPFIALWWIPQGHLPTIEEAKERLAYLRMHGETPYAFSFRHFFPAPDEAKVQ
MKMQLAQVNVARLRAPELADFIAGLDPVSALAEAAPGFVWRLQDEGGNATNIPYDPDPLLIVNLSVWEDVEAVRAFASSGVHLDFLKRWREWFTRMAQPDTAMWGVAAGKRPTAAQAKSRLAQLEAQGPTAHAFTFAAPFGPDGLPRTSGPITSRAEPNGTSSIPAAAAPGGPAVPDPA
YEINLGGGAGIVVPVDPNAEPPPAPSASGLAVHLAFDEAAGSLTALNTGTAGAAQNGAVTGATFVAGKLGNAVQIDGQDHIVAGPTPVTGAAARTTSVWVKMSGASTAIRTALTFGQNSSGGKWDVDIDTAGNFELGIANGRTDSAGSPNVADGAWHNLTAVLPSGATTLAGAKFYVDGAPIAFTATSAQVINTAAGGSLIVGHAANSGTFQQFAGDIDDLAIWTRPLGATEVAAMVSLANTAGYDAGNVDTLLAAFASASNVTIDGVTWTYRASGLTGPAGVVQTTATNRYEINLGGGAGFVVAADPDPQPATDGLTVHLAFDESPGSATAINGGLSAAANDGAITGATYVAGKLGNAVQIDALDRIVAGPTPISGAAARTTSVWVKLPGASTAIRTALTFGTNSNGSKWDLDVDAAGNFELGIANGRTDSAGSPNVADGAWHNLTAVLPSGATTLAGLKFYVDGAPISFTATSTQLIKTASTGSLIIGHAANSLNFQQYAGEIDDVAIWARPLSATEVRAMVSLANTAGLHYNAGDLDAMLAAFRAGTDIVIDGTTWKYRASGLTGAGVVGVGTGGNLYELDLGGGAGFVVPLGTSTSDALTVHMPFDDAAGSPIALNTGTSGAANNGSVSGTEFVGGKLGNAVQFAGLDRITAGFAPIVGSAARTTSVWVKVPGPSTSIRTAFSFGTNSNGGKWDVDIDAAGNFEIGIAGGRIDSAGSSSVTDGAWHNLTAVLPSGANKLGQLQLYVDGAPITFTATATTAINTAATGAFIVGQSVNSTNFQQLDGQLDDLAIWNRPLAAIEVRAFVSLANTLQYDAGKAEALLSAFGHGSGVVLDGRAWVYRASGLTGPEGAVAVATDGFTYALNLGGGAGLAAVN
MLAVVLGQAILLAGCGGAPWVDSRREAGTQTTWGESRPDRPVICYDPDVTSAAQLQAMAEQECAKTKRHARFLGVTRWQCTMATPDRAYYSCQ
MRGWERKANAKDNKRCDARYLKRRSRLDDPEDDPEDDQLQNNEPKQDETYESGSESDCSVASCPPTFSDEQHHHPLPRPPSLTAFDIISFSRGFDLSGLFEETEEMTRFLSKEPVSQIISKLEEIAKVVSFKVRRKDCRISLEGTREGEKGPLTIGVEIYELTPSMVVVEVKKKAGDGQEYEEFCNKELKPGLQHLVYESPPVVKTTSNMS
MRNKIKQLMNKEEGFTLVELLAVIVILGIILAIAIPSVGGIIDRAQDDADEATQELIEDSARIYFTQRIDETSVNDTVTVSTLVEEGYVDLRDGSAPTGYVTYTEDGNGNGIYTYSSGTPSS
MHPGRIRTVNSDEGAVNTRKNASISMTTPRPVRRSSSVTGLLYHISASQRIPLRISYDTALKYVFVKNGES
FPKQKKMYRGVTLGGRLSAKLVLAKVQPKPRNTAGKDKSENQKVQTKQKIKGAEGKQRKVINQGENEDDLPVENEEIKNEGVPVSDSAGKREAKY
MPPLHSISSPSRCSTKPQSTPSMEEKIQRLKRMLLRLATFVEEAEGRRITNHSMLHQVNMLRQDMHRGYYVLDTFRFKKAHEEEMNEYDDNKPYNTYMFMDKCMFARQMEMEHVINFSLKACVDDLGVLPITGPAKVGKSTLVEHVCYDERVLVFGSSNPEDHPDVASVSMDIFNYYFDHDIDKLFMGQFMDLSILADMIQASLHEGSCHNLRERIRLSINEQILAKEMMNQSLLSKGSRDSGLKSKFVFIPRIDEGVHYYCEIYDHCRVAFAHEEEEEAPQIDIKEVFYERVAPHGKFDLFVWRSHLPPYYSYIYSCEIHEYRSASTCRPELRLKRKI
MMKVLPSPIWRYGFSIFNVMLALLLTFLVRSSIERTLTPLFVAAVMVSAWYGGFGPGILATILSTAALNSFFVPPIYSLALDLRDVLWMAVFVMITGLIRRLTAARKRAEVLEEQQRLWSMVTLSNIADAVITTDAEGRIHFVNPVAGSLTGWTTSEEVRGRPLEEIFHIVNEEGGAPVENPMARVLREGTTVRLSNRTRLVAKNGQILPIEGSGAPVRDPEGNIIGMALVFHDHTERKQAQEALRRAHDELEIRAQERTQELARANAVLEAEITERKQVEEQLRASEGRLAMVLEQLPVGVSVTDRDGRGVLCNSIMRRFVRESIPSHDPQRIPRWRAWTPDGTPLEVHRWPGVRALRGERVVPGIEFLFTDDDGREIWTSVTACPLRDANGAVTGAIVVVQDIDERKRAEQALRESEERERARAEELEALMEAVPAVVWIAHDPECRTITGSRVAH
MIQFLKKNKEIGLLGIMQVLIFTVLAFGQWHKTSDMYVYAGVFLLQVISCIVLYYLFRKIFRNIEEEKKQSMLLKQQKYAEEHKKVKAQLDNQLEDIKEQVSFMLKNLQMNAEKMSEKAFHEAVNEIISNSEQLYQVDFCDNKTIDAILYNKSLLAKSLHIPFDIQVQIPQQLNIAYVDLICVYANLLDNAIEANCQVDETQRMLNITSALKMNQLIVKVENAKPANLHIDKNSGKTTKTDTSEPHGLGLKILQRTAEKYHGDMLIRDKGHEVSITVFMENVNVGTIS
MSDWVENLKYDPILPLVNSQYKAISYFAKRDFLGESVEPIETVWELPDAQKLLKKQRADGSWKYPGKGTSPETNYNVFQTIKMLRELVMKYEFNKKHPLIKKAVEYLLSFQTEEGDIREIYGTQYSPNYTSVAFENIIRAGYEEDPRVERYFQWILSVRQDDGGWAIPLRTLGIRWPEAIQNVEPLQPKKSKPSSHWVTDLVLRAFAAHPKYRMSKEAKESGTLLISRFFTADKYPDRRKAEYWTLFTYPFWWGDLLSSLNSLSLVGFTIDDPSIKKALDYFIIKQKSNGLWKEKRVMGGNLPVWHSWHSLAICRVIKRFYTAL
MFCKHSFSKITLAFLVLAGMAQADTKVNPYAVNDGNIPSPSEYQGPLFQLHVNYPEQLPRKINMPWRKVLNGQPLTKENAYPFVMALKQFVDDPMRTFVTRPEAWNKNAQKGWYSMLWAGESIAATGWEGRDAIYGTYTGQIQPASVYKDSGLTVDVRNHAAIYYNQTAAYALHKVWQQCNPETRICPPSLSDGEAQFPEGSVIIKAAAATATPEQWPVLQGAAKWQIYRKPFNLHGTIENARPVVTDVRVAIFDIIVKDSIAAPETGWVFSTLVYDRDAEGKDAWDRMVPLGAMWGNDPDVNSFQHPTMPLQETWVNPEAPAYSTVTLGYGGRLSGPFDIAVKTDVYVGEKLVPELRSSACMSCHGTVAYFPGYDQMLTYFYPAKRPITEPLRMYVPGSEGWNRWFQNRPGDETQSTIAGAIALDYSTFLEMVLMNYASQDQLARGVFDEEEYEQYWDAWRILQSEFRH
MGDLFLLRGLPNAGKSTVANHLAPGAVFAADDYFEEDGKYVFDYSRLPEAHASCRKNVIQAMSSGIKKIAVANTFVTIDEIEPYLQLGSTHGYRVHIMIIEKQHQGNNEHDVPQSSVDVMVKQFEWVDPRFHSSHSP
MHFSMSESKKHLLETKCMHAFILVLDSCYLQPSQEVPWVLGNIVVCVYLWCNETE
MLSLCVCVCCVCVCCVCVLCLCVVFVCCVCVCERERDRER
MENAPASLHSLDVKSRDMRGQKYVLQVAPEDCTGCNLCVEVCPAKDRQDPQIKAINMMSRLEHVEEEKVNYDFFLDLPEIERSKTGTN
MPLLRKIPSLFSVHTPNFLADTKSNERVFRAMEKVIRLCQDDRIQLKNSPPYILEILPELYPLLQTAIKQVLHELSSYISLSDKNFLHNTSNADMPVFLPEYFTHLWDKYKIPENLANSAIYLKLFLTNVYLKCRDIVQLFKEDEGLSELSDARSKTRLKLSHISLILSNMWKEFEAMFNVSVQQDSSVFTGSEFKVTKQEGNTFWQHHFPKQCIVHWSHFVRVFTSAKNEFEIMSSEESLRLRETFDITENGYVSVFEFDIFVRLFHPFRNLIKNWLQITSHPAHMGFKTYDEVCAILGKHKSRPGSYVFRVSCTKLGQWSIGYVDGHGKILQTIPSTPTLIEALNRGVEDRYYLYPNGEDYNPDLKAEGVVEVEQLVVSEEEQQIYHLMDSTYEECKLCYERQKDTKIEPCGHLICRQCLEQIRKQTKAECPWCRQDIRGNVNINIQPKDKPAKSEQLPATSYELPTYKPEKKQIQSNSKNLGGGTLSDFDNPFERSTSTMGASTFAAGVADYNGSYASETLPAGASSSGVGVYGNYGAYGTNEFMANRPPQPLPHVPLATSSRSHSSSERKPRSDTLSSKSSSGRRHRKVGQRPQSSNGSSNDFVYNTALVVALPEPEYGATFDQNRPLSDHEDPPPPYTESPEQESSSNPFSTEYINLGLDSVANEDSPTSENDSAAQNGNFLRANESLNLRKSDTAIAGQGRDGAAAIIGASSGGQTEFLGNSNGVSEGALSNSYPALSVCNPIYSSFT
MLNEFLLSDNQEDVIIAIYALSHVYSGAININIDKGFMMENIFPLISHHTDDVVLMTIYFMGVLYMGDGNIDVLNTCIETFIDNSKSNSQFYYLALLGLGMFFYKQPQLCESSVMLNLDDTLLALALGFMYVGTGNTDIINKIMELSFGEDENPTREVIGMISITLVSLSDKLATNQIESILKNFYQIKTVGVKNIIPLCLSLLYASNTKVEIIDFLEKTVNSKESNVTSMIALGIVGAGTNSSRIHKIINGHFNSFYKDYKTANALIYSQGLLSLGKGMLSLNPVAYDGNVIIDKSLIGLLSTIFLFIDEKDNFFKDYSYMLYSIAQAATPKYVVGLDSEIKVGLPVDTVGLSGNPNKISGVVIHNLPVLLNCNEKAEIMKDVLSDYIEDVLVLKE
MSLRISKTSPTPLRSPPAGGYAIARHIKTAIVQETQLTATAGVSINKFLAKMASFSEQTEWIDSDFTPGCDAVRGASRREGGRSRHRLCKETAD
MRQFLFPRRPLSRGTLLFCHLLLQLGLVAGAALWLAPRTPWLAPLSWAEAWPPLALGGGLWLLAAVALRLLAELWLLPHHLANAAGAGPVITRSTSAAQRCMIPRRPGPAMPAPWTPTTA
MTINTITTRVGYESHRLEQAEQQRQETQQVPAQQDASSDRVSISDEARIKAGTLKAAQESDGVRPDVVAEAKARIAAGQYKIDSRDIAASLVKQELDLWG
MISIDRSIIFQIINFLILVALLFRFLFKPVVQALDKRSNHIREEMEKIEKEKKAAEELRLKYEEESKNIHIKYQEMQEYANQEAVKIKSKIIDEAYQESEKIKQTAEEKARIEIDKLYSDLKLDIIDISTEMAAKLLHERIDISKQDQLIEQLLEEAINKIEIKTDVQHGKQ
MKIDWFTVIAQIINFLVLMWLLKRFLYKPILSSIDERETNIKNQLLDAESQKKEAAQAKDEFNYKNETFNKEKDELMQKAAAEAKTEGDKLKENARNEANELKDRLEKAFTEDQATKNNNMAKRLKGEVLDIARKTLTDLSSVSLEGQTVEVFLKRINELKANEKTKFSEALKGGKPILVQSAFTLSANQQGSIQKTVSGLLKTENTYEFKTRPELINGIEILTNGYKLSWSVTDYLKSFEADEKVDIETKE
MLIDWFTVAAQALNFVILAWLMKRFLYKPILDAIDAREKRIATELAAAGRKKTEAQHERDEFQKKNDDFEKQRVALMEKARSDADAERQTLIDAARQAADALTAKRQASIAGEAKALVKELRQRAQTEVFDIARKALTDLAGTSLEASACELFIARLQALEGAPKDALATALSGAKDDVVVRSAFELSTAQQRAIHKAIADTFGVKLNLHYATDPAVVAGIELVAQGQKFAWTLSDYLASLERGVRDLLKERSRDSSASPALAADPSATHEPATATAQAAPTSPADEPVAVAVSK
MIETSPRHVLCVLGSEGGLGLAEMERIAADFGGFVLDREYSGAEPDPRMPRAFEAAGASSFEQADRDAVEAHDSVGYLLSMPMMRELAAGTSRRLLAATGALLRAGAAAVKSESSGIAHGRERWLALADRADGAADEELASALVSAWVQLPIRDGEVLYSCGMHLLGAPDVEIEAGEGRLADDLVLHLDALAIYLLTDPRAAEIEDGAGFRLTPDAPRRLLRTGPCDRYDEDDLFFNPYGYVRLTRPVEDTAL
MRVEAGTTTVGTARIREDSRPMMTAAQKAIYDKTGNRPQVDAGFDEIADGLDVGRPALLSAQSKAKPSKYRNQRCEHDGITFDSRRERDRWIVLRRELAAGLISELERQVAYVLADPVVINGRKKPALRYIADFVYERDGKTVIEDVKGVITAEYRIKRHLMAARGLQIVEIN
MTSLAIVTVRTDEPVAGHMIAERLADRVDMVDCDSLSTLGGAILQTSAPWLCIVTPSVRLYEDGLERTLAALDEPALETTADALIHPSTYLLLEGQPPSTREVYRLVLGEHALAATPTTVLGLGMVQPGALVVKTSWLASHLGEIRSLYQPREIHELLVAIVRYVGGANKLLLLQWQLSERHAIVSPEVLDLEHGLQLDFRYQKAMGDLWKYAWHETPNVPVDQVRLVHALGEFHLSAARPHVVRRIVRRLLRKR
MVVLIAAAAGQRTCNTVHGRGGIHHAGHGKPLLPLKILNSGIGLWPEITGATSQWQNATAKERKTFLESALKELRMGTRWSFEPRLMARYQVQAKIRARHAEQKAVLWEPRGPCYLAPMVEVFQIILLMSIVGMLIAALVKWISGIRHRRYHSRLNQTPKPDED
MKRIFTVLLAMLFAIPMLIAQAPSIILVTPDDRDDAQYEWLLRQGFDVTKFYPGALSAAGQDTIDMLNAADLIIVGRSPNSGDFDGDDKPAWNNLTAPLIINSQWVARSNRINWFESNNAYHMNLSPALAYGVVSDPSDPIFTYVDLMEGDSVGWCYPPHDFIGNDSATNGEILVSFAGRNPLLVRFDAGVEFYPGSVDMPAGPRTYFGIGNDNLDFDNPNFFPLTKEAKAVYLAEILRLVGEPVAAPVVAPADYRITLITDDDQDDVQYEFLVRQGFDVTKFYPGALSAAGQDTIDMLNAADLIIVGRSPNSGDFDGDDKPAWNNLTAPLIINSQWVARSSRINMFESTSAYHMDDGPAVAYGMVMDPLDPIFDGLTLDGDSLAWCLPPHDFIENNDSASNGEFVAVFNETSPLVVRWDAGVEYYPGAGDIPAGPRTYFGFG
MSDEEYIRKAESVPYMFWNNISAIIDKAPEHLKERLFWIMREKELLEQIKS
MWARLSFYSGGTFLGNVDSKSNIGMIVALPEAFVEARNIMRRDWDV
MLGLAALSAGGAVAIGLWVHGVYCYVQMVRHRRPGVSPLELAWSPDRLTALGLEYRRRALRSYAAFAILLLLLLLLGSVLPAVWLGQAT
MVDEDVVDGQISPDNILKDYLDSQAGGSSSEQFNLEGLFEFDFPPTEGGSSEVPEFTKAARMVNGGLLMINRALDSSKQEAHMARFKAEVADKEIARMKGELESSRRGERESFEKEVNHAYRQGKREVVEVMKNRRDKFSQQSEELKGQHTRRRILSKPGVANMAKGRVIETQAAMNAATR
MQITIAVKRCETFSEFTIAGTSCRIPFCSTCITIIQNGAYLYGKVNEAKKGENANDTKQITDGI
YETFRTEEEERIKATGQDVKSSVYFMKQTINNACGTIGLIHAIANNRDKMNFGKVFFLNIAIRVTHESSAHEGQTEAPSIDEKVDLHFIALVNVGGHLYELDGRKPFPVNHGETSDDSFLEDAIEVCKKFMERDPEELRFNAIALSAA
MKRIITENTLNQMSEALEDIRLQIHAIERELSKYGFDLRRGIESYKVSEIKKIINNSKNPHEVKKILLKLVGVQEKLYEELYKFAGLKDLDVDTDTPEGRLLTIKEWLTSGKTTNHTNTPATSKFSEAILKILEIIERYEDSNICADKIVLSLNEIYKRDYDRHRVLKYLLSVCRELRAIEETQLKRAESMPVSSLVETLLSCVRSIDKHRFKSTVRSRLRK
MQRRPMCFTTFLRAEPLYDIHTNVFLFFNSIFHFQKVLKLKHDQIDDALVVAMHFA
GNAIMTFSWGDVGSLSQDPTQSVIQGKLGARGIPGTRFPYDMEAGEFLELEEPNMVGNQVGCSWHPVISVYAEDPDLAY
LFRSFCPTCFIALTESSLCLPFSLSLSGPSNWSSTCGSVYRGTSSSSSTSCNRVGLSPSASCLSLMARSRIVSNFLIICFLVIFFRCSPPPHFPKANSSSGV
GHCSNLTGKQQHQQAACQQSYRSSHSLDLGQAMGIRGISGFLDPRDLSPAFDPVLRLAARMNLEFNDEWA
MTDEGHDELDQVTDDELKVREVGRDAVQDLISWATYTRRDTTPAENVDEAPVATLDVEAVDEASDKAIDSALRRSDVKAAQQAHRMRKRFYNFVVKAVFGTLAASALTMLLYVISQWGKIDAAVMVAFFSAVVVQVIGLAYIIARYLFAPRGQIAPGNQPTSTPSSA
MEKVIIALFKGEKLKKENSLNLIRLFLALVVVYAHSATLGGYVINTTLFGKGIGSWAVFIFLELVVI
MKKIFFIICLSLSMSLVSCSYPKTENSKVKEQKKVANAENCGQNKDAKELKDNNSKKNIIKEGIEEIQESRTNLTSGDSQNANVDLSVFTINKSGDSYSVLDFITCNSDTDSKICFKNFQGVYTIKTLNIREGKSISLYYKSKVESGKLNVLIQDESYNILKILETNKEENIKIDVPKSGKYIIKLVGDGATKGELILKEK
FGIGSTTWKTGAGVIICALLAFPKAVKAGTQSHSWRNCCLTCWILLHRHRWFIARAHRLGPRTSAARSDVNGRTLIAKFMRSGDRDRILRASQEVGEIRWEGKRVVSFPDFSRGTITKRDAFRECKKDASSTRGEVCSTVPSHAQNRHERGTAPLHEPEDSHGLHQERAALATRRS
MPLARPGGCDVVCHRGSVNVLIDAETLNSLADVRILDVRYRLDKPDGRDDYAAGHIPGAVYVDMETELSQHGAPHDG
MHKAKILAQLKAKHPGVSAAVLELVADKLAQTVTEEAKIDEAITALDNMPISIKDFADTLQKEGDRRVTEAQKKFKEKKAEPKEEDTPPGDNSDSALAKQLKALTDKLDRLEGERLQSDYGQRLARKLTDAKIPAQLAKGRTVTSDDELETVFKEIETDYADMKQTMANQGFGEVPKPGEGQKTEPTKTAVEAGIKDWAARNQPAKEAPVAK
MRLSLILFILEVLSSEFSREDITTAIAIITIIVAIILGIPGFISFNNAKQKEKLQLKNLFLESGWINTDKLNYVLFDYIREMKNEQHPSNQVGIQEKIQTILDNRKENEKQNHILLVGPSLSGKTHMMVSILKQLKNAYVLIPNEDTFRESTQLDYDLPQIPEDAQYSIVIFDNFHEFFKGSSLSPKNLISKAIEKGFTIWANCISIDEYDRVKNFLDFKEGPNGIFQEIELSKKITVPEAEIIKNRLGKEKLPRTFNGLIGEIIYPPDDMKQHYEDLKLDAIAFDILIFIKQAYMLGAFMLPYSMKLEIVKKAFIKKYSCDFTYGIIALRKIEQKGFIKLQPNHTLISFDSVWLNEIVEPEMKAKEFFSYWDDIIPKNVVHYTNLMSSSKDYSEALSYFLEMEAEGIIPNIRPFVILINRSPDYETALGWFGKIKADQVNDFAYTSLINKTPDYKTALEWFNKIQEDPISIYLYNSIINKSPNYDIAIEWFKKIEEGQADSYTYSNLLYKSPDYDTALEWYKKIDEKQVRTNIFSSLLIKSPDYDTALIWFNKIEEGQADTFIYTNLLIKSPDYTTALYWYNKIENEKANVYLYNVLINKSPDYNTALEWFNKINEVEVITSTYNNLIVKSPDYKTALEWYEKIKEGQADVFTFCNLIGKSPNYDTAIKWFINIEEEQRTLSNYNCLISKSPDYKTALEWYEKIEEGQADAITFCNLISKSPDYETALVWYKKIEEGQTDVYTYNQLIRKSPNYDTAIKWFNKIKEGQANIFTYNQLIRKSQNYKIALELYRKIEQGQADVYTYNQLIRLSPDYKTALEWFEKIDEGKAGVFTYNQLIRKSPDYNTALEWLNKIKAEQMDDYTYQFFLKKLPNLKRI
MTSIISAGRDTEGLGIGIGLGIPMDVVKPAYGEGLGLMAESGMCWPYTARHHSSTLYRGGTAYDSSPTLSSSSASSDSTQDRRVMHTSATHDVLLSYLSPALSNASSDSDAEVAPKKVYLLSSGTKNPVWKQRRCRSETFKFPLPPSARSKIAPLKSALRPSQRSSIQQVGASPPLRVHLDAWKRRSNLSPALEQAEPTSGWSPYSSHAPTPRPVSQDLTHSVLASDARPPSCNATAALPAYSPPELTSASSCSDSSRTLVSDTDESDAEAFGADAAKLARLHASLFLLDRNVSELPLSLADKRAADLGGSVEEKMAYLCGLGYRYRRTSGRHARKASAELQASREQKADVGAWWSEDDEPAKGEVQSYAPVLARAVRVVSNTASAVTSSCSSPILAGPLAAHTEEVEGDVTTRPRIEEEALGGEFSYLVQESPVKKASKVEMDFVRDANMSPPLSTPELELELGGSPLLLDGLPSPIAPSRTPYRLELSFSPRTHTVEGISGCRPLVYKSALPSMQTSEVSLAPPAKAASVDRTSPAAAGRVGMSTSQSCPALLDEGPATIERATRAVVGGSVLARPRPVRGQAGSFFSRGSDTPIEPAVPIIARARPVRAAADHHSFTSQTAVRKRKPSAKLSVQATVPALPAVRVPLRKSSLDLRTSVHPNTVCDDPRAQRLYYSSATAYLSQPVADAADAARARRNRPTLPSSTSMPVLTPAAARPLKGILLNHRATVNSAL
MKDPRKLWEILIGLDEPFVIATVCNTKTSSERMEGEVFGMTYAGKFYGEAEGEFRRFLEANMTKAMKTSMSFMETYIEHRSVAALWGATCGERTSVFFHYVGPSPKVYIFGAGKLSERVAKILVAADFDVVVMDDNENFLRNFDKICSYRKINYDEPGSFPTIEARDFCVVLTRGHTKDFEALQICLASKARYIGLIGSNKKNSELKERMFRAGYTEEAWERVKTPIGIEIGAQTPGEIAIAIAAEIVKVKNEKQ
MDITFSEALERLNREAQAGNAALEMARDARPSEDYVLQQILPERLEPTYKVESGNMIIRSTMAGAVGMDSDFPEGGVITASEFLQSTSKFGNAVTIPEKALRKIQQLQQSGSNIDMVQEAINFIDKLLIQPHMDTFEYLRGQILTTGKIDWKFNKKKISVDYGIPSENKLSNRTGTSAYDGTDSKFWDDIYTMQKQQNYDVQEYLCHQDTMNTILANSANAIRIESKESYKYGSVYEIVKLGELENSTVASSDARDRIRIRTYIMEGELIDPTDKENTIKKPFLSAGKLVAIGSNDQRGYRVGQGSTEDPIFDNALGYTHIGPTVEGNGQPGRYARAFTPEAKPMQLRGEGATNGLPVVEVPQKISIASTDIPS
MSEYVTFNNQKYEVRNRELKIEWKQIKSILDVIGLEKLTSLETLYLTAAAIRKIEGLNNLKNLRRLELWLNPIQKIEGIDTLINLEYCRR
MDDHQVELVTKLAEQGRIVSLESQRTLRQGVESALNKQLDMPSVLEAVPAVKMVNQILQRYGEE
MATLNALKKALKKVSDEAPRKPLNDEEYDNGLSLFAQASGEQTYQKTFIIAQLSELIALLARDEILVLEIGPGPESVLGHLPAILRKRITKYVALEPSFQYTQSLTRWLSPMEDERPLPSLKHSLIRPAPFTTESCKGQNYDVIFFCHSLYGMKRKKKIIRHTLKMLPKDPLGGMVIIFHRGGSLILDNLVGHCSLAFPNRATAIKDDDEAIDSFARFIAGYRLTNGKLYETRQA
GDSGKLQTSLHQLTLTASMLPDELKVAIFRLLDVKDDGSRVIKIRQQDNSLVPISSLFGKSSED
MILFEFRLAYDRFLLDAGTKHMAFYESLREAILSGQLPYGTRLPSSRSLAAMYGVSRGVVTEAYDMLYSEGYVTARKGSGTFTAYRPPDSGPIRHAAAKSSPVKNVIAESSAASPNRDGFSFAGTAWARRLLATHETEGRTVGEERGQLFSAGLTDERLFPTAEWKSCMYAEVRQSGTAKLAKDNPTEGDFQLRSKIASELRRERGIVASPEHIVLTNGSMQAVGILCQLLVEPGISVVLENPCYRGFKRAVSAAGGAVVSASVDEQGIVPRHWDSSLLFVTPSRQFPTGAVLSHQRRTALLHWAAERGALIVEDDYDSEFRYGGRPVEPLKSLDRQERVVYIGTFSKTMFSGLRIGYAVLPPWLSEPFRRAKSLFEPSTAGLTEQGALSRFMGSGGYGRHLRRMRRHYGRRLAALQQGLRRLPGGMFSFVPANSGLHQYVAWKGEQDSYSAFLNACLSEGLGWSDGAGNWHDKPLESGGTFGFGHLTEDEISAGMQRIRAIAHKLGL
MPDCRITVAPKLDRGVAWAVSSGLAIGMHEAGRGRAPAFLPADLRPDPQGGLYQGLYKRLRGFILDGVWPAGTRLPSSRALAADLKVSRNTAILAVEQLIADGWAESRSRSGVYVSAALAAKPDNAAVRSRPAPAELPPLALQRPATDLFPAQIWKQLQAAAWAQIEPQRLLTADPAGDLTLRETVARLVCAPRGIRCQPDQILIVSSAAMAMDLVAATLLRPGDRVVLEDPCRPALRQLLRSRGARICNLPVDGDGLDTDLLTDAADARLACVTPLVQFPTGAAMSAGRRAALRQWAQQSRGWIVEDDRDAELWFGSDGPPLPLAAEEPERTILIGSFNRLLFPGLCVAYLVAPMPIAERLRSAHATIGARASLASQLALQGFIAEGHFTRLLRQRRAAYAERRLLLSEAIGLPGHSGNGLQLVVPVRPGSAPILAATLAAERLGGADLDQFSESRRHGDRVLLGLGAPPDQLRACASRLNRILSPALACESDG
MGVPAADAFPATLWARLFRQAVQTTALRTGLSDPRGLIELRSAIASHVAISRGIECSPDQIIITGGFRSGLSLALRAIDATGKKAWVEDPGYPMTRLALEFAGVNVVPIPVNKQGLSVQDGQKLAPEATVAVVTPGQQAPSGVTMSQERRLELIRWAVKSDAWIVEDDYLAELHLSGQPSNALASGSGADRVIHIGTFSKTISPMVGIGFLVAPLPLARRLIDIATWLGSPPNAAVQVALAEFLREGHYLRHLRRTRRLYCERRGRLLETLTKFGVHTAVPAALSVVMPIPEGFDDQNIFVDARAAGLGPAPLSPWFNGGSCSPSGLILGVANVMERRIERDCRQLLTMIGDPLKNGGYGQNPLVISGLIDADN
MTTSEPRLRGITGLISVDRRAAKPLQRQIYDSFRGRILGGELRADELAPSSRSLARELRVSRLPVLNAYAQLLAEGYFESRVGSGTFVARSLPIHSPAHAPHPRSAHEPPRLISSRAEAMPRYENASWAGNLGPFQVGQPDIYSFPMDVWSKLIAGYSRRVQAKGLQYGDAMGLRELRETIAVYLRTARGVRCEARQVMIVSGSQQAIDLTTRVLLDPGAAVWVEEPGYWLVHHALKAAGCRPVPVPVDAKGLDVAAGIKLSRKARAAFVAPSHQYPLGVTMSATRRLQLLEWARRVGAWVVEDDYDSEYRYDSNRSVHCREWTSTTASSTSAPLAK
MMPGKKFAPGLDLPITLDRTASTSIYRQLCEQLRRAILDGRVSGGTRLPSTRTLAQALGVSRTVTSSAYDELFAEGYLEGRHGSGTYVRTDLPPLPRQTPSLPNTLPRWLEKAPPLVYEATIPPQAIAFRLGIPSLSSLPLRVWRETWGTVTARLPPNSHGPTDGDPTLRAELAAYLGRSRGLACTPENILITAGATHALDLVVRATLSVGDCVGMEEPGYPSAQQTVLARGGRLLLVPVDENGMQVERLPHGPAAPLLVYTTPSHQYPLGTRLAVDRRLALLTWAQANDSLIIEDDYDSEFRFDALPLPALASLDEVGRVAYIGTFSKVLTPALRVGYLVAPPQLCERIRQLTYLTDEQVSWPLQQMLADFIARGIWIDIFDACGISMRKSDASWRKLLHPSRISRAYVGWKLACMFILNWNPQFRPRASRIWLGSAMSSSPLLTPTILVPLTVLVCFWAMQAWRSLRFFTA
HRLMRWAQIAGAGDAVAEGAGAPADDPRLSEIIDHAGRSYAHRTWLPHTPRAFVTALPALDAFPMAHWARLSARHLRGGRGTVMGYGQPKGLAALRRAIAAQLSALKGIKCHPDQIFVTSGAQHAFSLIGRLLLDPGDRVWMENPGASGARNALLSEGADLVPVAVDDQGMIVAEGLRKAPDFRLAFVTPSHQQPLGHVMSLGRRFEMLHAAESAQALIIEDDYDGEFYFGNAPNPALYSTDTSGRVLYVGTFSKSLFPSLRLGFVLVPERMVAAFDRMFDTWVSGPPTVTQAIVADFMDEGHFATHIRMMRRLYIARYEALMEAAATLPGTLRLQPTASGFHTPARLAPGVYERDVVEQAAARGVTLAPLGRYCLAPVAQAGLVFGFGCATPEEIARGIGILRDLPALH
MKTRDATWLSLFERDENSHLSLTERICSTVRGAVGAGYLGSGDRLPASRVLAIDLQVSRITVEAAYGRLEAEGYVVRRVGDGTFVALTMPSTDARRKSGRLIQAQLSRRGSELVATGGCVDARVAHAFTAGFPDLPAFPHEIWRRIAARKLRSDPMIAMGYGDPQGLPELRSAISSYLFQYRNVQCSPEQIVVTTSSQQALTLIFTLLIDPGDPVWVEDPCYTGAYTALVSAGAAVVGIPVDEKGICVPPASERPAPKIAYLTPGHQYPTGAILSMERRMQIVTDAFLQNTWIVEDDYDSEFRYDAQALPSLQGLDKRGRVIYVGTFSKSMFPSLRIGYMVLPPGLVASFVTARTTQDGHPPYLSQAIAAEFIAEGHFTAHIRQMRRLYTSRCDCLLESLAEHVPRVWRTSPERAGLQVTVCADVPSDLNVARDAKKAGLELACLSPLYIGDSARQGWMLGFSALDNASIRAGAKKLGRLLDGRA
MKKTVKKTPAYLTLYEQLKKEIVSGAYSYKSKLPSKRSAAERFGVSLVTIEHTYALLEDEGYIQGRQRCGYFVRYRKEQFFPSAPPRMPEKTQPKEFSSSLSLHSKYAGEPSTDQTPAPPLETDCFPPSVYAKAVRRVLSVYGDRILLKTENAGLPLLREAIARYLLRTRTISVLPDQIVIGSGAEQLYNLATILLGKDRLYAIEKPSYEKIQQIYKAQGLRFEELPLGNEGIPDEVLFKSHAEILHVTPYQSYPSGVTASAEKKQTYLSFARSRNAFILEDDFGSEFHRMRPIETLFSLDAAERGGRVIYLNTFSKTLSPAVRIGYMLIPPSLLPMAEKRIGFFSSSVPVLDQYALAELLNEGSFERHLNRIRRKLNP
MSQKTPVLFVQARKDRAKPLFRQVAEQIRTHISAGILPAGEKLPGVRTLARQLNVSEDTVSQAYATLAEQGIIEARPRSGYFVSGRLAATGASPHPGIRQTFPAGLPARNALRIEEARRFAALPAGSCPEAVLLAGRPEHEEGGMKGWVSEAVRFAKSPWLLGSGAFSCGLPALRSAIAGRLRERRGISCGADSIILTTGFRQSLSLSLSLLFTPGESVLVESPCAPLIASQLYACALNAVGCKVDGEGLTFSEEDPRLNACRGAVLTPACQMPLGVALARRRKKQLLIWARTSGAMLIECDEGSEFPETDTPEMPLKAAPFGDECVVYCGGFSLAAPPAAGVGFIVAPKGLESAFAGAAALAGAGPSLLEQRALAAYLQSEAPALHERRMTLLARERRAALRDLFARMPESVGRLAQAASGAWLSFVLAPEFAGIEPLVLKALSREDLPIVPLSSFAHAAGAVHGFAFNCLCLSLGEQMRGAEALIRAIEENCGGRTV
MILTPFAAATSISTFSDGSSEVVVEFKDGVNTVNTTEGGFSVPSDETITAASIDISTNPVIMSGNTRVGVESGLPIWDPVLNNQATTYDDISNFTYQDENGAPTPLSFSSESFITDFESGTSGFSNVSGLGIGQDHDVTGDPLTWQYGQKSARLGTAGPDSCASGEMCWGTTFEDDDYTDDSTDANENTNNPFYFEMTSPSVFLDPNLNDTYLRFSSWHSLRKNVMPNGDLTFSDCAYVKIASSATGNFGTTTFYDHLQFTIPYSTGISPSNGFFLRQDTPPTANLISSDCLGIPSNNYGLAGSSTSSTNQNGWAQLAANLAPYLGTHVKIKFVLESTDTEQPISWGDKSGWFIDDFQIGESYASEGEMTVTNVQAPTEFSDKQPNGFGLLFVDSFEPGDSTISIDIKDATSSQYITVDGAQLKDLQGPVIELWGIDVDSHPMISIVFKFSSDALGVSTPSLYGYNVGTRVGNTFVDIDNVRDLNTANGEWVFTNASNETNMVKLDSDDLLGYFSNPIYGVIFSDMDRCNLYNATITSSNLVNSTNLSNGTYVEFENPIFDFEFTLGLNESCTIADVWLDLFFGHHPSDLSIDVAGDGVIDWGLIEPAQGDFGRQNKFWAGEVNGISEASDDEKLELDVIAGNVTGGFFLLPKGSYVDSFDFLISDSTLNSLNISYDYAVDLIFTSNLSASCGKVYDSDDIYFSELSSTIPCMDSLNTVLSSSTAPVFKTDQFGVEWMRIAIKFSQPDQNGNGFITVSEMDAIYTFKHTLDETTGFDSMLREFVASESQSSQSSEIFIPMITTSSRGGGVKLDNLSITTAPGYDSSLAWLSDKEGLYPSGELYEIETTHEVQASTGSTFSSAQLRFSSVAGDVIFVYDPNTGFSEIGDSNNLLTLSQTSSTAVPFGSDGKEITWRFTVNGEWDDTEEVSIFSETVAANGVIGMLGGITIDPSTG
MAKQETPSYPGSIEPKVTSEFSAKSGTEIADILVGSDLKHFKIHEKLLCAKSEFFEKMLHGRLKEAIEQTIRLPEDHAVAFDLFPEWLYSGNLYKADSNIRIMKDLMDCSMSSIITLCREHKKLPTTNIMVWAYQNKKPGSILRTFIAQVLLSVFHEGANPNGDWSLRELSNAISTNGDMGIDFLTILRAATTDDASKKLSTYPYRFYACRYHNHKTGEACPYEVEKAP
MHTHSTINVKQLDYNTLPKNSPMSRDLELTVELIDNLQEIINIHSSYLSDYINLLNRYINYLKKISSLKNERTTLIKYVKKLRYFNNYYTFDEQIYSKGGSNNNNQDQNNVSRNDVQDYTDIGGGQNGSEYEYNYAPDVNESSNNITYGKLTPVIRRISGFNVKVLEILDLLNFQITQSLRNEILSKTLNYDIVLTDVSITDLEDTFRHFVKFNQWFVESLELRGSDPFTLDKSIAIEIVEIARKCAIEDGVDLENSGDILLQEFDVVSDEHEYCLVMKEWGKMLQGKLQKFNDVVERTSSQWHKNK
MEPPSIYVTPAVELPMPGSLPRELICIPLGNRTKIVARLSNDRVASCIIDDEAPALDSRSIAELPLTHIPLHEYCPKAKPHYESGNALQKGQAIKRPRIEDWVEPDHWPKWVHLSERELKIYEMLKDSPHPNIGSYFGCVTEEGRVTGLCVEKEDGLIHLHQLGLSHNRLLYDDIRMRDETPFITNFAYCTLGAHWERLPDGTITSKGKHAEGDLEKLKDMKKYILES
MPPMTRAMKRTSKLHSLEDIFELMESFTDEGGRIAFSYTSFSFIDIDFNCYVGRISERKRNLTLHDANDHLQHIPDEYVYPSLPPHFTPAPGCIDGCWIKRPSLLCCEDVKAFGQIPKLLQTEIAAMEILSANPHPNIAKYHGCIVKCGRVVGIVLDKYATTLEDRVLESSRPFDKILCLDQVKSAIDHIHSLGLAHNDINPNNIMLDDTDTAFLIDIGCCCPVGEIFLGFGTPGWMEERSDYNSSKQSHDLSAFSKLQEWIENPTKDPYPEEVV
MSKKHARLSPSSADRWTSCTASPAAQDGIPNENSDASRAGTTCHQVQEELLLNPERDPQVYLGAKLVFWVHPESDSKGETWNEEFYAGMAAEVQVEAEVEVTQEMIDAVVSAVTFIREQHQLLGGELLVEQRVPIGQFTGEEDAYGSADVILLGADWLHVMDSKFGRKRVHAGKVIRHESVDFITGEILSLLLGPNLQMASYALGAVHAHDIFGEVKTVTMTIVQPFIGHTDSFTCSIDELREVEKFLAAKAEETRTAPRFVPDYDNCFFCRAKGTCYAQTSKALGTVFDGFGEATSGVLRRPDPLMLGSQYALVPFVQQWAKDVEEATYRALQNGEPVVRNDGVPYKLVPGRAAKRTWRDEEAAAAVLHAARIPRDRMYLFQLISPAMAEAMSKQKRPPKGQPPQPAELPPSKWKDLQPLIAQGEPAPQIALATDPRPALCKADGFEDAPTPIDPMAALFGE
MLQEWARDALKHSGLSQAELARRLTAHLHRAIDRAAVNKMTLGKRAIAGDEMIAIEEITGFPAPLENADGLIKVPMLDSLVSAGNLSPREPVKPEDVDRYVAASGLPPGDWIALSVEGDSMDLVSPPGSVIFINRADTRLVEGGFYVVAIEGDHGTTYKRYRASPEHFAPYSTNRDHGPVIIDDAFRVVGRVYRTMLDIGPHASRRGK
MKAQVESIFTQYHSLLLQMARRANEKFDCRGNVSNLRDVMSLTFVLNDAGTGTSHGKSSRQHNQRDGTNGSIDDHLSRTYEASDFSNSTFAVAPEDPALSEDTFRTNTLQSSMVTVANSVDLSRELPTADTVSITKKSSSNSCSQLTEETKGTVAHDSIGLLGVDLQYQMIVDFIETLQRSPTCFKVEKPGCHEDSIDKTDWILGFENLRIHLGDYELTASLHKTFDRVDKSEKYFEKYGTRAGSFVQVRRTTPLEFVQAHISPYQTYAMGKEVQSVLDILSRLREYPVGYYRVHESLPDGERSQFGYMEPSDTGR
MPEHQNIKQSRGTQSSQRQIIPAKQVHASNSTAIIQRARIDPKSLTSADVLQLQHTIGNGAVGRLLSEIRNSSTVQQVPVQRQEISEEESLQGMFGSKHNQATCPSCFATPIIQRQELEGEKPLQCMMRRSGQNRPTGLSSTLKARSPFKSPLPEKKQKQESQEKESQELPSQEQELQEQSQKPLRQIEYGVDISQNVVSERKRVNDYCPCNNYAVAEAGDSVPKSSDFRIF
MAIYRMSGYTTAITRDVVEEPKINTPEKGEPLLLKALADIEDYSKYLVLAHQELLSKISNKLNSAGDIASLALQQNTKIASEFASIAKQEGDQLDKIATAVEEVVNKLSSVDDLYTNVCKLSDLLSSLEQIAKTKTEGIPTIMY
MDLTHDSFLKRLTEDHTLLHSLSKGNLHFPSKRINGSKKDGQATAQFEAGLFFQDVINAEKMGLPKMEGIENFVWKFWMLRTLETRSTTDLILLYSLSRGKKHIFQQDGASMEKLMTVHASQRSDANSTETTKQFSRTCQSKKRWQFKSDDREPGFCLIVRSNSTDVTRQK
MSTFSSHHQVRAPQEDVLEVMSWMLWSEPDVIDVEVLTPAEARARGEALELGEDDELPRVWAIAPTDQGGWTALWASWGGHDEPLIRRLAAELGCDALYGHDNDQVDNWRWIRIRGGVEVDEAWFLGEAWARERGRPLPSMSLGEAFGSFGRSYEHRSFSAALAGADERWRVLRRVVRLRSGR
MTQGTLVWVLLMGVFGLIWVIVLDILGDDHSLPHHNRQGSSSLEHHDGEEPHERSSQQSKIAA
MYIDYSTWETFEYKVSSLQLDINNPRIRYMGDNLNQIQVLKILLEKEKIYELAKKISEEGFFVGEEPIICIENNKKVVLEGNRRVASLKLLQNPKKYLSTAKANILLQNIIKNNIPIDDYKIKCHIAPNRLLANPIIYERHKGEAVQKWKTGNQYSFVAEMYEKDGLSIEDICEVLNETRGNILKPLKAYNLFFEGKEILEKEEGIFIDITNFDLTNLERFFGFEDGRKFLGIDFDNDNGELIIKLPRAEFEKRMIVVFKSLIDAERFSREFNKDDEKTKYVNKLKEDPNIDLSIEPENETTKSRSSEKRKDLESEKNKTTARRKKSKKSFYSNTIIPRDKEIIFDNEKLDNLFSELKTLPFDKKYSFAVLLRTYLEQSLYFFIQENDLLGELSDKLSDDNKKMGKRKLIL
MLTLLDVIQEISRLGKITPELGQELDILLWSRPFNDSEITILRQLDQWISSGLVQID
MKNPSLFNTASAALSVAASLWGMDAQAGFISLNVNYSPDGDHITSVTDGYLHNTFTASSTASNGQWQAFAIYNTGSSCGGTSWDGTNFSLGTCSGAFAIRTDATPTNMDVYLVSQAASQSDLNAFYTDTLSFTGGIDSSLNIYQIEDVGGTQNISTSGGSFNLVSAALFGTDAVVTLTTPASVPEPASLALLTAGLAGLVGTRRRKLH
MNFWEKITGSDMTKEMKAFESRVKELPADYQEAWNNINVASEDLPSLMRQRQSGTLLSRPRLPLSLSFRS
MENSAQYPRLLLSYRLLLPILAYGYLMNSVITLGKRYRLRRVTHACFSGVYSTDTRHSLHVTQSEGNKDG
RQYMSALIGGPRYHHPRFANARFPLDMAIGHPALLQDDILDASALSSMNVPLMDNDLLLNQESSLLAARAPLLMSPSSQFFI
MESDLLLLFAGIFLCVKGLFPYHDCIQRCNLKTSLKISTQLKKTRHKRNQRRLKIFRIEKIYVTNCKLFVTKCFLGDQSFPKK
MSTFIAPIPLNGQDFTAKLVQRNIPKPGKHDETKAIDIVAVHGLTPWESYAGPSFWLQDFIAKDIRGARVFTFDYDAKAVWLCGAPLKDIQGTSRILLAEIAAIRVEVPVTRPLVLICHGFGGFIVESCLNDAFTHLPNIIDCTRGILFLSVPQRTSPELPWARLLMRCARDSIPPGLTRAELSYLEDVEWGQTSATVLSDISERFKTKAKAAKKMKLLCYYEDFPTPPQQECSLNEYSAALGLRREKVQVVAGCSHLSMAGFLSREDENYKTIISAIKKIRKSALNDTVPTSTFEVSESGLYNEGEEIQNPGVIEASQVMNRQNANLQNVVTTTHNTPKVTPLSPMPMHALGTRELSTGTSPGAMVTPKQPAPAYHSIQGAPGASVPTYSPSPQFPQFDPSQMKIRRRPVPQPPSRPMIAPNICAPAPISDLAGHEIDPVTQRLQSIVPPQRPHFASGQLGQEIQHPQHTLTNIAPITVPEPNYSARSGKEPKRPHENGPLSSAQLPSNSGNAAPKPPLIRQLVGNEGMSPANIHRTDQQIHYPTTNVQHPLPIQHPMAHGTASMPTQVKPPKKVTKPPKVFSHEQQPKPQKIPGTNPMGQQTHPQEFPSTHVPPIAQQGLRELQPQPNYTPAPKSPGLWKRIFSSSKSKPGPTPVVHGEPSVSQIGAQQPYQQYQPPSRPTSLGNPGVHPGSQSGPHQPPKAPKPSKPSKPPSKPTSLGNPGVHPGSQSGPLQPPKAPKAPKAPKAPKAPKALKPPKPSKPPSRPTSLGNPGVHPGSQSGPHQPSKPPKSTSRPRSLGGPGVHPGSQGGPHQPSKPPKPPTRPVSLGGPGAHPGSQGGPHQPYKPYKPPSRPTMLGGHGGHSVPQGGPYQPYKPPSNAHSSSHWPPRPGHGPSMHGHNPNGHF
MENTAMSTFEICRSIMFNLLARAGEVTNYNKSWSKDFSYDRIASFPETIKKAEWFIPVDPSDLTKEEMVTLGFRPWSDESEMMLIPLWYAPFLKHGIEVECINGERYYFDETTDLDHRGGVLAFGVYPKK
MKETARSSEKIIAILMFFLIFSVTTNVGVTSAEGSNEVIRSLHGTITDENGNPVNGASIQVGTYTLDGGIGFQIVASAITNADGVYDIPSYTRYLGDVVFTLISANGYLVVNTLKSETFDYQFPAQRATVTGKITYGDTYETPVVNYPLAIGVHLGPGGRMINVVAPTLTDEEGKYSFTIIPGIFDSYIGENYFRIIYDGMYERRVELYVGDNKTLEHNNYDPYVPIPEGVKLNGTIWGYVADENDNIIHDAVVELVGANTSIYKSQNVFYSDVIKGGTYTLKITAPGFRPVEQVIEINGGSAYLPITLTKNNPPVVKASADRMPDHNGWYNKDVTVSFQAADDDGILSVDSPVLVSTEGIDQVIEGKATDSSGATGTGSIKINLDKTSPITNANVSENPNENGWYNHDVLVTLTATDNLSNVVNTEYSLDNGNTWMVYNGKITISKEGENVLHYRSADGAGNTEQPKSIEVNIDKTLPILKITLNKSVLFPPNHKMVSIEATIETMDTLSGLDSITLTSISSNEKGSDKDIQNAAFGTYDTSFDLRAERSGKGGDRVYTITYTAIDKAGNVAKGTATVTVKHNR
FYVKTKVNYRLYIETSTQLLKEQYSKEMYQKTKDLSYKILLDKHEMYYNLLHIQNCICNKREKEALKFINQKIDQYKAYELSSLTHNPIFDYQILGYINELRQMGYDIKPIITCQNNHSLERMEIIDFMKEAIDVFVEYTQDSKRFEIYLYEKNSVLILKMSADKQNINLKKINTENLDYISQMEVIENSIGETELRVIFQ
MAGVFSELEEKSAFQEQVTRYFESVPSEISELLECDVVIDHDLVEYAHTAYTQGVDKFSLLLKSKDPDHFKRAGALLHALYTSKIISSVDYGVSSDEVEAGMGPVHVHIGDIQTSLPFKAFYDEYHNELVAFIMAYQYCAAYEPSPRPYDFDYLQTVCVYLKKNANLSVESFFILFKSLMH
MEVRWAGGRPSSPCLLYLISDNLAKTDWVGTVNGQSLRRRSVHRATACPTPRWRPGVLEEEEAEGEDEEEEEGSLTEKSQDEAPESPSPITMVTPGAYEDEEEEAEEEEEATPLAMSYEHTRRCIEEDGGLLDQEALPSFPKGLDLHKANSGEEPPFDVKDIFNTASLESEALKETLYRQAKTQAYAMMLSLSENNPLHASSQNSLDAWLSMGGGPAETSSFYPLNHI
MGWTNILRGVAPSVILCLVSGCGAVDHFEPRALQYNQEAATTKSNTILLNILRAAHKLPLQFTEYTTTVGQSSLTGQIAATVPVATVPANAARTFTLNPQASGTAQTQLTIQNLNAQEFYYGLQTPLTQQMLATFMAIGYDRRMLLMIAVSSLKRKAGVVIDEIKNDASKWDDFAQFYDVINVLVTAGLNFESREGAAQGVGPMMTAQEAKALLPQYLTASATAAAGGSSNSLPTLKQKGDLFQLTKSASSFRICFLKKDLDALAARPSKQLTISENRGVYTLHLKVQISGPVHLFDMKVSPSHLCGAPAEASSSKSEGPLVDWDFELRSVEAIYGYLGKIVRVEWTDPNVFENVYQLPRNDGGQPFFLFKVHDGYAPGAVFSASLGSVYSVLPDALLDTDKSTQVISLLTDLWALESSAKSFPATSTVSVTTQ
MSTSSFVTTELVSHRYGEQAAQATNEGLGAVGHAVGTAWAVFQIRKAFNPKSAIKPKALAKSVAKKAYSKMKAKSAL
MDGFNKWNTNFLGRNKAGELVVYMHYPQAGKTITLRMADAVEVKPGVWQRKNHSAGFNRFPRSYPSAKAALEGMVSKGIRDKEFDGWKQPVREAKAS
MRTWFGLGQSEMALYLGVSPTLIHSIETERRGLTTNLLLALRPLLLHLPPVGVPAETPAPTSRPPGLPAPEAAELEFRRRVCRQQAARVERELAALEARARVAERWAQALPALQQAAAAVPPDPANPDRAAWLTGWLARQARPLPPEDATRWRLLRARLAALAAEQVALAEHAG
MTDFKIKVNYGDRIKESKKVLDKYPDRVPVIVSKANNCDLKVIDKSKFLVPKITKLAELICIIRKRIKLEPDRAIFIFINNVLPPNTSTIGELYEEHKDLDGFLYVTYNSESVFG
MAEARAAPTLPNIEDCEWNYKVKLSIAERKAMAEEIIEKYPDSVPVVIGRRVHDSLLIRSRRLIIPKYYTCQDLLKKVRSYIGPPPPGFQMSIHIEGVVPPDSATIKHLYLQYHENDGHLYVINSLQRATSGQRP
MSEIEAFEGVRPRATPSSHGKESSESSWFAATATAAAVAIAAAAVAAATTTTATATVAVATAATTE
MLAPNFENMANLRTLNLSHCGISIFPTGLLNRPRLANANLSHNNLRRLPEALYSLPASAAKAYDLSGNPLTRATLERIKTYCQSTGEHFGVQANPDEVRLVQALYPTYNVPEANQFIFRLPGGLDDSMANLVRLKADYERLQADLQEWVVDVPESHPVTNLPMDEQIRPQQQLIRAQIRALLEQGWRRETSLDLSHDPLTQSHQMTLTLPLWGDLPRLNVDFKHISKLELRAQETTSIPEGFLERFPNLESLLIHRYALQDIPAGVFKLPKLTTLSLTQCNLRLTPDSVAALSDLHDLEYLDLSDNPLALTPDVSKMSGLETLMMENTRLTEVPHGVFNLTSLTQLNLSDNHITELPTDLLEVDPDSAAGFDLSDNPFSPAALAILRRYYNRTAVDFDVAQARQPAPDRSSSRSATSSETEGEE
MNPELSPHASLPLEDWITPSALQESADLDAASYWGSLKNNLEPHFGMTYTDDATSLF
MQLVMLGKNKIRFINSAVKRDQFPGNLSQLWDRCNAIVISWILCNISKDLHSSVLFCSDAYLIWEDLKERMLPESFSPTAMFTARPGIQKPKKAYNLNAFCDFCHMKGHLKVDYLKLLKCDFCHKTGHLKVNCYRLIGYPPHYKGKRGGVVAGNSTYDAGLHQQLLKMLDHITIHDSHGTANMAGSLDIAGHVHLPTGDSVKVTHIGDCHIGGGDVLRKVLCVPDFQFNLLSVSQDLLSGKVRVTSKEENGLYTICSQTQEEDKIPQRCLAVAHTVDPAIWHKRN
MIINILYPVCVTMIIVVLAIRSISNFKNGSSTVTLTSSLDNSDGAGSSNAGGADTMVFDSLVNSLIFLAVIILSTTIMVVLYKFKMMKALYAWLMGTSILLLGVFGGFLFLVMLAYLNLGLDYITFVIVVWNFSVGGIVCIFWYAPKIMNQGYLISISVLLALFFSRLPDWTTWGILTVVSIYDIFAVLCPGGPLKILIETAQKRGEEIPAMVYNASVYVESNVDSQSESSQKRKQSIRLGLGDFVFYSVLIGKAASYEIITVFTVFISIITGLFLTLFLLAVFKKALPALPMSIILGIGVFFLTFKILIQYIYFLGSNQIFV
MSIKEDDVKNDSDNDLGDDFDYQPNAEDDADDDDVDSLDSTSKSEEVCGVKRIADLMVEDIWNLEFRTEDEACQFYNAYSCWHGFVMRKDDVVKDNQGRIISRQLVCNKEGWRNMRYLDMDDRSRESRSLTRTKCPARLRVKLDYSCGRWKTVIFGPGLLSDETTETYKWLLETFVEAMGGKSPKAVITDGDLAMRDAIKNGLIYDNNDQRDFDRRWAAILDKHKLVGSTWMEKTYETREMWSHCFLRDKFFGYIRTTSQCEGTNSLIRFYVNRKNTLIDFMHNLDRALKEYKNNELIADFKSQCSEPVMITSLEVYERYASCYFTQNIFKKIRNEIQRAGALNITVLSTTLDKVEFSVTALGDPAKDRRMEVDRGKNLFSCSCKLFESRGILCSHIFCAMKFENILEFPDSLIYKRWTKNAKNEFISTEMPVNDDIERVLKFRVGALASNCNKLCDIACKDLADFDEVQSELVNLVIRLQSRKQGKSTPNVNVEGINDPFVVKSKGAPSKRSSWRKKRACSNCHKYGHYYKHCPDLMQHSVDGNPRDQSYGNASAKDSGFSPERFANSSRSFSIKSEHHSGPNTKGGTRKFTATGMRNRKGKDNTFVEQVKESQQDKRHSFTNYECVNDVIDDKCDTRHVQIDVRDPLPSSLPCGNKQGSYMALFASMHRTL
KCRTSMSFSQSIEAMYKKLPQEDIEANNEKAFDFSSAFSYKSVFTYNNLNLEDEVPERRNTLIENLCHHLVVALSCIVLVATSPITIWLSVKFLQPWERMLLFRLGRYEGIKGPGTVIIIPWLDKITRVDIRTRAFSILPQQLIMGDGAIIDISASVIYRISNPQKFIMSVYDYQSAIRSLGKTLLLNCLTNMYYEDIEKDKSCVAEKIRFNLNEACVKWGIEINKVELSAVTLLKPPENNTGLRPLMKSLGLYTEDEMNFDAFKTSPSDLVPQTQIGIFLHKLIQSNKTPSVDGVFKLIISNSTPEIYYIVAFKESHRLFEGEHPELQPDVTVETASEDFFDIFNGSLPPLQAYLNGKLKVDGDLRKLMYLESIATRSFENGSIFTL
FKYPPSMLATSSNHPLLNTIPHVVTALTVTICHHYCHRTHPAPFSLYSNQPRFAFSHRWNQFFKYLGRLPYNRVLRPLSTSYLDLKICPTSRLNHRINGFVLSVPISSGHQVGTNYNIHLDCNLYLEPIGAQNDRLMLLPQALMVPASISLANTGTCLI
MPRLGALAVLSLLFLVACGPGEQEITPDQAAVFAEPVDVELVVPAERNAMAYAVERIEAPAGARVRLVMDNRETTSRAMVHNVVVVNSAAAMDRVGQAGASAPNNIPDDPAIVTYTPLAGPGEQTAVVFEMPPPGTYPFICTFPGHFQFMQGTLVSTPPAEPAE
MDKETVQKVIDTKIAPVLASHGGSIELIDVRENVAYVRLTGACGGCPHAEMTMRMGVSHILKTELPELKDVVAV
YPEAVKKEWDDPVAISVQKVIDEQVNPALAGHGGWVLLLDVKDDTAFIEMGGGCRGCAISHMTLKQGVEGMILENVPEIKKVVDTTDHAGGINPYYAQAEDAGQPGVGGPPLEKGSQS
MDNLVSMEDQARTVISKIRPYLQRDGGDIEFVMLQDGVVYVQLFGACQGCGLVDVTIKDGVEQIMLEEVPGVVEVRVV
MKTNKQTIDKINETLEQIKPFLHADGGDIEFVDLTPEGIIQVKLLGNCSDCSMSLMTLKAGVEEAIKKAIPDIKGVVAINLTDLVP
MAAMAVGTVVTLATMIIVGDIFANEPIYFGLASGLVVFVSGSLLSRPTANDVMAEWDRRSRAESAAPAPVTDATI
MFGALRTGRGDHDHVFASLLPGFRELRTPLAAGYLYLLSLFLFVADHIPTKSEVQAPLDRLYDIASSSNSDMSLRWPRAKTHSC
MNLNANNMKSTYKILVFLMAAITFVACEEDFDEVVISDQPTAPSLISPAEGNLEFTKENAGDTLTFEWSAADLGFSSASSYFIQISPDESFSEFSTLATTNDLSADVKVSDLNATLLAWDLPIDQQATIYARVYSTVVPDVDTLYSAVNSYTVTPYETLIDYPMYYVPGAYQGWNPGGENGRLYSYGFNDIYENIIRFDGGDPVEFKITPAPSWDNSWGGSLTSTTEGFTGTLDPSGGNFSVPAGVYNVVVDAANLTIELTEANDWGIIGSATPPYGDAGWVDDHNMSYDGQRQIWTWTGDLEAGEMKFRANDDWALNYGDSGADGSLDAGGDNIQVSEAGNYTVTLDLVNNTYSLQMN
MLTLVCASIPSAARDSVAGEATLLLPAEPVSITARAPGYQPRTFTHVGPDLSLILEADDE
MSRVMMVSSDCHVMARIEDYRPYVASKHLGAFEDWAAALPSYEGRLPEFFHEDTLAEHNTHVLVRRGAKRAIGISIGACANSKPMASWPKSSIPTPALPWARFRSVGARSTPRSFRPGPRPTTAGSPS
MTEPEAGNGPQRPIPPRPEAPPGAARIDAPTEPAAVENHPEEEPERESSGASSKVWFLVALAVIALLLVGLVVWLLATANRESADSTPADVPTPGSVVTTTAPPPSALPLPREAAPADFRLGDCFADFAPESQKATIVACDTPHSAQFVANYTYQDGDVYPGADALRAKALEACQAVTLAPAAAQYVLNYERVYPSSTSWEVGDRRVDCFVKAPEGNVINASVLP
MGSEYSKQDNFNVALKYFAQSVQICSDCNDNIQFDDDTYGFELTRKSQIATCNFCGDDDEALFYYTKALKIYRSSTKLKAICDIESTCTSKINSIFQSNFPSDQLIEDIPRLLEIKKSKKRIEEEEDLFRRNYFNESKENQLAKLYSHIGNTYTKMKKYKEAKLYYDKSIKYYETFPLLFHLVIADLYSRQGFIIDAQQPADTGYVTSCTEAEELFDKAIATIYNNDNSLS
MAKLLATIKAVITRIIFSTHGFIAIWQVTQNTKDPLYWCLCAPIGVLFVEGIFTLAIKKNQEWRWFCPSVFIYLSTIVPAIWLLELDKLEKRTLYQDQMLNETINFAATVGKDLKDLNKMLGVKIQLPEIHLNADTWVTLIEQFLMLVLIIGRWMLPKGDLTRDQLSQLLLVYIGTAADIIEFFDSFKDSKIANEPVLVLLTLSIWSWSLLQFTIVLSATRARKPRGGGSSAQREEDTNCCNLSCCNIDVWGIALNILLQDAPFLTFRLLIIIHYKIITYMNIFFTCKNTLVILLQLYRLYVVHSENRKTAARREMGSSHSSRHPKHQQHKKTKRRKVADLDEDDIHEMRKLKQHRKKASSALKTRKDTGYSTASSQTTNEQQRKKSHGGGGKSSAVKRCRDELGPQMTISHGGGKGGKSKKISVSNSDANVVNDDGLDVVGDERKRDRKHQEHGRKGNRMEDIICRKMTQFPEYTAIVESQSRWMTKNYSGTSFMLDNESYFPLSKTQIPGNGSYYSSDKSTTPPEVKYKCKHKFENKFLYIAISNRGISKSWLKPSNLAINQQVKENHRNADGHRNSRSRKPVKSFDDSGSDDYDDYDVEAPPEGTTKGHGGSDGNDTSEFETVVHEKSSTRSKKPPAGSSGRKAIINVYCAHDGRPDDEKEAFYAKLEEVYDGCSQRDVKIVIGDMNAQVGREVIFRPVISPNSLHTVSNDNGRRSVNFAASRGIVVPSSLAKIFTKPPGNHLTNAPKTKSITANIDSNHYLVAVYMRSKLSTVYNTRRSRTPRPNIAQLWDTGVAQDNAQRLEAALTTEE
MMPSVLLEACVETLSGAIAAEEGGARRVELCVTLEVGGLTPPDALIAECVRHLSIPVFVLARPVPGPFVLSSSELDRLLGDIRMAADRGAAGVVAGALTMAGTIDERALEAIVAAAGPLPVTFHRAFDAAADQLSALDVLARVGVARVLTSGGAATAAKGAARIRALVEQAAGRIGILAGGSVRAHNAAALVQATGVTEIHSRTTENPAAVHALVTAANGA
MAFLEIACFAPEHAILAYEAGADRIELCDNKEAGGTTPPLDWLYKVQKHVSIPVYVMIRPRGGDFHYTDAEYAQMKNQIQAFKNQKADGYVFGMLSSDLKVDVARTAELIRLAHLLPCTFHKAFDEAADAFEAFEDVIEAGFSTILTSGGAASAMAGIDVLGQLVRMSRMREIAIMPGGGIRATTIAAVKGWTRASVFHSAAVPNGAQKPCAKEIRLMKELIAGYTSDKTLAETIESANSVGSSTHAVEERNPV
MLEVCVDSLESAIAAECGGADRLELCANLVIGGTTPSVAFYQQVRQAVQIPIHILIRPRFGDFLYSLAEIDRMQAEIQKLAEAGAKTFVIGALTAAGELDEPALKKLMAAAPKARFALHRAFDMTENLEKALYQAIDLGFSCILTSGGAASARQGAARLRHLQELASGRIEIMAGAGITADNLAAVMAESKVSIYHLSGKKTRASAMRYRNLDVFMGLPGMSEYENYVTDAEEISKAVKILKA
MEQGGGQVEQIQIEVCCGSVEDCKKAQRAGADRIELVQAHTLGGLTPSAGEVAMAKRAVDIPVMAIIRPRMAGFYYSEDDFDAMCFDAWHLLELGADGIVFGFLSPDGSLDYERCARFVELARGKQTVFHRAIDITRDPFNAVERLARLGVTRILTSGFSAGSYDGRAQIGALQKQFGDRIEIMAGGGITEQNIAALIKESGVRHVHFGGTARFADPSCSYRPGIAFGASELPPDDCYIAVDESRVRAMASAARTMA
MLATLQEKVDLLENDEWLENRMKIAMKVHCISTTLVLDRQNEQYQNQENENEFKINETDRTIYGSPQEKGNTEKEKGKPHRQAPEVDKLICKVCGVQTKITGSLGSHMRIHERE
MKAALLLLFSFISLATQAGDIHEILRCSNSSNTEEVVFIGYIDDTASRGWCSSGSGSLYHNGEFVGDADFNNCSMRGTNVFVREGSTTYWLGALTGVIKEDQSNDDRIKLTCDLVSDQLRH
MWSPSLTAFLLAALIARQAFSADENADAEEPEAPCPGICRPSPNAGHLGCRSTCTFEETEDRDDYRWPAVIYHYSCFCPGKLCSLGMDFRCTQITRYVQVYYHNDPGRATLKSVNTSCVCAVRPSTPANNCCDRIADQRAKRRQRVQHLQCLNTATNLREQCDAHYGR
MRRQPLSAELLAERKSSFLLFTENPNRYVPSSRWLHLLENTIQDIQAFEARQELSDQKEALEDLVRSLNEWKEHTLKGESPLWCTEYEPALSMWIERASDRLREAEERLAVEQKIMLNPYRAGNPLSPERNEHIYVERKDAERELTEKLLRSKGLPVVFLQGQRRVGKTSLLRFLSRLMGSRTKTVLIDLQGIIADKAQPHQHFIKMLASIRAEAARALQLPEPTEALPESWTKAWESVKSYLESGAAQTGYRLLIILDEYECLHHALSRDADAGAAVLDSMRSLLQKQSEVVFLLAGSAFFTELHRPDWASRMTGAEKLSLDYFNEEQTRKLVTEPVQGKISYENGIPEEIFRLTQGHPEMIQRICKGLVALANLNARAQLTRKDLEKVLKDDIYQPVNGITEIFWGEFCVPNAEQRGTAEGENTPEKQTVRQIIKGEPITDKVALQRLLNHGFVVQAPDGKYRIRVPVFEEWVRRFDPSFR
MSTDTTTKPKTLQQEIADRFARDTTEHQLTVRHDDGLYRHLHCAKPGTGIWSFEVITWPGALVVRGDFGPARVFRRIDDMFEFFRGAGGRINPTYWSEKLDGGSRASAMEHSEEHARQLITECVDQYEADTYPHLLDQYTRRAIPTRPNPPAEVRETVSDYDDEGYLAHADGTRIVLGDLERLGVTADTWEWDLRDWDWTFLWACHAIVWAIQQYDAARGTR
MPPREEFPTKGLEGAPSNDIGWHFGTPVPNTKGNIVCKLCGKVVKGGITRFKEHIAHKTGNVAPCPNVTGVIRESMMNILKESKTKKIDKKRRKDEFLSQLREDEDEHEEFIDEVSAIRQATRESIQSQHEWHRREEFRRSTGGWNNIYEEGRSSHGSAREYHRERTSKSIPTESELTLRGAIPELARSKSSKQPKVSDSILKTFRKKIGEAVSKLLIYERLPFQLASSPWLYNLIQVSTEVGQGVKLPTPYEISDVYLESEYQRVRDWVNGLKTHWKELGATLMCDDASSVRSRDAEFYCSLLDSVVEEIGENYIVQIVTDNEAAMKAAGKKLMLKRKHLYWTSCAAHCLDLCLEDIGKKPSVAKVLDEAKKVTCFIYNHIWTVDLMKKYTQGKQILRPALTRFATHFIQLEEITRQKQGLREMFNSKEFKESKWGQQKSGPAYEAKKIVLGKDFWKKANDLIKVYEPLVKVLRLVDSDEKPTMGFIYEAVDRAKRAIQQDCRYFTEYEKIIDNRWNFMHSDLHSAGYFLNPQFQFGVEHSENVLIETLEGTRSVIERLEPSLDTQVRMVN
MDTTLPSDAQAAIDAFQDGIIGRRLPPWLRHAPAEQLPEIGRALSNSLRCCEQVNTVLRGIEGIDSFVASALGKALDERYGLGRNPYSLRFLEGRREAVINSQPVGAHLTAVVYEEKPLLEVALRNFTAAQAQEGGQPRGNRLLLPRHGTVKPPTSIEFAGLCRELDLGERYQRHLDAILTPAGNAERLVSQLVDARRYTMLVDAYKARQEGTLDASELNVMVAVCEKGELPRLAGDLVLARQLKLLGCQIEQVTVFVVIEQGVLFNTTRRVLLYVPGDPFSPWRAFESIDKLNRELGRCLRNKAYQRFFSRFVLRRDSQAFFAQVAERFDDLPSWAFRDLEPRLQAYPQPLFISLAQARIHQIKDDAAMIAVPVARLDREVQRQHDLRLEAEGWALLNLASFFLPGLGLALLAVTACELLGEVYHGVEAWQEGG
MESLWRCVLTLIMVQGAFQELKLYGSSSQAEVQCVTTFEEIGNGSGGDEIDIDNFLVDQEEGDAEGSGRYGTMPPPFPPLPPGLDGYSPRLRGEKGDRGPRAGPPGESIRGPPGPPGPPGPPGTPGTVPVHEFSGSGDGQIFGEHYVSPGQCSCNASVILSLLEKAPELRGPPGPPGMMGIEGRIGAPGIAGQPGIPGERGPAGLRGEKGERGDVGPRGPEGQPGPKGEPGVDGRTGSPGQPGPPGPPGPSDYSNFEESLMGSYGGVLGRPGAPGPKGDAGQPGPMGLQGERGFTGPKGERGQSGQNGAKGDRGHPGPQGDRGPKGERGSPGLDGRPGLPGANGRSAEKGEKGERGLPGPPGPPTPAVDSLTGRSEHLFTGQQDIQVEKGVKGEKGDKGEPGLRGRRGRPGPPGPRGLQGIQGPPGTPGRTGEKGEIGLPGWMFKGEKGDRGEDGTPGTKGEQGPPGPPGTSGLRTEAVQYVPGPPGPPGPPGPPGSPGVSIVGPKGEPGQSYYEEYPTHGSTKFYGKPIAKSPLDELKALRELKDLKDRSRDRGTFGTTESRSHHEENNAKPAPTVPSAAVFQTTEEMMRLASTSPVGALAYVAEEQALFVKVNSGWQYVLLGSLVTQSAPSPPAPTTPTHLLPAASLVHLPIHTNLVENSPPATSLGPTLRLAALNEPLSGDMHGVRRADYACYRQARRAGFKGTFKALLTSRIQNLDSTVRYSDRHLPVVNTRGEMLFKSFAEIFDGSGGLMSGAPRIYSFNDKNVMADSNWPQKFIWHGSYVNGERAMETFCDEWQSNDPKSRGMAASLYSHKLLNQERYTCNNHFAVLCIEATSHVSNRRKRNTRRYNGTSLIDDEDYLYNAEEYQQLLNDIFAQPYREI
MRESAKTIAPTPSSAIIQLDVDDNFVVNTTHLNMIREKKFDGYLRADPHDHIREFLAICDMFKYGETESEGVKLLIFLFSLCDEAKTWFNKLNEESITSDDGKTTGVLPNKESKTVNQEPQSKIDFEKSITKFLDGERVTNMFFKTNVNDMIKKMKQNENNFQTIFKNMERKIDEWSKSQNVSSKQTTWTEPPPHLQAHTEHVNAVFTGSGKSDDPPKPQKDSLLSILVKNKTEKDKPIKTSKRAITW
MSGLVHGDLNELFSEFSFVGNIGRKGNDNVTFKQSVFKRLFNSKKIESLTLHSTNVITKDIPSRQVSSLTNLKLINVSVSMENLRIICNRLESLTELTLIGNDIDQRAGLILANSKLKNLTHLTIGNKIGSEGAEHLLTTNKLKRLKKLEFTDPIGTTFQTDGFIEYVPLIDCSKFPDLCSFTSCRHLLSKEGIMNVLNSGISWKELTFIGCRSFDDDCILRIGKITSIEKLCLEDYCGIPYIGFSISYEYLSSLQNLTHLEISVDNNGLLELCKIEPNNLKYFCNYVHFYH
MAEIWTEWSDWAEGLTEGDWATPSRCPGWTVQDNLAHIIGTERMLRGETAPDVEFPTGHLKNAIASGNEQWVESMRSMSGAEVLADFRTVSAQRLEHLGVMTDEEILKVGWSPVGEVPYLRFMHVRVYDSWLHLEDCRAPLGHQPSTGGRPAEMAVAEVTTAAGFVIGKKAGAPDGSRVEVILTGSVEGVIRVAVDGRAAVVQQLDGSPR
MSPSDTQALGESLGPLLRNECRGHLGEIHWFRADWQRGGAATGFATWTLGTGQTVDAMVKLPVGHREWFWTTRLGLLDADGWNGAAAVALPTPRLLASGESLGGYDLAWIVIERLLGPPISRKRSRDGLEGLLRATAEFQGGCSAVKPVDEIPPAHDWHTAVRSSREAVHRGDCPDEQQWNDALKKVGRHLDGIVARWNARPASWWCHGDVHPANALRRVDGGGANSSRFVIIDLALVHAGHWIEDGLYLERQHWGHEDYLFGVDPVGSLAAARRSFGLDVEDGFERWADLRRVLTAAAAPGLIGVEGNARYLRGALDVLTRLLKRAPDLLGSG
MNKVLPLAVLAAVFAAGCASTGSTAASTANQAMTLEEALQKSAETRQKLIDAKQSYQNAKTAAEVASGKKTAADAAKEALQQKADNAKQQLENEKNAWKELLGK
MASLGRAIGCAAAWSLFGLGGATLLAGCGADDQGAVTGVSVTVSNDTAVLIHVFGCAACTSQGLGVVANPDNTPSSPGAGASVGWTETRTWPVTYTVTVRGVGTTCPTVTSPTPDLRLVSVTYAVSDDGTCMVLDKGVTP
MPRVSNDEFLSLLGEMYKETRESGSVTLSMKMVKDQDLSRGPKALRAEVSNGKACMVRAQVMGGVREGSVFANKEAGKTKRGEKAKISTILTGDQQAVFHKRFSEIIKEHTGKLKRPRDLKRIEERRQRRAAAAATQASS
MKLVPHPTVLTISTMMREAMDDFQSGKTNVIAVLRVSSDGKMYMDVGAHTAGDPNPATPDDVAHMINAVPHLLDALSTILEELKKSRN
RNDIQMNPVYFNKQTVPDSLSVKKRFSGKNENADFVLQEDNVAINDANTKRLKHGKQTTTELSDTQDHVYSESGKLCVASMFKKRDCEIMEKIPVSVCDKNFKPEMVVVDAEKG
MAKNERPFAWTVDILRQAKLRPTCQRMGLVKLLFGNGNRHVCAEQLFKEARDAGMSLSLATVYNTLNQFKTAGILQEILTGGGKTYFDTTLRSHAHIFCASKECVEDIDSADLKIEMSDALAERMKNGTIEVVIRIP
MLKPTDRSNALTVLPPDSGCPLAALRTRLRRVGLRPTRQRLSLGWLLFAHGDRHVTAEMLYDEAISAKVLISLATVYNTLRQFTDAGLLRQLSFDGTKAYFDTNTSEHHHFYLDEEDRVIDMPDFNVVMNSIPMPPEGMEVQQIEIIVRLKKRQALPKLQSRQSI
GGTWLAVREDGALAAVTNRRSDEQDEVVRDPALRSRGDLPLLLLRDAAGVRPALERIDARAYNPFNVLAVDGGAAVVAHAAGGDSMRLLDLEPGLHVLCVHDVDDGTHAKELRIRERLRHELRDVRDAETAVERMVRLLGHHDSGGDDARGAVCIHGDEYGTVSASAVWQANDGAVRYLHAAGRPCTTPFQPVSLA
MKKNLGSSKIFLISLLVFLSLFLFQTNTSAMVDKVKVVNKDMRISAKYKFIAQFNKSKTTVVPFGLKSTNTLSKSGKTPYVSFNPKNNNSLKGKFGVIYKNIGRYNDKEIDLKITVLDWKKYLKNDSGKISFQLNNIGENNQGYYYVDQKWEFYESGTNKKVKINGYMTVNDIDSLQSISFNRETSQGIKNILIDESAKEFLSFSNKNGELAIYEDNYILTANDELRAMATILYEGLDTLRFKWERDFDRSRTNANTIYDPAISDGEYFGYIAKKPAKTQLIDPSKTVENNGKESQSLNVQKNKTFSFNLYHQVPDEWSNFYYDNYLIEDNIDKRLSIESIKVVNEEDKDVTNYFINQTKDNYVKLVAKSTALKKSYFYNHTYKVIVNVRVKDSDTLTKDVRNGRVTFNNIYSVKSDNVTKSSNRVAAILNQREINVLHIDKINEDILKSTTSTLFDGDFYSYSSRSDLKQGKYSYIATPEETKKGNVDGKDIKLQFYYQLPLLDINMRQVKIFTDNHDQGLPVRLELEKIFPYGEDIPDLTEKKVKISLFEKNVDKALVSKEYTLASIPEKLKDWTISKDHLEVNTHKNYVIKILGIDNKYVVSTYPEINTDGYTSSEKEFSIEDSKTFSYSGVVMTERTINQDMIKHYEKVNFENAPLKQQKTGYGFEINLDIGYTNDLLIEPNIEMFTMVNSELIDNYLNYPSEGGYTKIPLDKVTSSQSNNGKDNSYKFKLPNVNVEKETGNLFTDKQVENEDAKIIYGTKHGGRKLYVPIWGKLKDYDIYLEPTKPIGINDIIFKAEKSLNVYAYMFATIGSETISQDEVLVEPVNKDNPFPEGIPKGWSQSDVDWLKK
MIKTNRKQGMKKKIAVVTGVAVLSTQLLGVSNVLAAPILDAPNASVPSSDETASAKYEFIAGFNEEKTKVEPFGGQWSEKANLEGRGKDWYTIKPTDDQKGKIGVRYTNVGRYGGEELDLVITVNDWKSFNKHQGDIAYSKTQIGHITQQYDWVDQTWSFVKTGTDEKVKVSGYMTINDIDFLQYVAFSPDTVKQIDSIKVPVADSKIEFDENGGAWKFYAPSDYASNDEDLDAMFTFLYSDASELTFKWGRDNSDLNENSRPDEALVSGDYFGYLAKKPLRTETLVPEKKVTDEDEKEVDENTLANLEEGFYYDIYHTVPDEWADFYYKSYSFTDTILPVLDITKIEVFNEEGEDVSNFFTDQTEGNTVRLEATKEALESPEFYRHTYNYKIHVKLKPNADLSDYIDKEGSTNLPNQANVTIDDDPKDSNEVITHPPKVEQTAVKKIIDANGKLVDYADLKAGEAFQYRLDFNVPNTFKLDDLQLQDDLEDVLDLQGVHVLDANGDQDITEQGTLVLDEEKEAFTWTAKQPNTLAGHPIYVVVDAQVKADADLTPYLNEENQVRIPNVGHMLVNGDDLRTNEVDVSVPYKKEEKPVVKEKETPQPKTVETPQTVTSLPKTGTTGSGYGFLDFFKKWF
MELTEPQGAVAKTAPAPPVEERPPRPRLGELVATNVTPSSVQLRWSVPEGAFDSFTVQYRGAQGQPQALAVDGGSRTVTVTGLSPSRRYKFNLYGLWGRKRVGLVSTDAVTGEGDTGTRLWPPWVPGALGGSWTPRDVGPSGH
AEPRAPLLRQRALHERREIESVATAHAKRLVVVALLEQEPVGVDRLRTLVERSVEPNGSRAQMTSPAG
MTVIDGVDVTLTAVTAILQLPLDGVGLHYYTDFITPAEVQVEAMKSFPSKFLLNTESCEGGPNWDKNFVDSPITVFPEHNEFHKQPMYYAMGHFSKFIPRGSKIIRRVQTKSMFTSFESVAVLTPESTVVVVMYNTGSARTVNVKLGNKVASIHCPGAAVITVELPYEP
MLLCWSLAIQATRETSMLKLLSSSGEIISAPFYDHIKHEILPDPLIGPPDTWENHCLELRRPKRIISMARNVGSSSASAGSSPDNACSRHTPHWVS
MWLKVYSTNSDPKVISAYYIQAVKERRGCPRRIRADRGTENVGVEQMQMFLRRDATDDFSYHRSFIYGSSNHNQRIESFWSTMRKQNVHFWMNFFQTLKEEGYFTGDFLDRSLVQFCFMGILQVELDEFAQMWNAHRIRTQRNTIAPHGRPTVMYMAPHLYGSADHIFHSDPADVQNCQEECVTPTHPCDDTVFELCCLIMEELNFIVPEDAKSGRELYLRLRQEMLLML
MADSLSSTSSSSDTDSDTHDGENRTCLRNKIIQKYFFKSYKYQEIIDILSQKHAINLSLRQLKRILINLNLKRRNKPESSLEDIFAAVLNVLDGGGGCCLGYKSMWLRLKSQYKLNVKRGTVLEILRIVDPEGIKSRSIHRLRRRVYSVPGPNFIWHLDGYEKLKPFKFPIHGCIDGYSKKIIWLRVASSNNDPNVVAYHYLNIVKKLGFIPRVIRSDCGTENVTIEVLQKALRYDHDDKLAGIKSYIKGKSTSNQRIESYWSQMRRSGVDYWISLFKDMRDLFIFNDADPMHVQCIRYCFGPLIEHEFRIIRREWNNHLIRRQKNVISPSGRPNHIFYCSSKYNVRDFKKKIDPDVIESLIKENTSRPVLVDPYFNELVQLLIPGVKPPTSVKEALKLYKLILKKIDSIN
MMGLQAIHHQPWWLLICFSLLLSLITGCMEDLTTLKIPGVKRTFHQAIGWEAEDFFNDSAVIELCKAIEANDLAKMKRLIAEGVDVNAIGEGGVTPLLWAFVDNQPERFQLLLENGADPNVKTTTRLNAPNAFAVGDSVTTLAASSYFVGPYEAVLQHGGDPSIVGPHEQPMLHIIVRAPISQELKKKRILMAVEYGADINQKNSMGTIAATAVGAFQQWELALWLLQQGVDPHYYRPVESTNIVGVALSHEDRLTPSRKESYEKLMQWFREDGYDLEAIRESSRQIREIRVPSSRKRFIQRKIAEQVRQGLRPDPSLDAETQDEWYAERRRARQRQAE
MVKINPPRILPSPRFADRDYELLEKVDVRYGFGWRTGTITKIFEGRRYNVYFRQGNEDRKLDHSEMRPHLEQVDGQTKVLMYYYLDFLQAGLSVHFYRVSCNEKGRKVED
MGTFRIDEATLMEDGDAFVSAFCLIPPTESIMDLKKSKLYSKSSYTLGRAFGSMPSSITEIDLSLNSLHLKTVEELQEMFSGLTESVRKINISLNGFKRFSEEELIAIISSMKFVEEVIFVESTLTNDQRQELATILHQATNKTIITSLQKPSFFSLETLASSFENHHEEMSFS
MNLQLFHVHSVRCFVANEQKNWGKSQRDCSRFSYLHKRFLFFIRPSTIQNLKIQSHSLNSHFSALFFSQYAHKKKQLLLAWRRNESMQSIHFLEELTFVFSCI
MACSIAPSAAMEPKTVEWARIRYLEGEFLDKIETISVRRLRKTFVSTTFDKSKKYFMDDSTKVQILHLATTLEEMEEKIQKSARTRKIPVNQLTTESEADPKPSTSGSKSSKFIQSSANGRASQEKRDYDFAKLINMKVENIQAMREKIKAKANQKKQSSVIDEKTDDESMIKKMDNKIKKRPLSTTVYSESATCSSDPKMSRFSESDGKGKNTELDMDNTNNNQSENNISVNFDSTKSANGRVEESSTSNDKTMIELTNKIENQQKKWKELKEKYDKVKKEKEKFKKKYDALTEDYANLLKNKQETDALNHNLQTVVIDYFEEQKIVEKQIKDSAVNPTIDPYNLPIGHKYQNGDIHLGNGFRHPQEVMTNVLCSSIKPGQLVGNLLFSVFGEKTLLESTRTGNNSNKSAKEKLGKQFKPLEKGKLLAVKSYFGHWLKTSYLEFLDDQERKIFDASKEFMKFNSYVMTKISNLKRVREESKKDLKKKRDKSKSPEVDQHENTTDSTALNRIDSLLFVEEKNDADTGSEVSVSELFSEYEEDDDSLETEQSDK
MAKVYLHGNLKKYGECFEVFAPTFDKCLKIIMCQVDGLKKDIESGYFEFSKKSEVLSGESEESASLEVFNYIRGASGHNDEFHITPAVAGAGSNGGIFTIVAGVVAVAAAFWTGGASIAAWSAATWGLAASGALMVISGVAAVSTKLPGATAPKATESSKNTAFSSIENVMGNGQVIPLLYGENMIGSMVVSQQIDTLPKAVD
MSRHVTFESCHKVTSPTSHDNPILSRCGLSHASYLAVTGRSRYLSLNTTVLRYARHPLHLACNASP
MQILTISLVQGDTRWHDAAANRDYYGGLVRRLAGQDSDLVILPETFLSGFTNDTLHQAEGMDGAGVRWLRALAAEIGAVVTGSVVIREDGYNYNRLLWMRPDGHCAQYDKRHLFRMAGEHERYAAGAARPIVEIKGWRVLPQVCYDL
MNNYLNIALYQQDIVWNDRRANYDLVRDRLATLAEPVDIIVLPETFSCGFGDNMVEMAESQEGLSMEFALQMAREHDALFVATWPVREGEHVYNRLHWVRPDGSFGTYDKGHTFRVSGEADIIARGTERCVFEWRGWGIKPAVCYDLRFPKWLRNGVRESERPKLPTDGVSMCDEAIGLDYDLMIVCANWPGSRFEAWKTLIRARAIENLSYVVGVNRVGKDGADIGYTGNSVAVDYKGLPIAECEAGKEQLLTAQLDKGSLEKFRQHWPFYLDFD
MHTISRSNSTGTAATHHKFPGSTSPALRLLIIRSQVQLLRYCGYSISTPPVMRLLSFNSSGTAAARYTFPNSTPPVLRLLTQLPRYCAYSPYVPRFNSHGTAATPRSFTSSTAPVLPLLNIGSQVDSPGAAAYVLKFNSPVLRLSQQLRNSGDSFNSPGTAAIHLPRYCGYHNSNGTTATHSTPRYCYYSLNSPGTAATQLYHYCGYSTPPELRPHITPPVLQILNSPGTAATQLPRYCGYSQLPRNCGYSTPPILWPHITQPVLQILHSPGTAATNP
MEYYSDKIQLCLQADSNISVSIIIHHLITRLTQSLIPHVIRRHPSTLTDFLTIAQEEEQIQFTLNDLSRASINSPDNYPNNNDPIDPSVMVVTPPLNTEKRPSYYQQPSLFLPSRLHDQHQFIFNKRLQEIKPEQVILYIPLSISTISKKEQDNEHKQSDLFKDNENTQSDLCNDNENHPLDILNDDQIESFPNLENKFLHEDEQAETDHSNELKQQGACSSTDSLTADDTLINTVVPRDVQYLWSDKYRPHKPRVFNKVYAGYDWDQFNKKHYDMDTPTPYEDIAFTIVLY
MTPSLPSAENPRPDNVPSAPETAPRLILATARLKSAGLRVTQPRMTILEALCRQTSPVSIEQLHAGLPPGACDLVTVYRCMAAFERGGLVRRAVIHQGTSLYQVAFGQPETYHIVCRASGQLAPLSPEVSRELQQAVAKAREELREKGYREVAHMLEFSGVAPPASPP
MTGQLPPVAAKQTLEAFESCDAGVGLFLGTVDRLALGSSCRITARCKKLHGWQHHLSSYTWDKRSNSSSESGSTTICLTVCPDFVCQKTLSRISFVENVHRVVPLDAGLDRTISTGSVFITQDNSIYIHNDGHSRPTTLRYQLTFTRPSLQR
MRIRMTTRSNSPHDQATSGGLFHSTTDPTTTTTPSVTATQESLDRAMAHDSSAVEASGDKARWSSIFRATKRWLPPHHSNNQEEHEEGTEEYEDEEGEEEDYEEGVEEEDYEEGEEEEDYEEGEEEEVYEEVGEDDEEDQEEHDEGDEEGEEGHQDDVEERHTEGFHDHVPPLGHPTTPHSLDSYRKSLTEKAVYLDSSITGECLCSHNYASVQNAIHVPLPPSAPFGVQDILLAIREAKIEIKDHTLLHSPHFMPVPGTHLLEIGLESHRDCVCLAVEGLKIPPKASSTSLSVVPAHQQGEDDDEFNGTDRPEAHRIQVLLPRTTRWRKLMRLKITGIRAFLRHLDETAALTTTATTTTTRTMEVAAAPGAEDAEARATATVAEVEFDSKGRLVGQLLVNALHHKLGGGRKIVSLWLLGVETQALPGFRRRFVHCDEADAGVQVYLWDGWMLTSLIPSFLRRRRQWRLLPALTRSTRLARRRADLLQVEWVACEEKICPADRRARWALFFWLMAITCTILFLIL
MKKDEVIKIVFLIWFILVLETTTVIEYTAKITYKDQKVLWTWTEPVVLIRSSSHNKKDVINGNGYVFSHLGKREFISVGDYLYNSSLVSKNLIEMVIEADNFPDFRFVSDGFNLLYIKGTNLVLSDYLTCTRERVVCGNLDEEVIGFDEAEFNVIVKEADGTYSKLFIYDSCSKKVIEPDSDLHKIALYLINPGNNHIESQWYNLRHIALFSPNSVDQLAAGHLMKFKVSPLKNDVQLCQDLSKYLRQLIAYLTVVQIVIHMWFVVAVILVFVIIYGNLKVFKVLKKKLLRAKRREKEADIDRRLAASTSTEDGYE
QKPYKQLRIISTFTKCILFLVFSIIYIGNYTHLKELSLINESKKIWNQMGDYYTVDIAPIFYKEEEKKILQKKFHNLIIYSEKTNNTLLIRNNNVYNPSNTYSNVENSKVLFINKNFIDFYGKLDQDFSIKTDASQIEIILPVNSMSKRKNIELDVNDWIKFQQDGTNINQNSHFISKENDYKIYSFDTRTMKKYSYIYSPVILVIEGNDLGDDFYYTSVSQGSYLFKNYDSTIDNIKKFGLENYVSSVTSFKDKVNSDYKEIKIKYLILLSAQILNIVTISITILFDIKQYFDQNKKLLLIKKIHGYSILHSNITYILLQSLLIIFVGISCYFYFKNIIFIYIMLIFILFQFVLQIIYIYILEKNYTKLVKEI
MIRNEITSDPVLIDRLFHSAYSTIRNHPFSPFKTGEKMASVDTAWLRMEHSTNLMMINGLMMFKERLDMPRLRQILIDRLMSHDRFRQIAADPLGSPRWVPDPDFHPDNHLQTIGLPAPYGKAELEQAVSDIMSSPLDVSRPLWKVFHVDNYEGGSALIFKFHHCLGDGIALIRLLLSVTDEEEAEHNFTPSGGTTGRRNNQIVDRAAGPGDIPRVLASALKIGLMPRDLDTPFRGQLGVRKSAKWSDAIPLEHFKQIGQNNNATLNDVLLTILTGAIRSYLLHHDIDLNAGGIRVTVPVNLRREGKDPLLGNRFGLVFMILPVTLPTFAERLEAVKAEMDRIKKSHEAVAALGILKLMGNLPLVMEDMLVDYLSSKVTAVVTNVPGPLKKLHLAGSTIDKMMFWVPKTGALGLGISLFSYAGEVMVGLSADRGLVPDPDRLIGFFNSELISVTGLPVE
MWALIGWTLGVIAYLFVCFLAIVYLVFPLALIGCALALAAGAAAFLMHAGQVLLGRDPATRLVTPGDVAAGAVGGRKRHNRPRRDTAWANYFAVQVVMDTRAVWGSAFATNARLWRFAMQDFDEEVWTVYGFLWPLTLAGGVVLSVFTLSTVAAGVVFTILIAVAAAVAATVATLLGGALRGVDKLFQQVFKSATGCPHEGCYAINPLPAYRCPGCADTHRDLRPSRLGVLWHVCECGTRLPTTRIRAGRRLDPLCQTCDRGLAKGAGRHTDVRIPVFGGTSSGKSRFIMAAFGSLDETVAAAADGTSVEPADLPSGNALRDYRRDLTADLANAATQVELPRAVTVRLTLPGRRFNDGCLLHVFDAAGEYSHNRETNDDLAYLDHARTLAFVLDPFAIPGVAAEVGALDPSILDRANRSRNDPEQSYTVTVKRLQDSGVKTLGRRLAVIVSKADLLAGLPVAEGLQPADAEIRAWLGKHGMAELVRMTGIDFGSVGFFLVSSRHGAHGTPTDALIPLRWLLSHDGVDLPGARNGRSG
METRGNFLLSTPPESLWPLLLEPNILAAVMPGGQTVTRRDENEYAGKLSVRVGPLAGEYEARLSLSEVEPHIGLAFDYSAQSPNGRLQGYGRLHLQPQDICTLLSYEAHTTVSGALAQYATPLLETSGRAIVRQSLERLEGLLQNGTAASIASGTWTAPTVPEKEPGRLPPPLPGQSVSAALTLAALAAVLLALILILQKKRRPAESG
MNPQFSHLFVAVLDLHATHRFYVQLLGLEVLVGGPERTYMRLGGGGGFHIGFEVVAGDAVPTDGIQIRVRVDDVDAVYRRLLEAGVAMDGPPADQVWGARHLWLRDPTGYRISVFSTDGPNLP
MSNTEVKQNVQSVGSGPQVNILYSAPGFAVLDPDTIKTMANPSNTVFSWGGVQIVKISPEVVVKFGSHVTLHEAKSMLFVNQNTETVPVPKIFAYYSYGPIARDIGDYGSYYNNYIFMGYVEGQRLDKLKGYLDELRQIEHRNYIGSVDRGPLPDPILGDLEDKGPFDSEDDFNNAIINAYQDTTPKRHVKNVLVGMLASQKRHNIVFTHGDFRHPNIMVNDGNVTGIVDWEFSGWFPEHWEFVKALAKLHAIVPSHCDHLPIGPSESISKRPARIAPVAPPLLLPPVPSRALTQPLLGATSSCHSANDSPELLPVAVMSLAARLPGLSTQPICFLQSFARSPVVV
MRSGFRYNMEHIGDIFVNMIDKTMKGLKVSTKATVDLAKISAKGVVITHDIHDAKRQKKKIVKRLGLKVAELGQRGQSLDLSQNEEVISMLNDINELDNKRTNLINERKQRLHKKERTWFAKCKDKC
MTLDACVKSFTVEDVFSPVSLEEVLLSGVAPPTQGPTAAVAAVARNGLSGAEILFIERSAKRGDPWSGQMAFPGGRSSEEDEDSLATAVRETMEEVAIDLAVSRLVGRL
MNKIVLLSICLLILNTSCISKKNILYFQGIENQNTFKADETFNHIIEPDDLISIRITAEVSEVAAPFNQTQATGGQNMQTMGYLVDYQGFVEMPILGKIKAAGLTKSELKELLHLKLTDFIKDPLIDIRILNFKVTFLGEVGSPGTISSATERMTLHEAIAKAGDFKVVANRKNVLVIRDEAGVKNHYRVDLTSVEAFQSPAFYLRQNDLIYVEPRFTRSDSTALGANLGLIASLTGFALSLFLLINR
MEFNYIYKQNMKKVTFLFFILILSLSFNSCVTNKRYNLLQGATTEKNSNPPQYEVTLQPDDELMIIISSFNPELAAPYNLSVGGVGGGATYLIDQNGYIVLPNLGSIKAAGKTRNQLIEAIKEALKGKLENPTIYVKLMNFRVLVYGEVAATGMQTVKSERYTILEALTNAGITYFGKRKKVLIIRENNGTRTFETVDVTKADLINSPYFYLAQNDVIYVEPNFVKLNSTVITPTVGFFLSGISVGLTILLLTRQ
MKYAFSLFAFFAAILVCSCVPREHYILFQDADDYQDAKRIASTYDIRIQADDQLAIFIASKDKELVDVFNNQTFIGSGQMNNMQMTSSSNTGLSSTNLSGFHVDNDGYIDFPILGRIYVEGKSRKEIADDLQKRLRDGQYVNDAVVNVELLSFHVVVIRGNQGTVLNIGKDRCTVIEAITMAGGFQNGNDRQDILVVREENGELWTYRIDFTMLSSLVNSPVYYLQQNDIVYIEPSGAQQVEESSAYRYITAFSSIVAFVVSMGAIFLSINK
MENSKKDSDSAASLVELLEGQAKKINKCLEVTAEMKSRTGALQKQIASMGNSLNLVSKENMLPNDKKEELQYTDDNPAIKDLYAEGKALFQAARYSDSIDLYKRLLILDSTSTEAIVYYNASLFYLNPGDESNYYRIRKSLESALTKQDCREDLQQIIRGVYRSISREDEGSE
MTAAHPDPLLERVLESLTRELHRTERTGQRLTLLSEPMRTARSSLYTVQASPSGTTYVIKVPARGDDAVDTEPPLDAETQFAALRRAHGWYRDDGDASVSRPVALLEDVGAVVMEHVPGPTVGQAVHRAPVHPRAAARAVAAAGRFLREFHRHAEHPGGTVSLGGLAQDVVTADRALLSPVGVRLPTAVRRTLLEIPDVAVPAGRVLLHGDYVPNNLVVTDPDRVTMLDPLLVRVGLPEDDAARFLAIVSSDTAFVAGVAGPPIRWLRRHLESQFRLAYGETAAHPAILELRLLQQHVLRWRRRREFSTLTQYPTLMNARARVIDSHMRTVLRESGHRLARSLRTSRPRGRVAAG
MTIGDAPVVVVGCDGSWASGIATRTASLEAGRRGEDLVVVRVAEHLSARAAAGHPPGRGEVRDHELVQRAATEAEEADPTVRVETVVAQSLEDPLLSELADRSTLLVLGRRTRGHHPFRPGSTSDVLARRFGRPVLVPGHDDPRPVRPMDYGLGSVVLRPPRVTVGFRPGVDSPRLLAVAADAALRRGLALRVVSCVPAVGRDVDHVQRSVWDSVRAEPWNSVDGCDVRVVRGAPEVHLLSDLGPADLLVVGAGPAVRRGGLARGSVLHRLLDDPPCDVLVVQPLADPLARPLVVPAAYPAVAHAG
MKLPVLAALITHAAAWLGQPSCARDQCFRGACERPGPAAASADCYSFLQKTVSYCRSTSYITTTVTVTASPYTATIVVPSTSQATVSSTVVETISAAITDTETVLATTQATSTYQSATSVTVTAVTTITETLTNPNGPAKRSAASCATTISPSRIPTYAAACGGTAGYSSACSCHGVQPGTKTIPGSTTVVTITSRTTVTPTTTASSVSVVLATQIVVATSDVYVTETDYITTTDLTTIETVTTDFPTSTQTAVSTVTITTQNSSPYFYITTSEGEYVYYESSSSTFPLAVNTDTPLRAYIDSQGHLNFGDPYVARVAGSGNDNIYLNANGVALQCTLSNYAAGTGSLQCTAPGSEALQNVFNVCPNDYLYISTAALAACNVVTLTAVPV
MFYGGSVGGGFTDDQLAFQAALNPPGGVLQEPNNATTSPNTTWQVTGNITLPNATLPNAIWQATGFGTLPNDTLPNATHVGQSIMSSVNPDLYEEFSRANNLSIIFSTLVIEILLIRGHAFRFGGPRASAVASEVFCGALEHGVDNHGHSSIIPFTIIQPKRFYQRPSVPTKFFFGTHCLSSQAGTKSSGDEDDLEDGFSELETPLGNETAAGNSVGEEAAEDLISEPELSDADSADDLSEAAPDELDLSDDEPSDMSSKKVARKKTFGSPLFKVIMESPRQSINSAIDKWVEEGATLGRTEISVAMLNLRKRRMFGRALQVP
MKRNDLLTLVILFFLLAAVTNSVLAAEWMSGLNASFWAMVFGLLAGTALAFSSFSGWTAHITSGIYGLFTIILIGATHPTVDQALGWRERVFALAGKVLEWIRAAATNGTSRETVIFALLLSALFWMLGYFAAWYSFRTRRIWHVLLPAGVTIMSNLFYYGGSRDMNLYLVIFAVCALTLLAHSHLSDREDVWLRDRVRVGRGLRVTFTVAALLIGGMALMAGRIVPDMASSPQAIDFFTAASGPYGEFLARFNRLFSNLKNYNVRPTDYYASSFTLGGPRNLPPEPVMEIDAPIGFRYYWRATSFDYYDGASWTSSFTNTREVPANDQNIKVPDYLERTPVRISVALLRGSDSVYVPGQPLRSSVPTQARFRRAEDDSLDFLQLKVPVPLLPGNRYDAVGAMSTARDVDLRGVNTGSLPREMQQRYLEVPANVPERVRRTAIGITQPYTNSYDKAKAIETWLRTNITYDDQLEAPPPGVEASDYILFTTRRAYCNYYATAMIMMLRSVGIPARMSTGYAIGMVDQDTPDQDFGKYRIRVSDSHTWPEVFFPGYGWVEFEPTAGQPELDRAAAEAYTGEPNPDFPDNGVPSTPKDRDTLDDELESLRTARREGFGVLAPVADLITGFEDFFASASPSIPYALALIALVLLIWLALRIAETRGIANLPPVRKAYYMLSRWATWLGIGGENTPYEQADQLIARAPAAAQPVRDLTTLYVEERFGGKTRTDIRTEMDAENAWKRTRGQLRAAWLRVRVLGRMKRR
MQKLSPRDYLSIFIEDLPALGLMVAGLVTVIWAVTLGNWVSGISVLFVVLFVSLVISYLLTLSEMSDLFALAYSTFFGLVVVWWVAARSLETEETFRSRAMDVLFSVTVWVGDALSGAFTEGDLVFLIVLGLITWFLGFSAMWNLFRARRLWQAVVPPGLALLITVYYYFGPAQLELFLLLYLFLIFVLAVYTNILNREARWAREGIDVMPGTRTHLMRAGVVVVVVLLSFAWLAPTAEANDRLRDMWESEDNPWSAIRDEMDRLFGGVDGAPAVVPDYYGGRTLSMGGPVNLSDNVVMNVYAPLGYRYYWRSKVFDQYNDGTWRTTADVRVSTPFGALRQEQDEPYLGRINIEQRYEILVSSGTDLLYAAPQPVSFNAIAVASEVLLVSEESEHGTTTLVRAQNHVATGESYGVISAMSIADQNALRVAGEGYPLWVAENYLGLPDNITARTYALAQEITTGLDNPYDKAKAIEFYLRSRIIYNESVPPPPPNVEPVDYLLFESREGYCNYYASAMAVLLRANGIPARIAAGFSQGIYDANVGGYVVKESNAHTWVEVYFPGYGWVEFEPTAAEEPIFREEGAPPQCDELPTP
MSTTKYWPLVIWKTADADSVDPNEEMLDILTQNIFGRMQPLAIDKEQLFKVEIKESTQDEVLNILQVQRLELSPNKETSTTGDIYKIGKKYYLNIRPTCDCINRKGLNCDGCKEKNCVECPNKVYLIQFDKLSSGQVSDLFEERYGTFKERNNEAILGPLMNNKFYSFKFQDVIIKKYDDIKNDKIGRILQPFIRHITERYALYTQRQALPRIPSQAISDENIGEGKEIPE
MKTFIKFFTLLTFIALAFIASWFITERMKAPEDTLSSLYEANIKPCMNYWTTDPEFKDTVSIQAQAMKLYDEGEYTLALEAFQRYEPTEKDEALYNLYVGICYLKSDFANLAIIHLTEAGDLFKKFEMIQMSKWYLALAHLKAGQQKEAVSKLNQIVEVNAAQRYKADEILKQIDVASNPIKSLLLVVAE
MKENNQMASSPEIALGALALLLGDLLNSVLLDGATEARDCLDCFEDYDWVMNPNGDRVLFDELLKKGLDGDMSITELDQFMGMLSAEGNYHHYLSIYQGRQALAGHPVMINGREIILPKI
MNQTIGDRPILLEPNSQHSDDFSLSQMVALIADTFGIHIKPHYQDTLKKNLFTRIRALGLCSLNDYYQFLGTLLATSTCGAKLSP
MLHELECCGASGPGDWLASKYSNIPESCCIAESCTPPSAVEALSKLFAGDTNVIIYTEGCSSKLFKKLEQHTVILAGLTIGIGVVQLLGMVFSCCLCVAIGRQDREQQYI
MEINDVSSAVYALGLLTKIYSKAPYIVIDEFDRIISLEEKEKFGTLLKQLGDKKSPVKLIFTGIGDSLNELLGGHASSSRQIQELNLEPLSWSEHYAIIDRAFREFDLSVPDDIRFRIAGLSDGYPHYVHLICENMLIKAHQSNTDEINFHLFLEGLKDAVSSVAEFLKKDYNLATAGRSPDVAYLL
MTNLLDSLGLNISVIEAGIGTKKRPDLAVLSFKKPVHIVGLFTKNKIVASPVKCCKDNLRIHKQPRRIAIVVNSGCANSCTGQQGDETCKNVVDHLAGKLELEFEQVFPFSTGEILKQLPEKKMLHGIDRALESSHQTFEEFAESIMTTDTVRKIASQNLQINGKFILCSVLQKALG
MVRRKFDIGARVRARHDRAPAKFKGRIGIIHGSEPYRGYVIEFEDQAGSIAYLHSYWLEELLPGSEGFLPPPPAQQRGSVENRRSPRLEADCFVAIEFQNRRTIGACVDYNQHGFGAILEETELPVGEVVTVELPIVGRKPVRFEAKTVRRDHPMYGFAFVVSQESKRQIIVDFFKESTEIKP
MLKDVSRCPASEQLAINHRQCQPWGTRSRTRLIRRNSLSLSYRDSTVKCFAQQPVFFTPNASFGGHHRASRQPLWWLNAAGRGREPPKLQAVPPSLKVPSAPPFQPLALWQKLAPLALIFFCATFNHTLLVNLKDAIMVTSGGAETLPFLASCVVLPASLAFFVLYGRILDLHLPRSSSFALTVAPLVAVYAGFAAFLYPAADMLHPHGLVARFAPLLPIGLHGLLKVVENWTYSLFFCVAELWGAVVISVLFWTLANEVCTVGEAKTIYPLMGIAANVALVAAGNFMKAVNLALPNSELSCLRVLVATVLALTAVIFGAEVFVERRIAVDSHIDPGAGLKKKKKATLSDAVAVLRSSPMIFALSLMVISYGVGHRLFEFAWKGQLRMLYPSAMAYQGVLADVSIATGYVTIALMVSGRFIFQYLGWATAAFITPMVLMLFGGAFFGFSLSGSPTTARLAVLAGAVTQVCARSSKFSLFDPAKEMAYIQMSRAEKRQGKAAVDLIGSQVGKSGASWVTQALLLALGSISAAMPVIAAIFGGVVTSFFFAVNGLRKEMVAHDKRRQDSESAAAAAIAAEADAAGPRPAGNEGGNVYRLAPSHVPEVTATVNGHSVPGVVDENGGIKLGGPAARPNVSAACCRRQARRRSLR
MTKTEEKPFGKLRSFLWPIHTHELKKVLPMFLMFFCITFNYTVLRDTKDTLIVGAPGSGAEAIPFIKFWLVVPCAIIFMLIYAKLSNILSKQALFYAVGTPFLIFFALFPTVIYPLRDVLHPTEFADRLQAILPPGLLGLVAILRNWTFAAFYVLAELWGSVMLSLMFWGFANEITKIHEAKRFYALFGIGANISLLASGRAIVWASKLRASVSEGVDPWGISLRLLMAMTIVSGLVLMASYWWINKNVLTDPRFYNPEEMQKGKKGAKPKMNMKDSFLYLARSPYILLLALLVIAYGICINLIEVTWKSQLKLQYPNMNDYSEFMGNFSFWTGVVSVLIMLFVGGNVIRKFGWLTGALVTPVMVLLTGIVFFALVIFRNQASGLVAMFGTTPLMLAVVVGAIQNILSKSTKYALFDSTKEMAYIPLDQEQKVKGKAAIDVVAARFGKSGGALIQQGLLVICGSIGAMTPYLAVILLFIIAIWLVSATKLNKLFLAQSALKEQEVAQEDSAPASS
MRSSLLKRVLFRLHWLAGISAGLVLGVVGFTGGLLGLEQPVLSWLNPALHVSAEGRTPLGPDRWVAAARSALPDHALRGVAWEGPRHAVAVRMVRPGARPTAVAIDPYTGAVLGAERGAAFFDSVEQLHRNLAAGPVGKQVVAASTALMFVFIASGLYLRWPRRARSPSAWLRMNTAAKGRGFWWQLHAVVGTWLLIPYLVAAATGLWWSYDVYRNAINGLAGVPAPVRRGPPNDAPTVASLETAWASFLREAPEAVRANIATTAVDGALEIRYQTAMSPHDRAWDVLAIDAADGTVRRREPYAELPRGRRFIASLFPLHSGSWFGVPGRVVAASAALLMPLFALSGLWMWRLRRRNDALRDNAAAARAPLDARPA
MAQQLQKPRPPPLPPPLAPPPLSFADVYSSQPVLDKSCWRTKQSPRVQSVVADRCRSTEGVVKIAQSPPGWYEKFIVPPSRESEFDGRGPQYNYSFYSIS
MYSKLRCAYIVFFGTVFLLLVFGLLYVLGQKNAQAPFLDLTNKSSYFFEHPGHVLTPGQALVSGAWAHSTGEILPSPRNHSVIWLKLDLPLDVGQFIDGWLEFSPWRVGVIDVYQLASDNATVLEHWRLGPQVPLGERSVYSRRNLVSIDALRSAPSSLLVRVESDSRPSLTVRGWDLKVLVAQDHIEQVQHAMLFGGVLSLVVLLLLRFEWVSLVLAVWLFSAFMMQAEQEGYISFQLFGFLANHALALRMGSWQMSIVCFLISSLVLLDLMRHKLVRFCSVLAILSCILLVSFQGMMADNTVRDVSSCLSVCVLLFWPLAMFAGRFRQDPYRQMFLIFFVLSWMDSMWFCVNYMLSITYGGEFNVFSVLIRLGIIIGIVGVFTVQQRARKRLVEGQLLEGQRQHAIRLEKAVASRTRELQAAVAEANSAIQSKVDFLGRVSHDLRSPLTSIMGYAQLLQGEAGTVSRRADVINRSAKHMLALVNDLIGYAKGSSGQALTVRPSCMHELLESIALEARVLAQRNNNSFSYELAGRVPPLLEFDPKRLRQVLINLLDNASKFTCEGSVELKVYSVGSLSEQDSIELYFCVLDSGVGISKEDMPNLFSPFFRGGNLEVEGSGLGLSIVDHWVGLMGGDVGVESDIGKGTRVSFHITLPVVREDTLTGTPQLSASMGLPKINGTGYCIWVVEDNEDIRSLLRDELESCNFTVETACDGQDCLERLAIGRAAPPSLVLTDYLMPRLNGGQLLVSLRTRWPALPVILLSATERNSQRATMTVNEDFNSTLVKPVSLSDLRVVIANTLGLELEPGTLQAAQSPEYDVLLGQMLELDSLYVRRLKALLESGAITDIWDWIDGMPSGCSELESYLRSLAESCDLGRIDQLVTSLEGRSA
MATVRSHDQFNTSVYSFNTSVYSFNTSVYSFNTSVYSFNTSVYGYDDGDRCVYSGHAAASTERYLTRRDGAL
MRFTSPLSHISSDKTSKFVRQQSLSTRKQTNKLKFQSHCSPSTIKSRIILFLSIILRLTSSTETAFEKVGLLDGVGTQRVCSGGTTFLAVNRVVFVTYAAASFDKKHYQKND
MRKTKSLVMPTHSSILAMVAPLTSWWHSPTRVTDQSGYTFLQIQCENANLSPIEGVNSVLVSIGSAPVPDILSIGATPSADGVVRIPETGNRISFLSAAAVNIGAGDGSAGAGEATVTASVDTGAASLPLTLRSVKQPALAAASPQEASTTSLPSLIQCREVFCRLRSGQW
MADAHYWLWLDEVEESDKSPYTLSFKGNSSQTKIFYLEEHKDIFEARYFIVHGDDVDRPLAQIRATVALYSDAELLERCAQEGDARARGSAIYQLGIALTARPFDAAFLPAFERAMADPEPNLRIAALWGIAYPHWPELRPLVEAAARDADAEVRRVAGRLLEVYAAP
MVVRHSQNKPSEEVMTVHLTKVQLGGKKLLTEMKSSAASTHTSKVVVRHTLSLEGEVSQNKHSEDIITVDRAKNIQLGGKKLLTEMKSSASAEHAAYPSLRQLLPLSHERPVSSTAFALSHERPVSSTAFALSHERPISSTAFALSHERPLLPCPMRDPSLRQLLPCPMRDPSLRQLLPLSHERPISSTAFALSHERPVSSTAFALSHERPVSSTAFALSHERPISSTAFALSHERPSKMVATSEGKTVEASDRNVLADTDGDVVIVWSPTEQDGSSAHGGGGGRPIDEWKRQVTAGLPQARLLDEEDRRRKENGTPAHNAIPGLSGELLTEDDLIHPEKQIQSVSNQKDCEGYETELARLAEELRTILPAPHRQHHSQLTVQKPQPGVSGDIPVPLWCNMCMLMANLADGPYSKILNTELSTVFSQIHRLQPGGRR
MIIHMKTMKDHMSMLRFTGTVILLALLSYSCEGLLDTDTGDDRDKLVDTWKVIDESSPLKSEQGAYWVEIEKHPDLQNMILIYFFHGLGDNVYAEASLSGTTLTLGQQVLEGGWTVQGSGEIQKSWDEIIWAYTADDGSGFVEKFTAVYTRIGL
MAIRKIKSGKEKGPSNISAEALMLDTKATASMLNVLFMKTREEEQVPMDWKEEYLIKIPKKGDPSKCENYRSIELLSIRGKVFNRMLLNRMRDSIEAQIRDQQAGFVMIRRGRTESRQYRSSLNNHLSGTRHDSPSSLTIGKRLTVWIGEHYGNFFGIMVYLIKLSTSSGIRKTDYSANSCIPNNLEFADDMDPSIPYTSTNAGQNKHCSRCVCSSRLQHTQWCMLNLKLGELLQPSSRRCKYL
MPFSPGDVVSVDFPGATGIKRRPAVVLSSATYHAIRPDIIVGLITSQTKDLGVTDYALQDWAKSGLRVASVFRSFIVTLPSSANVMRIGQLSSIIRARLAGCPRLCKNRDR
MIPTIPSFNYIASSLNQTSNTLAFLPAGQSGFIDRIRDGLKRLLGEQNTDKNTGELNRKISDLEKRLNQNYKDDRRQDTDINNRYGQLNGEIWSLRRDFNTEKLANASEHKLLWGAIGSTQKLVSGIFLGLPALVKSIVLSIIGSILLSKLIDLGMGLFIKNGKIDLSGIFAQIQAAKDDARAAATIAVKAEGKADKALTRSQAALENIKFLEKSALNAFKRLESFTRSELAFLTKSTLNALLRLQDRLATTEISLNTLKNTVAGIQKGITSQLDALKLGIEKIKADLLNQISNIKIDVGKLFGQAASLAAQIAGLALTIAGINALIRRFNPGVPGVVNVTNISNNFDNRITNNYVNNSRPNYITNTTNTANPVDSALLKKVDATTTANLALSANVDATTKANLALSTQIQSGVAFVSTKLINGFKWLQLDRVMNLLTFAVTVHNAGMLSQNILVTLTQAMQNVIDLIGVKDDANNPVQLSTLINSSISDFVKNVVGKENYDNVIKQWNYYNRIYQASANIFSSLLNMGDSITQGLQVIGGQTGKIGNALRAWGAVSEKAYGWFNPSPNFSNPLLIKLNNLEETASVVENVSQQPLTIKASKEEVEESSKALADSLAQEEGKPQGKEFPEAKLVKAEQEETKINSAGKDLSTPDLEPDED
MTKFVELDVVIPVLSNNQKLVGIRRKTIFVNVDNISYFEPIQVAYPQKVDKQNMYYEFYKNIIDRKILEDANLCDLNNYSELQIEFERMPLYTDQFMRGVSDLGFTKGCIIYFKSGLNPLGYDKPVYAITSVNELVK
MNPAGSEITECYFECICEPKHREKGSNMFKQQDDYQAESPVLSLKKRTQLLREITLKLPEDLMNKVKEMAANTHEKDLMLPSMEQYLWWSNGYPTFECPSYVFEPEWIDGGLISMQRLECRNPEQLKHLPGIVTYAISCLDYYAPKYLTTYISSTLLERSRDDPLKVYYPYQVWEFRPSCNVGSGFGIRLPDPCNDNAERWQNYWSAPLDSRIQRACAFVDQLRESLRKTDRAWLLFDGRRIVVLPKEWVVSIDRRRTRIKGCKMLLRQFQEEPRSLNLPPYVIDEYIKRTKIHT
MTLLRSTSSERLLLTDGIKRAIFWQDLYAHLVTSTTRAVNHHTFHEMHWHRDALAPEIFVLPSGFQSRVDVLGEELTRVFEDIHALKMIRDSAVYDPEDTASMVDMDNQQASVQSRLCGLPGLSPLAELCRLAGYLTASLLCCKIWRLSLVPNHVSAQMLQAGRQVDDSIWTGHFDLLAWLLYLGGALAAPGIVRKDYILLIRRQHASKLATLIRSWSDLVAIMEQFIWSEKAISPLIQMFWEEVQSFRK
MSIDFHAHLAREDPKAPPFMRDLFDVEGYLEKQERAGIERTVLSYALDDEGESMDDVKGEHDFLAGLVEQHPGRFSALAAVDPFGGADWLAEAERALELGFTGFCFPTSRNGRYLDSGAAVDAFALADERGVLVFLHPSAAPIEPERAGHRLVNAWVGRPYDTGICLSRMLLADTLASYPDIRMIVAHSGGTLPMLIGRLEHVFAGMKRMAAFAGGGPPGGGPPGGGPPGGGPPGGGGPPGAAIPDEAKIEAAFEGRPLSERLDRMYFDTASYHPAP
MKQSIVPFLLGLIVSVAALLWMLESDNGIYIIEVLVLLFIAFPIAVLIHELGHAIGALLTRTKIIQFLWGPFILFVQRKNLKISWKNKYFFGAVIVDSSDYVQDADSFKMDCKRNFVIYIMGPIISIITGVIALEVGQSAEGISFMLLYGTFSLGIGIVTLLMSDGRKGIMMFQKNYALAYYWTLYFTVPDVKEDSFRFVLNESEDYLNYTFENNNRNIAEDVYDLHILYFAMYYCQVTRKKLGIQHEFVELMEKSLSDQTLPKQNREQVALILCYEVVNLRLQDKWEDAVSLLLLLEAADVDINPMMKQRMELFLGRSSDGGNSYIAQVQNEEVLRCYEEPFLKEWGLWKT
MALRGPLPAPLRRTDLPERAADLLEWVWTRIVLPDWPRRRRILEADVVARAGRLSRGGWAAALDDMRPGMRWLGGDRLQINTFDYPPRRIGGARLMFVPVTPREAWATWADRRYALVYPCSGVLAEPGRRRAGRSVLYYRTPLGDALAETDTDYRSLR
MARYSLLLKLHNVGELSLPPAITISQFWSMYRSLYKKHWCVRIEERYAHGKGVVLYLARYCKGGPVDPRQLKQITADVVTMSYLDHRDKQQRLKPMDFITRLLQHVPPKGLHTICYYGLYASASTLRYEPCRQQCGTVEYMPHASSVDRLSMLVYCKTCGGPAQLSRRWWSRRLKGISINKETLSNCVSGSVQQVDEPPLAGSFYNTS
MTKVVKLKKDSTNNKDSEIKQLNSKLNRLERKLIFFMKDLMVIL
MVDSDLTTPDKATKFSPGRLLPQAEPNTSRSAVPRAPPTSRKRAKSDIVEVLEEIDRNKRSHPYTSEISSRFGLNSPSLEEFLHVCGGVLEAACATGAGEPVEEDRIGWETVLEEFQPNVAADKGFLHEGHQPYASADYFHHPVGPASSPRIPPENRTSHRTVPGRGTRVQEQHLIWQGPSASFFCSPPSWLLSFTKGRGEEGPRGLSEDTDGVIDQRRKKDLEDLPPARSSRDSFWISSPRPGEREVIV
FEPPAAPAEAAPAAPALPGGAAIAPTITIDDFAKIDLRLARIVEARAVEGSTKLLQLTLDAGEGRLRNVFSGIASAYRPEQLQGKLTVLVANLAPRKMKFGVSEGMVLAASHADEKAQPGIFVLEPWPGAQPGMRVR
MSIVTFDEFRKMDFRVGHVESAEKVLNTDKLVKMVMDIGGEKRQVIAGIGASYLPEQLIGRNLVVLVNLEHKKFKGLDSEAMILAADWEEGTSVIFVADDVPVGTRVV
MGLQGALADVRINQLQRGDLKPGTRIGKPEAVFPRVDKKEAWERIEAMENEIRNPAGAVPKPAAEPAAAAKIAIEDFAKIELRVGVVKSAERIPGADKLLKLLVDIGEEVRQVLAGIALAYAPEELIGRKVVIVANLAPRKMRGLESNGMLLAASADADGKPVLCTFAEDIPAGSKVK
PIYPRFEIPEMVEVVAKEEVENSVDTSNITPLKENITYDDFEKLDLRVAKVVSCEKVPKSKKLLKFVLDIGIEERTVLSGISQYYEPEAMVGKKVIYLSNLAPKKMMGIESYGMILSASDWEEHLEVTNIESLPAGSVVK
QGTTTRRYSYLDGADKMQSVDFACQLAPAPPESIEVVAKAYVTRKVTEACSGPKGSFTNEYWFDSGTNLRQSRQFLAPGLNSMFLQRVID
MRRNRDLITLMKLTDFYKVIARSLNRSTKSCYLKLRRDVHTITLKNGFFSELELKKLKKLSRKYENKSGKYRLIADKMGRDCVSIQNKLNHRSKTVKRGTWTVEEEEALFKAVREVTGLQHLNEIKQLVIPWRKVAKLIPTRDEQQCRKHFFLFKHLKLDDASKLKTWGKEESYRLIDILSNGDYSFETDIDWNEVNQSFVDVSPSASYIQLQFYRLKSGIPDFHRKSFSDVLEEINSSHKH
MPRFLTDIFTNLKHEPSLRTIRVSFLEIYCDTIRDLLDDRADKQLVIRDDPHNVWVENLRQLPVESLSKALELMNIGRSRQATGANALNDTSSRSHAIYTMEITRKFHNESKKSKLTFVDLAGSERLKKTLVEGAQKRESIQINGAWDELGLLALGNVINALADEKLQQRRKSREGIQIIAENGSSGRPRSPRATSSDGFIPYRSSKLTRLLRDALGGNSHTLFIACVSPADSHAEETLGTLQYANRVRNIHNKAEKHIEADQPVLSSSQIEINRLQDEISRLTHRIEELTSAARIREEESAQAEKDREDGERLKRENKRDVSVGTNEEGKRVGIDAATMTEQEEPEKSECVDAECQCHAQMEDGTTNTDMTEQPQISVADSATTTIELSNHEVATQTATIILSDKATGSLDISVYQTEEISCQTDCVMGIFEIKEEHVLIGPSKAPEADAVSESSWVSDETHSTSNPVTSYDFEEITKEDIGDDIEMKDDEFFSDTGDNQPDTSELGGLAVDKKAPPLSLTDLHDLEPQEEVCEEPITRDFVVLRSLIRDATPTQRMASPRRTMVKASPSTVDLHVHPMKLFALDECLLSPMSPSDHLLAQAQALSQNLLELTTEDYGASSGDEDDSSDNNAAQEHLPNTRDSMAALISTSEQLLRRRRRQRLRVSQLRRQIHQLEAVLGVPTKDRLRNDDPALRAYTLRGQVEFLTPILHQYEVLAAARLQARLSARVEAELLLQGVHLSNFLDEAQCQACSDWFFSRRKDLAYFRSEILPLLLAGWISVAPEDLEAEEMWLRL
MVACATFTKFWHIIRRKLSWGGCGTSVSCNVCQPHLCIFGSTVDANGYYGPSFQRGSSRFPRHHALNGIIRRVLISANVPCTLEPPGLGW
MICVRTRHQAGVSLPETLMAVALIVLLGSQAAPAFHHYLERLTITATTKKVVALLRHAQQLAAVHQVPVSINIVPGDNWCLALSDQAVCDCASEGDCTVAGGSYVLSPPSLPLVFSSNRFSASRPAVFDNLSGSAFNSAGSFYFAGSSATASVIVSPLGRIRACARYGALTGLPAC
MKPLVSLLLMLPLTATAQSPGDLPTLSLWLQGNFSSEQQSQQLENYFHLQLHTVPVWTASSSGPWLYMEQASFKRPERPYRQRLLHLQQTAPGQFTYTPYTLNQPQQYMQAWRYPARLAPLTPDQITVRNGCEVYLHWQAEQQRYHGQTRVGTCASKMYGASYTTIEISVYQDRIVKWARGYDADHNFIWGGSQGGYRFDRLSQ
MINKIIKSNIFIFKTALLNFLTKRNKDKFFRVIVASKLSFSIHKTSRIVVSRDFLFFLAWNKREPFSSFLYMSKNSFIHVRGRFKIYSGARIYINPNAELILGSGYINNNCNISCYKRIEIGENVYISENVSIRDSDNHNILNSTHKAEQPILIHNNVWIGMNVTILKGVVIGEGAIIAAGALVNKNVKPFSMVGGVPAKLIKENVKWS
MNTESFITEMNSGKKVVCGSDVHQHMGKLSQEAMKITSRINCGYHEPQEIRELFSILTGQKIDESFGLFPPFYTDCGKNIHIGKHIFINGGCQFQDQGGIYIGDGTFIGPMVVLATLNHEQDPKRRGELIPKPIHIGKNVWIGAHATVLQGVTVGDGAIIAAGAVVTKDIPSGVIVGGVPAKMIKQIPANQEVGLSYKSF
MMLSFIVGIYRKYQEYVHPGIYVTRHGILYREKGCKYQVSPLHKLMVGKVWTGKIPSQEKGRLLLHTGSELIVKGNFDVVGSTVEVLPGGRLILGSGYINFHSKLHCFHHIEVGNQVLISENVIIRDSDNHQIIGGNKMSAPIIIKDNVWIGMSAIILKGVTIGEGAIVAAGAVVTRDVPAHAIVAGVPAKVIKENAFYTV
MRGRDKFKRFKPMINFLVTIYSIFPYQIRVKLFEHYRMVKGTKGLVLRYVLLKSIAKNCGDNISIHPNVYLLNPRNFSVGNNVSIHPMCYIESFGGIEIGNDVSIAHGVTIMSTGHHFASLDTTINNQGGFIDRIVIDDDVWIGAKATILAGNKISTGSVVGAGAVVSKEVQAYTVVGGVPAKVIKERK
MFQFINDKVHRFRGVIPTIFNPHIVKRGGSLLIDGKVVLKTWTGSQVVLNNNLFLGYNSRGYNGRSTIIHLYDNAKLICNGNARIFYGGDIILFENAKFHIGCSYINSNCTIRVTEELTIGDDCAIACDFTVLDSDFHEINGFLHHGPVHIGDHVWIGSGVTVLPGVHIGEGAVIAAGSVVNKDVAPYSLVAGIPATVKKENIIWRM
MKKNFKVYCFLRELIMLFRIYRHRWASISKRTWVVPKQSYIARDLVVGDYGFIGGGCTIYPGVTIGRFVLIAPNVSIVGADHEFNLVGVPMCFSGRQPLPATRIGDDVWIGTSSIIMVGVSIGHGSIIAAGSVVTKNVPDFAIVGGAPAKVIRYRFDTEQERNQHLKMLRKINSYGYLVRDL
MSILSILRNYFYYRIACRRQVRLSPLAIVAGGSTFEGMNRILSRTQFRGSMGRGSYISHSSNLDASIGRFSSIGARVRVIQGAHPYKAPYVSTSPYFFSDAGQCGVSVLDKPVYDEHRYADPGRRHAVVIGSDVWIGTDARLIAGVTVADGAVVLAGAMVTKDVPPYAIVGGVPARILGYRYDESAIARLLDIRWWDRDMSWINARHHLFTSLPSFLSSI
MPKNYEYPCPEGGFNRTEQPYHFTADAFSANVKRKRQKLAPALEHAEKMERVSGLINSVRNALDKAERLLATEERARVKNKAFDPHAADGSFRMASNAMADLKMILGI
MRKIVLKGAREHNLKGVDLELPQGRFICFVGVSGSGKSTLAFDVIGAEAERRFLESLSPFARQLLGPLRRPQVEAMEGLQPPITVRRGRAAEGPRSTVGTVTEIYDHLRLIFARAGRVFCPRCGREIKAWGREEMVEEVMARPSGQRVMVLVPVRPGDYTPSRLLREGFTRASRAPGPSPFGPRDGPSPPRPSPRGPKPLSPCHSGDR
MEKKKTKVKWDVKGEGESGNKIVVRGARTHNLKNITVEMPRGKLIAVTGPSGSGKSSLAFDTIFAEGQRRYIESLSAYARQFLNKLQKPDVDEISGLSPAISIDQKSASRNPRSTVATITEIYDYLRILYARVGQPYCLDTDVPIEKLSQDEILGIVLKSIEDKEVTEAKKEKVLGIELSKGKVSIFAPLVVGRKGEYYQLLYDLLNKGYETVKIDGQIKQLRERIELKKTARHDIDVLVDSIYVSEFTDSPKSSKERLSEAVELA
LCRWQPKTPWVPSWWNREVTSFLLGVAISQPAMLVKLLLRTLALLDPASYILIAILLSILLFCYACIRLAPRILEVVLRWCACRRQLPRFTLRELCTSALAHKVALITGGARGIGREVALQ
MLTSADLEEIKEDIHFFLDEFGSTGAVRRKLQAQLKEIERLGFTVRRLQGRRDKAQEPKDENTEESEEKDAMDEVDRIQADVEFFLKAFGSTRVSDEKFSLVKPTQVQYQGL
MGVMKAMEEATAEMKKATDGTMKVAEAVLADVKSVQAAKTATAEEVTMEGGPPGLTPPALWWNQMRARELKAKEVLGGVSATAPSHDHGVPLTHTEKTKVAKTLMQMHSRLEKEGTMLGLEGLSAEVLIDTAKTVVELMQKGGQEVPPGLTFQGAKTLPRGNIVFTANSPAGGVWIREHRLAFIRNLGGVAKIADAVIFVALENVPVGFCVTERALRGLENTNELPKGSINSA
MARWLLPLLAAVACIGAAGAVRCRESRCEPSEEQYCRLDDGLDHLADCHYANYLETLRHLQEYQPSRSAAAEEPQSQGPVVTADRMWCATVVGVAEHPSGATHATYRACVPRDGERCRELMQLATERMRVHALGGHQAKVRVNCTRCSTDACNTAAGPHNMAASLLPLLLLPLLAACHPQFAVMDQ
QSFPKDNNFPSRAAPEAKPVKRARFNSSSPKKQLNIKVTKPCHDRFYEMAERRGIRVLGDLVSLALDALEERDSQVK
MKAKIKTYFSICLVFMLVMGFSGVADAASYKVISDKTGVVFFAKLIRFVSTLQGKPIKVGIVYDSVNSSSVSSMKQVKAGLGAYGYETFPVPQNAVGNAPSYGINVFYFAKGSNAAEAAWVANASHIFTFTTNVREIQSKSASLCVINYKGKNRIVASQTALSQQGHSLSSGILRYVSMLP
MRIKSLPKHRLSSDTSQLLNVGNSVFKSQLKIWRRIIFMYFISYILYYIKMDYSILVEDIGIEEEVVPKKRGRPRSSQVASETKPIQMHSHSSLPLRTSPPSPTQPVENIEDSESGRTSPQTPVLVNHSDVQGEDTNNSSPADVEEVWQHYMTRADYHVFVAGSHTKLGKSKGRTNNTDDSEEIATIKRIERAVRTLIIYYQI
MIFNDKDTTDIIKKMKVVNKPKAKYFSDHLRFLYGIKLRNNDRKKLNRGRTTVNPSILVVLELLTLFVTIIALSLNITGFIIESKYVDNLKLTTISNPISNNNAPNFFFDINFMGANISKQ
MAEGHWRQGESLTVEIEDLNDQGDGVGRVEG
MKWLVPVLMLIVTQSAAAGKLTLKNGDSLAGEIKGMDAENVVWVSDALGEMIIAKSNVDNIESSVLVKIDGHEEACAIVGMDGPKVNFSCAGGKTGDVPLLTINKVEPYEAFLLGSSTYTGKISLTGFQDRGNKVEDTWVLDAFNEYRRGDYRHGSKIQFDSKYKKPEPKQERALLEYQFDWFFAERWFWSNTLGYGFDDAKAIETKYRVGSGLGYQFWENDITALSLRGGISYVDEQFETPAIVTPDFEARDKRMAWTAGLNYRHKFGFGAEFFHSSNYVVSNEDNANWQLDADTGIAMPLVGGIFGELKHEYDFNNQPQPGKDKLDTRITVGVGYQW
MTSAAAFSRLIANPRMLALAFAALLSAMPIAEQASAQSKGEKEILRTADRDAEIPRSEDAKDGERLMLEIANGRLRLRTDAKAESTRISAVFTVDGVDAADAERRTKLVRLYAERADEGTIIVGAYFPGTAMPRDSVELEVTAPPAKEAVLKSTDGVVEARGTTGKLRMITRNGEVIVSDHAGTVDARAVNGRILVEGATDSVQAKSTNGPVQIVLADGNDNPFTLEARNGAVIVEVASDFDGVVTMLTANGRLGVVDPAKLVRVSEISSTRLVAEIGEAQGQSTIATSNGAITLVRRAGPKADAAGPATGKPDTTRE
MWKSLFLSPCAGAKWFHLKCKHYSFTVSGMLFFKLSRCKQRPRFFFFFFTHTHTQAEISLVSWLHLFAVCRDKNSSSVMCEVGSIVKKPRRVKRQHHVRFDQVTVFSFPRCQGFTSVPSRGGATLGMRRQHSALHRYTVAEHETARPCRQARLLRLRPEGWKDDPVANEDAHVGDAEPEGGGSLQPYSSKQRQALLLAAGVKRIDREEKKQLHALRLSREACGCDCQGFCEPETCACSLAGIKCQVQLNSPAEKCGLLPFFDFILSLDHKRLNEENDQLKELLKANMEKEVCMEVYSTKTMKVRELEVVPSNMWGGQGLLGASVRFCSYQGANENVWHVLDVEASSPAALAGLRPHTDYIVGADQVLQDSEDFFSLIEAHEGKQLKLSVYNTQMDACREVVVTPNGAWGGEGSLGCGIGYGYLHRIPAPPDLSIQTPTGPPPPAPPLVPEEGAPAELPNHGFTEAPLMAPSNQSEDVLDLEQVTLPDAPLPPPIHRVTDPGLLESEAAMMSPDPADLLDRLDVSVSSIDMNDTSLAIKDERDNEISGVGKLARRTLRCRTIGDFGSGTVDCRISFQPWEDYLGLSDVVREMIAHNNTAGPEAASPSRTDAWSAFFRSQSPSCVAPPPTSCTWRPELSLHDDLPDTGGLNAPPRMMCAFCKRNGESEAVYRSHWLKTLSGHVACPYLSLPFFRNTCAQSPSRVISPPTSCTRRPELSLHDDLPDAGRSSGRKRHLNAPRRMMCAFCKRNGESEAVYRSHCLKTRSGDVACPYLRRYVCPLCGASGDKAHTKSFCPEVGPNYKSVHAQKEL
MSKIYGSSGPPPYATNEELRTINSTTMHPESSQLNKNEWIDTTILVLKASIMILIMVAAIFGNLLVIVS
MLMRRPPQTPPTAGNPQTSNAMSATMKDLPRPDPNWNSAMEWLLWIQESPDDEELRAACRAWQQASPAHARAWQRAERVWRLSGQLPRTSHRRWPGMRRRRFAVIGSALAACLALLMVLLPWHGHGELDNGKGAPRQLVLEDGSRVWLKGGSAIRPRFDDDHRHLDLLQGEVFFEVTPDASRPFTVQAGDSRVEVTGTAFSVALQGPTLSVAVEHGSVRVEDPRRNTRLKGGDRMRLDTRDGLRMRDRVMPARVAAWRRGELIAEDQPIGELLEQLRPHYAGWILLQDPALANERVTGRYDLQHPQAALQALVQPHGGRVESWSPYLLVIRR
MEAAVLPDGSKLRLSSASSVSVHFTEQKRLVKLIAGEAFFDVAPDNTRPFTVSAMHVNTTALGTAFSVRHEDDGVSVVVSEHSVRVETPSQSVEVEERYAVRSRGNNLSIPEPAELDTRLSWLDGRLVFISRPLGEVIKELEQWQRGKIIVTDAALAQRPVTLIINIERTDQLTQKLAEALPVRVENWTPLLTLIRPL
MSIEDDADAPLETPEEQAADWVVRQDGSALDEAGQAAFAAWLAVPGHRAEFDRQHAVWQRYRRLASLPEEEPGGRIFHRPARRTPAWRFARVMTGHRIAIPAIAAACVLAMVGAAEDWPTRLRADYATGVGERRNVTLPDGSTVTLAASSAIAFDQAHGERTASLLAGAAQFQVAPDPHHPFRVKTAQGRVTALGTVFTVREDAGGPQVAVLEHCVAILTASGEKAVVHEGESTRFTAAGIAPPVRSDVQTAAAWTRGKLIVFDRPLGEVVGIIGQQRRGYWTVTGDAANLRVNGVYDLDHPLDALAALEKTLGLRSFRISNRFVVISR
MDESQKAEAEAIDWSLLLNEEPDDQALRHEFEKWLSEKPAHAIAWQQVTAISDIIRSAPPNRQVAPVRPVAFQSRIQALLVFLQKRWRLLFGSGVAATALCAALLLIIPDLITRFLADQYAPAGTTRTLHLADGSDVVLAPRSAISIQISAQERHIDLIQGEAFFTVHHENSRPFIVQAGKVRAQDIGTAFDIQIAHHETTVRVRDGVVHIAASTPRIYARDLHGGEWARVSDTDIGDGTLPPETVGAWKEGVLIARNDKVGDMITALRPWSGKRIVVMKQALLGKRVTGVYDLRNPDAALRLIVSPLGGKIIHVTPWANLVVSP
MAAALSAAAAALVIAVALPEATVRWQADAIAGTGEVRTMTLADGSHISLSPGSAVSIDYSAADRRIGLIRGQAWFDVQDDPRPFHVVARNVETTDIGTAFEVGLTDGAIRVAVGHGIVRVDDAGTGRTISERLFAGQTVSMDPTGKAVQGSAPSELIGAWRDGRLAVQDRPVSEVVDALRPWYRGMILVRPGVLAGRRVTGIYDLRDTAGAMAALTRAHGGRVTQVTPWLLILSDD
MRFPRLNRARKTPSRRALEKEPMGSVGPNFRHPDPATDAALDWCLRLEAAPLDDEAARALRDWLEADPAHGARLDSLLRMMASPELATASAGLARALAAPRAARDRRAGGLRLGRACKAGLALAAIAALVVALNALPHWLLLWRADHLTGLAERAEIALPDGSTLVLNAQSAVALDFAQGRREVTLLAGEAFFRVRPDPAHPFLVHARHAETRVTGTEFAVSRSGPLDRVVLREGRVEVSTTAAASPAQSLAPGEAITASASGLSAVRPTDPAADLAWLDGRISFSDRPLSEVIDALQSYSPERLFIASSRLRRLQVSGNFRLDQPEAAIEALALAAGANLLRLPGGMFILN
MSRETSRESTNSQILAEASEWLIEFEAGEPDSDTRREFDDWLRQSPEHVRAYLGLLPLWKQGSVAKFSRDQGPESLIAYANTEGNVVALTGSAQPGQRSRTRTHWPAAMAATIAFVVVGGLFAWREFQAPLYTTGIGEQRSVLLPDGSTLELNARSRVRIEFTEKQRGIDLLQGQALFHVVADAHRPFVVSVDTLSVRAVGTQFDVNRRASGTIVTVVEGRVSILEPSPSGGAEASRKSFLSAGEQVTVSTDPANQPKQSRTADAAAATAWTQRRLVFDASTLSEVVEEFNRYNVRPIKVVDASLDGFPITGSFSSTDSASLLRFLEAQPGIQVTTADDEIRVALRK
MPRTLTLAASLALATALGVAGATAQTATGTTSPSGQSAYPPTTGSNQGVDPPGSMPGPTAHSATPGSAQPDRVPTGNPSADTKATTVR
LTLDVAQFKKQMEYLHNEGYHTISLDDLYAYVTEGNELPDKPIVLTFDDGYIDNYEDVLPILESYDMQATIFMISDAVNTKRIMSINELKTMDAHKFIVEGH
MVPGSLSPTPVPGIYTIATFRLFMEKSGVMPVDASHLSQKVQKVLYVRDFLPLSGDCMTFGTSKVTSKGQVTIPAEIRKNLGLEDGTNVVFLELEDGVLIKSEKNIREALGPFDERSKTLKLTKKDLEREVREERKKRGSKNA
MRTSSNVPVVGPPDWPITTLLSLFQEGHSIWKAHPPATANDRYEATGRSVVWFKTYPLTVLDSRDRISGRVGKQGLPGDADTSERPGYGWVIDPDDLPQRARWTIIATACLLLIMCLLLVGITLRMAPIIDDMGKFWAGKGMLR
LVLFFVHIFPRVKAHPPLNILFSTLFLTAFVYLAAVLSVIIGTATPFMCNLIMFVACWQLMACSLLGVQFDSAKGRWTCALIYLADFIIVAIFLPSRIIDKIVAFFVTVCVAKVQISKVNEVIEKALQEQDAV
MNHLERFLPSRFEILVIVVICGGLFALLYPAVQMQRNPKGPHGSMIPQEPPDERNRVFHSSGVSIVAPLNWDKIRDYSFGFPFLSVAARGVPGARLRSFIEIQLTDAPGEDIQNRYRPLRFQGWPAYERMQIDREDSFDDPASSSYELYVNRNDEWWLVRFIIADEMTELPPEIRRYLDTIRFPEEQSRLRDLSRE
MSEENLSPFVDLLPDFPDFTPLVWAGAGVVGLFGVYLLLLLPFTLVAGPVGDNARQVLRDLLGVFHHLLEVVKSWLGGRR
MKSKRAFSVFLILALVFLMIFLSPHKWMKGNQDDSAHNHPAASTLPRHPGAAHAADAHAATKRSPEEASDNAAETPQKLTTLPQTSSSRRIIPTAKKPIKDILASWEIQSPWPEGPRLMVDVESSDTRYVNLRPNEQGIMPRLYVKTQETLQVKLSMPDSHPGETIFLELPNGGTFPDQELRGKTLLVSDHKTINFTINASALRGHCTISIRQAGHTRTLPLWVGAPLPPPRDEIADPNRPTS
MKSTVQFTKDMGLDIYATKEGFKSELEKREKIYPTINNIIMPKNTDIK
MSPPRLPPPTEPIQKRCNAPYLFPRAQSTVLPDPSAFFSPNLLSNPLPTNSFFQNFVLKNGDQPEYLHPYLVKSSSSSLSLCYPSRFSNSAFLYQIFNADLTISAPGETSQASQKSHVISSFNDLSVTLDIPSSNLRFFLVRGSPFLTCSVSGNAAVSISTIHAILSFSSNSSNTKYTIKLNNNQTWLIYTSSPINFSHSLSLLNCGGFSGILRIAILPESNPEFEATLDRFSSCYPVSGDASFTKPFCLEYKWEKKGWGDLLLLAHPLHLKLLSGDVDVTVLEEFKYKSIDGDLVGVVGDSWTLKPDPVSVTWHSIKGVSEESYGEIISALSRDVAALDVAAITTTSSYFYGKLIARAARLALIAEEVCFPDVIPAIRKFLKEATEPWLDGTFNGNGFLFDDKWGGIITKQGATDTGADFGFGIYNDHHFHLGPSTDNDPSPPRRRWRPPPYPRCQGREPPPPPSPTLMSTPSLPYMLPAFPAGQIQPPRNPGPTPSRYDEALPRGSLPQATDHPPASPMIPGNDLRCP
MMMEQCLTLAVKRYLDQNVHATAVFLAERLVAENASEDNLGLLADAYYRSGAGHRAISLLERHMTSNQGILSAHNRYLLALCCFEADRLSDAENALIPSTSTRRSTGEGATKNVPNGAAGLYLLGRVHRRLHRTDQAIECFTESLKLDPFLWSSFENLCELGSQASASLFFGGVSTNPSKVHLDENNIPPPPSVSRRIHSRVQASTNTSHARGKKALSRTITEQGASHQVRRHDDLPSTIPSSQNISSQTPS
MFKLLHWFFGARRSSEWEAIVQLSPVKLDTGEVCKEVVMTRWINGARQYRRATEQEVADFVSGDAW
MDLVNQMTLNEKVLQLGNKAYGVPRLGIAEYQWWSEALHGVSMSVQEPSLTIWFLVQQVSLR
MEMNPTMYVCIMPERTSACASVYTLLQSLALEAASDGEAILTGLEMLYYHTVTFTSFFNTFSILCWCREGHGVPLHPSVSSVMTQNKRQQICWSKEAVNTTQPSPGHHSWRLPFCTTKSTSAPVPQCVRSTSSASYISRELGSKQMLKSLGIGKTKGHILKHFFTASPLLLGLVEVAHVLDGVLYKFNDRLTILHY
MTSAVSNITSNEALMALIGELSESARTPNVSNETASPPTNANTVGASILNQLNLPTLPASMGGLSLDTLMKAVADDVRRNSIQGSVDTIENQGKAIDAQNLKELEEIQKQIAQQQKQSFWSKFLKVFKIIGAVVGAIASVATTAVGPVTGNAALIAAGIFGAIATIDSIASLASDGKVSISAGFTELGKKLGMSDSAAQWFGFGMNMAVMVAGIAVSFGAAAASNSAKAVQTAADISDKAAQGLSALQKVSTAANIGQGGVGAASGVGSAALAVVEYRLANIEADKIDIAAILEQLRSDFKASEDLLKSQMETAENIMNSVMDIVSECSQTNTAILTAAPSVA
MAQAAVDKKDTALAIGRLLEFILSVTDWPIYKARLNNYFTANDVTDEIKKPAILLNMLDESAYKLLYSLCSPIKPEETTFKDIIEILAKHFVAVHSAARVKSLAVPCKFGAELQTIIREKFVSGFEKGAVLDKLLEQDLTLSFEKAVKIAESKMASMENYGSTSSVMGIKSEPLNHLRNSKQPPFRDKVTYTPKQEPSSKGRNGESNESWAVCGNNPRLKNFISEFSTVFNEEPVYFTKGKATITLKDPSVVPKFYKARLIPFAIKTDVENEIPVGGVHFTKLDMSQAFQQIGVDEKSKHLVTINTHKGLYRYNKLPFGIASAPAKFQKVMDSLLDTEVDYCYFFNTSSAINLNFEAVREATNKNKILIEVKEFILKGWPEKCPRDCLFWNHRIVIPQSLHSLILDELHSNHFVVVKSKNLAREYFWWPKLDKDIESLVRNYARSKWIEVFQFSVPNTEFSINALRSTFARFGLPKYLIADNATYISSEKFLNFVTKNGIKLIHSPPYNPSSNGPAENCVKICKKALIKSLHENTVHSTTGVTPSSLMFGRKLRTRFELLLPNQENFKFNSKISNDIVKTNVLRSQTRQRKYYGGKKTEYDINESVLVKDYRNPSKVVFYQGLIKNKLGKCVYLIFIPEINDVWKRHANQIKKKRT
MITLDQIKLAAEEASVEGITVAAEFVADTADTYGPQHNAQFRIFKKGGFSQGMTTYGMGGFDGMKIHDAMAPFTRDVRYDFTQLDPHIRFRIEQGTVEFDQEFVTKILKAVVRSLGGVYPEAPPVTPPSNGGTDGGTQTP
MRSKIEKVNSLGKYLAAARSREEILDMAMSISQDVLGYDHAMIRLLDEKDILQAVKWIGFPREAANRGIRLGEGITGQAAKMGRSILVEDTTTDPRFIEGVEN
MIETVRKVKIKDDLVQVNCTSFKETVDQDDNPQNIEEFIDTAQPAPPQMEEGGHATIDDLQEINLGIVDSPNPIFFNASLTPQELEEYTQLWQEYRDVFAWSYQDMPSLDPNIAVHKLGILYKAR
MEAIIAKPDLSKLLDKFKNKWVALSPDYKSVLSSGDTLEMTANKLKKTDREKVIFHRVLPSGFAPFSDEV
MSSFHVTQWEVRGKSRPDGLDLYDIYSQDPTWFTIQLFHGGQFLNLGCPDVRYVNGKENYIDLVDASEFSIYALGQMFRTLGYSDDELVEYWYKTPGLDLEGDFRPILSDNDITTLCGYVESVKLVEIYVHHVGGNSEFVDKENIVEEVVVEMGDNRRSFSNNEQAQVEEEIESEDSDGFEVDPDGFDSLSDDENDPPLKSTLKKLRKKNAKAIQQSGCPFYLGQSILEKKQIKRLVTSYAVKTRRQLYVLKNDRFRFRVICMGKHPHSCVNVPGETGNLSEGEKCFDGLSDVEICGSHEGESNKEDECALNKEKLPQPTCPWVLYFSRKKESELFVVKTLVDQHHCLQTRDVNLYTISFIAREIEPIIQSNPTIPLRALQDQIQRKHQLQVSLNKAFRAKMKALKKLEGDFNEQYGILRDYCEELMRSNPGTTIKIAVEREFNPSSPSRQFKRIYICLGPLKAGFKKCGREILGLDGCFMKGPYPGQVLTAVGVDGNNGIYPVSYALVESENTSSWTWFLECLRDDLDLDLNSNFTFITDRQKGLLPSLETVFPNAEHRFCVRHIHENMKSQWRGQQFKDMLWRAATATTIPQFNKRMEEIKKQDSSLHTWLSQIPAKSWARSHFTGRAKCDVLLNNWCEVFNKQLVDARDKPIITCLEFIREYLMKRIVKVQSLIDKPKTQEYPLTPFVRNQLDEIKKCAAEYNVVMAGGNKYQVSGPWLDQCVVDVQTKTCSCRKWELTGIPCKHAVAVNWNMGSNAMNVGLPESWVSDVYRLSTWQEVYKHTIVPICGVELWTPSNCPTTLLPPKHHIQVGRPKKKRRKTQDEMMSKVPLEGKLTRYGHMKHCSKCKNAGHNSRSCKGQGGQGDGFSGKGGQGHWNGGQAHGFGGQGQGTQTTQPTTQNAPQATQATQATQGSQTRYGQRCSKCKGQGHNARSCKF
MKKIILTTAYFLLVSFTACGQNKPKDNESFNSSKIENFEELNLSDYQKQQIKKINQEIGPKFKQIGRDNSLSGYEKGNKKRELAKEHKTQIFNVLTSAQKKIWIDKYGNKRESIKDNITDHIDDQLNILEKKYKADKKEIEKDNSLTKEEKKQKKNQLDDKFKNDKEQLKFEKNSKNSDLLKGS
MQKSRRDVLKGSAGTTLLTGLAGCSASDRIPPSLNPLGDAPAIKFRFDGFAGQRYEITHTGGDTVDATRVRVQYTEVDSGEQKYIKWNLFGKVNSELDISPDKTLTTPTGVEGGTKIRVIWVPQNGDDNRTIGVYEVQKLD
MLQVILTVVSLFTIYFAINSIVHLKKTMVASKSSYFPNEPEMKSILFPNEWEQMEPVNENSSSYKWVIRGNLIAIVVTIVIYLILLKYGPVNLSTLPLFLYIITTVFTGFNLRVRNLYIVEHGLIVKNKLYNKEKISFIEIRKNN
MNSKYAADKKKKNLLFVIPSLRRAGAETQAIDLINTVDTEKYNKTVAVLSSNIDQIDSIDQSMAEVIKFARRFKFDLSIIRNLSAFISSREIDIIHCTLQFSLLIAWLAVFFSKKNPKLIVAIHTTLQRNRKDEILDRYLYRYLLARCSKIIFVCQAQADYWVRKFPEISDRAEVVYNGIDVEYFKRGLMTKEAEDLRQKLMIEPSDCVLTCVAGFRPEKGHRYLLHAFAELTSQCQLILAGDGEYKKEMESLANVLNISDKVHFIGSVSDIRPVLELAHLSILASTAVETFSIAMLESMSMRVPMLATDIGGLKEAISPGKNGELVPIADQEALTMTLKRMILGTQWLERAGISAREVVVERFTIEKMVFDTEHVMAEALKRV
MRISTLTALLAAPPAIIASTLPKGRPFCPVVKAIVTAAREQKVATAFCSSYLSISASTKTTTIGGGLTRSTSTVQAPAVTDTITLPAVTTTETVAASTTTTTFTDVAYSTTSTATETTTTFTTTLTACNAPQTTTVEKRTAKKPRPTCLASYNSKLLSSACSCLSICPSTTAVTITGTPSTTIVTSTVTPTSTSVVTLAITTVTLPPGPVVTASTTTTITTASTTTIQTSASTLVPPDPQTQRFYLQALGGPLNGRQVGFDSRPKGRSTTPYWYCNGVQCPPGTFLTNAAGNLVLQTTVNAGHYLDVYRTDPAAGAYGEMXFNDPATRDTRGVLVNCQVQAKEDSTCPVSCSWXGGNINQLRSPSNAGFWQLTNVGSDLFVPYAVSVNPNP
MDLSNLHTSLREVGEGLDESTSRRRAAHLRAAEDDLNAHFRSSALQLTTLYRQAVSSSKASYEKGYAHALAHVLDLWDADRDWLKGYLQRRIEAIEVGNDDEVEEPRPQMDAGVRQQDGDESFQQQNRQSQGARYRGSSRETEAASRPPVAAANISASPVATSSRTLTRNLPHSTPTKDSTSQSHRSSGKRTRSHLYQHQQQQQQPESASVDTERRLHRLPYSQQPHPSSSSFYSTPTTSADSSVAHSSSLPFSSSFDFSIPMSHSSTRFGATSAAASSVPSRGSAASKHPKSESGGVIKISNPALQRRRLKRMGGLRAGRDRILEINENAAALDPDEMVDEDDPDAWTDDEAEHAAQDSDKKRKKRRRHNEPSDSSSTTA
LEEQVNRFERHLIEEALRACQGRASLACERLGLPKKTLYDKMRRLAIMSDDFR
LRSGAKVDRVFNCSDLVRDADFERRPVQYLYQDGSTYHFMDEENGNQFELSAESLGDQAQYLVENMPGLSSLIYNGDAIGIQLPPIIEMEVVETTPAVKGSSATGRTKPATLVTGLVIQVPEYMSSGETVRVDTATGAFLCRVDTSR
MLSYAEVKPGTLLVLDGDPFEVVATSGVVKKQRQKPHNTAKMKNLRSGATVEKTFTQADKILEADIETREIQFIYANRGEVTFSDPNNPKDRFTLPAETVGEALNYIREKDLVEARVFEDDVIGLRIPIKVALEVTEAPPNIRGNTSAGGNKVVVLETGLKVTTPLFVEAGNTIRVNTETGEYVDRV
MDYIDLRKGHVFKHEGDVHVVVENTHVTPGKGRGMTQIKMKSVKTGAVVQRRFRPNDSVELVWVDKKQMQYLYQHGENYVFMDMESYEQTPLGKELLGDDTRWLIPNITVTLELYDGKIIGVNLPDTVEMEVTETDPVVKGQTATNQ
MITTNDFKKGMRYEHENAPWQIMEHTVHNPSARGAATLVKVKARNLVTGQVLQKSFKSGDTFEEPDLAKLKVQFLYEEGTDLVFMDQETYEQYDVPKEKLGNSVDWMTDGFELDLLQYNGEIINIELPSSVIGVISSVEPGAKGDTASGKVFSKALLENGIQVMVPTFIKEGNKVKIDPSTNEYLGREN
MAVYIGAGQVRVGNIIKYNNNLWRVMSTEYVKPGKGGAYAQMKLRNIIQGNQTEVRLRTEEKVERASLDQVEMEYLYEDSAGQCFMNTETYEQVFLSGGILEGVMEYVIANTKMKIEYYEGKPIGVELPRVVELEVTETDPTMKTATITSSPKPATLETGKVIAVPQFINVGDKIRVDTAEGKYLERAK
MITANDLRKGVIIKTGEELFVVRGFQHVKPGKGGAFVKAKLRNIKKNIIIEKTFRAGEKIEDIFIDKRKMQYLYNEGETSVFMDVENYEQESIPNEFIEEEMKFLKEGEEVGVSFYGGEIISVDLPTFVEVKVTHTEPGLRGDTATATFKPAEIETGAKIQVPLFVNEGDVLKVDTRTGEYIERV
MKATDLRPGLGINMDGKISVLTGVEHRTPGNLRAFVQIKYKEVLTGKSLERRLGSGDTVDVVDLDRRPMEFLFADMNSGTFMDSENYEQVELSREMLGDTLLYLPPNTHVTVLFYGEKALTVELPPAVELTVADCPPAVKNATATNQTKDAEMETGLKITVPAFITIGEKLKISTVDGSYLGRA
MDSTKVYPKEPVPCQKFKLSLSDVYAPKHWVVQSHFLPLKTDVSFARCYEILKTGLSRTVSDIPALLGTIQQLSDDPRDLAIEVEDDSYVEFLFEDFSARQTVPRYDQLKAIGFSAAGLLKSFAPPVAIDFVSEGSRILAAKLNYLSGGIVLTVGINHLFADAATVSRVEQTWACHTADVSRGCEKSYRIDVPDAEIRERLSKPPPGTTPTSSELWKVVPAAQSPLRFPEKIATDKGKEAGSIDSSTQAIPPVERQADDIGWSIWHLSPESLTQLKHAASAGDGEDWISTIDAVAGLFWCTLASEMQWSKTGYGESLVFFALNIRHRLEPAIHPQYLGNAVDMVTGTSRVEDLVRGEQSLHGAARAIRNAVQSWDQTEWARRLALMTVLPKEEALCPDVPYLLSPNHLGFVDSSKVRTQFNDWGADLGHVERTRYILPVHNHAKCATILNIHPQLSDGGLEVAITLSAQLRDALQSNLMFARFVSLVCVDP
MAKANLAEIFGSLTFAVYLFYQPIDCRFKLVGYKFAIVSLSSIDSQSSNTSSQTVRDAPDLN
MHSRTRYGPLRLLSEIPQPYRIPSAWKLKRNGESNTRTRFHVPVYTHACRIQGDVGPNPLIPQPYGQNARQSCTLSKGGFDPTYRSPLHERFLWELWDCHGSMRARGSLAASFDCHWKVSAFGTDTSGISCMNRVQSPSPSVHGYLLLLYVPCSIKPPRIEVQ
MSTRTDPTSRLGLGQPGLAALGAGLVGVVLVVVAPFAQPAIPSAGAGAVGLAATVGSLVVGCWWLTVAVALVTSRKEFAGGLLSGASPVWFGLAVLDIAFLSNPIDANRFELVRPLTAAPLHPGAGAFLVLAGHVLLGCSGLLGSLMLRSSDDGAADVGSGLVAAQQAGPVWWSSAVVVAAVAVGALFFAPWTSGDPVIVVKPIFAAAILAVSGTVVVALALVVVTAIAFASGSVPAAAGAIVGSAVALLSLVAPRLAAASDTALQPTTALWVSVLAGAGLAGLGTVLPIMARRSREDRRQVPGWRVEQWSVQRWHAIAGAAAVTAAVLIALGSLLPVVHVANAAAQPFIPACRLTLVAAVVLAIAAVWLLLSEFALAVRPAAGVLTMAAVLSCGGPLQAGVVAGQVAGVGFGVGFVLISVGAVVAAVAGALVCFAGAAERDDVDRSVDVVSDRNVMMAGAAGAVLSVLALAFPLFGSDSGGDAAAFTVLPWGWDTWLQAGFAVVVVACAVVAAAARPARGTALLAGCAIGMGVYLIGWPLTSSRMPEPSVGLGAIFAIAAVVAFVIAAVFSERRSAGSVTTQARVRRST
MKKFKANLVYRVREILFKKQTDRQAGKPEQLMVSLHNSKEEDTANNEDDDSEKADKDNNEDNNDNGGSSEETNDYDSKKSCKDGSEDKKMVCVLEMKTCKISCVFTGWVEQRGQEKKLGQKLEQKLAEITRNRKKEEEEENQKEKKEEEEEKKKKKKKKKKKKKKKKKKKKKNLAEN
MSPLLLAAALGLIVPAHGGVDGSFTRARSSVGPASAPCAADPTPPAYYAFPLVGTGNVPGTGRASGTGEVVFPSTPFGVAVGPDGSYRLEVWLSFENLPEPRSGRWVAWLTTPTLDRVERIGALEDGAREIRGRVGWNKFLVVVTLEPEGHERDGWSGPIVLRGMSRSGAMHTMAGHGPFQQENCARYGY
MWDKIREWYSQVGATWSICLLVILQVLSIVAYAYDGSVFRWFSARIMANFLVILGALVLGFLPTVRPDRATTTVAYAVSAGMLVVYWGLNLVYMILFGWLKLLLWVSMGLTTITIALVAQATADWYSDEGSTPVSERSAESAPLV
MMVHDRVTPTLQKIQKTLPNLVKKTWTITLKAKDKVTDVVKRIIGFITSPLTLLGAGAGATAAIAFPLQLAGEMEQAQIAMEYFAGSAEKGQKFLERLQAFAAKTPFEFPDVREAAVGLLPLYKNMYGVDKAMDETIRTIQAFGNAAGMTGAGIQGMNLALLGFRQIGTMGKLGMEELRQVTENLLIPMDIILKELGLTGDALEDLGKRGIPAQKAMNAIVRALEKNFAGGMEKMSRSLLGLISTVKDTARLTVTAFGAGMAGSVKRIFLDLVGITDYIGDKYKAFQKKLEDAGRRVGEYFERVYRKAKEYLGQLMSDPEFQSANVWQKLSMIIEDLTPVIAKNAFELGTKLVKGVAAGFWEAMNADIKTSAILSLLAGLTVPGPLRFKIWIEVGLLGTQLAKYALGWLVNEGGKYVGEKLPEASGHYTAKQIQQEQAAWQKFEQSKGKTSIQQPLFKNTAIKQRQPTLWERVVRFFRGYAYGGIVNRPHFGVIAEAGPEAIIPLSGRMRNRGVDLWYRVGFMLGTLPASIRMSGFDRPVPVAVPVTAGVGGYGTATTITTGPISVTLSVTVSGGQDVLQVIRANYKVIANEIADVIAGALPSAFQNMTK
MIKRILGNLYFLTFLNGFLLASLFYFKMEGNYEKELFSAIRSNIDREVNFDDTDDSIVVKVMHACHSLLITRAIVFSSQSLDGFKVDYLQPTSIDLMTARGACGSYAIVLARSLQDYKFPVRIAQMKVHGVYAAHNIVEAKTRNGWVVLDPLFNIYFLKPGDKGLADFEDVHNHWDFYKTQLPANYDMNFNYEGVRYSNWTKVPIIMPAAKKMLDMVLGKEKADRISVRTFFLRTYDVYFFLTFILFIPIFFFTLSRLIKTKVFPKPEIPMTITNIFKYSKAKIGHASLENDVNPTQRQSLS
RSEIWSKPADPISGKLRYSGGSSSRQMSLKSPLEEEQVSRTTMMANEEAMQKISALENELATLRAQIAKIVILQEQQNMTAVGSSPLPSAAVPVAPPPPPPPPPPPPPPPLPPPALQRNTSAIELIKERKNKRTNLGQNVTENGPKKSEIPNMLEILKDMNSVKLRSVKRSLEGTKCKAADPTDPAALIAEALKKKFAYRYRSDSQSDTEKVIPKPETKTKTEVVLFGPHMLKSTGKMKTLIEKS
MTRTPITALTLLLSLLPRPGASQTLSLDGAWQTHDANPPFSTPETLRQQGEAWRTLRVPANWYSQGVDHQGALWYQREFTLPPLAPDRMATLIFNGVDYRADVWLNQRYLGAHQGYFQRFALDAAPALRRHNRLLVRVDSPFETPGRVWPLHKQSIKGILTQHDTRPGGAWSAQGQDANSGGIWQPVSLKISRRAAIDNLLLTPTFSADGQQASLALRLDYRAQETLPATLTLQMTPYNFVGRTYRLTLPLTLRRDGEVEAQLPRRGSSAGGPTAMAIPRCTTSASRCAMRRA
MPQLPDFIMAELPKVVEGIERSRYFGISGTTIMLYDLMLTFSTEVNHVWVNERRIAMPSLLFMLNRYLPIPILVLNIYASNPLRQNPLTDRLQRGCSDRSCWMGLQPNERNMAAFITRN
MLQRHPLYVYVSFFGLCLVVIIFWSRRRSAAEKYVASLPLVSVDGVDPKQAWATNARVMIEKGLREHSGPFRIMTSTGIKIVVRHQLTEEFTRSKSISGVETLRIDGFADYPGFEAAQVAISSPLLRSMLVHRLSPSLDIIRNDLRDEIEVATQEILGDSPNWASKKVSTDFSALAARLISRVLVGTPLCYNQQWVDVTNDYTLLSFTAASELRRTHWMLRPILHWFMDSCRSLRRTANKARSQIASELKRRETMENGPVEKPDASSCRSDGLHWLSQEIQESNSKADVAAAVLHLSLIGVQTTTFALSQVRHFEISVSEIVTDRILRQCVFFASIRNGLHSSLYEMRQIDSFLNESQRLSMGYLSMNSVAIHDVTFSDGTKIAKGACCVLESKLLDDKTYPSPNEFDPNRFLQTYAKPQQPALPKPSFVATSVEHLGFGFGLRTCPGRFLAADIMKMLLAYLVLNYDWQLDPSEDHPMTMEIESMMILHPEARMKVRKTIA
MISYREKANCAAREVKQRRWVYSRLVAEGRMPQQSAEREIEVMQAIADDYSRWADEEELQARLPL
MINLYRCIRYPRHTLVSFQGTAEDLTALRNLLPFQIKESDSEKIPFGESGYFIYIKGVNREIYEHEILKYFHQAFCPPFIEVLCFKGVIGNRPIQENWSSERLNRFLSKIIRQYFETQGYLIEYANFGYKVYRKEYQNLTFGLQAFEGISYRIKIDKNFSILLQIDIVYKFHLDDQLITQKNLLRNFANRPDVIASVKNFVTRDTDELFKLARRFIKKLDEIYELTNICSFSPEPANAHSLGMQTWIWQHHFPIELEIGSGKIVPLASHISKENYGLYMTPIIPIALMLFYPSKEVSRLGSLSNWVNIINLIKNTLRSLLGANVDVPILLKEYPLPTKENQENDIVAESEEFLRRFGNITPLSLIISPPKVTKDTTDSELQVLSYFTGKLDKSLRKLKGYTVTLSWDSLAIKSQQQYIVENALIKGLMVLGATPWRILNIAHNNNESIDDICFIGVDVNSYKKPAPIVGGVILDAYGILKGQHIVKLSSPNGDSIDSDSFNLLIRKLIEHFHAATGRRPKHIIIHRDGLIGNETSKIINCFEEDYINYDILEIKKSGSPRIRQKGNIAGTPIRDITVGSEENATAHLVNTLVFREYLSVGKSILPAPESITICRVIGNTSIKILAAQVHALSRACYSSYRRTEKLPATIVYADALVSHASLKNEQKDFGQPINSRSRLNWL
MTTNLTKPISKRLLFAILNVTIGAEILLYFMRYSSMASTLYDAMMVSSLFIGLKLHQRLQPPTAQLKTKRQLTLQFTGAFLIFFLSSTVINMFSTYTFQDFNTDYDHYVQDYTEIQMAAGVGSGATADEAVWSLFEKIDTIGYDLYADTLAGLEEVWRLAYIILLLVIFKKVFPRRWASGRRDIFLMGALFLTSILFGIDHTLDSEQPWSVRIGAIVTFANMGLIFGLILLWTRNLWVTVLVHALYDITATLSWYYIDYAVELFALAILVVHVILFTLEKVNQSRLKHQLEVAEMGQAVESS
MQQKTQVDIIKKDMAMTIKQFLIPIISSVIFGLLYYLLPQINMAIWLFFIATFGIWFSILLVKIIQYNSFANSSNVIGFVIAGTFLFFVTIAYLSKNYSLLLDFRRVTFLPFNGLVYLLLFYLFFSFKINIYDVALVIAYGLTINYIFTDSAYNTFKRLDLLIAFWIFCFTAHLVIMLRRYPKSGARL
MTANIALPFELVEAILAALPKAIRRNQDSSTLCWPILTVVKPTPSILRAVIPRLERDVDDWLSPEINLDHVKKWHAFGGRFSEERLAVLALLSNRVDVLQWLIVDTKWLPPPPEYPNLLDPTYAWAVERGAYAAVTWLAKNAPDSTLRSLGRVTLDDDVLGGLIRNGGQEGIELVKAWVEQDHWIEEYIEDTMIAAAEVGRLDLFQAWARRCGDLEDELEVGGRDALGAAAQLGHIHVFEWWVQNRWDLPCELIQDACSAGRVDVLAWLMDHLGDGKLDCSDEALVLATKNGHREVLRWWKESGLEVDWQTEDLIQEAEEAGHVHVAAWLRAEFDGEESE
MGRTAEISVASNPSKAIDESTFESFCNDESQNTDTQTQMECTQLTQPGLTPDESSNLMHTPSSGKNTPLSPPQILPFGRLVPSMPSPILTAINLMPTQESYWLGRSQKCDVTAQYDRSERASYLSKKGMAALDWGKSMISNRHCRIFHQNNGSQIFVEDNSGNGTFINQQTKLQKGETRILHSGDVICLVNHETLRKRLSSDRIIQFVLQQFSFIVVRVQSKTRKSCVNPRAMNYNFGRNHRNSSIDETGASQLSPLPPSRKIETFYDLREILGDGTSGQVRRAIHRQSGKERAVKIISLRRNVNASMMEREVNLLQLIDHPYIVKLVEVFVERGVAMYLVMELVKGGDLFDCIVEKQRYTEVEARRAMRRLLNAVYYLHEEANIVHRDLKPENILCSSPIHVKLADFGLAKIIKADGLKTFCGTPAYFAPEVLQRRGTVAGRGRYGKPADMWSLGVILYILLTGKPPFDADMDEPSNCYEVDFESDALIWSEIPSAKDLVEKMLRQDPKQRYTVRQACEHTWINVDDGDTHCNPLNDPAVVSKQIGDKQESSKPSEKVCETVANEIIGVKNDKSLHEESTALVASHFQSNSEGKNSSKVKPDSVHNVLRKPERKIADLKISSESNNHEPCGDGEREGKGRNDDVTEFTSSDANAIADNEESSGVSFSDDVCLPPRSPLSKLNLNQRSNKFRDHVMKQTKELFESPNGNGERQSAVTPNLSSIKRQPLQEDLKAEKGDGNVEDPILSQFSSEVSSVESFSDNDSSTNDVSATNETQSEHSSKKRPLDDKETKNSTKASKRDKTCGSKQTTLNAWLVKKT
MTHTDILRASLLDILFERRNKQYGAYVLRKFYARRLSLALCSTLGLVVAAGLLASALRPAGAAGSAIEIHDSVL
TGRTQWETEKTCEKVKIGCATADNTVRRRLKTKSIKSKAASALAQNGPAIHVARSFIAAVKCREKFSAGYFLKLDIHDHFTPRKWRGGTPSHSIFHPICLGRGFTGHSMQTTEPSSTGLRPTLRFYRFIEHSPLGNPN
MARGIVKTAWSSGRLAGSGPPRLIFGRMYEDPGVEIRSLPKGRVIAIASAGDVAFGLAAAGHEVVAIDVNPAQVEYARERMTGGPPRLGQADRYLAQAARALPLLGLPRDRLRRFFDLDDPRIQVAEWRKLAGRRFRAALSLAFGPALRLAYRSELASALPRGFAGELVSRLERGFGIHPNRSNPLAPALFGLPTPPVRAQAVDLQQAELLEYMRAQSTGSFDGFAFSNVTDGAPAGFRDELLTAARRIARPGAIAVLRTLGGPRGAQDVERAASDRALIWGGIEAVSVG
MPASLPITVLQRCYAVVEPGRSADDQPADLRPVLREAFASEHREHGFDGSPDEDPPFATDPGTLLTTKIARTVIAGELHT
MRREKAEQENEEGEKKERKSSERMRRIPPLPSTKLEARVIRFRLCNRRAPAIDELDSIYSR
MFLSMEILAGDLAQWHASAHVRNAEGSRQIRYVTPAGALPLDDATALYAMRANELASCASRAKDGTNLLCVGIPDEEDLLACEALNVLILDAPESDFDRALKDCAEAIAWYADGFTNLADAVERGDEEAMRRAAALLRYCLHDEPTQDADPSALAEQLNLLLHRNITSWQLLEDTIADWGWDLLDEYLCVTSTIPDGAFRSMLATIQPEATDNTPAQVYLPQEEYLVVVVNLTHAKMGYREAASEIAVRLHALSKEARVGASNPFSELQDLYYYGQQAKSAVQMSIALSADEDVSIFHDRFLDFVALHLLETCPPTTLFPPGYSRLRNYEHGQARTSSLLHFLDSYIEHDFQMKPTVAAEYCSRTTAFDKLRKIKQITGMDLDDPNTRAALRLATHAIRLSKISPRQPE
MNDRLSALMLPGAAIVLPTASLAVHAQILAGADLWLGWMPAAVFAVLASGLLFVLEQARTRSQRDRVFNNLASYLPSQVAEEIAYSLPSSTIVAERRDFTLLSADLRNFSAFSEARSPEESAAVLHFFFQKAAGIIEAHGGRVHEYKGDSLLALWDSQDASVALQALNAAQKMAAEIDHISLSANTPYGLEPLALGIGIEQGAALIGSIGPAHRRTHTLLGDTVTIALRIQEMTAELAQPILLGERAARQLDAELLQSQGSYLLQGLTIPHVLFAPRPDESNLTSLTDSFGDQEQGADTQPRLRVLAGGRSA
GDGLLNRMVTWANVFYPENRTTGKIIQRGEIVLVPPPETESSRNTSDADIVRGIIGVWMSDTMRADSFHHALVVSPVQRPRSHVVVGWVVDAAVFSAALHFIMRFE
MAKEILEKIESVEKQTKLDLDNIQKSLSSEVEEFKVNLSSSFEAEIKKYKEELSIKLQNEKEDLITELKYKLENADKMAINLENKLLENKEEILSKLKDVLMKG
MFNMNPRVSDSPLDAPREFKAYCHQAAGRSLEQGDAPPPPNRAVKQKFRAVVNSSAQIGAEVLVCPDVGCGVFGNDPQVLGTLFGEVLREPLPEGALKEAEMTPVVLLTGQVAFAEAVKKAASGEKASPGAVAAPGDGTCHMDTLTVYHAFGWSGAILDVGSSSMMSCRFWWDSEPGPFWGPHAKVQPAEETKGEEWREKYEDEWRAGRTVRSDEEYLPCTYYPFSPEWQEKVRKTLLDLAHRGFA
MALKHLAAILLGLGMSCQAVQCLAQTQAQSLAQSLAQTQDRSQTLDLPSRFESLDASADGEISFAEFSDFTDALALSRTLAAQHFTRLSGGDAVITAQEWFLATQVKESRSWTRGYTLDPIDSELTDVEPADIRAYDNIPDEPVITPLTDNGEPPDEDEAG
MRASCSNSARGYTARLWSWLLVTINPPASASRNLAGNVSRPLSSSLGV
MFGTTATKLTAKTTTTKMKMAKVKVTATQLKQKQSMANLKQSMANLKQAAVHTQCSKFKGRPLCSKLRKLVTCLKQTPAMKLMLWHLT
MRRIVPACDLTGRPCVCARGSAPGSASRRTTASARDSPHQHNHDLHGCPWGVADPPKKVSETRPPRLYARSVPPPRARPEPASGRLASSRYRETAASAPSWVPRTRSRSVPGRGPPPRGTLTALRPARTIARMAPRSVSVPDPLRDIFSRAEETVAAYFAERRQEIESGTLEHSGSRYVLLRGPALSVELFDLMRDFYGEGRQREADAFSRHLLYDLAHAVGRSDARNFHARMRLGDPVERLSAGPVHFAFTGWAHVRIDARSVTEPGDAFCLIYDHDHSFEADAWLAAGRSVDEPVCIMNAGYSSGWCEASFDTPLVAAEVLCRARGDEACRFVMAPPARIEAQLARWAEGADAPPSGSVSVPDFLARKRLEEELRRKRDELEERVRERTAELEATYEALKQETQERAEAESRLMKSQRLEAIGRLAGGIAHDFNNLLTGIQGYAHLLDRELGATHPGAGHVKEIVLATERAASLTAQLLAFARRQVVEPRVLDLNGTVDSLSGLLHRIIGEEITLETELGAEVPPVRMDPGQLEQLLVNLIVNARDALPGGGHVKVATEALEVGLAEGEGELPPGRYARLVVTDDGHGMDAETRERAFEPFFTTRAGAERSGSGLGLATVHGVVRQAGGAVSLESAEGGGTRAVVSLPAADPAEDEPARPSRPPLEPAPGRGQLVLLVEDRESLRRLGREILVDAGYEVLVAGDAEEARVCFAAEQHELVAVVTDVVMPGTRGPELVEEMRRARPDLPAILVSGHVGDALEGAALPPRTAFVAKPYRPAALLRTLAALIRDAADER
MAAYRLHRQSKTAHPGKLIWTEDKFRALLESAPDAMIIVDASGNITLVNAQTEKLFGYKREELLGQPVEKLMPDRFRGNHLGHREQYAQTARLRMMGSGMELFGCRRDGTEFPVEISLSPFKSKDGILVFSAIRDITLQKQAQKELADGRDLLEARVRERTAELLESNQTLQAEIARRELAQRQRDDEQARSQRLEEQLLETEKMEAIGRLAGGIAHDFNNLLGVILANSELLLQNAALDESLSERIKEIKMAGEEAASVTRQLLALSRQQVSEPQVLDLNVALEDLQPLLKRIIRESIHFEMVLGQVGAIKIDRSQLAQIILNLVANARDAMTAGGRLKIETSNVELGDSFAKEHVDVRPGPYVQLTVTDSGSGMDRETVSRIFEPFFTTKEKGRGSGLGLATVYGIVRQGGGHIWVYSEPGLGTTFKIYLPRVIQASDSARAKTIEERKSAAAETILLVEDSKLLAKVTRDFLVDDGYKVLLASNPREALRVEESHHEPIHLLLTDVVMPDMNGRELAEQLLAKRPEMKVIYMSGYTNGILSEHAFRAEDSAFIEKPFSRDALSRKVRHTLNPGVRS
MSQPVFAAGTPESGYTYGILAFLLVCLVAWIYRERARAIRAERLLSATRRFALGDLTGGSGIRGPDELGVLADAVDQMAKHLRSVTGALRGRQEWFRMLLEHGSDVILTLDAQWQIGFAGPSLPRVLGWTPDQVARRPLAEFIHPNDAEGVQAALESAVTRPGFGEAIAFRFRHADGTWRSLEAVGNHPPEWPGPEHIIVTARDITARERLETRLRQTQKMEALGRFAGGVAHDFDHLLTAIQGYASLLLFDLGPIDPRREDLEEIRRASERAAALTRQLLALSRRQVSDWEPVDLNLLIQDLERIIPRLTGEDIVLVTAFDPGLGAVNADPGQLEQVIMHLVVNARDAMAQGGRLSIATANELVTEDDPRASPDLPPGRYITLTVGDSGTGIAPEIQANIFDPFFTTKEPGHGTGLGLSSVYGIVKQIGGHIEVESAPGRGTTFRIYLPELPGARVDNAAGSAQAAAPRGSETILLVEDEESVRAFAGKALENQGYTVLTARHGRDAQARLAEHAGPVHLVITDLAMPEMGGAELARRLAGERPEVLVLFMSGRADGDIAERGLGGSGVYLQKPFTADGLARKVREVLG
MAERLRILHLEDNPNDADLVKGLLETDGLACDLAVVENRADFAAALERGDFDLILSDFSLPSYDGRSALLLARRRCPDVPYIFFSGTIGEAAAIESLKAGATDYVLKQWPARLVPAIRRALSEARESEERKRAEEKLRQVQEQFTGIFNSSKDAIGYATLDGLFLEVNAAFEKLTGHSKEELLVKTHQALTPMEYRRTEADIVNTVVRTGEPAEYEKEYIRKDGTRVPIEVTVFAVNGSDGTPAGLATIVKDITQRKIAERRRTAQYAVTSVLAESPTLAEAVPNILRPICESLGWELGALWFVDEAANVLRFVELWRAPQTSVPTFEAHTRQMVFAPGEGMPGRVWTTAQPLWIPDVIADSNFPRAPFAKQEGLHAACGFPIVSGDKVIGVLEFFSREIREPDRDLLQMLHAIVTQIGQFGERTLLAAQLRQAQKMEAIGLLAGGIAHDFNNLLTVINGYSDLTLESLAPDDRNRGSIDQIKKAGKRAAALTSQLLAFSRKQILQLKVVELSQLVRNIEPMLRRLISEDIQLTTTFAADLGTVKVDSGQIDQVLMNLVVNARDAMPKGGRISIQTANIELEEGAVRKQISVPAGSYVTLAVSDTGTGMTPEVQARIFEPFFTTKQPGKGTGLGLPMVFGIVKQSGGHVLVSSEPGRGTTFTLYFPLARDSVERDERARTQVEALGGSETLLVVEDDDSVRTFTCQVLRGQGYRVLEAKDGHHALLLCEQHKDPIHLVVTDVVMPDMSGREVADRLTPCRPETKVLYLSGYTDDTIVHHGVLDPAIAFLHKPFTPDVLLRKVREVLATPHPKS
MSHLGRPDGRKNMKYTLAPVADELKKLLNKEVKFLEDCVGDQVESAVADPAPGSVFLLENLRFHVEEEGKCVNESGEKIKASPESIEKFRASLTKLGDIYVNDAFGTAHRAHRFISSSKLLSLNDRCTIPTNTWFSVRWLV
MQTALFVTISIIVFLECSNADELNPKLLKRAKRMFQQKERQYAKEKGISRELKSFIDSHRNQEASDRVKRGELEKLDKSHMYIIKDGEVMPLTDVNIPEISIITNPTVASDLGVTGGPGGSTKCYKKGEENNSTGRLAEDFTVLPLVVPLGADIYRNGGGGGGNSHDDMIIDRIMDDVENLEKIKHSKSWKHGIDGASCNVSGAWISTAGGMELTIPQIDQNMTGRVELKRTVSPIMTSGFLSEGDWSMICQTPFQHSSMVLLVATRKEGKKIATFIGECRVCGTSEVISGDWMIGRSSTDCRDQKASHAMLSDVFRKDNVDMLHDHHLSELIRPTHIPDNL
MVFALGRETNPKKFAATAAAFINMITMLGGVIFQPLCGFLLDWHSGSTAASTHFNSTDFKFALSVLPLCFVVSCMFTFMLKETNCKTVEIQKIPVNNSASLKSA
MEFNYNDLKPYSDNFSTWFGLNTKERIGNGEKPLTSVIAKRKFSEMYGHKALTQPKFGYSKTLEKSNNKTKE
MTLLSVHVRSGSITATVAGGGAAVFDLTRQTPADGRLEQSPEDVWRALLAVTRSVLDHSPAPTRVAVVSDPTLVVWDQETLGAARPAVLSEDERGAATGRTSGPGAVLAWLAVNEPHTWALVHAGRYAVGTVESYVVARMTRGTWHVTDPGHARRLGLTDPATGAWDAPRCAALDVPLEALPEILATGEGAGVTDPACFHGLSLPVTFASP
MFSESLARSTGHLKIYNEGITHNGTFHADDVLSTCLLILINPKFSYKRLNEVPASFSGIVYDIGGGKYDHHMEVKKRNNGKLYAAFGLIWKDYGSCFMEQENAELFDDVFVSEIDKCDTSSNTNLLSSSIEYFNPKWNSKIKSDVAFDHAVQTFLPILKGLIEHFKNSTFIPRFCKTINPDIMIALENINEKQYYNKNRIHYDKVSNAWNLYYKNIFMNDDNRMFENTFISQVNKTYGKYKTSPFILGISLIPRRKRIDILEEIILERIQSINSLLPAREECERIYKSSNRKDLLIFDRYLPYTSLSTDHEDVKGVIYPSERGGYSVLSIDMNEEEKQRIGLDLKAGYKRMYFPEEIRGRDKALLNKFSNGLIFVHPSGYIASCNELQDAINFFNKII
MEDPYDRPRAVPRALVAVAIGWGATLVHLVTFVIVLFAVVRAQPGDDGTGAAVVAVGNMLVLAAALVMLPIGAFRVRKGLGDGRVMVSAAGVAPMPGFLCCTSFVGFAGLTYQNVEDPDALRDSLAVVSGLCSGLACVALIAAIVYLWQPAVTRWFRANLTLVSGPRPSAPGQW
MKKTNTKGRMSRLFGQLFKRRHKDHIPVYLSRFETIDKLIAGGLLAIDLESRYVCIDASLHIQYMDSLKEYTAFFNNLRAYMNFHYGLKQKPMIEPEHRIDFGVMLRQTVMYDQNTGEFYDPPQIKYRTLIVGANQYDKVEYTTYTEPTSNHNNTDDN
MKANYGTIGILLIWRTCGLFDCKVLFSKARQKMFPDFVFTDDSSYLKKPLDLYIQRFPLPVHLVHLKERSGLIRSRLEGSKISKGKVLLFLDAHVEVTEGWLEPLLYRVSTDRKRVVAPIIDVISDETFEYVTASDTTWGGFNWHLNFRWYPVPKREMERRNHDRSAPIR
MIEKKTGYKFIIEDRWKDVPVSISIKNKPLHEVLRRILNKFNSAVIYSPDGKLRIFIYDISASNETTSDNAIPEPASNMPASQLPLPSNDQEQQAASEITEQKDSRQPDNETAKQPARKIPKPKEPATEDDKEKSEEEESSDKKPEKTDQ
MAGPGQVRFPGEDKRRKLRMKGIKKASDSIQKRLRKNLDALLDDPSSILPTRHPKGVFPRRDPLKKTQKEVQRVTDKRNNLKWLQRRMRKRRGDVPARALAGTLAAAHEETFDTVSIFQNPAYGRHSFVRRGMAAPTDLASMQNFHDIPLKLLLWRDHAKAGIWFFGSRAEIVCTGTKPLIPDGWIDEGLKQTPINLQKDGRVWFTSGLTPKDVEERKQTKDGWIRFEFNDGTLLGISSASLQSIEESLIGGISLRMLPPKISAVAEIEWIWAPEGWGDDREISKEMEEAGQEVLTAWTDLTLKDKDVVERLKGAVTNSIDEGFVLGTMWFKEFEEGISAIEGTDLEKEAIQCALSNIKAGLHVRRDGVALDSPDDVIRLEMRAGHDILISLWDDWGHTILGEMFGIEGEKADEIHAKQMKRKQGFNAFLKGLSKDRQATSKLEALPWNNTVLPSPLDLLHDLVRKGHTDGLGRTISMVRKRKVINDAAMGWAWLVAHDRDGSEAWRFEQVARDKGGDWARAVSDLWQVSEILIAGNGKKSDYIDAMGKVAAAASLQTDLPDDE
MAEFNSNQDLDNPLLCQNDDDIDSCPICSCAALINRMVYEYNKPHNRIENIILAGFPGKCIYNYSGFLIALLAILCFVPFIGIISIILLSLLLLDLKKKYLENRKIVKKPWKNMLVIDNRPMTFFFATFTTRNLNQLYDKIPYGIPESKVDELREKIEKVLLERPIITVCSSTAKAAYKNFNSPCGAITFLTIISFITTGIAITIIYFTTKTRF
MGVRSSDEGAVRLTVEQLATLLDLALQRDEATFKGLARSLGVGARAADQLWTRTMARVAPASRRPRPKGRRP
MSLRLLSFLLIVNFSTLLAGVALAAEPSSTQLRGPKSSDTSLMQRQLGPLSSTDTLWRIAEQVKPEGATVSTYQVMYAIYLKNPTAFIDNNLNHLRPGATLLLPDLREIRGIDINVARAKSDSDDRAWAARTKPASSASAESGNTKTAPKVAPVTPAQLQQLTELKDQFGNSLLMIEAIARENNALKATLSQVQTDLLALQQQLGEDSILQQQLTTLLQQQADILAAQQAQEQQAAAAAALLAAEQESGSGMFNNPITWILAASIPALLILFGLVLWLKRRGQQTEAAVLAANSDAVPPANYTSPLPPLDESNDLDDSGLFDIDESLLDDAFSDNGDFASNNMLDDSLSDFGDDDLLADDILLDDDSLLAKNAAATSLEDDLLADALDVPDLSDNAGQAEFDANNILSDSDLNALLAAEDDDDTIIEFGEDQADEDTVVEEAFTVDLDDGSDELLEEIELDIPDELSDNGTVVATEQATAHDISEDEHFLVETADDSDILSNDVVSETLTDSQISGLVDDESFDRTELDAFAEALALENGEQNTALKSVDSETDTDELASELLTAELDELLQQVDNETVDNGDVDDEIVDDSGDVANSDGPDLQSSEDDSVTKPSEAALSVENPSKMLESYPELELNDDNINDEDQNLATLEDSQFDSLLTELEAMAQTTASDSEALSLDVDSVFTPALVDTEIATLSDDDFVEIDNLLANSEQLQEDDGRFEQLNVDVGLDEFADVISNDNPQDVDAEDNGFAAKLDLVRAYIEIDDKDSAEHIIADILDSDAPEHVKTEAQTLQKTLD
MPTESKLRRVRVELRTLFALALPMMVAQLANTAMGFVDTLMAGRVSPEDLAAVALGNSIWVPVFLLVTGVILATTPNVAQHFGRDQHEQIGPLVRQALWMGLGVGSLFAVLMWNAEPVLWLMQVEPALIE
MDKTSLKGSFNYETAELKNRFQKKIKAEGKTVTKALNELIYHYLEANPLGSDDKPLKTAIMKLKLLYSTDNPNTKAIKREVERLCQLVQL
MSFNTPNESSVEAAVPESYLRVDVDMKFMHLLPARKVFMYALINICPHFHSVSVQIANKFMLAI
MAVGGGGGGGWWGGGGAGGGGGGGGGGGGLGSPPPRNRIMLVSLRFAGFEVVEHGDRMLLAY
MGRGAAQLRGRGCAPGDCREPGGAGLPESEYKRPRLLAGPQGCAPREQGPELSVDRRSLAQLQPLEPG
MPDITLCTNFMCLKRHDCLRFRAKAAEHQSYQTFYPEDDGTCESFLSIPEGANLALETTS
MMMATCRPKKCLIFDETHQIDYSEMDVSSTSALDISMASEPAADPADSRFLPDTGPSTSTTGSSASIRGQFRGWKERKWLVNESKLMELFQKCTFCGAAMCDVNQTVKTSGSRIRVTWQCNSGHTGDWESCPTVRGMAENNLLAAAAAAAAAATLFTDATYTDIADWAGLLNLQLPQKSTYYSIQSSYLIPVIAENYKQQEDTIRARLICQTLDGEEVQICGDGRSDSPGHSCKYTTYSFMDDSTNQIV
MKGYMNIVPLTQVVINKSPVEMKALLLQTAESAPTWDMFRKRAEDIAWIAFPDKSLNRVESSSKRNSLVAEIYGVNGTTSILITPRIASSFSVLSLYTELTSWRSLRMEVKKNKMCFGGYG
MESGSKMAQVVKVIGRTGSRGQVTQVRVKFLDDTNRVIMRNVKGPVRVDDVLCLLETEREAR
MQKRLDKFIKGFDDDSIDHVYERMCTGRKIFVNPIVPTSQMRIEKWMEKHKGGENTFGEATEFKTLRGEYVRSKSEKILADYFFTNQIPYQYEPRFELDDYRSKYPDFVLYNVRKRKTIYWEHLGKVDDASYVIRNMSKLMDYEKNGLILGDNLIVTMETLERPLDIRIVEEKVRLFLV
MIEDISSLLIDQLEEIQNLLKKSNRNMIKYKDVPKKHIYISKCNGTYQYYEAINGERKYIAKKDVKNYTKYLQRDYEEKVNRLLLKLEKSIKSFAKNYDVKEINNIYENYNEERKNVIVPLAETDGLFISRWRSEMLGNQNKFYEKGNFKTRRGEFVRSKSEMIIADYFNEYGLDYVYEPELKLSEDNVIYPDFAILNVRKRKTIYWEHLGMIDDGEYAIKNFNKLREYERAGYTIGNNIVFSMESMERPLDIEEIEKKIKEYCV
MQANYGCGAKQDKEQQAARKASKRVMLAFDATGGDVFDRQRPAKQCVRFR
MGGEKMTSRLREAFVLRNHCDPGRIGKVVGAGVVAIVRPASSDVRHAVNLEITSTVPTR
MLSPALQHVVLHQLRQTVFPEGYFTQVPPAEWLHLLHLVDPLLHLLPGPIPQVELAQGRQLLLLLLNQYLDAD
MKSSLIALASAAALAAIPAPAAAQHWGGHMGGGHAWSGRGGYHGYGGYRGYGRRHGYRGYPGHYHYGYGWGWPLAGFGLGLALGGAWSYPYYGYYDYSAYPAYPAYASPPLAAQSEPYACGSWIWHPESYTYAWAPCAPGGPNVVVPPADVAPAPHEIVPKVVPAPN
MERVPALGRVRPKLPHALFHRPDAFSVCAGVPAPTVPLVGGTLQRPGCGGVVPTQSGGLGAGPCAASEGSEEAEDPGRSTSSPAPCLPSRADGVVVHPEPPPQAALPETQPKFIGPFEIIRRVRESPSAGVEVSTDQHVSLDLEAADLRVELGEDLIMIDPQMTDPQMIDPQMIDPQMTDPQMTDPQMIDPQMTDPQMIDPQMTDPQMTDPQMTDPQMIDPQMTDPQMTDPQMIDPQMTDPQMTDPQMTDPQMIDPQMTDPQMTDPQMTDPQMLDPQSTVRKRSPRGSNHNTNQQLSGVRELNSAPVIGCRTLLINRKETATKHDSFHNAKPTPIICRREAFTTRVHTNQLLP
MFVHVWNINDLQWRWETWKPLQSEAGSRNSLIATLLSSWRQCKRRVHQGPSGGEHRNSLLWANRSPLNSLANRSPKPPDITETL
MLLSNASAASRRSVIVELEGGVCYAYLTHPNGSRPAFEVLVASSVILTDIREAKRLAKAGCPPPPTRDYTTAFTGNLPRSARDISFIWSDAGDAIIILVRGAKQAAIAEGRVYSRAIGRVGPYGYPWDEEAVRQAFQHVCA
MSPAEAEVAFDRSDLPFRNYTRIAVVQNPFRRMAQLYDRIAETDPFWRLRRKAGWPVPEFGTWLRSTKPNGKGAGLPYGPRWRRFGAWSADAWADGRVTHFVRAENADADLRHVFRQMGIVPLFSASESDGRPHRFHEMLRYDAATIDLIRQRYGADLQLYHGTSPNLRLVA
MEERPEWVRCIGYGVKVRIDGKKTWCGKINIGFGVLLFIDINHAALQGERGGKLVAFRECVAVIIKSLQNGHDNPEYVS
MKKVALFFLWFTLTNVTLVFSIVYLYIFVNFNKEKPLEAQAKEVLTQNPYQLYTAQPGNKIVTKSLLNTEDARPILVKNFLDKYKSPLSPYSDHIVKVADKVGIDYAFIPAMSMQESGGCKIIPDNSYNCWGYGIYGDKIYRFSSFEEGIEKVSQKVKEFMDQGLTNPDLLMSRWTPSSPGTWSYAVNKFMIELKRDE
MIRKTALFFILFVVTVFINFFLVIKAYAVFDRQIRIDKILSDISDSKNNPRVQFNQAKAPINVLGLNTEAILKDGRVANLKAFFRKYNSPLYDYAEKIVEEADRHQFDYRLLPAIAMQESNLCRVIPEDSHNCWGWGIYGDTVTRFENYDEAIITISKGIKENYINHGLVTASAIMKKYTPSSPGTWQKGVNWSLGLIE
TSSISHILSLSVCGVLQGEPEMFVFVWPLPCSEEGDILCSTSQGPCSKEGDILCSTSQGPCSEEGDILCSTSQGPCSKEGDILCSTSQGPCSKEGDILCSTSQGPCSEEGDILCSTSQGPCSKEGDILCSTSQGPCSEEGDILCSTSQGPCSKEGDILCSTSQGPCSEEGDILCSTSQGPCSEEGDILCSTSQGPCSKEGDILCSTSQGPCSKEGDILCSTSQGPCSKEGDILCSTSQGPCSEEGDILCSTSQGPCSEEGDILCSTSQGPCSEEGDILCSTSQGPCSKEGDILCSTSQGPCSKEGDILCSTSQGPCSEEGDILCSTSQGPCSKEGGILCSTSQGPCSKEGDILCSTSQGPCSEEGDILCSTSQGPCSKEGDILCSTSQGPCSKEGDILCSTSQGPCSKEGDILCSTSQGPCSEGDILCSTSQGPCSKEGDILCSTSQGPCSKEGDILCSTSQGPCSKEGDILCSTSQGPCSEEGDILCSTSQGPCSEEGDILCSTSQGPCSVSNTSN
MNVGVIIVGIGQWEEYTKPLVLSIKEHEPNATQIIVNNGCRYTSSDIKNSLNVFTCNVWKGLDTIKPVSYAEAINSGIKRAVNVFSYKTPDWIIITNNDVICNAPFIEYLETLDTNSLYGNKIHTSHKVFKSPTPWIDGWIYAIPYNILTHVGLWDKKFKIAGFEDADYCIRAYYKGFTTKKSNLPFTHLEEHIRKSFEDYAKYKRENIEYLIEKHGLERVK
GGSPRSRSSSRPTTWFLIARVLWGLGSAAVFATAYTIAADISDGGSRGTNMGVVRGGITMGFPAGLVLGGVVSALAGNIAAFVVAAAFALTASVVAYRFVPETHVTGDRSGDSIKPWDVDTAVPAVTVGLVNFGLMFAYIGALFSTLVLFLGENEISLFGLAPQGTSGLFMAGTVLSAALFMFVGGRISDARDSRTPILLTFLVVSFVGFLLLARAGSVVSLGLACVFIGAGQGGTSGPMMALLADLTPDDRMGRASGTNNVLGDVGGGLGPMVSLPLIEAVGFAPIYAACAVLPLAAGAVLLVGVRRETGTFLPGHAVGEPERGERSTSPDS
MSGKNDNTEISRRRSDRILLLLGIILFLNGLGSGVAFPIIPLLGSVIGVSPFFISMIISANRISRVIFNTAIGELVDRYGCRGPLIWGLLIKALSVAGFIFSIWSPVIPGHLFFISRFLYGIGSAMGFITTYAFMFHLTDRSNRGSRTAYIRTAGLFGLPSGLFIGGLLSDYFGYSAAFAFSAGSLFLFTLLAYSLIPGDIDSTPETEVLGPVRAVRLALTDSRVLRISSANFLEWFAVQGVFLSTAALFVERIDLSLGGLGAEGMSGLLMGVMMFTKGLSTLILGRFIDGASTRSFFSLVGASMGVLAFLSWALFRSLAAVTLGLLLLGTCSGITSSPLLTLLGDVSRPELRGKSLGIYRVFGDAGGMLGPIFGVNAAEGMGFTPTYLILALVMTAIMAIILPLYRRERRDLLTNPQNRS
MDVPVAANVLGTLGAVCWSVQLIPQIIINYRRHNATGLQPSMMMFWAWAGVPLGVYNITSAFNIALRVQPQILSCLSLITWIQVYYYEKKWSVYRSLLVVVPIACCMAGIELGLIFALRHGKEKGIDWPQTLMAVLAAVFLACGVLAHYWDIYTHKTVRNISFTFCFIDAMGDLTSLISVFFQPRLDVLGIVIYGVELVLWLGIFACGGYYNLRPWLSEKRSSATRHGSENTREGTGEGVPLHDMPSSTSAFSTSSANMEASGLRDRHTATSSQA
MIRSAGGGGCRLDLLLERPAKSRATRRWCCLRHPERHHGTSACLPQGINDRLMSLRLPLRGDQFATIISAYAPPMTSSDGAKDKCYEDLHALLVTVPKADKLIVLNDFKARVGTDRTSWRGVLGPHGPSGFNDNGPLLLRTCAEHHLILTKQLLPPPNAAKCDLR
MMNHKLYVIAQCLLSLQGYAQDGKIETDQPDQSIGAGAVDKRCLQVESSLSTADLKYDVSRSITVFGEYFAQFQVHEHPLHNVDAGILYALSRHIQLHVAAGSSIFSPWATILSIRA
MLYDIYLLQHLASDQHKQISGCKRIPLNPSVMMERFLHDVHLYHPQNYQDTRPTYDDIPDISISPRLPEVCSDAPLLVQNHKLVPTKSHPEHTQSISDSSNCYPSTSKYNSRNIAVWHPYNEKLKIEHCSTDEQLRKQNVTSDCILTVVQKALTTGVDTVPQSLLCTNNYPCCSKNANNIPCSQTVHSALNQGTKKKNILETSVCEIIDKCPHFDVTTKTMRCLHAKPLASQGSCLNLTSGIQCGKLSGMESQGNLDHILRDHVVDRHLKHTKCSKESETNNPTHSKGLYLSQGERSTVDEIIEEVIWKYCYRNSESSVGKEEDTISSLNINSVLGCTEDSSLSFDWDVQIKSEEDLSKTDLKNVDVLKDKIVNIDEEYKSKLKSVLGPYPVKEPEGIKAEIDEEVLPALPHVPPSFVGKTWSQIKYEDDLKIEALVQQFRKGKFHCYFESGSSSRKCKRKFESEENEMKILLETNNWKLPKDDTTPPTLNDFSYADNDSDIPSVKSEKVVKTEIKMPCRRIWRLASRCQIVKVSHGTQTSLVNYPVVRKKVNKNELGQHSDHVIFNDLEGEMTPDMQTRMCALKLPESYTKIFTPLQPKTMVYVLSHPDIKCFTGKPAYILRSGRNQYSTDSRDSANYKYKQSPLKYYDPLTNRILKTPPRNCVRGHSIKGPYVRKLFKSLSSESNVDKFDYGLKETTSSKKSFSSCSVASLCFETAKVKDLNSSLTGSGSSIDTEYFDCLKSEHPDKPYAQFAPCKASHTKVKRDIPFVPSITRTIRKTLGPNKNEIKQKIVHSTANIKQVAETRCHTKSKHKQCGMIKNVGKIAQKKLPKIRKQPLRKASLRFLKYDVPSVQAVRPRQKNVTGQKKELPRRHFNSNTGVKSKCISRKPCKRESDICKPVLKNAGQSKAVKKPYEEGKDKKCSRTRNKTLRIVQETLPHIVNKPRLRTQPSRTRGESESTTRRRGRK
MTVDDIKLAATVLIGEDKERITIPNKEIVGEVIVNSNDNRIVETRIVIGFSEDSEKAIDTLRAVIKGFDGVVAEPKPLVGIHDFTYGGVVLGLRFWVPGLQYFQTRYSVNEALLKGLRDAGISLLPTSQTALSMPSLSADLEI
MREWTRAADGKKISAEFAGMKDETTVKIKMANGQIFEVPMASLSPDDQVFLKNQKTAMAGGEKPGGGPAPEGTPKGGGTAALPEGEVTVTLS
MNAYVFLNQEQKHAYGEERFGACGWWWYCRDAGGAGSGEFRVLCLSCGEIKFDRRDDVAARQDLSHQ
MSHTGCSSEGMEAFVLRVIGDSMSPAFEDGHIIVVDPGYPLISNSYAVLVNKSEVLFGLYMRDEGGSRLEYLKPGETPIMLEDNFHLKGVVTQRNGRRRKDTVYFEYPLTSGETPPNKKDSLYR
MPRAPFSGLSWAKPSAWAPWHYDLKELRRCHGNWIDDYTTPEPGRFHDGLKAGQNAQAGFILELMLRSLIERKGYDEADFCQRLDNDLFPLLDGSPR
MNLTELSHRLNDKYQVSITLSILSELDSLAAVAQYLYDHSQAGESIVLRNSEANFSLAELAYTLQTGRQAMEERLAMVVDSLDEVRDKLTQYLQGQTEIDDFYRGNVKSLLAQSELLIEGEEGRDFVKSIIKNRKLTKLAQLWVSGVDIDWQLLYPNQKPQRISLPTYPFARERYWMPANDINRLSNSGGHVAKLHPLLESNTSTFHSQNFTTKLTGEEFYLTDHVVGSQKTLPGVAYLEMARAAGELAGTQPVKRLTNIVWARPIIVSDTPIPVNISLYPDQQQVEFEVSSINDNGERQVHAQGKLMYGNQVIKDSETIDIKTIQNRCIETWDRAKCYQFFQSTGFDYGPGFQTIQTLYRNDTETLSRLQLPTGLKGDFNDFVLHPSIMDGGFANGDRLDGRCHFGYPLSSLLPWGR
MTNVYPSPSHSFIRREILGLEKIGWRVHRFAHRRNVIAPVDPADNAELRLTCVLLDLPFGTFAIAALVWLLKRPIRTTTTFLLAMRLAWRGDRRFLAHAAYFAMACTLSKRLLDLGCSHVHAHFGTNPAEVACLAQRLGGMTYSITFHGPHEFDPGLRLNLHDKIAKAAFIATVSKAGFGRMQEQFPEFSAKFRLVPCGLDAAWFDLPLSDVEQSRDLICVARLDPQKDPLLVLAAACTLVERGVDFHLKIAGDGMLRAELVAQIAAGGLGSHVTLLGWQSQSQVIALLRSARALVLSSHDEGLPVAIMEAFALGVPAIAPDVGGVSELIESGASGWLVPRGDVLALADAMQECLGTESVELRRMGGEARHRVRLHDVRVSVGLLDREFQMQMLSMGTSRVRLEK
GRNAAAVAAIIHRLGGPGFSMTVHGPDEFDDPRGFELGARVAETRFTVAISSFTAAQLRRWADLRDWPKIHVVHCSVDADFFESPIPIETACRTFVCVGRLCAQKGQLLLLEALAELVHAGVDCRLVLAGDGEMRAEVERRAAELGLGTHLEITGWIPEAEVRSRLRSARVMVLPSFAEGLPVVIMESLALGRPVISTFIAGIPELVDDTDGWIVPAGDVQALAGAMRQALDASVAELTEKGRLGRAKVRRDHFVETEVARLETLFKAAIPGEAGSVAAPGARD
MTSRSNWFNEHPKFQQILSNGFDKYNPSSSRTAMLVYLELCERKHWFEVEIHPCDSLKRVFITGKPRKKAKKEAVVPVCVETEFSVDDLRSIVSEISTCCKELDLDLSGNPGVILGICESDSSIVYYKVYQGLEQPGQLMLGELEQEEK
MEKAYITQLAHSALKAQKRTLVTDVFVRQGFTIQNTDFDDVTFASKGVSATVAFDRHSNATQISVLDSHADSLSAKPLTFY
RPLSFVESTREKKKMRRAARWRSLVSCVILSATGFVVKRAEFRAEGSFAPRYVVGDRILNEISACEFLDSSLSSLVLVADDGELFEATLTVGKSDVSMDVRSGVSLSHPDMQSVDAEGATVTKDGKLYVSSEKNKQDEGATSKLSVFEVDVATGQATGATSKYEIPTTVSGGVTDDEGFKSLTATNAVPDDEEEYMLTTTEYELSGDPPGTHNVLAWNIESGGGPTHEFMYEATVIETLDRPYGVVEFASLDSTILVLERYYTEHPKHPTVILFEVELVVDNDEHLTHKNQIFEWGNYTFDPTVKGKTREDKEGGNFEALCLLPGTSTLLLVSDNDTGDDQDDSDRPQTKFVLIDLFLEGSSLRPYGSSKGSSSSSSKGVPFVAWLLPLLAVLVLLSLCVFCCRRRRSSKEMHFEDNPLPLSLELKYRDDPDEVEDTTEHNALASAEDESTFI
MANIAPDGINLTHTFIVQSSGGLNLLRTTKYIKLASATLLLLEMISTFPDEVSVNFPLRIPSTRSYNRTRVDLVWPNKFGFAKATFLFNKYSPFLDEIVDFMNIFNFTGNVQLCVKRYNVLSWFYFTGVLQSEIILLSRTVAFWGWNRPCAYMPSTNPTFTYNFPRNM
MPLFVEAAARLHKDRGRDLVVRGALTGPFSLACALAGTEEVLVATVEDPGFVRELLAFSARVAVDFGTAFLEKGVEPILFDSKASPAAASPRVFRQFVLPAYRDVVIPALREAGARTLPLIVGGDTTSILEDLLQTGAGQLLCDAGSDLALFLKRCREERRALRASVDARLVHRGRPEEIRDDAGRILRAAAGEAGFLFGCGVVAYDCDPRNVLALREARDELSGT
MSESEDPTPEPFEEFLRQFLGDAAGDEAARAMRAQGFDPSQLGSLGSSAEMNAMARQLHFLMNTSTGPVNWSIAKDLATQQAFRSGDSPVTAAEAARLQQAMTIADLWLDTTTDFAPGAVTRETWTRVEWINSTLDTWKRICEPVASNMSRALSDSLADQMGALGDNDRAIPSMPEGVSELMGAAQSIVPKIASMLFAQQIGQALAGLATESFGSTDVGLPLVHSTVTALVPRNIEAFAEGLDIPYDEVTQFLAVRECAHQRLFASVPWLAGDLIHAVESYSGAIAIDTDAVAEATRSLDLSDPTEAHIELSGDFFASSDSPRQRAALERLETLLALVEGWVEVVTVQAATPYLPHCDQLREMMRRRRAAGGPAEQMLASLIGLKMRPRRARGAAQLFAAVEDERGREGRDALWEHPDMIPTPSDLDEPDSYLMLREAASDGDTAFNEELEQLFEGTLGWAEGVPGSPDDEPEDNAPEGTTS
MTENSQPRDEWEKMLRAVLGDEAAEEILRSLAPGGDATSGNGTGTGTGSGAFPGLPGMGGAAGAGLPADIGLVMNQIRAMLGSSGEGPVNWKIGEQVARDTVNKSGPGLPTAAAGDQARSAMDVASLWLDPVTVFDPPRGPNQVWSRLDWIAHSLPTFRKLTAPVGANLARAFGEALSAQLERAPEEMRQMLSGGMLGGIPGGLEGMLSGMTASLLGMQYGQGLAELAHISFGTADAGFPLVEGETAALVPANISEFAAGLDVDAREVELYVAVREQAAARLFSQVPWLRSTILDAVAAYAADIAIDTDAIEEKVRELQLDPEQLMSGQMPDLDMNEVFAFTRTPTQQATLERLEHIVSLVAGWVSAVTSTAVAAQLPHSMKLSEALTRREVTEAPSNRVFGPLVGFDIAPRRLREAAAFWARAARERGMEGRDALWKHPDLLPLPEHLDDPDRFFSPAEQPSALDEELDAFLAELLSNSDGGTPFGNAPHEPGFGTTTPHQATGDSSTTDTSGPEGPEQPE
MRGELWDLNSLPDEVMEEAELTTGPASSEACSSERTSVGSKEKWEGSRLGPAKKDADDSETSSYAVVVEEGGGDGQEEEVVEEGGGEGTGSRRIFGFSTGGSGQPLEEGYVAAREQPELVTHQFFPMSCGEGEGGGGGCGGGGVTGASMLLPRAHLVGARFCDYPLVGMAAVGRTTAKAPHTVKKSRRGPRSRSSQYRGVTFYRRTGRWESHICAYDRAAIKFRGVDADINFCLEDYIEDMKQMSNLTKEEFVHLLRRQSTGFSRGSSKYRGVTLHKC
MCPAFTSSRRDLFSKAIFEYLKRLLPKISGQQQVLGSEGTLTPVLVYQDDPFSAKKLQRERRASQNQSKTRILCGTSLTEAWNGTGRDWTIGKQTKGGYMREVPSLHTLRCL
MEDKGSWLQNQYDKYLASITRSNFDKATSGRSSSEIYIGLSIKQEEDEQNKVQLPEEVASPGSPTSILKYFIHLTKNVNEPIDLCLIDGMISKGADINEPDRYGQIVLHQVAKSWQIDVARFLIERKADVNKADDFGITPLHVAAAVDYAEMVTFLIEEGGANINAVTKGKQTPLHYAGKHDAVNSVKVLIDHNAEAYSRDHKQRTPLQLAAELDRSEAARLLINLKSDAGVQDNTGQSCMTLLVMNMPPVAFLALDQFFIKDRANRRQHFYLNLVQPRTADFDGSQARSPLEMIVQNRQLDIIMHPVIQKLIEVKWKLFGRKSVAFILTLNLVLILSWTALGIASSLPRTEEAPYKFPEDCWRIILIVIALGLTLYQILEEFKEIYRAKKKMKRWKEWRKKELEKDLKYCHPMWPEEKEYLQKGIEDLNKVHSSYFRDFWNIFDWIVYFLLLAVTVTHIADITVQNNTAIHTHHVRIFSVTIIFLWLRLMKHARAIRLLGPFIVMLEKIMVDVVKFLFLYGEFYIPYACAFWIIFGGKVPNMASIDQMLFAIFRITLVDDYGFNDMYNTDAVMAYILCGTFLGISSILCINLLIALLADTFQRVYDNATANAAMQQASTLLQIEEDLSKKQRSKYDRHFQDDCSPLTLFYDDDLTVNQGDDLKKVTMQIKEELDELMKMLKEKKVKQLLADVPNKAPEIGNAKTTPKKTNRNTVARTEAQQQEILKMLPQLIASHREQQETLLQMDQKLLALGSWILEFDRSPVSVPEVDLEKISVQSVEQVEEMDQSALSFHGPLSSDFRSHVTVDIVGRTRHLKV
MEVWHVRSLGDKKPIHGTDMKVANVVAAGIQAKVGKEDKRENKYQNTCQVYMLGVL
MKIVKTILGIASLYLENKLQQASLRRWLPSKPSMYKKQSVPLVDDPVGEEVCSLLTFGRDLARKSSPVSPNITSWSCLRERVAVFHRFHPAYIVESAVEFEQLDHVSSHTALLKCQIKILFFFTIFSQNLPVIMIAKFEIFLAFLELTKFKYLLKCIKPKSIIIKIQKTKKLSFLGLVGKVGGVGKICEIVEFPNVNHLKDLRREGFWVFGIVERYRELSILFSCYSIQFVT
MEGIILAGNTITPITIIKKGDGQSGHTLLYPEPNGCMREITGALKRIILNGGRDLDKHITKDRTESFEGGNTVTMTKGLKVSYMQWLIYSYLKRRAEQVVETTDRSDDIFVSKARSKDQEGCDWVRIGTIADFKPAVIESIKEELGDEFQKLGNNWLFTNLNSLKQKFLVDQTEIREYVVNIELTVAKPAQVIIHKPQQQQKAQLTSIGVIAQSKFDNLQEAIAPAREFWDLIQVVLSYSFDPECQFATEMIELQKSLTTSCADEMFTLNEAIRAQEVTIRQMREVFDEILLRAEFKTFTDQMKE
MRKTLCVAGMMAGMALAPTAHAEVGDMVTTKTGCKTVKAFKTFEEVYKGDGRVAAYKALLDTGNCARLPEKVDVKLLEAVHSFDFGWGTGDVWRVKPVPDTKMTPKEFFIRIRDEK
MAFMRLLTCFKNSGTARYTVDDFYDAMDCTEKQRKNFDNIKHRIIEPAITELAQKGGGKLTGSPSRLGRKL
MCMGSSYFDRYCAPFFLSFLRIYGAPSSPSVRLRIWVMAVEHAQLRKARRLLRQLGHGLVDFDEGNFSALKEQWSQEHSGGVEDYLDETTGPISNRRTGCLPSTYHDNGITIETVCDPGVHDERMLINNANFFMWVIQQVKLPENRDFQYIVTIDSDMLFCKSLERFLPFNQTNGPEWDYAFTVYDREHEVPWGSNEEVAKTKNGHVRLNAGVQLFKNSGTLVPFLTHYLETIQYVLRWGDVLRWGHAGHGANEDYENPVAKLLEEFKAPNQAAIALIVGTSNLLYDDCKVCRHTLNLESSPELATTLGSFSLKVQALPMRYLNQAESLKNGEIPDDLHMLHLKGLWWRILVMEATAHPTETRCYHWNFEAYVYWVAMFRIWQPDYDASLTVISDVSKCNDTSVGGPRQHPLV
MLKLTMIGVGAVSAAELLPSPASAKTVDLDQKRKARYRSDSQEVRNFYRVNAYPGAR
MRVVARHSVDSNFAKEQVAGLGESIEKALGRLERFPQRLSSLLDTILMETGYRCALDAKAQYLETWESVVSTMQVGSAIFETGSRSEGVVECRIAHKIWQVPATGPQYWTNVHNWLTALWLAMICRERGRARRLAEMPLELLRASSEQFDDFEFPWVESLQMFWRNEQGLFDKLMPAMKATAPEQIRVTTTEYALLQVWPTMRTFYHLVQGENEQFNDVLAEAVQLHKDYWTADEERAKDPDGFIALGPLAMACLARDWDVAVEVESEYLPEHLINGAWVGEFRT
MDRQWIGRNNRREGGPPGRDGARHVAVMGVRCTCDAPIKQQRPPHLSHQPAGNHRAVVALRRRRWHVRSGLLARLTERFPRRAPSASRTQRPSHVIDTTLSCSGCNGPRTNKQHHQQAATAPTSTARHDLGPAPATTTARARATRPRPSPPAPVLESVLLRAQPAPLNASAIRPARSIMASQQQQQQPLPAASPLAVAPPNATLLATTANDRLTLFPGPYFNATALPVFRLCSTSDASLACPAADTNDDKSASRRAYSECRRQRCASGSAAISTDGGIDPATAAEVCALTQCASQYVAWAATACVPLADSAIISRRAVLAAAPPGTPDALATLFVTYKTYFASQIVASGAAALAAVLTLPDPTASNGASKAVRLADLPLGICAVSAPLSSSSCDPARARTDRVTGAPADLPSYVAPATSAGVVEAGGVRYAQVRNDATGGGYFYTLATCDAASRTLTRTLPAGAACTADEDCTFGRCASGTCSAAARFAAALAPSTPSAAPAAAAALPTNETSTRALSVTIVVVLAVLVPAIAAATLAPWLLRWWRNTRVYAPWPPGSGVPGGLPPKAIVREQSLRPADPRMLDTTSSVMGAAGNGDLPVYDAGPAYYAASSGGAGASARSWLIPLTPPPPISPTASSAAAAATPRGSRVLAASPPRTSSLILGNRLSAVLETTTSAHGDTEPPPFTPWEEESVGSGASAPPPHAPLARHTQSTRRPWRGSSERLSRLLAASSETGAGASSAASAPAVPRRT
MDFIRYFKIKKLLRQSRFIEACAIDQYSQYINLSWVYYRLGMYKASLDAPYHTLDFYTLFSKTISASYLGKREAVLKYILLLKKKYPNKLSNLVLPLATYYPECAMELLLFIQDKKSPIFFALHAHIYGKKLTLEKYGITLRQKNVDNENFTFLFSNITISEHSQKIDQFNKILSNYNLRNIFLKTSSSTFKVSNLQSENQLIEGEEFPLVSILVPAFNVEGRIQSCVDSLINQDYPNIEIIIVDDASTDSTVQVIQQLSEKYTQVKAIYLPVNVGPFVAKNVAITIANGEFVTTQDADDWAHPQRIINQIQPLLYHPDLVATTCNWVRLDDSGDFYAKQFIPFIRFNPSSPLFRKSKILEQTGCWHSVRMAADTEFIERLKLVFGKDKIFAVKQPLVVGAHRTNSLMTSEITGNFENKISNTRLDYWEAWRFWHIQQLRLSLIPRMSDLLNDSELFDVPVSMKNSIENMEVIQKFIKNGYSL
MNWLVIARFLSGGMAAAIIPLAFAWIGDAFSFATRQAVLARFLSAQFTGIVLGQAVGGFIGDVFGWRSVFLIVGAIHFIAGACMLIELKTNPGAQPVAAPAMTGWRTTVAAIGDLLASPWVRVMLGVVFLEAFAMYGAFAYIGATCIIASVWALASWAFCSPSTARAHSHTPSPPNTSFKISASADWCSRAARSWRSVMRCWRLRPT
MRYDLTDLKLFMAIAQAGNLSRASQARHLTHSAASQRLRNLEQALGCQLFTREHKGMRLTEEGHAMLEHARGVFAAIDGMEAEAALLGSSLQGKATLAANSSSL
MKLLGEGMAIDDEITLKKLEVFLAFMQMSNLTRVSERLGLSAVSVHRALHSLEEGLRCPLFKREGRNLIPLKSAYAFAEHAQRAIAACEAGVAEVREMSGFNAPRLRIGSLYSLTLRCIPKVIIGLKLRKPTLDVNLTLGSNDLE
MRQHGRDARAARLRRAIDGLRAAGEPAALDLAPRSAHEALTRQMVSDLAADLSEVKSRVNAMLWLVAGAVVVDVALRLTA
MPYQCVAYGCGKTPEDGVTLFKFPKDPDEFHKWEKQVQRTRAQWVATPHSHLCSDHFGKEYFEGKVPTGVLKLRPGAAPTVFVRPHCLSCKNSSCKSCLPAIQRRSFTTETTEHSISGENKETTLEFDNDNEESGPRTKNKDDHSVICEMCGTHGTFSTFFSKTKRFCSRSCSRSYSSNSKRSSILARLQGRPLSKKATVLKKVNQVSSDPTAVHASSGFDWGPYLEKETSLAASVSCFAHAPLSDYWDSIFVGMKVEVLNTNAILPSKVYWVATVIHVAGYKALLRYEGFEHDSSQDFWCSLVSGELNPIGWCTMTSKLLVPPRDVKNIPDWKEYLMKKLVGATTLPVDFYVKLSESMKPTFKVGMRVEMVDPRHLSRTRVASVDTIIGGRLQLVYVDRTDVPENAAANFWCHMKSPLVHPLGWSKKVGHPIKASALGGVKSNPENSFLLFQRVRKRDITSNETDDTSFSSF
MRPAGAQRPHRAGGGRRRRGRGPARPGPRRRPHPPRAAEPRPRQPLHRGPRPLPGPAGLARHRARHRHHPAAASAPAPPPAALNPGP
MADQAPETGTPGETNPWLGYLSLHTIQWRLWHLPLALLAVCVLYSCLTGIWMVRQNEQGVVLRFGRAVRTYPAGMHFTLPYPIETLRRVPTTEVRTLPVGFKFRDQLRGIPPSPNERQWLTGDTNIVELKVVIQYRVNAPIDYLFGVSDLRDGRRRDFALVKFVESALTRLMGKMPVDEALSSGKASLQEETRQQAQRLADAVHLGLQIASINIVEVNPPPEVIAAFNDVSSAKADRERIVSEADAYAKDLLPRARAQANRTLQDAEIYRSQRVNTARGASERFLKLAVEVQAAPAISRRRLWLETIETVLSRADVVVYPRSRDGVFSFTQIE
MSDDTPLSHDDPASRPAGRWKSRARRVRNAAAEAARGLATGAESPVADMRVALGHFRPAYLVWGLLASGLAVYLATGVYSVEPGEAAVVRRFGAVVAPRVQPGLHYRLPWPIDRVDVVNVSTVRREQVGIDSKEEDHSHPEPPSKLQALSGDTNVVDIEVIAQYQVRDPADYLLNIGNAPYLTVRDALRGAVTQLVTGLPVDALLTSGRQSLQQSIRDEAQRRLDAYGSGLAIVGIDLQKAFPPQDVADAFSGVNTAREEKARMINEARGYANSLIPEARGEAQQLAAEAQAYRSGVLASATGAAQAFEYLLAEYRSNSVAFGEDVTRYRMFLDTIEKILPRVRVYALDTERGGRFNLKLFGQESSGPILSPAGLR
MTSTTSSTTSTAANATTNASTTTLDYYLTLVPRGLQDIVQEALPQQIREASSSSTNGQDDEKITVIVQGPDVIGEDPLHYNSAHLQELRNRIVAAFKKKQQKRKRMEHPQLASTRLPIGSVQDDSSRTGRHVSLGYDEQNDALWSIPGGNVGAVWLRFKTNATPQAVASCRCIGPLLALVHAYTTPITSCDNGKNDNSDGIQVLEELRREMETVDWEKPLNLWKRHLRHDDDDVDTVSFHNSDPPFQYRCSCVRDESKSNLVPRQELLRQGAGFITPPQLLPASGSNAKVSLTKFDMELVWFIRPHASAVAISLRRNPGTVSSFESGQALPPDITPPYVHNDNFAVRLRPTTAQLLLHMARLQDGDVVLDPCVGIGTIVMECLHLRRPEHVVAMGGDLELADALRTTAADYEAQTRQYLQQQQHRLIRHQAMLCAWDSVDLPLATASVDVIVSDLPFGQTCLSSFRLTQLVPLLLDSWARVLKPAPTGRMVLLCGNPHLLLEGMQQANQELHEAISISNSSNKNAAESSSSGSPSGSVAVAPLWELPVSSCRPVNIGGHQGWIVVVRRGTGSHREVGRLSSCLSKYIAKRDQRRSLPQR
MPTYLSSKPLKIDLFKDMKNTLHAAGLSLQDDLANGRIHPTFCDNITHPSPPDLYDEDIEVKARSYEGNICEFGDGPFPPVPLPELTKLGLLLRARPEICKYLVSPEGISSCVIDEIQFNLTADYCQMHQAKTDIIPEGITCGWPTHREFGSLKQSASHQGSPQGLVFYIEERGPLVIFGYFHEHLASSWCTERSEWYEVIYRTLISMFISPAAHNQTAHLACPLSPDFLCKVLVPETALCLIAKDLNTTPSQPIC
MKMFAAAAVMMVAGSAMGTIVLSEDFEGGAIPAGWTIVDNEGTGMVYDIMSPSTMDGSAFMLGFDSDAAGVIDYDTEAWTPVMDLSGYSSATLDYDGDYENLANLDFFDVDVSYDGGATWTNLVSYNDDMGIFHDTLAFNGGSATTVLRFHYYDPSRTGDWDWHSFVDNVVVDAVPAPGTLALLGLGGLAIRRRR
MLDRSMSVVFLFDNFEGYADDDGLTAAGWAILDTPTVTEDSTWTITNPGGRANPPTLDGSASTGNFMISDSDIQTETNPLDTGASHDIITPSFSTVGGSTVWLHMDVTAQLNDNGSAIFDIDVSTDGGSNWTNVFSRVSPGRGTSKPATTRLPDNTNADGYFGRLDLDLSAVAADSAAVQVRFRHYEPTWDWLIAFDNVLVDDVAAPQGGPITIFSEDFSNGLGQMSVFSGQA
MKPEQFIRKHGVKRTKECIPAYEKHYCGLEKLFVDDLKRLVESLDLVKDYGGIINAKMDVRYLDIDWDYDSPRRVKLDQAIKDHESIYGGGDE
MKPEQFIREFGLEKAREVVKGAPKYANVYDIDNNGHADTREDIFELSCNLVGLRSLGRLVDSLDQITRLGGLPKAKDHLNCMISYGSEFGNVSRQAVNNLQSAIRDYESIYGGGDE
MRRDNSEFVTSFVSEAGTFVNNKDFFAFVEMDDKACWVIADGLDTDREIASAELAIQTVLNQFQQKPTMSRFRLKRYLRRAHERLKMDSTRVRLKASLTVVVTDYTRIRWAGVGNTRLYHFRQGRLTFKSKDLSLAQQLANEEKISDEALDYHDERHNLLQYVGQPEPLEPYVSPKFRLEDGDAMLLCTSGLWEGVSRVEMLDAAEEAQSPEQMVDTLEDVLLSKQAKVIRNYTAAAIFANKIFKEDPQKKWRIIRRILIMVLLFALLGGGAWYMMVRQAANKAELVQGMIDNRQNGEVYLRDGNYDQAFKEFSDGRNAAIRLKDRVNKQLFTNKQRLVQLVVDGDKLFKEGNYPKALSMYEIALKESDSHKEISRADMEERIERTKAHVKVLNMIKEGDMKFQSGNYLGAQNAYIRASKAAATSSYEKADVIQEKLLAAQEKLYGITKGTKQLEAEKLEKDGDKQMTAQDYLVAIESYVSAQEIYQEINMLEKVLGMERKISKALDKMEPPVPPAPAPGAADAGASGGAVVPPAVQPNTSLPAPNGNPPASTSQPTPPSVNGTNVNVPVGGNEGSTSRTTDTTGTGNKAAGEQAGNTGGERTQSETQRDSESPTSGSRSVGESEPTGSSSGSQSTGDQAASSGNRMERAQQGGRER
MLFVLCLCLVEDMLFVLCLCLVEDMLFVLCLCLVEDMLFVLCLCLVEDMLFVLCLCLVEDMLFVLCLCLVEEDMLFVLCLCLVEDMLFVLCLCLVEDMLFVLCLCLVEDMLFVLCLCLVQDMLFVHVFVSCTGHVVCPVFVSCRGHVVRPVFVSCRGHVVCPVFVPCRGHVVCPVFVSCRGHVVCPVFVSCRGHVVCPLFVSCRGHVVCPVFVSCRGHVVCPVFVSCRGHVVCPVFVSCRGHVVCPLFVSCRGHVVCPVFVSCRGHVVRPVFVSCRGHVVCPVFVSCRGHVVCPVFVPCRGHVVCPVFVPCRGHVVCPVFVPCRGHVVCPLFVSCRGHVVCPVFVSCRGHVVSVL
MSGSERLERAQLPDRYVIEQKLGEGGMGIVFKARDTMLDKTVAVKVMIHGADAASQARFQREAQMASRLNHPNIIQVLDFGITEEDCPFMVMEYVGGKTLAELIEERGRLSLSESMAVFLQIVQALSHAHGQGVLHRDLKPQNVLLTDGEDEDPRVKLVDFGLAKPVTDEGLSTLTREGAVVGSPLYMSPEQAKSDDLDERSDLYSFGCLMYEALTGAVPIKGATALETVSLKAVRKAPTLVDAGFDYGETLEKIVATCLAIDPEDRFASSDKLAEALSACQSGAAAETAESGDSSSSEESGLRPGKRFLPALITGLVLAGLSLLVLLYLSASKIPEPVSERAPESGTEPGTESGQFSLFDFGGHKIMEDREGIFRCQLAVNDADLEAFASSEEASKLETLVVQSDGVMGPGLASLKDLPIVRLEFEYPLKSPDEAMKYVSEIKGLTTLRLDGCFTLSSKGLKHLAKLPNLTYLSLENSGLIDDDSIPIINTFPKLKDLLIPRTNVRARGLSLLDRNRHYDQLSLTANKLTDRDLDSLAGLDISSPNLSINPDLTPAGVRKFMKGRKLVEITLKDLPWKQQDFIELSKDYPEVLIHTRETVQDGELLKSISRNYRSGIEQK
MQLAHGQLVSDQYEIIETIGKGGFGVVYRAHDRKLDREVALKILLHQDDHPASNFGLRFQREIKLVRQLEHPNIVRLYDFGEMQDIPYMVMEFVRGKEVADHLFKNGPTTYAEAKSIMLQLLDGLVAAHSLNIIHRDLKPSNLMMTEVGMRTDFIKILDFGIAKAINAVEKESKSTFETQNQMLGTPSYMAPEQLRQETVGPMTDIYSAGLIFIELLNGNPLLEGTMVEVVAKHISTAPHKLPPEAAQSPFAEIITRAVAKNPKERYADARLMYQALEAIDVGAGPQRNLDTGPNRAVNRAMSPKIALITPPQSEFPSRVTGDFEGPILSSPSDRALLTSVEVPKNRLAWLLIAMILSVIVGAIVLLLMLLDTPEKTPDKQAHDTAADSPADSRSAAPNELPTAPLDEHPEKAGDNGTAMVEATKAANEAVKAAETAPKEAPNSTQAEPPEALPEELPPKRTQELPEELPPKRPNELPNEVVASSPAEDNTAQKRKDLVQVTVTYQGKKPAVLSAALSIDGKKCKARCAFEIEKGRTLGVQAPAGYTCDTKELDLSSKHRVKITCSQRRGL
MVQSALIHDRYRLLDLIGRGGMGEVWRARDESLGRRVAVKCLKPPAQRGDTAFLRVVRERFRREARVAASLQHRGVTVVHDFGDHEGLLYLVMELLEGRNLSQLLEDGERRPLPVADLLDVAEQVAAALAYTHDQGVVHRDLKPANVMRTDDGTVKICDFGIARLAHDIGFTAKLTGTNIAMGTPHYMSPEQIAGSNVDHRSDLYSFGCVLYELATGVPPFEGGDAWSVLVGHRDTPPEPPRSHRPELPVGLEEIILRLLAKEPEERPDDAAELIDGLAAARARLSGQPAGPGGESARPLWRLPWARGMSGGLPACVRSAPSGSGVARLPVLTERWTGGARVPFQASPASPPAGVATVGSGGPRALSASSAPGTWPTPPHSATPAHPVGTPSAASAAGFPGGALAPAASRPAVTAPPAATAALAPGWAPAANGTSSPGEALWRGGAPAPGGGSASDGGPAANAAFPPGEALAPGAAPALNAAPAAESAAASAGVVDVLPAPGVAASSGGSAALVGSAVPDPLAAPGGSASSAVPAGSAAPGSADAFAVRGVPASSVVAGVADALAAPGVSGSSVESAGSAAPGGADAFAVPGVPVASAGSAVPAVAAGSGVPGADDVTHAAAYGPGP
MKIKVYFFLLFSAGFILSCNKVEETIAPCKQLDQYLNISKIEYQDNKPISLRYYGGSLSTLGYVDGKLSFLQEESGREDYYYENSKILSANLYGDTLLFETDGLGRIVKMKFIGDYLATSEISYDARGNISKVIVFDDQGDITHQSEFFDFDGKKNPFSKDWGWPFDVTKPYNEYIYFRNFFLNPDGNPKLIIMTTQTDKKLELYYSYGYTDDDHVAIEALRFRVDGNDTLLHKYTFGYIDCE
MKTYAESQGQKPFDWWKALKTPMDLIPMWKTLWDLSSDWVTDACGYQSNLITRDVEGRPKDPELEILGFDFSRAVGEAFQAATNHHQTKFGIAVQQAQAYLHEIEKRAEFLLNQLDHPTHD
MDFIRKLSIAVAVTAAALTEAHPLNQFGYRDYPAQSNGNNESSSLVYSSSQPPILPISFSDNSTSGPSTGVPTSYTLPASVYTGPLTTTAAARKNISMSQVLKPALTTGGPLTIPAVPLNTTKSTVTVTYNLGNRTRTSSITRTSTIGSTPTPDPDYINLSTTWTSTTTMTVPVAGGPGGPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGSPGGPGGLQVSGCPHTGTVTVTLKETVFLSASAPPVSVVVTHNNDISTTSPGAPYPTGILPQAQLSGASGYLRSVRPMPSRGFGH
MDEKFFWDVFKNTGSIESYLTYKTVSEMNNNTSLQNKDEEKK
MLLLLLSPRLLARTEDERSEARRTNVRRVRATLHQPESRSTAREPGGLLILLFGFTGAAGSTISNGGLLSNG
MSDEHKVIKPDATTHVRRWRFGSVSGEVANADKPTVDTTVDVAQRELLKRVSAEEIEKLRAQAEEAGRQEGYQAGFAEGLAKGEQEVRALIERWQAYIDHLAAPLAEIDEALETALLELVVAVSKQIVRRELRTSPEQILGLLRESIALLPATSGEIRIQVHPEDAQIIKKQFGENLPSQWVLVESPSISVGGCVIETATSRVDATIEARIAEIASRLLGGTRHEDA
MGAPEKNFGRFIPKEHVGDVASWEFQPLGGGKPQTRTGGTQRHAATSMMTERDRRAFERGRQQGFHEGQQEAQRQKAQHARQLDRVLDELRGRFAELESNAADAVLDLAIEIARQVVRREIEIQRDAVLPVVREAVSAIIDEQTHPRVHLHPDDLWLIQADLDTDGLYQGCRFVPDASIARGGCRVETAQSEVDATLATRWRRVLGALGVEAGELPPIEGAEADRGLRIADRADHGTPDDAPRSGN
MQPATSARWLADALAGVLPALPAAERAVLHAHLYAQAVAGGAPAWRALALRYARALHRKQPMRFASTAAAMRGLANVQCQSARTGHITLGNGMRRAAPWLPAARLVRTHRVDLSRTPTGRLPSESTSPQRQDWKAFAEVAALSSPVARLARILALPAQSPAPLAWTVQRQVAGWLADAAVCSEWLAATRPTERWTLLAMLFPADIATLRRSSRMLRIAHAHLLPDLPASVRADSHWAFLVDHLLLSGLPASAPLLVRRYAMHLYREDHVARGNAAMSFEHWRARLAQALDVSGHPERVVSSADAVRAPVASRSHRTTMCLDAQMLRQPPDAAEQWEARQILESAGTGTVASAVAHTALQAPPRSAHTQANPDKVSGPAVRQQMAPPRATSSEQTGPGIPTGHAVSPRTPPQMPPPAAPFGARTAPPLPVSRPVPPDPAAADSHYIGNAGLVLLANYTQRLFDMLDLRDGPHLRDAASQSRAVRCLAYLVDGNEAGSEPEWVLPKLLCGMQLAQPLTDEPGLDHDTRALLDSLLQAVIAHWTALGRTSMAGLRETFLRREGRLQRETAQAGAHWRLAVRPGPFDMLLDRLPWSYATIKLPWMEEVLYVDWR
WLVPFGNVPAIAEAIDRLLRDRTLARAMGAAGRARVWRELTWDAVYQRIRPLYGELG
MTGSVLRIARNDFANVRRSRLLWGVVGAYAAFTLLLVASQATTAITSATELLVGVTGVTAVVLPVVAVVAGYLAVAGEREDGTIVFRLGLPDSRLAVVAGKLLSRGATVVLGLGVAFAVALALAIATFGSVDAGVFARFVLVSTVYALTNAAVAVGLSAAAGSRARAMTLAVGFYVCADVLWLVGNGYVVDAVRAVASAAGVTLSARETAIVTALTPVGAYLEAMELAFATGRLPPDSAPWFGLAVLVAWGVTVPALGYWRFRTAELP
MSEPYAYSVPQLARIWGVSERTVYTLVSTGKLGHLRIGNSIRIKQSDRDAYETGVWQGPGEMPR
MNFALSVITFTLASFLPVPPAKAAVFTWKDGGGNSYSDVPKQLHPDQSQILNLRTRQTKPAVKPAQADAGKRTDGAAQENNPDTAEKNRQLEEEKKRIAETERQNKEENCRISKMNLKAVGNSNAKNKDDLIRKYNNDVNKYCR
MSPTETSGCVDCSRQLAVALSSVMLAGGPALSSTLCSIQCLADVGGQTLLNELRQRRSDGGQKSSRSGNQCRIRSVLRDSQHAFTHRVKSRRRTSNSDEEAGRG
MAGIEDATQKVEELNAASQGALNAGRQVGNTSPPDGVDQKNAFAGRGPLALANLRQPSAGPMMANVPPATLQQSAQSFNTSNTTTINLNGAENPMGVASDIARRQGGATTTYMGASAQPAS
MVQGGLLEGIGPVKSVDNISARLFDLLGQNLGPILLNICCDTKRKLIVSFAPCAPLLAELKRSGVVSPGPSKATGAFTLRKEGIMAAAKRGSEAFRIRPEFVHTPDRGGLQVQWQAEPPPWIGNSGVTGLARCGTLRPLPTSRPPWARPGHCWG
MYHMCDLEARRFTHACPNGTLFQQRLMVCNHWYMVNCNRSELDYGANQLIGQRDKPFISPEEHLQHREPVEFFTRQQNAVSLQEESLVPDVTELKQKYSAVTGNGRLQDGTRDEGGHSLDSTSAAQDPSTNRRARNREINTSPHTPTPSDSYPSVYVRTLPAAYTNNPFFQSLLHRTTPSTPLKPTDTLVHSELQDNNSTAQLTESITFTDESYELGDITDAWVSHTQALTQQSSPGKTSDLDSRLGIPEVENIPASFTQEGFPDAYVNKPLLESVTKSDTLPLQTSIITQSIEQTSPQTNVNFSENEDDFGNTVELRLLDHRRMFFIPDSDEDIEDRQTGNTTVLSISVPEISHVMHFRYDIDVTSGHNLDCPRCYPGFLVPGTCHPCVIIR
MLRIFVFILLLSSFVAAQSVNNEAWLEDFSQMKREMSSHYANLEWAVAERGVDLKALSESTEAKIRSAKSEADVIQALRTFVESFGDGHLQGERPKTQNSNSSEQKAEGLCERLGFRERAFRDGIDFALGEGFRKIDTPSSAYIPAGIGTLSDGKQYGILRIPSFSEQHMPSLCRTALAELNIKEDADCDGECSGKVEQKAADLYVDAFRLQLEEVRKAKVAFVIVDVTGNGGGSDVYQPMARMLTKKKLKISTFGFIRHPHWVKDLKERLTDIVAAIPDAPPAMQARLKVAEKAVRKDLAEAEKTCDLSPLWENKQPGCTNVVRSLNAGVTYAAPGELTDTVLGDLFFTASRYKYAEGLYSGPLFVMIDERSASASEGFTALLRDNDAATVIGMPSLGAGCGYTNGGISTVLKNSKLRLHMPDCVRYRPDGTNEINGITPDVMIPWRRNDTPVQKFRRLNAILPTLQK
MLQNVVVTKFTNTGFTAIGRPNVLD
MPDDLQSTRLLALLPDEAADLLVHSGADYIERAGMEATRETILDVFLGQNIRSSTEKLTRERIARLGLGVTVMFYRGMDRWPDFLERLPYVAAQNLVEGGLSKPERWLNLWMLGLTNKGFQNVLRDDPQNLEDYCDQYVETCREVAEDMRECYGDAPWTRDNAWLLDAFLQNTVGSLTLTIRGSDKSTYGKLFEKLMLGPLLHVLGFEFARPAAAEGREGVYWLSSTSQRESDATLIYRQGQAVRFDIGFIGRGNPEIILDKVTRYRRHMALGEEEHLLQTIVIADRIGDRSDVRELAREVEGRIVTMDTPHWPREVVRHLDDLYGFDHPLLEASDEEAKALMRRKLQSAPFSQYLRIAEGEEDVQEDARVEIEE
MAWRLSLPAFSVFLILFSLLNDSVLCKTLKRDVKALNEITASLGWRVVYSWVGEMIRVAMVIFLLGLVSLVLLKEITEWLLNCMIGYCLYDAFPTAVTNLLDLTTLFLDYNQFSGRIPDDFYKHPLLKEMFIVSNACWQQVKPIGVHKALEVSDAEFLV
MAATFNLYQETLIGDRAFNYGDGCFTTMLCVDQNIQLLAYHLARLEHDTCKLRIPFTATDKTALSAALSDISSKLHHGIIKIHISRGTGGRGYGVSGVSAPNITLTQHTIPPHFSEPVSLSIASFPISRQPALAGTKHISRVEQVLFKCCAEELGTTDVICLDEFQHVIETSSANLFWYKDNQWFTPDLNDSGVAGTFRACILDTLDTAGAACQVGSFPLSALLAAESVFMCNAVRGIVRVDKVIVHEDPVVNATAVKECTRSIEFDNSAVSILQNQVALFLATHS
MTGCPSPETLLFFCPSAGGFSSTSLGSEKAGGWTDVNELLKFIRPLHEGTLVFVASYDDPATKMNEETRKLFSDLGSKNVRDLAFRDSWVFVGAKGVQNKSPFEQCAPGPQKCREADGSHSTHERGRPVRLVLFLDEKIKVLGQTEGGECPENARLVDTTRRGLMVLFPVPEAEAVTSCSPPPQHVKNSRHTNKYEGWPEALEMEGCIPRRTTAS
MQAVERVVYCESGAKRKAALVVDFSRQEINHIVMESIGQTELVGDSVLSNMQLVKCFLNRDFHLRRG
MHNERFEKYYKAQKIVSDEEWEPFMESLRKPLPTTFRVAGSRQAARLLNDTIRDTYVPHLADVVFEGESVSPPVQLSWYPEGLAWQFDVPKKVLRKSPEFKKFHNFLVFETEVGNVSRQEAVSMLPPLYLEVEPHHRVIDMCAAPGSKTAQLLEALHAHDSITSSSYPTGLLIANDSDHKRTHMLIHQSARLPSPALMVTNHDASIFPAIKIPSEQTTFPSSTKPRVAAKKQYQLLFDRILCDVPCSGDGTLRKNLGIWKHWQPMDGNGLHCLQLRILQRAMRMLKKGGRIVYSTCSLNPVENEAVIATALMSIPGFELINMSNHLPRLKHRPGLTTWTPTVDRNITTDFVTYDDYVKSLPEDKRAESKMLESHWPPSASEVDSLNLTRCIRIYPHLQDTGGFFIAVLQKAEDDEVLEEPATTTGVALQPPASSTDPAQFKQQQRGKKGKGKGSDGGGTHFNENPYTFVSPDDPLLQTCISQLRLKPDFPASNILVRNPGGEPVRSLYMTNDIVKQIVLHNDYTRMRLMTCGTKVFAKQEGAGAKREGAEMQFRVLSEGLPVVLPHIEPEAILQADVATLRVMMESYYPLCTSFPAPFKSLLQSKASSRCYIVRFRPGQADNVTLTHEFVLPIWKSNKSITLMLDKRAKRYVLTAFA
MGDKYPGGYLQAFKHKKGVDTIRVFVQGGSTAMGFPYMQNGTFDRMLLQKLQYTYPETNFEIINLAITAVNSYTLLEMGKSIVKQQPDAVLIYAGHNEYYGAMGTGSAHNLANIVWLKRMAIKLRGLRTYQLLENGITGIAGSRLIVQDNALMKAMAKIKLYYMVHQLLKRE
MKKRIRDDDIKIDDLCEIYETKDINKVEFEMAHNDINKDYVPYICVYPKSYGAESLLFWMDTVMSVKIKAICLAKLFWLLLNDENMARIIFEGGRSQNLQDLKSDCGFDHNSKDGDYCDAVGIKQEDFKKAFSALDNLFYANHMEQMVDILELFLQKSFENKIKSSVLHILLRNLIEKIALA
MSGVHELFVAPLLVNLIQQFCEAIIQARDKTKKFDVVLTSLESTVISVTPKIDEISKMDQQREDYPKQEIIVFRKQLEKGKELVDTCAEIPRWNKYKKHKYAKRLADMDSSLRKLLEVQFQAEQLLFIKKMLSEMAAINNKLDRMDIQEPAGVATEEGAGGGELANPAAAEQSSDDQMDGRPPRREIRFRRKKEKCETDIKFRF
MENLASTINKPLLTNDVIVELFDGIYNIHDDGINHLHLHNSLTFNGKSDTRFNFQNSEKSSLIFHFSAGSYDKKLIFNNIKFYDFDGSQYENSSLFPGGPEDRTDRYTIEFNNCEFYNIKGIVLNINIICLKRTQSTPNVIFNNCKFENINEVFQSYHQDSLYNSIN
MHTPSLDEDMCVHIFTTSAAMIGVCMTVVGVLHVIIVLRKVDTFGDDLLCINSLIYLASCLSAYWALRTRRRKRNRALEWAADWLFLLGLVVSTIATAFITWAVAGT
HAFGADRRQGLWKVRGLGEAPLPLFAAADRAAQGFSAEGAEPDVALRPLTDGREVVEDYRALQLSLRAHPLTFLRDELTRRGVTRCGDLATIRDGRHVEVAGIVLVRQKPGSAKGVLFLTIEDETGIANGILWPDRFEAQRRTVMSASMIGMKGRVQKEGEVTHVICDRIIDHGDLLHRVGEMSFPHRTGRGDAQHPGSPDRGDKGWSPNPRSCYWPPHADGMDPEDAVRVKSRDFH
MSHVTRSRAKRAASALSGVLVTPCASADTAGLTYRTNDLDLGAGDTIYLYTDGVTEAMDGSGELFGVDRLRRALEFRDETDPEELLSTVSGQISSFVGGAEQSDDITMLGLRINLLSSYVRVPAVFSSCEVVSDFVGGWLAQGRFPSRFVSQVMVVQDEAFSNVMRYAYKDATDIVTDGTVEPAPAQGVRGTGAFGRALPGEATVELSVDDGDMVLRLIDAGVPFDPLEDTTGRRTEDDDLQIGGDGITLIKGFMDDLAYGYVDGRNVLTMRKHIPTE
KGFEDATFIFENKKVKSDKKLFEKLSSLIYEGKKIKIKTLSGTFVFSKVENIDKSSELLVVSLENISSLVVEDINSIVALATIEKPKIEFRINEIYRLKNKIDKKSIDIRYSNDLTLYLLTLELKKLKIDFLNIENEDASFDYFLDVKSKNSIKLDIPKILCFDNKKLILESNSYPKKLDIVFNKFQEKDKSHFMTVLAESELFEKSIINFYISFSNKNKISYYSKNGLVDILEDLYIPSSIEEIFESIKKDIKGEKLLKNYKNKFENIYNKALNTKIDF
KRGPGSKKGSWGARLPKKERWIRRVRALRKKLRELRDSGRISRSEYRRLYRKVSGGYFRSKAHLEAYLKEREGEGK
MHAAQRLSVAHAQGELRSAARRPGGSRGPXXREAPGDRLAPGGLMPRDPRRPLSILIAALGGQGGGVLTEWIVGAADHAGHAVQSTSIPGVAQRTGATTYYIEIAPEPRMAGAPDPVFSLYATPGDVDVIIASEWIEAGRTLEMDYASPDRTLLIASTHRLYAIGEKTVPGDGVFPATLVQEAVQKLTRRAITFDALAAARRAQSEVNALLLGALSAAGVLPLPEAAFETAIREGGVAVERNLAGFKAGRELVALGAEAVEPPARPARSWQEIKPERAAALGARGRAFLGLAARAEAEFPQHLHETLGEALARLIDYQDARYAEVLLARVRKIHAVDPDGRLTRNFARRLAVWMSYEDAIRVADLKTRRGRFERIRQENAAKEGAPVVVTDYLKPDLDEMYGLLPASIGRPIARWAERRWPHGRPTLTQAVKTTTVLGFLRVWLLGRLRFLRPRSLRSQRESALMDSWEQAVLAAAALDRDLAWEVAEMASVVKGYGEVRRRLSRALDRFLAETLAPAVEQDRAAGAGWERSARIVRERRQALLTEEQGSNS
MRTAQPAPGPDRSKNRAFCRHVQVRSLSMKRQTKERNFGPKVQTITIVAKAICVHLNIRSRSSGIRGQRGIGFKILKSGLLNEVLQGWSLHYFIHSVLSFFGFIQSSIWNLLNNEVPGFSSCGCSLTAHVGLTETPDLQLARLTNVTKPGPSVRAVLTSTIYPFDKEGFHRALV
MSSLLPFFRIHHLQPFVGEAIGIARQGQALAIDAVAVFFGDGAGAVRHRDHGAQGVLVGVVPRQRDAVHLDPEHGLVDAGSIDIAEASRRSLVEIASLQILLS
MKLLKLIWVERTKPTRAGLYFTRLLCAFILLYDYVLHCMQIGTNMRTEMLSTRIDHDTKAAFTSICDEMGLSTSQAIKLFAKAVINHGGIPFELRVAQPNEVTAAAMKELVEGKGHKAESVDAMLNDLTEGKAKNV
MTPPHAQPAKNPNRGASYSTLLRVAGPVLLGSLTQSIIYLTESLFVGRLGEVSLAAIGMGGLLFYLLTTVGAGLGLALQVVVARRMAQGRPGAARRQFAAAGHLALLLSGGLAVLLWLLAPPLSAALLPTPAAAAQVARYLRVVSLAVPASFGWLWLVGLYTGLGQTRLIPWSALGITVANILGSYAWIGGGFGAPRLGIAGAAWATVLSEGVGFVVLLVGLLWPAQAGLGGWLRKWRWHRRATRRLLRFAGPVVLQQVVEVSSWLAFFVLVGQLGIRALALSNIARSLYTFASLPALALAAALQTLVSRYVGQGRQAAVLPTVRRATVLAALLGLGPALALLAVPAQLAGWFSDEPALAAASVPLLRLLAGLLLAFSAGTMLSNAVVGVGGSDRALGMEVGATATYLLAAWGTVHLGLPLAAVWATEFAYWNLLGVLGWRYLRRGRWQPLH
MPRRRRPASYRAILDIAAPIMLGSLAQSAIYLTDSVFVGRLGEAPLAAIGLGGLLFYLLTTVGAGLAVGLQILTARRIGQGRRRAVGRLFDNALYLSLGLATALGGLTYAVAPALARLLVAEEAVARPMQLYLQTVVWALPASFTWHCLSGFYTGIGRTRIITLSTALMTGANVFFNYAWVWGGAGFPLLGIVGAAWAAVVSEMLGALVLLLTLWRPGLRPRYALLRHGPLRLSMRRLLLFSAPIVGKQLVETAGWFVFFVLIGRLGTQALAVSNILRSVYTVVSLPSLALASAIYTLTSRYLGQRRPELLAPVVGRTVLLSLLVTLPAALAIGLLPVHIGGLFSSEAVLNEALVPLAPVLVMILVLFAVSNILYNSIIGLGGAAQAFQMEVVAIGAYLAAAYAAVELLKLPLAGVWAAELVYWVVLGGLAGGFLLVRRWRRLRQEAHISRFGVGI
MEETDTATADDGVEVLDSEVTEISLPSDPVDAVEILGDRPRVWLDIDINETRAAYARAQEFVAARNLAYHLSSDRLEMLQGAEKRRVKDQLYPADFDWSKKGRIAVVMPPERITFELWPDVAPLAVQNFISLCTGHRGIGGGGKPLHYRGCNVHRIVKDFIVQGGDVLMGNGTGGESIFGKPFKDDKASLKVKLDRRGLLAMGNSGKNSNTSQFFITLAGGKKVEQLTGKHVVFGEVVDGAKVLDFIDQCADDGDEKPKYSVTVADCGVLPETLNDLQRHQAGLS
MFFVFRFKCDAIKAGQKKRVHVNLSNGQIKKKLIVIGRFPLTVSEVRRTIHICCETAILKSSCHVLRSAVENRIGCESIMDKSHGFCNNIRISNIQADVVEINPPNSYVINNVVTGGLMIPPNSYVINNVVTGGLMVELIQLLSQVLNFNYTFRIFPLGAFDELWDSIIKNEVDFEGIGFIPDSADYEGLDYLTANIFDVYMAVILRQPPQDDIKLNYTDPFPQGVWLALLLLWLMTCILSTICTTEEKTSVWQKVTSIFMKIVLLKELVPSKAIYNSLLIATIAMHEDNDQYETLEDLLHDNVKFCIENQSNYNPILKASQQQPFIKLNQVLKDSPHITTHDDGYQKAITESFAFIENSVDAMYGIKFLCELELLPTKYFPSGSNMAFPKKSFVKEPFSIVFLLFRTAGILRKETEKYVSLDRPLCNKPQTLQILKLRQVTLPFRLLMIGILVSIVAFSIEMLQEWSLTNCGKHLVKEVDLEGVGFIPGSADYIIFDFLTSNVYGLYMTVVLRQPSLDDHKVINYTDSFPLNVWLALVTLWLTTCILSTICDTTKEKTSVWHKVATVLMKLVLLKELKLSQSSSVSMRIVIATYFFHTVIILAVYNSLLIAKTTVHENTLQYETLEDLLQDNVQFCIENQSNYHLILKTSRQQPFIKLYEVDID
MRQLNCRSDICFSEGKYLSKETIPAQREVPADVAAVQYDLIRIVRTLRVRAGRDALASGAASALYTVVTNAPIRTTELADREGVTTPTMSRVVAALEKSGMVARTDDPTDGRVTLLVATADGEAYVHGKTSVKAQMLATALDSLDADQRVELTQSLGRLGDAVAAACDAAACEAAASDGVAPAEPGRRRTERPPGRRADE
MKFGKTVESESLNFSTSEKNKCCGDCKGSDKLSHNHEHQHYDHCNNKEEHTHKCGHHHDLEVK
MKFVVHNIKLSLDENIDALKKLVSKKSGINMNDIKSFRIVKESIDARKKPLIYLVYSVLVETEGSCKPKGRSD
MPLILIFNKKASLKNAPPATVLQKACSTRFDPVFDAPSAL
AAGTLAYDTVNYVINPSLVIEALPTGAKLGINRTTSTSLTLALFAPYKKYVYVIGDFNNWTVSTDYFMKRASDGATWWIEIPNLQANERYAFQYLVDGEIIIADPYSELVLDPNNDQYIAAETYPNPHPYPQGKTSGIASLIEMTKTPYTWEVSDFIAPEENKLIVYEMLVRDFVAKHNYKTLLDTLDYLVNLGINAIELMPINEFEGNESWGYNPSYHMALDKYYGTPASLKAFVDACHKRGIAVIVDVVYNHAFSQNPLCQLYWDATTFKPTGQNPFVNTDAKHDFNVGYDLNHESAALRAYLKQIMEYWLTEFKLDGFRFDLSKGFTQKNTLGNVGAWGAYDIDRVNNLKRIYNEVKSVNPNAYVILEHFADNSEEKDLANYGCMFWGNMNHEATEASMGYTSNFTNAYHQSRGWNNPKLLAYAESHDEERMLYKNLQYGNSSGSYSVKDINTALDRSELTWVVFSAIPGPKLMWQFQELGYDYAIDFNGRVGNKPIKWDYNTAGNRKDIYRVMSEMNQLKLTYKAFEGNDVKLDLGGTGKRVHLSDASQNFVVMGNFDVTTADLIGDFQHTGMWYEYFSGDSINVTDIKMSINCAPGAYKVYTDKSIRTSQRIGSISQVSRQMLIYPNPSQNELRVVLEKAQATNYSLVDAHGKSLKADV
MKKLHFLFLFLLIFAACSDNNDDPIIPEVPEPPTPSSSIEDLRDGITVISDDSLAFVLFAPGKQSVYLIGDFNDWKVSDAYKMNQVDDRFWIKIGGLEKGKEYICQYLIDNKIRVADPYASKISDPNNDKYINKEYDIYPGLITPTTKTTGLSMVVNTSPSKYSWKVSDFSLQNPESMVVYEVLIRDFTEKRSIKGVQEKLNYIKNLGVNAIEFMPFNEFEGNDSWGYNPSFYFATDKAYGTSNDYKAFIDECHANGIAVIMDMVLNHSFSQSPMVIMYKNENDDNIGDNPWYNKESPNPVYSWGYDFNHESKYTQAFVDSVCSYWMKEYKVDGFRFDFTKGFTNTKGDGNAFDQARIDILKRMVSEIRKRNPDAIVIFEHLADNSEEKVLSDYGIYLWGNINYNFNEVTMGWGHEMGDYEKLKGDISWASYKVRGWTKPNLVAYMESHDEERIMFKNNMYGNTNNPSYNVQELPVGLARTEAAAVILMSLPGPKMIWQFGELGYDYSINAREDGYWTSDYQDGRFRTAKKPIKWDYLEDTNRKALYDVYAKMNKLRTTNSIFSTTDYTIGLGDNFKQILLKSTEGYVCAIANFSVEPVTATVNFGKTGTWQDYFTSKTLVVNESTQEITLDPGEYYLYFSK
MWGGSKQQTTDKKLFASSGCLGFSSIQLFSVFIIGKLLPTQSSSKMEPLLPSRVSNIDPKTIESD
MPVESSNASDRDAEPFVEVDPTGRYGRYADLLGHGAVKKVYRAFDQEEGTEVAWNQVRLYNYSDDPTLINRLYSEVKLLRQLKNKYIIVCHSVWKDEAHSTLNFITEVCNSGNLREYREKHRRVSLKALKKWSRQVLEGLEYLHTHEPCIIHRDLNCSNIFINGNTGQVKIGDLGFAAIVGKNHLAHSIIGTPEYMAPELYDEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYRKVTTGVKPLALNKVTDPEVKAFIEKAIAQPRARPTASDLLKDPFLSEVSQAWFRALPMEVASPLKDSSVSSSPFSSPNVSALLKIKILSCVRVGGKIFNLHKWILNKRLNESNEIDLPPGFPGGPETFEMIALLIYGSSTLIDPFNVVALRCAAEFLEMTEDYSSGNLCERFDLYLNLVVMQSWDDTLIVLQKCQTLLPWSEDLLIVSRCIECLTFMACMEILDHERRHETPVLTLGALGTGNWSCETGREIMNQDVWIKDLIALPFGFLKRIIGSLRRQGMKEKYLSPIIVFYANKWVLSTKTLQFWENSGQRIGYDHKVSVILQGVLDLLLMGDKASRSIPVGFYLAILSRSLEVGLRINTRVKLQGQIVSLLHFAQLEDFLFPKSGSESISSSMELATMESIISANVSSNMESDNSLLAGSTIVAELWYSTRNNQTTQGH
MRKPLWGRKELPFGNEYPDTEASTPDESIVNRISNIVYLPTNRYSYVSQEDKVINSYYGSPQLPSPVQPPSRKNKNILVTHSYQFTQPRPSLVPNFAPRPSSIPSTNTPPNKDSLTKPSPMPTTTNIQRMTATSSNTRAEGCSLPFPAFKVVQSRGL
MRIQNNTRETLSFNLKGKAKDGHPPTGSIAPGEVEDLDVDPEDAQFKGRVVAGAISVPAKVAEKVEATVAVEAPAKGK
MAKITNLTRDTMSFITGVRDGAAVTESLKAGETRDIAVDLADAQLQGRILAGSVGIEGPALAPAKSAARQPAAERRTASTLGGDATVRE
MLNSTQLATVAVSHNVLPQAQLAPLSQLAPLSQLAPLYILLVDDDAYMCELLKEMLSELGIGEVYVAGSGQRGLEIFMTADPKPNLLLCDLCMPGMDGFQFLSNMAAKRYTGDVVIISSYNQQAPESGEWALANYKASSLKLAEKLARLQGLRVRATIEKPISRERLGDLISLIRHSR
MIFSRFGRGGARTGGAVGKIAGAGGGLCFSIERGRPT
MLSRSLRSGLVLALVMTPFAACNGLTDEAPTVEGGGLPCEVERIVRDACWGCHGTTPLYGAPMSLLAASDFHRKSVTKPAERVYERVLARTHDANARMPPPPKKPLGASDLAVLDGWIAGGARASSAACPAPLTEAGTKDREAPPITNLPPGCPNLTLKAAAPYVMPETVPDQYVCYGVDLALPKKRHVTAFTPKIDNAVITHHAIVYLSPYAESGTPHVCGGGGAVGQRMIYGWAPGGGPFELPKEAGLPLEGTVHLVVQMHYSNLKKLADQSDQSSIELCTTDELRPNDADVIAFGSTTFQLPPRASHRVDCTLDIPANVPELTVFRSMPHMHTLGRSIGSVRERAGVPTPIDEVTAFNFGAQAWNDVSASLKGGDKVHTYCAWTNAGDTPVFFGEKTSDEMCYHFVMAYPRITAPGWSWDAPVSLADCK
MFSLLLLAAATPAAAEFNGTLAPYVHCLRTQSREPAAPDDSTGEFSPERWPAAIIPSFATAIRYCEPLRAPAMERAREIVRARHPDWEPDFVEQAAQRIMARIELRMITVSLELPTTSHGEIEDW
MGNTGKKWLKIGLGILIGVFIMLFIAQWYIKNKLTSYIENDLPKTMKVSYSDLSVNIFSGSLEVNELQFFRIGQTTNDTLMQLKLHQLLVKNVGYWSYLVKNTIHVSDVALENPTVYYNHNPKVQKSAYKSESNNSFEKTVIVDGFNMENGHINMYNLETDSLMLHVKNTHFSLKNIRFDAKTQKQKIPIAYNGLHLMFDSLFFRMSDYDDLRIGKSEIENKQINLQDVTIKTKYAKKELSRIIPKERDHYNIRMASIELNEFDYGFRQDSILQIKSPQVLITEIDADIYRDKLVADDMSIKPLYSKMLRDLKFDLAIDEVKIVDTKISYSEKVKADRQAGTVNFNDFQAIIKNVSNTYVSPAKTTLDIRTEFMNHAPLHANWEFDVNNMQDRFLFQAEIDLLKASYLNTFLQPNLNVRLEGELEKTYLTIDGNDNSSQIDFKTKYENFDVVVLREDGKEKNKFLSDVVNIFVSKNSNKRKSQFVEVTKQGVERNKNQSVFNYIWINTRAGLLKAMTFE
MTKPLKIVVITAITLFVISIIGYFMAQAMVVSKLERFIENDLPESLSISYHSIDVSVWDGSVIMVNPKIINRGRHSAKNNAEMELDTLLVDGFGFFNYFKNDHIHVESVQLRSPKMLYNHDSAIPKNEYRYSALEQLKKEIKIGRFNVQNGELHIKDIATDSLLLYTTNVTANVMDIRLNSNTVKRRIPFNYANYNLSYNDLHYKIGDYEDLKLSSAVITQDKATFKTIHLYTKYSKIKLNQMIAVERDHFDVRIPSLTLEAQNFGYKNDSIFYFKSPKVTFDSPEMHLYRNKLVADDYTQKELYSKALRELAFELTLSNVILRNATIVYSEKVNADMEAGKIAFTKMNANIKNISNTYKSPEKTSLNIDAVFMARTPLKVDWQFDVNDVNDVFVFKVDIGKLPAPDLNPFSQPNLKVRFEGELLKTYATISGDVNTSRVDMKVNYEDFKVVVLDKEGKKKKKILSAIANLFIKKDSDAEHDGFREGFKEGIARDHTKSIFNFLWLSVRSGLVSVLTGDGKK
MNLTPDNLRTITHEIVKDQPNVDSHKLMASMVRTVIRLNGWNASEAYQWLGFVAHNYREAIEKECGA
MAVYILEGNIGAGKSTLLRKIKKAGTDIDVVFEPVNTWHQQHTGQSLLGNFYKDIPRWAFSLETYAMACRVKEHLKEQQALNPYRLLERSIYSGHYCFAHNSYQSGGMSAIEWSIYKQWFNFLMHGQCRLPFGFIYLKTDPETCFERVKKRARSGEQNITLKYLKQIDKVHDEFLIHKHEVLPELEMIPVLVLDGNKPFEKCDILFNEYCDQIEDFMHKTHLRTTSKERQISL
LQRCLIKYFILQISPVRMMERSIHSAKYIFVENLYRSGKMPEVDYVVLSEWFDWIQNNTDVSVDLIVYLQTSPKVCYERLKRRCREEEKIIPLEYLEAIHQLYEEWLIKHTLFKVSCPVLVIGADHDMQKMIEKYEENRDQILSPCNMQQHL
VELNNPEGSSAGKGLGQSPSGRRFEPQQGHWRHYKPAASPGPRGTNRGGPALKQRDPVGTASRVRKATPGNHELFGISSLAWRGHLVHVIVPQRGGDNWGVYIRFFMTINNSLHLQLGLALASLGVITSLVAQHMYSLPAFEFIAQDFTTQVALYTHHLYIAEFIMIGAFAHGAIFLVEITIWSKMKIMYCKNVLIGTLAWAHERTHLANLIRWRVKPLALSILQEILVGLAHFSVVFLSKGRELTIETLAYADTYFVKWFMHVCCQNQQDQVGKD
MVDGQVGGPGARVPEVVAEEHRGDLEHVPAPHQEVEGLTAQKGTFKLVNATQIAVQLFPMNINTIYLQEIAQPPHTLLIASFQGSFFFPEEERP
MSIDFFTARCSGSSDKRIFGLCDKAHPAKEPAYIDEDNGKDWIAVIENNNRETVQFIAVDHCIDLLKVDGKMDSRCDGMLYYNTTVIFVELKERSGLGNEWVKDGEKQLRATIKHFEQEAEAVNYTFKKAYIANSGHPKFKTTQAGRMEQFLADTGYVLRIENRIAID
MEVIEVQGTFTELGEGFGEACRDDIRGLYEARVLNAIEQAKEYGGKTVTEGHLIAIARASLPLVERYHPQGHEELVGIARGAGMDLVRVWVMNALTDLRDVAAYTDVALWAAPADGEGCSSFLLGPERASDGTGYAGQTWDLSTSNMPFVRIVRRRPKAGLATTCLTTVGCLSLIGMNEAGVAVGTTNIRTLDARAGVCYLDVIHKALHQGSLAAAVAAIEDAPRAGAHYFYLMDASGAAVALECSAAASARIPVAGAYVHCNHVLAQDILPLESQGTPVASSYARQGRLASLLASKPAHTSADLEGYLADHEGGVNAICRHDYNGISSNGSVIMNPATRRLRAVHGPACQGEWRTYEV
MAVQMQHAKNQEAADPLVDSFDGMSDMSSDSDNAQPTNEDTNEGHEEPKKQSQPALTKPKPSKKRKSGKKTKLDELMEQATKMMDEAGKASRTHRKYLKNMAADKGFDISSDSG
MTMPDPHPAEISGEDAPLENTAEPTPAVKIAATGTDRELAGADRTPASPQRQPPPERPRPSLATRPDRTGPAPADDKAAPLDKNSPAPAPRPVDGGQLDEPQEGEGRQMD
MIVVPLSMVGLSVHASESVRYPFSEPNSSVQFGVVNHGDKTGISAQLLVPHGTVTYGISADRTTDDTHAIIGVGKSLAHGYVYGTASYANENHHNTDLSAYGASVGGAYLSERANIDANVIVHRGDDKHLGSSTTHQTHTSETTAGNIKTITTTHTTTTTTRTFDGDVRGYANVGATFDVGQHGEIRTGLRYEFGMDSDTHANVRYQHYYDNDRSMAYVYADTDSRYETGIQHSIIGTPVSVNAHLFHHRQDGKSDNGGGIGLTYHFGGGSSLRGKRGTDSSARMNAHVRQVISTASPHSVKEVDRLGTVVTNIKTETSSSEQQEILDTITAFTTPKVVVEGDTIRVTHHGIFDSDGLENIQYTLTTATGTTIVSKNGVFSGLIDGDYTITTTAEAKNGNTGEFTPAINPNEAKVSIKTAPVTPIMTPDEFNNIISKLPTNLHDGNAYKQIHIDLKKYLPDDIEITGYDLNLLA
MSIKKGLESMNSFFQRIKEVRDKLGAVAVCVDEEKLIHLALEALPPEYDAFCSAIRTRNDVLTIEELNTLLNAKKKSIKKRSNHSVLRDSASFAMAANQFNQNFAKGRGKVGNIRGRGNGRGGGNQFSGGGQFYHNQFQFPGSGSGQF
MNIFQIEIFWDSKLDMLSVHETPQELLPEVVLSIEAGNPFANEGDEVAFVAYRYRRWKLDNDMYLVKLETQRGAELKNNANKLAKGTAQALLNFEHY
MEYQEIKRTMNTMLGIPSQCITAAVLARARVQVLANICLKINAKLGGRNVEFPEGTLPLMHDEPTILIGADVCYPKSDKGARPPISSVTASLDRHSSVYVARLSVLSGRNDFSHLPQMLWELFIEHYKHSRRQPKHVVYYRSGVSTSRIEDVVKGEMMALRRAFRMLAADYEPRVTFVVANKHHHVRLFVSDGGGRNQRDRSGNPVPGTIVDSGTLADPQRLEFFLMGHSGIQGTSVPTQYTVMVDENNLSADQAEELTYRLCYSYARCTRSVSIAPPLYYAQLAAEHALGSLADVSTDCSSTSSNMASTYTLAGLSDKLGGVMFFA
MTELIIYAVVFVLLIGHCLFAGKMYRAVHENSRLTLSEKNDWKLKALIFPIYFWGKYKNAKG
MQNQRESNWCITFMNKHTVDLRGLAIKADADADIKRTAQFARVKCGDFFPEDAARLMKKDENMERACECLMQLFRLCATDARSAHSKRIEAEKLLDFVFENCHPDSFKNMRLTLIFLIPIKMLLGRMPSRELMEKYDLCQFEDIKKAVIAGHMGNLDEAIELHSDFFWRYGIYLIIERLRPIALRNLFKKVAKCAKSHLVDMDLMLKAVRLVQPNEDVRMEEVHSVLSILKAPSLNSLGSSLLSSSDSLTLFLFSFVEDLANLEAAQIVRNHLAAA
MTRFRVGSPTDEDIRELCKRFITVQDVEYKQDIVPPAATLPHAAKFFVEKLLLNGKKDRKAIALFPTNKESDKFNELVSSLIVEDESQFEIIRAEDEDIDISARPRPYSRKYLRIGDLPIKDLKNINSIVVPGDKNAEIGKHRNVSKGDQKTKRKLNQNLPDELKIFVDCRVMLRRNVDVSRSLVNGSLGYVTRIEKNEKGKVVSIFVKFDDMEEEVKIEPFTVTYQIGKRANIHRSRKQFPLIVAYGITVHKSQGVSRDNVLAKLDEAFAPGMDYVGLSRVKNINGLYLIDFHPDAVYADEKCINEYNRLKEANNLPLLPKANVKPPIASIFPKKVKVVTVQVNENGEPTKKLFMVESNIVLMKVDCIVNAANVKLEKGGGVCGDIFNAAGPELEIEYRNITSKEKSTAIIGRLDHLIETHQILKVNLCETTPKC
MRDLLTQVDAEAKNHDTEKSAPRDPDWPEKSCELGLAWAGRWSLSMGLAVAHNSLETIAAGLKWKCDKIEISKIGFLPEKEVMPFGFGDAGDRGREETCRATMEDGKVEVPLHRFSGHGLLVEGGEGGSLSKTIPGLERACSTIEHTAHVVGQLGTYADIVGGCWTQGTAIANNGRLTVVRDKLAEVQDRLVTLQRLVVEGNLAVRGGLRTGSLYLPSEEEGALDLVRGYTREAIDQTEASGYHMKPEIQKLWSQAEAFRADGNYKLAYDRYRAAYSRATVKSFKQLGTPSN
MASYIFYSLLILSTVLPKERSASQDNDGCTEARCGENEPPIRFPFRLEGRQPPHCGFPGFDLSCTNSSQTMLELPNLRLKLLVTEINYQSQSLCTYNINPYSINKENLKFLNRLVSPFQFYYESSMLLKCSFNDTDNWMLGPPIPFLSDSGYFVYAVSIEYYTNFEYWDSIVLCSRMFNLPVPSAIFQPRETVDLSWSEPDCEYCEAEGKKCKLTTRLPYWNNETECFDETRKGGHRFKFPSVTAVILILW
MVTCSNILVVTILILVSNFNIGIWLVNGCSGRDFRCGPDGPPIRFPFRLKDKETEQSCGYNGFDLTCSDTHKTQIEFPSPSGAIILEVMKIIYPDNRLYLTDPENCLPRQFVKLLRSPISPFQFFESPGVGYNFTILHCSSSLCPVIVVDSSDTLFNSGLDPIDCTKTSDSDFTSSDFTSELVLTWSKPNCSKCEKEGKMCKLKNNGTEDGEIECFDRHHKPTKKILLYVTGEDETRIEKFLEDYRTLNPARFSYHDIKRITNNFREELGEGAHGAVFKGKLSNEILVAVKMLNNAEGDGKEFINEVKAMGKVHHINVVRLVGFCADGCYRALVYNFFPNGSLQNFITKPDDIDRFLGWEKLQQIALGIAK
MTSLLASEITGADSESIILDQASEAEVNNYWSLNYDEWGDNRSEAFHIAKEKHLCNQRLTRDGCITHWVLVDKSRSTEGRCVLAHCDTIKKRAFIASRNELGGSIVEDKVVFGIYGVFTFVQYRRRGHDELNDLKKEFYERLGYKAYPSTHISLTVVAPEFHSTDDKSMLSTFDGISIVPMHAPDLSNICLRDTALLGERLQQMSFPEGVSYRIALFPDEDTMLWHHSGEEIFGEHFLNICSNTSVKGVMAETKEGRLWCIWVRDYDRVKEKNVLHILRFVIEEENKEEDATVMERRRANEGQGGIETGIREILKEAQKQAGLSGLVKVEIWNPEERVVKIAQTMDQRVKMEERDYGVSCLRGYGGFVGGGLEWVEDQGTSEIIVMTFTISHALPAQSTQTSSPPSSPSSSSGSESSYPRPTKRPRLGHNAIVTPGELVTTDPQWMRGHGTYHPPLSSDTPSYEPNTILSSLAGTLTRTNKLLSISPLRSRYTPEIGDLVIGRIVEVQTRRWKVDVSAPLLAHLPLSAINLPGGILRRRTATDELAIRSFFREGELILAEVQSVLGDGAASLHTRSLRYGKLRNGVFMSVAGQGGRTGGVVRARRQMWTVSMEKFGKPEAGEVEVFLGVNGFVWICKQGKGVDAAGDGEGVEGGPMSGGQRIGISNLEEKVGEGMYEARNEAVGAETRREIGRLAGCVRALVDGGVRVDEDMVMMAYEAAVESEGEDMDEDAEEEEAGDYLGGEKGSRVVGEVLTRLKAR
MRERVKRKGGGRRRERTSEEERWREKRREGTSEEEGEKEIEGDEGTSEEKEKEREKRREEGDERTSEEESTSHYNFQHIISHQNFQHITSHHNFQHITSHHNFHHITSHHNFQHITSHHNFQHITSHHNFHHITSLYITSHHITISSTSHHNFQHITSQFPAHHITSQFPAHHITSHHNFQHITSHHNFHHITSHHNFQHITSHHNFQHITSHHNFHHITSLYITSHHITISSTSHHNFQHITSHHNFQHITSHHITISRTSHHITIFITSHHFTISSTSHHNFQHITSHHNFQHITSHHITISSTSHHITIFITSHHFTISSTSHHNFQHITSHHNFQHITSQFPAHHITSYHIVASITSYHITHNINRR
MWGYKDTGLWGYRDTETEGRRDMGARGHADVGLWGHRDMGPPCTILLSLCVPTEPHFVASAYIQESAGSSTGDDDKVYFFFSERAVEYDCYAEQVVARVARVCKCITDWHRQNGFPSSLALPDNTLNFAKKHPLMDEPVLPQRGRPLLLKKDSNFTQLVVDRVAGLDGAVYEVLFVGTGDGWVHKALNLGSHVHLVEELQVFEPAQPVESLVLAGRKKLLFAGSRLQVAQLPVADCGRYQSCADCVLARDPYCAWSRNGSRCVRSDGLNGSHLVQDVLGSDTRACAMPLVGTQGAITPKNVTVAAGTDLVLTCRLGSNLAQALWTFEGRALPAQQALVLHDGHLRALVVPGAGAQHSGTYRCVAEERGARLGGEAYRVAVLPGAPQETRAPLESAGLAGVXXXXXXXXXXXXXXXXWVRRVWCCCWPCCRFGGGCVRSWGKEPRPSRAPWCTPSSCPRSRTAHVSCPAPLRIRTRSCGTRPVITARMARSRSCRVTPCAVTAARPVQPRHRPPSPGNRCILPPASTWVLCAAPLPTATSAWLWVPRSGRRAPSWPRSCAESCSSVSPCPTPTPRSLRSEPPDAWLHLPQGHCDGIWAPRPSLGTATTFGTASGTAAGDGAQECHCHGGLSPGMSLPLGPRPETSPAFGELSFGIEPRDGLSWAAGTAVGVCRSSWGRWGPRPTSGTALSAGAVPGTLTCPGSTSQHWPWERSPGHWDPSGDPRYGPSPTSPP
MCTRGWAKTTLRITYLPVRPRGKHGLHRAQHCAAFYCQGGKIPREYLLLKFWAYTVEFTVLEHPDAQAYSSYRRTDRIRGPNGELQCAGIRPNDPQPLDAMAGRYECVLLSKADGHANEFNDAYFWESLGKPIWWDMLIAWDESVAERRGLNFMSPYRKMAWSI
MEEEKVEMAMATNFVTLGELWSSHVEMEEEDEAIFSRIKVLIENHLPDGWRDWKVIDWKKEDLFGKKIDHKVLNKIRFALPTLELVKAFYPEATSVGEKTYRNIKLRLLDWNLCRALIMSPRQRADVGGPFNYTSRSKRRRCQSSSSDDEYPVRTEKPMKRRRRVLSSSAEEDVNETRLRRVESMVENLYHLVSGNKENDGSVMNSTWQAPTPTGEELYDADSIGTCGSVNDWDTFSPVTREIEPTVPRADPVIETQGMKCQRFGEETWNKIRYVDAQKKLHASPVFSTLKVNSSLYNKRAAERCG
MGIASDAGEGPRFVPASAEDLRGFTADASPLAHYMTYGPGGPGAVANDPAEQLGRREALARLIEGTF
MKAEERVQLLRSVPELVGLRDAARHFDEVRVAAGEMLAEEGALCHHYLVVAEGTLQTQRRGQTGELGPGDSIGFSAMRSRGVNPAGVVALTEARVLVMSHAQFRAAEPLPPKRRLHLWALPSSRRSLPRRPNLRRA
MLSIAATATRSQGHCQFPGCGTPLPARTPGQRGAPRRYCDNLDHTPQKALRLLRYAGAEVAVQHPSLRPVADGVHALADLLDRYSRLREELAALGDDVADLLARLADSTAVVREIDEIQRQADHRIALAEQACAAAEQAQSDVAGRMACAVETEKLALATACEARALAEETSRRSQEVEADAAVRIAAAERGREQACQDAEIEVAEMRADWKLPGWFEPLWKPNAIHFRR
RPLPTKLFKKMASRNRFVAKPVSFELLFLELRGPLNGLWFECFHSLKVDKTKLLQIRDKYRKDPKICVIEGIRAWLENTDPPPSWESLVPVLRNVLLETELADRIERLYVDPSKYPNYQPRMPTFSTSNPKITYEGVKLSFGPPGFSNTAFSSLLTDMMDQYNDGGSCYTLHYAISSLCCPDGTPYIDFEIIRSTMSIDGIVMSLLFHNYVSDMDVDLIIYLMKVLQHFDAISFSLQIYYKERSLFQPFVRKILNIDAIFLITFEFQREVAVPDFQLATNLKERVQIICNLTSFPYIMQFMGWSVGPLCLYYQLPYATMHLVRKSFQTMPESLKELKINKVNIEVGSAKDTLVTQ
MRILSYKNVLFFFLLVSVVLESTLISFPLFLILSIILFLLDNSVKTMFYIFFMSLILDSVHAERVGVSAIFIFTSFLLLNFYKKGFEITDLKAVVFVIFISSFLYSKVVGYNPGFIFSIAAVTFFSFIFLRFIRRKIFLW
MGDHVSEIAWDKVDSALTSSLLSKITNSLECSICSEIMLAPMTTECGHSFCYECLHQWFKNKINCPTCRHEIQTKPALNMKLNEVSKSLAELIIDARLDPNLESFSDRKKEAMSKYESHAKMKRVFGELFCGYSLTLIDNSDGVPRCGNCLWEAHGTVCLHCGSRFRNSDLIRGIDDDDEDDEEEFYQDVPPAEDNYDSDDSFIDSRTAEEILEEMHDSGNEESDEQHNASSSDEGEDGATTFRNWLNGSNPRSHVVYISDTDSELEYNPRIYSDSDDDSPRQVHFGGGPGGHVLIDLDADDEDMDAELIDALADFQHSISEDEEGDEFRSLHEDHEREYDDEDQGDNDDDDPDPDEYYDEYEVDDNDDGDANDCDDQNDYDDYSYDAYDNYDNGQG
MRPRESVLFPAPAPALHDIMDLRPYILHCDDALIVLNKPAGLAVHPGPRTRTSLEDGLASLRLGRRSSPTIMHRLDRDTAGCLLLARTADARRWITQAFEARAIAKTYHARVVGPLDPAEGMIDAPLAKISSAGAGWRMLVRADGDPASTRYRTLADGTIELTPETGRTHQLRVHLAHLGAPIVGDPVYGAGDGPLCLYARALRIPRRDGTLLTVEAPPPAHMA
MNLDIHYEDPDIYVINKPAGLLSVPGRGDDKYDSVQSRCQISAPAAMAAHRLDMATSGLLLIAKHKAAERHYKQEFAARRVEKRYIAVVHGSLADDRGDIEYPLITDWERRPRQKICYEHGKTAHTSYEVLARDGATTRVALTPHTGRSHQLRVHLAAIGHPILGDEFYADENPPAAPRLLLHAETLAVTNLHGILQRFHLNPAF
MSEIYFHDKNNRKQTIDILFENEQVIAVNKTSALPVIPDRFGLFKYNLRDLVSAYLKKGDETAEAMVVHRIDADTSGVVLFAKNSDYHRHLNIMFEEKKIMKIYHAIVTGNPTNMNGKIDLAIQQAGRNNSRMIVSQEGKPAITQYSVLESFEQFSLVELKPFTGRTHQIRVHLKAIGHPLAIDPVYGLDRGINLSMLKKGYRHKDKQPANLCDRLTLHAYSLLFNDPLTENTVTIVADPPKDFQALLKALRKYSLKTDHNLAAQ
MPPRSPLPPRHGLNAAWVRLPHAGTVAEHEGSMRDWLHYRLPPRNLEATGVHAMADLAVPLGDGQQPSVDVDGFLASGRFVWQDGTAVRPDDTYRPHTFVWFHRDLREEPVVPGDLTVLHQDERIVVIDKPAFLSTIPRGSHVVQSVVVRAREELGLPELSPAHRLDRVTSGVLMLTAAKRWRAAYQGVFENGTARKTYRAVAPYDAAREQPVWVRNHLQKPRGQWQGEVVPDAPVNAETYVEVETRLDDGRAIYKLTPLTGRTHQLRLHLHGLGIPIEGDPLYPRATGVSVDDFSTPLQLLASELAFPDPVDGSERCFRSAKLLPL
MLGREPTMTTETIQVPSNIPPPARQLANVLRNVLGIPMSHSVWLIENNCVTVNHRPCRKSHLRVEPGDLLQIDRIPMPIAQPTARKSAASRGAIEFLHDDSDLCVVVKPANLLTVPTQYREGQTLVGRVERRLKDQDARAKIFCVHRLDRDVSGVLVMAKSLAVAEKLRSQFAQRKPDRQYIAIVAGNVQNDSGTVRNYLATDANLNRYAVADPSQGELAITHYTVRQRWQDTTLVEVRLETGRRNQIRIHLADLGHPILGDPRYRRDLATHRAWPYGRIALHAESLGFVHPTTGLPLRFVAQWPQEFRDCQRGVSSHRKGGKEGRSDRETQGD
MAYEIKQIKLEKPRKVVYAITDALGITERASKRLVDKGRVKCNGTTVERKGEIVDGTLEVLFYEPTPIIDVEVVFEHEDFAIFDKPPFMLVHPNGFNTKTSLLDNIKNLYGLSANIVHRLDYETSGLVIASKNKKSEAVLKTMFENRQVKKQYIALLQGSLNKTIEIRTFIEKQNINGEIKIKQNSSNEGKEAVSIFEPMEKIEKLSATLVKVSPLTGRLHQIRLHSAHIGMPIFGEPIYGNTICTAGKYLDKKLSKEERLEQTKANRICLQAERLEFNYLGESIVATSKLNAKSDFLKAVRGEE
MQANPTSAERQNWIATQAERLDLFLHAQLPDQSRARLQAQIRAGAVRVNGAEATRPGAVLRVGDLIEMQAAALAPVAPHAEAEAIPLEVLYEDDDLAVINKPAGLVVHPGAGQARGTLVSALLHRYGRLSAAGGEQRPGIVHRLDRTTSGVIVVARTDFTHQQLSAQFQARSVVKIYRALVHGLTAADGDIRLPVGRDLRQRVRMTTRRPEAHARSARTSFRRLAAFPAPQDTPAKLRAACSFSELELRLFTGRTHQIRVHLAAVGHPVVGDRLYGAAATLAGPGALAGWQPPRVMLHAAELEFTHPRSHERLHFRAPVPVEMENLRLALRSPVPDSGTQAPCRATPAGVESGRQRAAKPGARDQAMS
MSHSTPVEPTFTVHEGLEGKRLDTALMAMSGRVDTSRSKIQTWIKDGLATVDGLPCLKPGYRLKAGQRVFIEAPPSPQGRTLEPDPTPLGILWGDNDLAVIDKPAGLCTHPAPGIDEPTLAHRLAFAFPALAKPGDQRPGIVHRLDKDTSGVLVVAKSQAARLALARQFAARSVEKEYLAVVHGRPGPDRGRIELPLGRHPEIKTKMAVVPKGGRPALTTWEHVWSPPGNGHALLRVRIFTGRTHQIRVHLAHIGHPILGDRVYGPKSSVIPGNHPLLGKLVVRQLLHAWHVRLDHPLDGSPIDVRLPPPQDFMRVLLLLQRKPMVVALTGLPGSGKSVLATALAAKGERVWSADDHVRDLYRQDGDGWEMLRRSYGSRFLEEHDGQVDRQKLFQAMIEEPGLRREVEGLIHPLVAHGLETYLASRSKDRVIFAEIPLLAESRHLDKNRFDLILGVFRPENERLAALKSRGWSPEVIAAMESWHLSAKTKYQSCGLIVDNSGHLERLEHAADLVSAILVRLRARISRRLKTRLDSFFATGQFS
MLHNFIVAEEFSGWRLDKFLTHWVSADSRSEIQAFISAGQAQVNGVVVTKKGYALAKGDAVELEAAETKALAGASEDIPLDIRYEDQWLLVVNKPVGMVVHPAPGHAGGTLVNALLGYGAGLSDLGGNFRPGIVHRLDKDTSGLLIVAKTNACHLRLAEMLKAREIERIYLALLSGKLPQTRGRISGPIGRHPRQRTSMAIVESGKQAVTDFRVLRYFRRHTLVEVRLQTGRTHQIRVHFSHMGRPVAGDIVYGPRDQKKNYAGHMLHARTLRFMHPFLEREISVTAEPPREFLDLLRQL
MPRTVSLQGGERLDKELCQALGISREAAKRLILSGRIRIGGSTKHHPARRLGGRTDAEILPPPSPADQPRAPARTFQIEVLYEDHDLLAISKPAGLAVHPGAGREPVTLVDLLRSKNVPLADLSDSSRAGLVHRLDKETSGILLIAKHNAAAEHLMLQFAERKILKEYRAAVRGRLPRQKMTIVGAIGRSPTDRRKFGIRHGGRDAVTEIEAIGVCPTATLVKVVPKTGRTHQIRVHLRHAGFPILGDPVYGARAEGVERMLLHAYRIVFTHPATGARIQAIAPLPKDFVLGLIRLGFPGFD
MRHRLAAFLEDEILARSSTLAPKAIANLSGLLYGCGVRCEKNRAVMQLRQSAMQKLQAFSASELVDLASGLANSRVMDESCLQTLRKRMDAAASRGDSDAGCSRQLAAPAAPACERDWPLPCPGILADLETAVVLSKPPGWSCATSISGKSLLAEGRKRPPTISHFLQRLGWERPVSQDPSVDHGLVHRIDLETSGALLAAKTFHSYWRLRLDFSAQDVRKRYLALVHGFLPRQWQRLDMPLQLQRIPHSDSLRSVRSKSVVNHDFGRSAETGIRAIAHLHGRGGGPMSLLLAEPRTGRTHQIRSHVSHIGHPIVGDSLYATSDEVVDDSCQRVFLHCFALNFLEPVGTHDDREPCAVPRRSVTAPLPADLIQVLRSMAPADRRSTEALEELEEPSTSWSQWLEKERRE
MNLEKPKVEIIFENENYMVLNKPPFLSVHQDGKRKEYTLSDWVLENYPETENVGEDILTSNGVVIKKPGLVHRLDKNTSGIILVAKNQKTYLFFKELFQERKIQKKYKAFVYGKSVFDKKIITAEIGRSKNDFRKYTTGRGVRGKTRDAKTEYETIAKNDKFSYLLVSPKTGRTHQIRVHLKYDNLPIVADTLYAGKKVSENEEENLFFQRQALHAYSLFFIDQNGEKKEFIAEVPQDFKKAEKILGL
MATKGPQARFSVEEGEAGIRLDRLVAGKLGLPRAVVRELFSLGRVSVSGRPAKATAKACPGEVVEVELPPGPTGLPQIPVLYEDDELVVIDKPAGLPVHAPGAGRTLPTVVAALSRGRRLAGGGPERPGVVHRLDAATSGVMVLTKSKAAYSALVEAFRRREVEKEYLALVEGELEVDEGEVQGRLGRHPRAPWRMRVAAGGKEARTEFRVLIRKEGKSLLLVRPHTGRTHQIRVHLAAIGHPVVGDPIYGRAGERMYLHAWKLGFYHPATGEWLEFTAPVPPEFRAWFAPTGNRGRPGSSWPPTAKTG
MNSKLSFEAPADGKIADLLKEAYPHMSVSQKKKWLSGDIYCNGKQVRSAGQKVNKGDLIELGHKKKVKSEVDKAMKLPFKVHYQDDRIMIIHKPAGLLTAAQKAKEGMSCEEIINKAFAKNNIKRKVSVIHRLDREVEGLVSFAFNRKDREAIMEYWPSADKRYLALVEGHIKNESGEIVTEVTEGRRGKMEVTKNKDTEAKTAITSYRLLKRLDDHDLVELSLVTGRKNQLRLHMQHLGTPIVGDYKYGADDTYQRQIRLLAYQLKIWHPGKKGWVSVNIEQPKWFTRLNPEDENYKKNWTKFIKSGKAI
MFTYSAQDLEAAIIFEDSRFIFINKPTGLAVHGVIQQECAPDSLGVIELLRLSRPDVPYLELAHRLDRPTSGVLVVAKRKSALRAFQKQQENRGVDKHYVALVKGVWQGGHKKVDTPLLKVKHQDGSWSVHVDKAKGKRAVSYFAPVESHEDTSLLRIKIITGRTHQIRVHASSIGFPIAGDNQYGDKAFNQSEAYKRLMLHAESIKFSLPDIAEYDIQASIPF
MSELVNKIDQVLSSNYWYFAFHEGEPCPRTLLAALKEKLPHIQAESWQERLEFGGVYINGLSITNDVRICSPCKIEYYEPKYDYKNAEKFFPEFDPQQIVFKDDYIIAYYKPVGLPSIPTKEQARYNLRSYLQNEFNSSVHLPSRLDVSTGGLIVASVNHETHNELQKAFESRDVDKRYLLETSEHVAWQEKTEDSSIGRDPIHRVLRKAVNTGGKTAKTIFSLVKHQKYNDNDSSLIEAKPITGRTHQIRVHTKEVSTAIIGDMFYGGVKANYLRLLSYSLEFPHPKTKERLKISIPDSLLPIWAK
MKNSYNQNNTSQVDYKLNEKIIYIDQDILIVNKPSGLRTIQDGYLPSIPNLKSILEINFGKIWIVHRLDKETSGVLIVARNKEAHKKLNTQFENRTIQKTYVARTHNIPSWSTYQLIINLKINGDRRHRTIIGEKGKISQTNFKVMDSNSITNFSLIYAAPHTGYTHQIRAHLAFLGFPIYGDILYQIPDDITAKTLNQNASRLFLHAVKIIFQHPVLNKEMIFSSPLPDEFLLQ
MSRSLPLVKNHPRRDEKDLYVETGYKILYEDEAFLIADKPAPLPVHPVGCFRQRNLLSLLQNAVGANGSGLQIVNRLDSETSGLVIVSRSPEIAGRLGVLFEKREVLKEYNAVVFGIPEKPKGSVEVPLGLKSGGVHNIRVPDQAGQDARTDYEVLSVAGEYSLLRIIPRTGRTHQIRVHLAFLGHPVVGDKIYIDPSIFERYIHEGWREDMRSVVKSERLLLHACRLEFRHPVTKKKMIFTSTLPSCFDPFLK
MPVKKLEVDNDNIDRRLDNYLLSIFKDIPKSKIYSIIRKGEVRVNSGRVKPQRKLKLGDIIRIPPYLDNEKKFEQAKAIPANIIKLIKNSVIYEDSNYFVINKPQGLSVHGGTKNTIGVISVMRHLFSDSIDLCHRIDKNTSGCLVLSKNKTSNKHFNEELKKKTIKKKYLAILKGHLKSNMQINIPIDKSFSTNAKSFVSDNGKQSLSNFKILKKLNSSCLVEVRIYTGRTHQIRVQSQYIGHPVLNDDKYGDKEFNKSPLLKNTKRMALHSSEMEFIDQSNKTIKVKAQIDKSFNELLELLK
MSKVENCRVSEQESGQKIISYLKRKMGRNFPDSGLMRLIRTGQVRVNKRRCKAFQRISTDDIVRIPPHQNTQKVEGPNNEPITIIFENQDFLVLEKPAGIPVHSGTRQLDTLVSRVHSKFQDTKFKPTPVHRLDKDTSGLILFAKSYSWLRRMHDIWSTPDLRKVYLAWVEGSWQDNGLKMQDKVEKKYHGVCTEEQGKTALSKVDCIVTGKDFSLLKIVIFTGRTHQIRFQLAKRGFPVVGDKKYGNGLKYGQTMLLHSYKLSWPEHDFVLLPRWKDEFNIPKKIP
MFSPIAIDPRFVLVDKPAGVSVHRDDAKSSYFDAIRCELGGGYLAPVHRLDKATSGLWLLARTPEAAAELSGQFAERSCDKIYLALSRQKPSKKQGLVEGALLKSRGGNYRLARQGEPWSQTRFFSYGLGDGRRLFVLKPLTGRTHQLRVVMKSLGAAILGDERYGSASDAVDRCYLHAYALTFSLNGERFRYTLPPRQGQYFTDERVIAKLQELGDPTELAWPAGKTEKAPANRTEGE
MRACLARPTVAAAAGPSRPHRSDYSRRRLRSIARVPSRASTPARDATTAADDAPGPASSPRAPVVIHEDDDVLVIDKPAGMSFHNDDLVTNLPGVLSTVRALQAKGELRGSDYAGELHSVHRLDKITSGILLLAKNERVASWLARQFARRRVHKYYVALSARKPSKKMGTVRGDMAQARRGTYKLTRCSSNSTPAAVTKFVSRGVRGASPGGDRPLRVLLMRPLTGKTHQLRVCAKSLGAPVLGDAAYGGADTNKNANKNDGAGGEDRGYLHAAALRVAMPGGNVMEVVCKPTEGREWTHASAGFHDAWTSFGFDELIGADEWFGGSVGSGLLASRRAELFEDED
MPPLTVLHEDERLLVCAKPPNLLTVPPPGGSGEGEDTLAGRLLREGHGRLFPVHRLDRETSGVVVLARDKEARDALMDVFKRREVRKGYIAWVQGHPEPPHGVLRFPIKDLGVRAIVAPDGQPAETRYQVKARLGPCARIEIDLLTGRHNQIRIHFCHIGHPLVGERKYARGKDATVRHKRAALHASWLRLLHPFGGERVHVRAPVPQDLRNLEERLRGHL
MSRNSIFWTPPLSTPPPEPVTPSAIVQVSEPNTPSNASPMGLGISVAKNASPKRTVSASVKRSVSASINQAALDTLRQAADLGTPWDEIASPVPIGDLSNVLIPPNDVTVKADFSAKLGEGAYARIYAARVTTGSFPVPIAVKMGQSTDLLAEARVLSYIEILRQTSAGSRFSDLSVIRFFGLNSIIPGLILEQCSWNMSYYVDACRQAIIHENSIRVDLPAAPLTTSSAGSVFSIDNPATPPLPSRRYNSSHGTSSSADYTTSPRLSDMMNMTPIADDIRLKHELTSSTSGGPVVGAFHWTLWARKLLDALDFLKSSRIVHGDIKPSNILISADGLELKLCDFTSARIVPDDPANDNTPPDDGSHSSPALTIGFAAPELFVDSNEMATYSSDLFSLGLVLLYAATGRAVYDPSSTGPDDVVKFECSPVSPYNDMNLSQVSPGSNKYTYRRNQVQYRNAKQGRPLDLLTESDHKRVRFPAAPTLKMMLVDRVPVETLCRL
MDTFNTIAWLPLCAGVSIAGLVLAFLAFRRRGAAAGMRVTAWALLPLAAFLTGALQALWTVGTTVAGFVTGLVFNPGVWAGVALAGLSVVLFVVSGVIRGRKLSAGRKNDADPPSERSARPAAGQSPQAPA
MQEKADMKMSPTDCCVTAETAFNERELYKTLKDILTAYENNEASNEEGLHDINEKLSYFRLNADLKEEIETRLNNVQTLRQNLVEIEKAESLRHVRQLKRKIRSFKSTPLKKTAMKQLKEYEEKFSAETLNNNEPAAKQTALITSIPQCNSPEQVAEILIKHLDSNYMNLGKEGRLYVAGLIMQQREQFKSSQDMRDTAYSYCDRLENEVKTINAARSTDEIVKALFKLPLSIFKEADEKIQKGVAKKLLNNSQTYRNLGTLTVTINQIFKRERRKRARFSQQDDVLFLTFDQQERALECGIGRLNHVPESSLYVAPVQKEPTSAITFKEIN
MIKLIVFFTLCLLFSSCTLFQTLKSKKLNPDCPTNIEGCVHYKLKPNNKDTCMFLNLTLKDKLVKGKFIEKTSEGIIFMPKRPGEYYSHNNLYEFDDVQYVLGANGNLIYGKFPDYIKLEPKINFWLRKIIDTFPEPKAIKFTVIANEPFCFCIDKGKYILTELIIELISQKLVDKVTYTFDVNDSSDNYLGDIYLDSLTEGDNVKFLKFGNFQPISSGKGSRRNREFIIPPGLTFQPWLDDSTHIGYHSIFIKDEFKESPGRKKNLIKTGE
MELVNKIEVVPMKSEYCKVEHHTIVIDRTPLDILLNNYYPSNNLLGLIPTIIDWVYDPKEKECIQGRFNSASKEVILPVLMCPDDCDLWCTVIVANVVKADGYIIWKQVGLI
MRVSPLYQQDHTVSARAGALADAISSSAALAIHLLGRFTSGFRVAIAVPLPHGGRRIANGWRQAGMSSRRSGSPAVVEPCSLDRKLCVPAFRSGLPWSAELLGVFPSPSAANCSGPALSRTGQRRLLRRVRWRLETSRLQETTAAAAPQCEAIASTSIGCTPGARSCPMPSITRSFAPAI
MSENANNDRMQQQSNDAQRQQVGQQNQQGDTRQPQQQQTSPQQAQPDQQNQQGERRPGELQEGRDIEGEGEGLAGGVDTGAIQPGRTGQGDVER
MVKKIYSQMAPNATVLQMMQKIHNKKGIPIHEMQLTYNNRQLEEDKSLAYYGINNGATVVLKTYSRTVDCPCEDCQRMEKKVTITVTWLQKSIKVECRLGEPVESLKNAIRNQEGISVAKQKLSFNGLELEDHKLLASYKFGDAESGGAEAVVHMFVDSGDPTLFDKLRSTFSFKGAKSVTVPTVT
MDWGKTLSSEQPKAADLAFLPPASRPTQVQAAKQRQRSPGARFRPGQQVGGPDWGPSTEEGRPAPASVQGPGSRDWRRSPGARISRGLRLGERDLKTLSVWTPTFGLLRDLSREPLATRRNILTTLYCRVWRLRERDCVSLWEKYWGLLYILLLLDSISYRTEEN
MRGHQGSNPQRAGNDKDEVAGRADAHHDADMLTADTLSQHEGILGADRDDQRESGEHSRDGGSHRTSFWSRPRIMPQPGIPDGRFRTAARAAPRPSRLAA
MASSAEETLRATMEKTLRKFLDGYVDASTHQDATLVSTTLTAECKRKLAPDSVMVALGAPPGPALSVKEYEHIYSQSLPVARSVSVDVTHLSVDTGSKTGAARTVYVNRYIDGEEIVLDMAWFVSFAEDGEKVSDILQYVDGLEFVKWEARARELLEKQK
MVRAVADRLGLPAVELDALRHGPNWTPRLSFVDYVREFNHVFRWIWRTLPQTAQRMRKVLSGPDGARLTIVRLWGHHQVDRWLESTLRTVAERAET
KSSCICLNSPNQSDLNEHVVKSCDTNVATCLEDRFAFCAEGGRAFLSVYQKVTIDTDRNYRKDTIGNCLEYKTNNGNFKAKTCSTKLYPICFSDEEDILKIGNLTESNWKDAFNNCPCQKLASYRKVLDKGTTFKLLGTFWLSNTRRWQRIKEYTKKPYYCVAAQINKNGVVETILRKCRDKLPGLCTGFIKASSGTSPVTSSTLSQNTTHLSRRIHTSTFLQSSALPGPTMATFPHLPKRSVVAIVDSTTSFVLQETKLQSTLGTLFPGFQKTASLGFQETKSPEFHETTSPGFQEITFPLESSSSSVPVSDGIDAIPILAIIAAVSLTIIIIIATVVFCKRKRTTPFTVSDQPMADVGKEVLYAQVNKPTVKREKSTSSQKSQPAASDDTYDHMDHRRLSETHNQTESNYDTMRSIANTGEEENNYDHMTGTKMEPKRFVVDSASNYSHVEVEFHEVKDI
MLGLLLKGLLLKGLLLKGLLHPKLALLTWHPPPAGQNTLRQHKIMDNLFGRCGVGSAPPGTLVSTPLFPTASQPSTTLLCASEGQPGPSMTLPTTLPCASEGQPGSSMTLPTTQPRSIRSRVSRPSSTTCMRPIRRGGPVHWRHWCGQNRSTGEG
MDQFIFLEVLQEQEQDQDQQLDDAGDGGDRREPDAPGDEDRESRTPSINLQSALTKGVNATSDDDGWSRLSVVGQHLNRAHADFDPREFGYAKLSQLVQDQPYLETTTEGSHLMVRLRQKRSGRTTRTTDRAAAAKDAEKSPAKGTAAKGPAKTAAKTAAKTTAKATAKTPAQATSRSRSRAGTSASKESPANESPAQETPAKESAAQDAPAQESSVEEPAAQETPTRRTATRRTAGRRATSATTSPAGGDA
MGPPPAVFIDCPRGDHMTNVVHLPPLLLVSHLTSIKALNGTNHKEWLESLTVTLAIMHLDTALLTNESPKPTVESNIEAKTLSKEWEESNRLCTLVIRHTIDKSIRESIIELKKAKDFLAAVAKKFQKSDKAKRGTYLKMFSNTKYDGVGGVCNHILKMTKYANKLPIMEVKISDAFLVWQIIESLPAQFDSLKKSYNANNLEWNIE
MNRVILRNNRQLIPRWHTSKKAFRMLFPTVGQENFPKVEASDFRLEPSVEQWKSNRNINNALDLYLRLWQAGISDHEAIPEIYSYLEENRASLSSVVSNLLFPEDKSLEFGGGQYVIRKDLVSQIIGQLKKSVREYPDDPLSWMDLAFYYSVTGDNEKSRKCATIGSKLAPSHPFVARTYSRYLVHHEEGDAALAALRRTGLVKSHPLIASAALSVSSVFELSRVDVKSAKKIMSSYSGHPVMLSDLAASLGTLEIKNGALKNGKKLLNFALSNPSENAVAQCQWLYHKHGIYLSESKNLSIKSIEGEATLSYVEGRFAECRENLLGLYAFQPFSESPIVDAGYMSIVALRDPGFVVKLSEGRVPSSSMSFLELNNLIVAKAQLGMVDQLSIELRHLSEKLDKSNENLAATYLATLGLCEFSRGKACLGSALYEKSIEKFIESKSEHGEALAKLFYAEQISKLDPKKSDFLRSSALEIAKRNKYLEMVESLKPSISLVSRVMGRFLE
MAAAVHTDSGHPPDALGPEHAERWPHLSLATYAALYGAHGFGLTVPTRDGSGVDAVEAAVRGALSFIAEHRAALIRDHSRLLSEGMLRGLAPVASTQPPNSPYTLNTYPARPTTVAYLIPADGEYQQNRHEAAALVNHLVTNGVRIERTREELTVSGQAYPTGSYLIRLDQPRGALAAALLWSPAAGGAADPRATSGWSLPLAWGVACVPLDYMPTIATEPVHDASLPKGTAEDGQPRAYAYTALTRSAVQATNALIAEGIPIHWAPSALAGCEHDLPAG
MTSEIRPGDLGKITFVDEQASIEFQRFYAHAPEKVWAAITDNSRLASWFITKVRMEPKLGGLVESWFGFPPHHVMGKIKVWDPPHLLEHEWNIEPSQALPDGEFSIMRWELERVDGGTILKLKHRNLTKQTVSGIRRGLDPAPAEHLILDRLEAYLSSKPASNTPARMNELMAEYHKMLHKPR
MSFSEKVTFSILQNKMMILISLCWMLSVVTDMVTSTDGALQLGMAEDSIDDMYFGCTDQMKNALQQKFSTELQNQDWNCKPITRLEKLKRFFKKHQLTDKQIQAICLYTGNTLYQGFNKQVREEGRTYGNSFPYHNLHFQLTSALQTLKRSSVGCETTYRRMKGEFDGRLNHKIRLGSFSSSSRSKTQTDFGHKTCLEINTCHGAFIKEHSKVPDEEEVLIPPYEMFTIKGIKKQAEVKDKDLSDCEKLFELESAGVHSNLNCRLVL
MSVFNGVAAALRRFRVAYPVIMVVGGAVLVIVCRARGIDPTGALVMLAGSALTR
MACCGNSFCGPCVQQRLLDSDLRECPAEGCGEESVNAEKLVPNIHLRKSVAQHLLSKNESSTTANGKDSAPPSVAPIEKDGTASPAIVACEFDASPRPATPSVMTAKDTSSTANAAPGPATAPEEVAVPSPGFAPLAQDGPLQPPGVAAGKDAWDEFLERKDKQRSRRSRSNSSRSSSPSTRSSRSRSSSRGSDRRRRDKKRKSHRRSRSRTRSPVRRDPVALPVLGMPPIHIPPPIFAPTPQIATIPPGSNHAYAGYGPQTIGMPPGIGYGHTHPRPLMSNPYAPPPGIGYGMPPGYPDNHGFAGGLHPSTRRPYDYGDRHRDSRRRRSRSRSRSHDRERSRRRDRSPERRRDDRDRDRDRRREDRDRERDRERRSEKDRKERRKREKEKDRERDRSKRERDDKKQRDERETAIDEDEVEDEKLIEKIIEETKNVQAAQEEEHNGETRPREKEKDREGDSDRSKRDRDDKDRHKRSKHSDEAKEERRERRHQEKREMEDEKTLQETEDVHAAQEEDHNSETRLREKEKDREGDPERSKRDREDKDKHKRGKHSDEAKEGRRERRHQEKRDMDDEKNIQETENVQTAQEEDRTNETRPREKEKDREGDSDRSKRDRDDKEKHKKSSRSDEVKEERRERRHQEKETKEKEVKEKEPKEKEIKEKDPEKNKKSQLETEKSGDKRRSSKRSHDKVSVDSVLAQEEDRNGETRTREKEKDREGDHDRSKRDREEKGKHKKHKKRKHSDETKEERRERRRLGKEAKEKEPREKDSKEKEPKEXXXXDETKEERRERRRLEKEAKERGAKEKDSKELKEKDSKEKESKDKDSKEKEPKEKDSKEKDSKEKDSDKSRKSQSETEKEKRRSSKRSHDKVSVDSVFDKPSKDVAKGGFVNKKIKMVLLDR
MNDRSALRGQPGVAIRAAYPGTFLTLVFMVGCVFLLLGARPGLAADRAPVQVISLGTYAIPSDCTRHMFWVDIAVRNDAYDKEVGVLFTTDGWKTSHVSHARYESALGDGYERWGLDEEGSVTCRGYGSVSDVEFAVFAKMGGRSHWDPFNNYHTDGAVTASKPVRLRSVSAHIVDGLGVVEGDVRVLDLAYQKRVVVRYSDDDWQSWREVAANHAESNRWVFRIDGAAQLPPRVELAIRYEVRGSVFWDNNEAQNYTVRLEPHFEPGGFGVTPVDGTVSGIVYLNGQWTTDLPTSGIQVRIDDEPWRSGYGYGGGLLFSTLELPPGVHTAEFKLLLEDGQSAIASRRFEVENALRPTTHWVPGGLPEYQRPRDMTEDEAGRLYFLVDRRVVRFERYGEGEPIPVCELPDDAQVLGLDAELGPGVYATMSDWTSTRLVHCVDGGVDGTFWLDPYLRHYRAKAVVRDGFAYVVDMCAPASLYADASCPASRVMRVDLGDGHADEAIYPEDDPSDYAEAPDGYGFDGRPGPTFHDGASLWFLRNGYPAVLMRFGRNDQDEFSLLSAQPIGDATSTALGGRKLSRATALTRDDQGVFWVTTPDSTLVAFAEDGSILGAWHGGGDIPGFYFPSETYLGGFRWPLGLVRRVDGAGGDAASGPGGVHVLAEQPELVTFVPARYGFLNETRTDRTPEAGVPEARGLWPQP
MPRSKIYGGDEAYRGYQASKKRYFYGIKIHLMVTETGEPVEFFLSTGLFADVRGLRVFSFDLPVGSVVYADKADNDYETEDLLLEAEHIQLSAMGKHNSKYLLILLRYLTFSGNLSCN
MYFQDGGDAIAMIAEKQGSNRTANYHIFDMTRGAPGAKLSKKSGNYMGKLRGTRNKTEYSLLSSAAMKEQVGAFVFDKVSITKQLKEGQVPRRLHVLLPPLSGSSTPVPVNTNADSDMISRFRNGLVSPGPLLTTKEPTFERGQYRLNFHGRVTTPSVKNFQVVDPGRPREVLAQFGRVEEDKFHLDFRRPLTAFQAFGMALAQFNL
MKNLAMKALGLSALLGLVLGLSSQPWASEDKDADKAYALRQSHQVLPLQVLLQRVHIPVDARVLEVEYEQKRDRHLYEIEYLLPDGRVEELKVDALSAEILQREQKD
MKAEEELIKLQKLVSKLSSAEIEVIKKLTASTRSNFNKVNKQKKLLFFLLNKQEVNYYEFKTLISKKSTDGSFNRVIYKLKSKILETLILDVNIDREETVNSAFKYKLKLKKMLVSAFVLHSRGMVDISLDIYDEVIKLSKRYELYDDLLEALYVKQGLVGLTKGLKEYEKISEEIIFYEDCRIGLYNAKDWYRAFYAEVDFKGLKGVDYIARLKKYINQLKVQYQRTRSANIYMYQFLLEMELFQQLKNFSKVDSVGNDFLYFVKRSEALYGKNRIGLIYLQLAENRFKTIDFEQVLNYCEEGLGFFVNKTLNYYLIRELQLEVLFYLNRIEELKNTIIKLSQLDFYSEFDYRRTKLRYFLGMGYFTLKDYKSAKVEFNDTKEIEKDKEGYNVWIRIMRIMCDIETEKYSLIDYDIENFRKYIERTSQRKDIRTRDSLILQSLISLERNQFDFSKTYKKEATLFERLASMEDHLRWKIDSPELILYHQWFLAKVNKVDYVANFEPYKAHVIVIEEQAQVLEAELNIKQ
YVALNEDYATETPPPSTSTSTPLPTSSSLQASSSSSSSSSATSPMSTLSSSSSTASPGTTASQRTVTRNRGVIFPSVKNKPSPANSTFTTDSVLVNYKDIR
MNENPLPLSSAADLFSFCPSGKDEASSVEVTWPDGKVASRNVASGEMNSVLEIPYPRDEDRLQDPAPLQCGQGFSQQENGHCMDTNECIQFPFVCPRDKPVCVNTYGSYRCRTNKKCSRGYEPNEDGTACVGESVPATVQ
MCATKFDLGEEELETFRQSFSREERETADEEKDVQHPQENLDPISDDEEDPRIESREVEINAHVATGLNSGREQLDLLQGSSSEGEEVLGITRESGDDDDGEHPLPRDHSLKRSSGRVCRPSKRLRGYDVY
MSDPNKPSQAEGEDPDRPADGEVLPQEGHPSQAEGEDPEA
MSQADSSLQDISVTSSLATLRQNSLWIIGGAVVLSMCAWTICQFITLDFQAESRISLQPKIISPASANYEAPEGRQLSLDGMKAQIEFLTSGELLNDVSENLNLTENTEFNPNKRSIWARALFYFGLRSGSVDSKPDERVLQNLRNGLHVYNVRGTRLIAVQYSSPNAHTAAEVANAVADSYIAMENAQNNNNSDSMAAWLASEIADLHDKLGDTEKRLSDHQAANRLRSSQPDTDDIATKHSEVLAEISRLRAERSASEASADIVSQALASGNITGNLPDGMAQGTMQRLIELRLGLNSEIASLSVTLLDGHPRIKSLRSQLVDLNRQINAEGRKLLTSLNSATQIAQTRENDLNRELDRLNAELAHARAPQLEVQALEREASAQRELLESYQKRYAELKALANPSAMAVVSEIFTRAEVPTKPYFPKTLPVIITTFLLSLLLLAVSVLLREMFKRRSRIIGVDENFVVAEEIKMPAIGAAGDIDSASAVTISGLKNRRWTSPLRFQETIATKESLIDMSENPNSVKAVADGLIALKSKRIIAVSPEGDDASIVTVKFVRELADRGNRVILVDMTAIGTLGRAMLDGGNRSGITDLLAGERRFGDTIHTDHYSQAHIMPLGKVVPATAMRSAERLPHILDALETVYDFVVVECGPSTAQQIACIFDRSAVVIMNIVDPNDDRVITAAMDMDQSGYEDVIIVMDNLKRSSELKNGIPQTAA
STIVFEVDRFENKQDVKNAVEKLFEVKVESVNILNVKGKARRFGRVEGRTKAWKKAYVTLAEGHDIKVVGAE
MNAERMHQILLGPGDIGKSTNAAEANRQVVFEVLENATKAEVREAVEKFLSSVIAVQVLNVRGKIKRFGKTPGKRNNWKKGYVRLAEG
MYIERLLKVIKAPHVSEKSSIALDKNNVIVLKVVNYVTKQDIRHAVCMLFSVKIKKINVLMVSGKSKGQRYNLGYRCNWKKAYVILKRGYTVDLMNMEQ
MSVYKYYDLIRKPIITEKTTSISEQNKYTFYVNKFAKKLSLKRAIEAIFKVKVKKVNILNIKGKKKRFKGIIGTQINRKKAIVTLEKDHNIDYAGGIK
MPENHENYNDAAARQRIYRERQRADGFKQNTLWIHIEAERDGRIAAREGKPLVPMQSIHPASWAIGWISERLRNG
MILKLENTGHLKQSARELKENIEKIIINKERVIRISKQIITGDEKKALEFYEQALKIGEEGVMFKKLDAPYKQGRRVGFMVKLKPIVNDFDLVIVGAEYGTGKRAGWLTSYIVACKKENKFLEVGKVSSGLKEKEEEGTTYMEITKLLKPLIIEESGNLARVKPKLIISVTYQNIQKSSAYSSGFALRFPRITAFRPDRGVESIASLIDIEKEMKKGER
MKKRLILSILLLAAFGLQARAQRKIAGTRTADFTIVYCSALEDEEGIDAARTLRQELAGAEQPELRILPDTAFRRGRAIRLVRSEDRAPFDYAVRVSRGDLLIDGGGSWALTKAAQHVAQMLREGDIPRDAHIEGSVEGECLFARAAGSNLRILADNIWDYSRDDIPEAWRLIGEDPRDDVRAPQFAQLVRAFMPDVLDLQEYSLHMHQRFYPLIRRYGYRIAYEGEEPWNNTPIFYNPETVELVDVNYVLYAPSCWSNIGSKSYTSAVFRKKDTGRLFAVVNTHLWWKSEAAQPGSTYARAAQVRLMMAEAEVLKNKYGCTIFVTGDMNAYEDSLPIRQFIEGGYTPCYKAATDATDNGNGHHICGPKDGYSRTSRRRSPDREKGAIDHCFIYNAQEGVAVKVFDCITARFTVKLTDHYPYLIDAAL
MCGSQMASLYSNIVWTTSAEHSTQLEAMSDEDFAEAVNRALNDDYSPPPPSQIAKLVPSILPSPLSLFAGGPREFEPPPRVTGAVGKRLSFPLSLSFTGKYVLPRMALVGDAAHTVHPLAGQGVNLGFLDAAALARVIGNGIKDGREIGDLLLLEEYQAERQKENLRMIAALHALQRVFCTQNWPLPLLRNIGLDAVQILTPVKNRIMSYAMGLQGWK
MHVCDGVSDQQIEFQEAAEIATMVENFNLQSGILSALRETHVDLRFARVNAIDRPEAGQLFPTIQLDNTDKITARLLVGADGANSPVRAYANIASHGWDYPHHGLVGTLSHESPNYATAHQRMLPSGPIAFLPLPDQKASMVWSIHPRYATTLKSLDEETLAKFVNAAFRLSYLDLKYILNLPVSEIVSELDWRLSLPSHSKHAPPQVSQVSNAASFPLRFRHTDTYHGDRAVLIGDAAHTIHPLAGQGLNLALSDSASLQKAIEDCVGLGGDIGTVGAQVSRDRYWANARVGGVVDKLHKLYAREEPVLVGLRSLGSGLLDKIEVAKRAMMASVG
MIKEILMPRLSENMQEGILSAWLKKEGEKIKEGEPLFEILTDKAAFEVESPLTGTIEKIIVQASPDKNIPVGFILAYINTGKVDK
MGLAAQFETIIERQLNVHAAWVPITDPFRLGDYGQISDGVFQKLGNITDDFGVSFNVGNGKDVSFNFISEKTVVVDLGADAGVNINPQAEIKANVTYKFQKDESFLINAPIINVSIIDNVNQVAIKLKEIDGWKRKFIVVSKVYTAKNALVIGANEGGTEVTISADGSGLEQFNVGEASVKFSLKTNKKLGLEIKGETGVIALGLFKLKLIGGGPTFLGGEVEEEEESNEAPFEDLDGQKLSEVN
MFILSTSVIVILSVIGSHCVDQETVKNERVIDKSLEPIFASDLDSFPPDLESVEETIINAYTNVTIQDSTNTTNGTSFKYINCLKGFNGSSVQLVNDTELIKLLVPDGKISVEKDSPAVCIAVLFYSKNCPFSSMAAPHFNALPRAFPDIRMVAINAMRYHLFNTQNGIVGVPTLLIFHNGRPIARYSGAEYNLESFMSFFKRHTGIEPVKNSVVTSYDFTGPVISSPAKESDMFLIISWLFIIICSCYYFSKSKVCKWVIETIQRNWRESEQHIQHEHID
MNPIKGEQLLTQLNWRYAVKQFDQIRKISPEDWATLENALILSASSWGLQPWAFVVITD
MFGKEKKETRFVIKEEQSLGFGAVYIVVDTKTGVNYLTTVGTGMNGMTPLLDSDGKVVVDR
MDEKRFTIVDKQGSGLTSALQEIVDRQTGVHYLTWQSGYAGGITPLLGADGRPVITARGTEYDA
MYRLYYILTFSVLSVLFINCESKRNEETITIAFSQCVGSDAWRRNMLEEMKRELSLNKNVEFVYQDANNNSLTQIQQLRDIAKKKPDLIIISPNEAAPLTPIVDSLFKAGIPIVVTDRKTTSNKYSAYVGADNYQVGYKAGEYLGSKLNGKGNILQITGLPLSSASQEREKGFYEALKSFRNIKHNTKINGQWIRENAEKALLTHPFPVNGYDAIFAFNDQMALAAHQVVAQLYGNQNTRIIGVDALPGLDNGIDLIYNKKIYASLLYPTGGKECIQTAMAIISGRAYEKELLLESFVVDSTNVHAMKVQNDKLITQQIDIDRQQKLLEDQKRVFIGQQRTLNFLVISLVFAIVFGGITFYLLKANWDKNKQLETQNHEILNQQEKILEMSENIKKASEAKINFFTMISHEFKTPLTLMLIPLRAFLKKYSVTDDQKYHLELVEKNALVLQQLINQLLDFQKFKNYSVSLHVESKNILLICQQIVNLFRPLARIKNIDFYLENSIKTPVADIDNSQFEKLIFNLLSNAFKFTPNNGKVTMSLSPAENHGSFNITIKDNGIGIPSSDIDNIFEPFYHGNNAEHGSGIGLALCKEIVTLHNGNIYVESHTNDGTKLRITLPFKQKKDSEHEIGEDNTSNRNSEYLANSFFLIDDQIQNTLENDHLQQQKWHNQEKSILIIEDNRDLAVLLKNIFIESYHVYFVHSGMEALEMVTQINPSLIITDNMLPDISGVEIVKKLKADKYTSYIPIIILSAKSNDEHIISGLKVKADLYITKPFNTEFLIESVGSLIANRKMLEQYYSNNNIDLRHIISPDPNDKVLLKNLEHYIENNITNPQLSVDEISSFLNISKVTLHRKLKTLLDCNVSFYINEKKLQKSKQLILKNIPLSIVAYESGFTNPAYFSTAFKKKYGMTPSEFKANIRKNSKI
MSHRPIRLFLLLAICIVTSGCRHESVSESKRHYVIGFSQCTNDLWRQIMMIQMQAEAAKYPELSIVVSNAHNNTQLQIDQIREFINAKVDLLIISPNESEPVTPIAVEAFDMGIPTIIWDRKIHSDHYTTCISADNYDIGRDVGRYINTILSEGSTILEITGLMSSSPAVERHKGFLAEASESYSVHTISGDWKPDVAKERVAAMGHYDDIDLVFAHNDDMALAAYNVINATDSLCAQRIKFIGIDALVGVDAVLDGRLQASFLYPTGGDKVMSIARRILLGKRVEKSYRLQSALVDSHNAYTLKAQQEQIVSYQEQINKQKATLEQYDRSVDGLKYSLWAVVIIALIAGSMGIYAFRLNVRLRRRNEMLTAKNAEIEIATRELMDKHAQIENVTAHKLQFFTNITHEIRTPLTLILNPLDSIVKREKDPEIQRNIWTIQRNARHLLNVVNQILDFRKIENNKMMLTLKQVDIVQFTQEILNYFETYAETEKIVYKFRTDTPHQQLWIDTDKIEQVLLNLLSNAFKYSPKYGTILVSVTDNGPSVLIEVEDNGKGIDKESLPRIFDRFYALKNTSNYSTGIGLHLTREYVELHHGRITVDSLPGAYTVFRVELFKGKSHFGENAAFDETLPTNYLADEAIDDNKIDALLAKKYDETIVIAEDDPEILAYLKDELSTNFRIIAVNNGYDAVKAVMDNEVSLILSDVLMPALNGFQLCSNIKSNIATCHIPIVLLTALSDDNQRIYGIAEGADEYIHKPFNMQYVRLKIIRNIEERKRLASTFAKKFGHLTRHETANLPCVDDVFRDKLFNLIEAQYSDSNFKIELMSDMLGMSRILLYRKITSIFGMSPSDLLRNFRLQKAIQLLTDQRRNVSEVAYIVGFSSPAYFAKCFKSVYNMTPTEYMHQTRE
MELPQIFSNDSRIKKDGAKVIYQGLDSRIWLGGHYSLSELIRTDSDFKINQVNINGNRSFMYKITTLYQTSDSIFWIGTQQHGLKKSIGIGNPTNQVFEDLLDDERISAFLEDSSGKIWVGTFRGLQVYQPTTNSFKSYNKTINKASSLSSDIIKCLFEDSKGNIWIGTPNGLNLIIENEDSSLTFKSFQVKDGLPNNYIHAILEDKDENLWISTDKGISQYNLSKNSFYNYDVNDGLQANSFMENSASKGTNGKLFFGSIYGLNNFYPDSIKKSSLSPAIITGLKVSGQEIHPNKKYNDRYIIKNAIEYTKEITLTNKENIFSIEYGALDLSSTSNAYQFKMEGLDKDWQTTTSQKNVTYSNLKAGDYEFKVKTIHGESNSEASVTSLKIKILPPLWQTWQAFVLYTLIFIGLLYLYRYFIKRQHDLKTKLELAKLNRKKEKKLAEMKTRFFTNIAHEIRTPLSLISGPIETLLQGNLSKIQQKDYLTTADYHSKRLLNLVSQLLDFRKIESGKMTLRVAKGNFAKFTREIFLSFKELAKSKNIQFDLDLKNTEIPLTYDREKMEIVLCNLLSNAFKYANSKIKISLAIKEAKQKKTSEFTVKYCEISVLDDGKGMPRKVIDKIFDRFYQIANTESINLIGTGIGLALVKSIVELHHGNVRVKSRLGKGSTFIVNIPLGDTHFEKSQFIPNYKKSEDPIHYQVERVLSEPKVDIDSKDKENESLPTLLIIEDNVEIRTFIKSVFKENFKILEAENGILGFEMAMEYLPTIIICDLMMPKMDGLTLSTKLKSTKETLHIPIIMLTARTTAIFQEKGYNSGVDMYVTKPFNISVLKSQVKGLLSNRRKLKDFFNKNIILQPAESDVTSIDQEFLKKAMKLVEDNLTNEKLNRDFIASKMSVSSSTLYRKIKSITDLDITVFIRSIRLKKAAQMIKNNEDNISGVAYQVGFNDLKYFRKCFISQFGVTPSQFKKNHSSKT
MTKEYAEYEVQRALHYVCSAESHTQALERFKEEVELLGDWRIMYASAESGGPFALEREQFSGQGFVSTMLRGKSVWIIDKTSALSETRVNYMLVSSLFMDSNTASYIRKLSYVESVSEELEAIRADLQRTFCADDLARLNSYIYLCEAQKKWIPKTRQRCKETIAAVYALSLDEAPLSEGWGTRYRNRYQDQAETWAENWIAGFEQTREGGLDVALTQQVAAAECMILRAKILENSSTASPEAKMEALLKFMHDELRIFMLRELIVCADILFHTQKTSLSRKLNSVLDKKDPLLFINNCAWDLYILRIMESLANPQRLKGADFCLDRLITFDEDLADIMRLTELRAVAVHLGSHRAYPFFNSELTGWLAGLIGHRRMAAFAENLQEEAFNHRADFRSVENVQRVLAQDRLRLMELKAQKLGRPLKT
MFTKVRKSLSKPFISQLNYLIFEGMRFYPNSTSSNLIRQAVALFFLIGIFMSLPLWNLNREFPVVPLFSIFSTPHIFVNRFVLALLIFALTMTVLFENKRFIYLIYGCSTYLLTEDQIRWQPWVYIYLLTLFPYSYNTSEEQKSSYLRVLFIGIYIWSGIHKINPYFNDLIVESFAIDIFRLQNPQVLSQIKNIGTLIPIFEITSGVLLIFKSTRSIALYALITMHIFILLYLSPFVMNGNYIVYPWNILMVFSLLILFSKTAFSKQPNFLSFTKSNILVSILLFSLTTPILLYSNIWSNFTSFSLYSGNTSNMYLLSAKNIPHLTKYNILEEEIKKGEIIDLNLWSSKTLNVPIPPEKRIFNEIAKQLSKENENVVFITSRTPLWKRKILQTYVSESYKQKLQWINSLSPIYFNDSVYIKIDRKFKFSNPNHLIELND
MHLRLAKTIALAMAALCTAAPAAWTQTSPDASRPPPPSATEDERRSIYTFQVENDVFNRFGRSDRDYTSGLRIGWLSPAITEMPHGLVAMTTIPTFLGEAPADSVIRRVAVSVGQNIYTPEDTYVSYPIYDDRPYAAWLYASFALQYTYKRHDPATGRDEPTRLDTLQLDLGVIGPAAGGEFVQNNFHKLIGVEPAYGWGNQLHNEPTVGLSFERRWRTGRAVLIDNPKLEVDFIPRAALAIGNVATYGSVGGTARIGKNLRDDFGPTRARPALPGSDAFIGDGSFGWYLFAGIDGQAVARNIFLDGNTDGFSQRVSHRPFVGEVQAGLAVLWGGVRISYTQILRTPEFFERDRITQFGSINLTFRY
LKSSGLMPPCSGAPPPARGSCIQWQTAFRRASRSAATTGQARGRAPACTWRSAPPWQAPSCSASTRATSGTSRASRTSASTRAWAPASARRRPAGTTPTAARRRTPTGSRPSCSGAPRSSPSARPWGGLCSARLSCVVVSFLSFRSVAIFMVGRFFTGFGIGVCCFALPLYNSEMATPGIRGAMGGLFQVNVVVGGFVATVATLLWKDWRFGMLLPGIPGLFVAGAVWLAPESPRYVMEKQGYEAGVAVLRRVRRGDVAAEAGEIDARLAEEREAAEVTYAQICRDGNLRKRVLIACYLQVAQQLTGVNAFLSYASTLFQGAGFKDPLEVNVLWNAIMCLGVVTGLVMIDSPRGGRRAQLLGATVFMGPALVVASLTMGLGWSGLVTLAMVCVYGFFFQFAWGTIPWIYPSEIFAMAEKDKAVSLAVFWQYAVNGIVVIVTPPMIDWTVAGTFGIFGGLNVLNALFVVACIRETRCVPLEQVPGLFGAQRAPTAPGGLQRRLLREPAAC
MNTLTTEERQRISSHFQTHVDRSSRQAMIEFLSDHFRYDTMNSWNRSTSYAHCIKIHHDLGLPDNIKDVKWDMVFNNGWTDHLRDLLDQFDEHHDHNWQVGTNGRSGGYLVLYQGGTKNGKIVCYPGKSMDQNEDFQDWDMDQLRAKVDLVCDFDMLAADITIDFADFCRTYNVVEETVMVPKKVHVLKKKS
MNKRMTMTLACVAMFAGCGGGGDSGSKVVSGADAGSHAGSGPTQSGSTFTQMPGTRLVWQGTQAGPGVDYVGAQRLQASLDEHGVALASWQNTQTMQIMTSVTGPDGQWSAATSLPVPTRMELGYLQRTNAAGQRVLLAARGDDGVNDYHNVAFFYTPSIGWSDAVQLRRDPVGGYISRSDLTVADDGTALLAVEGYDLTKAA
MNLNYTQTEWLKNASKEEKIAFVIKGELEICTAFDFENDKRKYAPFARDVGIGGYFDTPEAAKQYGEKWLAEQRNNTDLPILDEEALGIATTNQDLAAQFAEKHFHLVKIIHLAAQNDDLCDDLEEFIEEMDVSDAEIFPLPPKQAAYLRDMVKDDERDEIYPLLCDNGLHGWLVLIEQPVITDGTPDCYSSSWGYTYYKWLYAESYEGVLKKAQEWSEQTAKNDFEKIQAKVQAA
MRFNGISRFLLSCLISGIMACFFIMVPSFLFGSVSNQDKAIETSAKVVDEISIKKQVINDMQNQTKDNISIFSLDDCFKKTYYISQNGVKMYKKIGDESSLIKCLDRDSEIVAYEEKDGYIYCEDNFSVKGWVKKDNNNLKAMPLGLSNYIIDVDLTKQIINIYGNGNVVRKNIACSTGILNNADTETPVGIFKVKKKLVYPNGLKLNNNDGTKETVMYPVQFFSNYLIHSVPIDETHHNNKVEQEENKVEKSKLGKPASHGCVRVSMDDAKWIYNNTPQNAEVYIHY
MGVCIWGETVGFSGVQVDPKSFNRAVRRAEYEGLPAQPLSLLDTCARVIALEMERDCSAMDLSRQVAGLAPDLQRLVCRDIFRFDHYQPAPMPSKEQLFGLRRAALQRAEALGWEAEAEEDSEGEDFWNEWRVSAAVACCGDGFDDEQYWEDPESGSGMDDWESGGPNQARPKRISQASRWEKGTRGMRGRIRRRPRLSFYHPEVFDNCGGDHGDRVWLRTVPNCFIFNQEDFRDARPGQQVAVVHGAVPSAREKLLLADAVRLLRLEPLAKEAQLVAVYTLNAG
MSSYLAQEVHLARRHEEILSQRSELLQQMETYLGDKKTKKTWQTQAADAACKRNAALLNDIQAAEKKLXXXXXXXXLILLS
MSGFSFRYLRLSLLLRCRACSNVSAGLHARNKSGHTVSAALDIFMRLCENNF
MFVTLRYGSDEGDEIRCVESTSKPPYEASPDPHFACNACRAKKLKCDGDKTGCQRCSSAGTECSYRAEKPSVKKRKHHLLSSSSKPPALPAAQSPRQSRPQPSPENERPAESTAFLQDAPSAGDIPSSSVRRPASAAVPTTVTEHADFGRELFDQYFTTLGQGDDMLSHTLQRHPVVALAEDAGMSLSTHEQPLEDDFTISTQGSPPFPGPLNPISRTISSPGTSSRGSGKTSHCASIDSSIGHNSSTNSCQCTRSALKILEVVSSHFKPAEGLYSVEQALYTLKRNIGQCQSIVQCSASGGDPGLKLLVIVLCEKMIAIFEGISAGWDRQLQAVARMKQTRPGGAAAAASAVNSAATQEDTWVSQYRRVTIGGYQIDTIEERHTVFGMLIQLQLKRLSDTIAKLSKNAMTENLESHLAILMPMNQKVKNLKAALDRTTLSHS
VSQLKNIHTLVVLLDRQNKERTSRSEDKTNVIGFYLKEYEEVRGKTEEELARGGKFRDLFKYTLVSGGITTYISEDERYYKIRHHHLYDIDIPKNPKEKWYVYINNPYAEALLNFKQYIPIFLKAIKDKRTDHNKGYLYFFLMTVLHYSNNSYIDSKGQRKVFTAQMKVSTLLKKIKIGERVESRPSEAFRVLAECISYVADNYKDVLNEIRLLNSKYEPKIIRDLSIFKNIDYEQFKENYLNDLGIKDIREALISFNAIALKEERGQEPKDYNEGFYLPV
MTDICNVNAVTPEFISQLNENFSAIDAELDALLNPVRDVAHGGTGVTGFGIVSTKTVSGTLIAAQSYQTFTNEGASGAVTLTLPTPIAGLEFGFVVVVAQSLVIDVGGSVVIALGEITSSAGGNVSSSSPYSALTLKAVSSTLWVSASQIGSWAPA
MARHGNWVRDEPAREPIQVLTQDLVDHAVAVLFEHGAVSLKALRLFLQRRTGTNLISMRSRIRQLAEQTVESMTGGLDVKTLPAQGLEEIRKTAVWEPVPVDLEKHDRGRCLFESLNDHCGDSAESCGNQGDVQIGNPFWELFCLERGIQPDGQVPLAQTVGDDDGAFTTSFPVTGGARGMMVDLWPMVADDVYTGTCRRLLHTELTELNETFTYWMAGDYPVYIVGKHLARASDECYPPLQVHVAFDDCPSKVVNVAFTQKEEEIVASCRGMSGDTLADVRLERSETLRGLHKKVLQELRKQLPDMPRDVSLTLIDAMTGQLLSPPPAPPEPDRKLLDIL
MMALFATCCPFGPLSLFDIPSRDLTFKSKHKMDLSPLSMDSRGKMMFGYSDRELVTKSGYDLVHPDDLSYFAAAHQELIKTGSSGLIAYRWIMKDLRWLWLQSSCKVVYKNSKPDFVICTHRQLTDDEGQDLFGKRGNEFKLPYPLLDLDICSGPGFPEDDFLTKTKSSKSKKQKSQVRDYLQASRKRKATTYRDAFPALNGYASFSSLNGYGACDGAELKPDLFYSYPGNNFALDSDIYRSHGYTPLTSSVYSATDPFAEKHCYSNGYYFDHRQYQHPLSYHSNSYADLVAQTKYSYDIPKYGFDSYSIDLSKKVHFGEDFSRFDNDYHRKYGYEYSTERIPPRINGNFDSMEYRSTAVFNNAPLLGCADSCTAGGAVSSPTCLPTASIYKTPLNESSQQQQQQHQQQQQHQQQQQQHQQQQQQQQQQQQQQQQHQHQQQQQQQQQQQQQQQASVPKTSLIETKVKNSASTIDSHNILPHNTVIKNIGHPAHLSNTHSDSSDQQQQQQQSSQQHHQHHHHTQHQQQQNHHHQQQQQQQQQVQQPHHQQHHQHHQQQQQQQQQPQPQQTQQQQQQQHSSNTTNLYKRTNVITSNSSVLPWTSCEKSAHVLKQNMVSTAPLEHRPDPTNQHKTVIADINSNEKVTLSSGVMLASLPTSSPITGSTPASVIQLSEKANHNRLCEKQAIMSSIPVPVVKSSASTSPWIQQGHIFSSSKSRVLKENSNESDTR
MQKHLKIAACLKGERGKVNEITKFLKTGREPLDNTPFSQESWEEDLLQFLTLNRLPLHLIEHPSFHRIISRARCAPMLPIIPSADTIRRRLSSTVKDRQESILRTLPVGSRISIALDCRTSPFSQAFMAITGYFIDADWAYREVLLGFKPLHGSHIGANLSGVLLQTLIEHNLEGRVFGITTDNASNNKTL
MDSYEKCLDNLCSVSTSLNNYLSSLPAESWHKPSGCDRWQVADVSAHLVFGAESYVSSVSRGVQGDPSPPPGRMPDGSDAAGFSAERIASGAITASVRLGDQLLPTSKATDRQLYTLLAGLNQPDRDRPCYHGGSNWRWAAVSGGR
DGVVESTRDIYEIYFSDREKLLILTGEMQPEDHRELLELCNTFLDFCSSIGDVKRLYTAGGSLNEMLTGEPRVVGVATKPQLREILVSSDVDTLGSEFTTITWFNGLILGMASDRNIEAIGFYGEISDKSLPQPLAAKSIVKAFAKIEHLSISTKPFDVQYEEVLDHIERNKGTKNLDQ
MDAFEIEATADPDLSEPVLVEGLPGVGHVGKLAAEHLLEEFDSEVVRRVYSEHFPPQVTVEDGRTTLAAAEVHAIGDADRDLLVLTGDHQAGDGPGHYRLTEGFLDVAAAFDVERIVAMGGVPTGELVDEPDVVGAATSDAFAEDLEALGVEFRENEPAGGIVGISGLLLGLGERRGFEAACLMGETSGYLVDPQSARVVLEALQAAVGFDVGYDALEDRAEEMQEVVERIQQMEQGAQPPGEEDLRYIG
MDAVEIESPADPDLAEPVLVEGLPGVGHVGKLAAEHLLEEFETEVVRRVYSEHFPPQVTVEDGRTTLASAAVHAVRDAERDLLVLTGDHQAADGPGNYRLTEAFLDVADAFDVDRVVAMGGVPTGELVDEPDVVGAATADAFLEELEGVGVRFRDDEPAGGIVGISGLLLGLGERRGFEAACLMGETSGYLVDPQSARVVLEALQESLGFEVGYDALSDRAEEMQEVVERIQQMEQGAQPPSDEDLRYIG
MVEEEGKIAVVTVVVIVVVIVVGFGFRQNNGRQNHFYSDVILDREDREDREDREEQEVALTWSEGKIAVLTVVVIVIESLWKQR
MQQGQVQFGSRATLRDPSTQQPVQTNIFGTVTYSLNGDPAQYGDYVKQAVSKAAEQLIAQKLASNQLAVPTLSMSAAALAPEIAQAAQAQMQGVQVSHIEIQINPEQAPAPQPLAPAQMPPTPMQAMQNSFQEKAQNALDPSNYEVKAKLNIGGFKVGMSSEDGLDTDGLKKQAVDKAKSKLIGCVIAAVIGFIVLIGIIGLAWYIYAEAKKSTVTPATPGGAAAAASDLKDADWDGKTPFTCGGNDAYKIKGVTAKLTSGTAISAGANCTLVIEDSNIEAPTGVSALGNSNITIKGGSVKGKTAALKAIGNCKITVEGAKIDGKVTKLGKAVIEGAK
MRSGIGGRSGSSSGGRSGSSSGGSSGSSDGSSRGSSDGSSRGSGSGSSGGGGRQRVLEERGPGGLLLEEAQRRLWKGLGFWRRRGKCGMLLLTRTTSRCTDPQTDGQTDRQRAFFLVEAGCCEVVVTEMCLVIFTRRGGERGIPCIRPR
MQFLRLADTSEAVGVITQNIWASGRCCLHIL
MPHDNQMEPRETMEGMISIMEKIEWAISLLVNQSVSNLILRENRFIECETTMNGEVSIASVDDAYCESQLLTRAEMKALFNLVDQNWEGRIRAGGIDGPIRMGPSARYRANIFLWGGEQSDVADGLPGLLGCVIRVIPEEVPDLTTLGLPGPMTVLADANYGLLLVVGPTGSGKTTTMTSYFDHLNNHRVGHLVTVEDPIEYVLKEKKCRITPREVGTNVISIAVGVRDAMREIPLAIMVGEVRDTETLVETFRAARSGHYVVATKHAPNLVAAIRSLVDDLPGEPRANAAMIAETLLGVIFQVRVPSTEFGKWEFVHETMNVTGNQRVQEMILNQQWVELRGYLENPVTQPGQPGQRNDAVESLNRNLAKKVAEGKITAAAADRRAYDRPGLRRAIAAVRTELTREA
MSHFRRGVGLFDWGLLISLDRNEIGDRKCFDSQVTSV
MEQVSQQTRIYILIGTYFTVALRSLFDALREGRFKGTVSTVRIWYAKRKWKRWSTQSQKPKGQSGNARHRMAAAVNGGSIKVPREMIEGRGITDTIPTTTTTTTTTVTTIAFKSISLRRKDVSPPRSDIQRFASTLSFDGDLTVISSADQDRSTLSILVVIEYYDESALNLFRVCAMSRRSGEMGVGVFTPADLCRCAGCLRSVRVKTTIILPRGEHLSPLWIKELETDVDNTDIAVDVDKDYLCFDSLKLCATNGDVSSTAPMCMTEGFVETESGDISGTFIATKNLAIKTSNGSVEGRYISSGSLDISTTNGPIQEATFVLENKDASKANELRLETSNGPIEADIYLEGDTGNPMYEVTARTSSGALDMSIKAMPVDSTLTFSGKTSNDSAYLSLPAAYEGSFNVKTSSDRIDLVKSTRTDPKGAGRKRVWRNSSPGSRKTNVSGSAYWSDGRGELDIVNGSVTLSTSNGKATLEI
MLESIFIWLSPRNLCRAARAAGALRCGCATVSTPAEQAPNGPPVTVKSAMNTRPARSSPVGNPDVVKLQQDCNKSGRKV
TGHPEMAITATASIAPQLNTLNRNGTGQTGSPADSSAPVAAENSSAVAATTVLGSSSQQSAAVSQAQSALRSAENVVARREAELSDAQSAVSAASDRADQRRRALSEAEGAERVALAEDLQRGSLVNISV
MIRAVSACFPVASLPPLSFCLFQSADCDRKRVVSLASRAHKRLASTHPAPIFSDPHSSTPAAQPIMDMLYSVCFKNRERVEEERWTRGRPIGSTSSFAPYCLQHLEDAHPVAHPVAHPAVHESTQLISSARSTSEVKIECKF
MSDVASPRSGWYLDPENQELQRYWDGAAWTTRTAPAPGITPVTAAEHQAAGSATSSMTTEAVAPAAATAATAATAPSEPPAPAQASSDLFPTSEQRAPAPERPSRRIGRGTIVLIFVGAIVIAIGGSMLLTGGLPS
MATLLIPPARGSRWESVHVDDKPHRRHVLYDETLDLERPIYRYMPAAYLLEFLRTGQFHFSSVASWPDPFEQWWHTILFAEGSPLHDARAFASCWTWRSRDERFWRLYENRCDHRDSEGMPLGRGPPPVRIQTTLKKLIDAVCQSLPAFDAKVFFAPVGYAMTEELLRYRNSLTSDPAEIAREAAHALTFKRLAFKFEKEVRVIWIDRSLEGTFRRMPLDPNAFIERVMIGPVLENEVARAEAVRQELGKAGFQGVVQRSLIYRAPPP
MMNKAVNSFLMAVKEQGQLQPLLESADLRLSLITEEQTIQLVFKNGVASVTYGTEIEPTMYSICGDADDITRLLRGSERLRTLQKGGFLKVSAPLRMALLLESIFYLAKPDRALVS
MPFDGRIREFSLLGIDKFNTSCSTFLLTHCHADHLVGLLAKSFSGYVYCSEDTKRLLQLSGKYDRVMPLLQAKRFHEPFEVSLSPPGSSLDSECEPVNVTITLLPAYHCLGATMFLVESDSANVLCTGDIKAEGWWRESLQTMPALSPYINGSKKLDNMYVDMSFSYRREPYIEIPPNNAGIHTAIQLLKDYPEDPEIVFSFHDTTLGLSRLGHLF
MGWLRRTFRVPAGWKGKRLILHFEAVAGECQIQVNGAKVGEHFESYIPFELDVTAQVKPGMDNELLIGIRHHRLFDKTDARYPKFRMPYPNGSNTDPLVGIWQDVSLLAVDPVHVTNTFVKPLVAQDRLEVAVTLANNSSVAQTVSVGGSVAPW
MNQWHNAINPLSLSLDGEWDLVLAGQTGTVRTPGTWEAQGYARRVEGPALFRRTVAVPASWQGMRVQLQFDAVSYHVEAHVNGMSVGEHSGMWSAFAFDITDALRCGEDNTLELTLYKPGERFPMRESLAGFLPDVAIPFGGIWQPARLVAFPGAAISDLWLLPDVESGIVRVEARLHQAAGMTASVRLFDPDGQAVANWQESITA
MSASRGWRNKCKVFLLEKPGPENSGSGKYPWERTSARGLKARTQQVRTVARSPEEEGHSPGPPCQHLARTRGWTRTRGQLLPKVAAPLPTAHSRLGHAAPGPPCARGGRLPGASKGTPHRPPWARGARSNHIDHPDALGVGGAGRPVPPGTHRRHQDAAAHTRAEGAGRRMAGRHSPVTAPPSPPPRSASRAVRCHRRSPATGRPGRTQTRRTPSLRPDAAPQPGSPTLRISGAGSPGSASAPRRKSRPAPSHAGAAGSPASAPTPAPPEVQPRTVVPRVAPAGRDPRVGRGDCTNTVVVFGPWPPGSRPRGRRPCEDARKECAVPAGRGRGGAEGPRVLTAAGSRRPGLAQVRVHRDPGRGCRNRGPQSSPPRLPGAASRGGAGKAAARSPAASAEGDSPPAASCLRCVFAIGYEDETKSAARCGRWDLKASSSLV
MASFINLPTELRLIIIRHTLHHRRESPPTPSTSNRDHIEDFKPVAESWQLGGCGVYYEESHKHCPTNCLPLLLTNRQISAETKSVLSRMETDYVLDISVLNDIYLFPTWITVPRLSHRVNHLRAEVRLFGHIITDKAARTQMGDGGRNGIHFAFHQILQRFLMYGPVGEKKGRDNDGYENKNISVRVLELDFTSAEEAFPFPPEKFDWRDYNRQLIGHGKLEGYKPRPEWMARWICKWIRRILAMDSYGMIYGSMIYENVGTLRVLADGILIEELDLSHCPKGALARREELGFPVMRPKLEDQES
ILARDPSFAEGHRFLAVYAAARHDDQAAFRHRLWLWRAGEGRDAAAALELGRLAERLGRRQEAVRFYEEARARRQDDLAAIEGLVRMYAALGDKAKTLAMLEQYLAVESRPASDKVRQAARLYADRGLLARAVRLYQALLAQDPDDPELLRILAHDLLALGRPDEALAMWRHLVAVRPDRLENYTAMADLLERLGRVSELAPVLERVVALDPGNVGAALRLAELRLAAGDRAAALALYQRMAGAVKVDPADRLRRARLAESFALAGRAFDDYLALWRGGERTPAVLAACLRTGGAAGELAAVRDLVAQIEGAAELPLRRAAVQALLSLSLLDEAGRLAAEVYAQSGEGEDRALYVETLVQRRLFAEAEEVLRLALLQRPEDVGLLLALTRVALAAGEAEKAERWLDQAESADGGGAVVSARYGAVPAELLIAIRRIEWDALRGKKWRVGDGIATLLREAPVPLAPSLAADLAGLAFFAGRPDLVRRLAAATGKEPFARLTRDALLLAADDATGLPALAERAAGEDRSFVFRMADIFLRLGRPELIEQLAVLCRCQGQWPMTVVLARAEAAQGKQGAAADRLMASAAVSSLSWPQTLAASWSYAAGRFANAAVAARALCAAQPNRPDYRLLYARALWAAGQRSQALEAYRSFLAGGEKKKQAGEMANNGEG
MRLPYPYRDVRKARGDALLESLICVLIMAIIGAGSTYIASRMNVSARQTRANGAATTQMRVLLQQYGATLCPGAASHSRAAVILPGSYAHQSLDVKCAEGMKVSVGGVSIDQPSSVVLCVPKDSAGQFEGTIKVGNNAKVTCG
MAVKGKLFHAITGHHHTNVLISIDTAPQIARK
MEPGGQRLVHAPFFPSGSWSPYVPLGFLKYNHVHDLFCLINQSNRPLTELSSSSKPQGIYSNVNEQYSFGKDFSQNTKTNINQSNRSQQYNEEFVVISSFLIYGLSLQEPNMVS
MLKLSLTLLLAACGANPTPSPSSPRPEAPHDFAAELRAATKGPADDDAVCTYAVFSPGAPSRNADRDGLARVNLALRREGLPDVSLALTVVPVDAELPLSLPLLIDEAQGHAAALQAASQVTFLRYRGPALKDEGQLRALLVAAAVTAEHTSGVVTDLSTLSTRSASELLTAAKQDREGLFQSEARLVVEAAGDGSLTFRTRGHAKFGRPDLEHTGVRPEAAKTLGPEFQRRVEVLRNGPFIKPGEAFEGAPALPCAGIKETYDHLCVAY
MSTHNDNDIVARFLQTARKYIKTLPDSILALAEDVKPEVPEITVGMGGGGDKAGSDKLDAGSPEAMEMKNGHGSGLVVPKVEPVDTDDEHDDNGDLEDGEIADSDDERSTAAGNARGNGGPSSVPQCPPQPAPGPKRRLCRYFREGFCRNGVNCKFLHSAVDSGAIPELCRPYAFGKCPQPNTCKRLHGEYPCLNFHRGKCETARCRFSHHPPDDYTLDIIEKQSHQNHPYKPFRKVLLPTPPPGVPSRSTGSSSNFTPLPLVQATRRWTEDPLIPQIMSLPSKSDAQKKPLTIKEINTMIKILADESCPDDYFDSVIEEYWPKPSPYHRHASDHQHQNDSWVDSKHASPEVDVFDYPPNRKCRRAAEHRRSAPYHKKDLIEPKKEPKSPPVPLLPSHPVQPFNVLNPNAFAFGPPPPQPLAVTENDRQVLDRLAAQQMIMSQAVSENHVRYESCEKPVLSPWTTPPPPLLCHELPAFDPRRPPPPLPLNMLFHSTFRS
MRQFGRPGFGFGRPGFGFGRPFGFFGGPFAGGLVGGLVGSALLNPYLYGGYGYPYYPYYY
MIAIAKSRTKTIKNLTGIQPFTHPHSMFLSRCSKGSRVFNFNALSCITSVTSAPTCFEYP
MNQHIFKVHMNQDEITLPFSLLVFARVEEDIAKQAHGFKASFLHVKKSDLVKVSLPVPPLPEQRAIAAALSDVDALLDGLERLIAKKRDLKQAAMQQLLTGQTRLPGFSEEWEMKRVAELGEIVTGGTPRTDVREYWGDG
MTGKMPAFFALLITVSFYIGPVYSDDKCDDTACTITALSFELSGNVLYRLGADGKTKLYDNVAAISMDAETLYYIRIADAGWIAGIFKGTAEESEEFDLPGKYEKLYKFIGFNDVFYFLALPVIDNIDEQSNDNPIYIRFNPDQLVYQSIEGVSDFVLLDGKSVILKNGSINYNGNEVPLQIPGKLRIAELIDSRIAFISGDGGTEVIDLPAGRSIYQYNANSVPEIPDEYNVVIEFADKIIRTDNDSEPGESIYYQILVDGAEDSRTVTGSGEVVKFFHLKLDSGRYHIIKPERWELDRIKGRYARMNNIYQPAELKIYIPENRIIKIRVEYNGKDYIIDQSVLYK
MAADDSIHQFVECLRSDEDLQRSIKLATSADEVVEAAASRGIEFSSASLVRCFARTLMEGSDEAVVKKFDELGWDAGEILWFLKSLGSGS
MKKNQSLILEEYIKGTEISVGVIEINNQLISLPPIEIIPKESEFFDYKSKYSGQNEEIVPARIEKQIENKAKELATTVHKLIKCKTISRTDMIIRKGEIFILEINTIPGMTQESLLPKEAKEFDISFEKLINLIIEKELKYGEKKGL
GVLATPEQQVLPTLEIVSENPVYDYDAKYTEGRSHHIIPARIREDARVAASEAAARAFTELGCSGMARVDMIVDASGTPWVLEVNTVPGLTELSLLPDAARAAGIEFDALCTRLVEHAVGRHRHRVGPTAV
PDETPLGSADYYARIADAQRAAFLDREQHGDPRFVDAPLSAYADPELARLRRDDLAAAREAADRLGWPVIVKPTADGSSVGVSKVDGPEAVEAAFRDAAAHGEVMVEQFIKGGEYTVAILGEDSLPTIRIEASAEHAFYDYQAKYEAEDTGYRIPCGLSADEEASMAETAQAAFRLIGARGWGRVDFMRDAEGGHWLIEVNTVPGMTSHSLVPMAARAVGVEFPSLCRAILEQTLVTPSGSRAGGETADGAD
MTRVAVLFGGNSSERAVSVASGQPVLAALLRQGIDAFAMDPDRDPIATLLSEPFDAAFVVLHGGAGEDGRIQAVLDLMAVPYTGSGPAACALAMDKQRSKQLFAAAGIPIADSQIMTSADQAGAVYDALGPRVMVKPNAEGSSVGNFICHSSDQVAAAFAQAREFGAVMAEHFVDGPEFTCAVVRGVALPVIRIQAQGGVYDYAAKYETGDTQYHIPCGLSDDDESYLQSLALRAHDALGCSGWSRVDFIQGETGFVALEVNTVPGMTPTSLVPKAAAAVGLSFDDLCLQLLNDAVGESRG
MSESPASSLTASGGEGVAVAGGAALVCSSAAALSSRGSWRSSAFLPALALTGVGCAVGFFLYSAGISSRLKRAPSLGARAAARADTENGAACYTKSRGDDQLPSACEGDDQLPSACEGDDQLPGEGACATDADAVGTAGCSSDRCRCDETGKETKETAGYRGGDSGCGSAACCQQVDGETRQTELELAEEDASECDLEDLQASDDEGVETLEPPTHSHPTFPRVKPKRQLRRKGTENGETKSQGEEKEEKEEKEGDQSEEARQGEDRRDAGAAGESERGRDFFQPGKRQRIFVKTFGCAHNQSDSEYM
MGKIIGYENKDLIMPNKIIEMGLLPETVFKVLYQAPFKGPLYIEFGDEKSRIALREEEARFIIVESLT
MNLHDMTIKSGQSVEITGFAGEDVLRERLHEMGLRVGSIITILGKAPFGGPLLIRFNTSFLALRKEEASCALVMLK
MTSFPVRAVKATAARIVLLKGYSAQGFVFFTNYNSYKGQQLLENPKACLVFFWKELERQVRITGVVEKVNAADSDAYFLSRPLASQIGASVSPQSTVIENRAWLESKYEDAAHQQMSKPLQ
MKKDDKNITMSITIFIFVDLFSTMIKTYLIYRISIFKIILFILPQMILFFWLLKNLLSLNKWITVYLTLTITVLINIVSSLKLILELVIK
MRAYWAGLFVLVAALAGCRADSRFVVFTSDILAVAAGGAAAPVSALYRVEISSMSDCEEKKSAVMAIVARYFEVTSAALCDGGEDNSWLEYSAKTELVALGAALPGNAPLGLAAAQTGSGVALHLLFDARRFAALGHDVEALDLGASVEIGGLTLEILHDGDAPLVLAAPSAWLNNAPRVAPALTLTRRDSAVLRLSDVAGAALQADGTVLALTLALAP
MSIKGKLIGLVLLMSLVFAMLAGYLLWASWKIRHQVGYFVPAISYLRGIADVRANLTRQVKEATDYLVMGEAGDRAGFAHFGRLTRQSFELWEGSARQQKALGVEGEGEDLAKAETIRASYDLWEEQIHHLFSLVDAGHQSEAQRLFADQSDRLIEGAVLARIDESLEDGLNEVQDAYLDLLMSAGMIPWIASDALHQIRGTQASIDYLLAVNRLSAGVNKQLKALLDYLFFGADHDRQFFADYGKDTKSAIEACARAANRKAALDPASRSDLLNEVVTIERSYGQVLALAEKAIHLKQNNQTGAALALIVEQLDPLLDGGLMPKLALALDDGGQEIFNLTRAASRQGVIVVILVSLLLAVGTAKLIQQILRSLRQLRAGITIIGAGNLDYRINLATPDEFGNLAAQFDTMVDRLQQSRADVERLNRELEQRVIDRTLQLETANRELQSFSYSVSHDLRTPLARISALCQVLLADETLPEPPEIMATLQRIGQSTQEMEQLIQALLSLSQVTSGELNRTAVDLSALVETLAAELRQRQPQRSAEFRIAPGVVVNGDRSLLLVVLANLLGNAWKYSARTGTTLIEFGVVQRAGQRTCFVRDNGVGFDMARAEKLFTPFQRLHETGEFDGTGIGLATVQRIIHRHGGQVWAESAPGQGATFYFFLGNNGAAGTATPSIPAISDPSAQIP
MRVAAGLTIFGHQVSFLFSRVIEVSKEVEQAVELMELAEIDQIFALEEFEDCVVLRGLDVAHLWKSADLAMVV
MKKNYIDIPIFTTIQEIMEKDYTLSATQYKSLQIKNKNLKPVSSFLDRNLERYDLGYEVGSESYIETSPYYFIKTKALQEESYLLDITDDSVQAIVPQNFVDMKLKKGDIIISKDSNVGEIVILDKDYPNTMLCGALYKLPITTYKYYLLAFIKNQIFRDQIDFLVPRGSTIRHGKTKFLDCLIPLPNQNKENVISYIELLMQAIINKEIEIKKRYVQVSDYIMSELQNNQLENLFTYSFPSINEIMETTRLDSSVYSKRFKEIDFMIKNYKYGYSYISKENIKGGNTPQKRIISEEDNLSNYWITPTIFDNIGLLNSHPTIDCFESNIYSNCLLIVNRTSKGKDGLYVGLSYFYDFINLGVGHHNQGIYRIQNYCDSDLVFYNAILNSNLYREYCGALSMGSKMKEIKVNEITSIPFPNFPIEKKEKVVSLYYTPFYKYDSQKCSILNFSEYDSSFNSKAGIYELDRSKKYLEEKLSKTINNIINDIAVTIEF
DVHYRSGTRFPEGATIALTEPDGTPITLEIETLGFVALNAGTGYGGGSWSHGRWMGRDWVEGVDIDLNDPEVAAMIPFGLLDHVARATVGDTVGWGLFELGTFGRHDPSGFADYASVAP
MCTFRAVLAIAALLASTSDVVEAQTKVLVQSPVHEIPETSVYRFLKTRNSEEDEARAVSKSSIHFEGLKVSTDDVFKLLQLDDAAENLLSNPLLSAWLSYMKRFNRHNPSRKTSLFTTLLAHYGDDGLAKIIEASKHVTRTKTLAKQLQGEQIQRWLAQKLSLDEVFVLLKLDKAGDQLFSQSQVLTWVKYLDDYNEEYKKSITIFSFLKPKYKEETLVQMLIAAEKAPNTKKIAVAAQTEQTKLWLNEMKTPDDVFTLLKLKEEQSALFSSPLFPAWLKYLDEFNLLDPEAKVVLPFTTLKKHYEVEALAKMILSASKDPSTSDLAKKLHSEQLREWYSSLKPPELLFKALKLDKTGSKLFERSLFTVWKEYVEFIKLMDPTIKSNLLTPLIKIYGEKKLAKILVTAEKVPKTKKIATELQELQINRWLNEKKAPKMVYSLLRVEGTLANDPSRVFYEKYIEAVKQLP
MMCTQKIEIMFSILKTVFWLGFFVFQPSSSSDVATMEVTAKAGDLAMLPCSLKIPPGKSLKDYNVYWQKPVPKNGNELVVIGYHYGQERPDWTDLVYQNRTTMDQRNFTLWISPVKVSDQGQYKCLILNPEKNYEAHLKLSVVADFSKPSIEAVFNPPCAPTVLTLSCSSQGGYPQPEMSGLINNESVKWDHSSISDNQTQLFNITGNYNWQCNMTGEIFVQCSVGYLGFEVSSSLSLRTPECPPPEQPSSNGIVIVSIVILTFFVVMIVLTIFLRHRTCRHPRSSQHITSHQPVATSEMTSQQLSNGASGTRYAQPCRT
MNIVIIAPFWEATGHVGNYRIKRYIDWCLENNIYITMITSGKKNTILEKPWGKQIEVFDRFNLYTWRKQKKENNLSFSPHRKENTLRKYIVNNLLIPDPIILWNWSVAFDSAVQEEIKNSDFILTSSPPESLHILGMYLSKKYKKKHIIDMRDGWLDESLKDSLHSKGIRYWIEKKLEALVVKNSAIILTSSSKWLSLLINRYKNIENKSYVITNGYPVIEEELLNKLHSNKQKNPSQNIIMTYAGRIRGSRSTQRAHHFISPIISAGYQLPNYHFTIQIIGRLTKEDLEEIDTIKLQNNNLKNITITLKDEVEREELFHLLSQSNVLLLTSMSISNIPSKLFEYITLKCPIFATSPKESILFSFEKEIPQLFVNEIESPNINKTIEKIKELSSLQDSALVPRTYHPDEIKRKFLYIVEKHANN
MAQDACGLLADGLQQDALLLLCGLPTKASGQRPGVLGQFCHARKQIGFGSRIGGGGVLEPVVLVLEGVGGEVTRGVRGDVAKQGGPVDGDTTYIRLGHGGEHTAHTTLAPAQCPGHHHRSGI
MTFMWFGLAVIALLGALALLYVDRTRREQSGRVREIWARAQGYKYTDSDERLPSNWHRAALAKQEYLGAIDVVRGVRRGEEFILFDIEETATIVAVRRKVGSDVDIDLRLKSTPPPKDSDMNLLGSIGPRIVFSTDLEIARRVCDQRMVSFTQSIPEHVQMLWSEGPWTLGSIPVGSNGREWDAAIEAVARLSGILHVLPPVVDPDNVDRSFHDPGRPSAHR
MRKDELDTLLSMISKLKPHEWNQIVHYVQKKYSSKQASVPMPSMEELSDYSANLDFPGLMKSQSECDKD
MHTIIILASVLLPLIGVMQMEGGAFGNSIGMHGYPNGASVIYVMYAAVLLGVYFALRAGSAVASTVPTRSGAHFGVYAKLLICLFLLFLLVMLLVFGGWEVWLGQVGKGKFRAHLGQFGAVAYLLINSVIPLLMAYASVLYRGATGGWRDHCWLAVLFGLTLLIGSTWGFKSTGITMLLPALIVLLWGSRMGRVLAVCSVIALTIVAFFYLFDSKTDEAAGGPTILLTRLTTLQGDVSWLLWNQYSEGASFPSYLQTMLVFVGDRAFSIMSGMTREMPDLWADYHFDILIGQLAGLPLSVVNEGHSIVGTPFADGLVLGGVPGVLTMALFAGLLCGVLCTLIEHALRSGHGYSCALLVTYFGIYVVTFLRSGVAVQLIHVASVGGLLMALALCVALDRLALVCRNEMSPLQKS
MTSDSIHKYDSQYCSWQTVLWTNPRITRNDAFSSNFSLVKERGAVRNVKKNNFQECKTSVDERLIFLSDNLDDDQDPEIFEKSKGIVNKFISCAKLTSIPLISVDDAGYIVFEWRDYNHYDVFVILFKTTDSISYVAVKQKKIVLKGSGLVSEVAKIVQKSNDE
MQHLVNFLNSEHALNVDILTEKFISESVLALFFHLKGTYPFNCQSGLFTLRESIATPEFTPKSLKSLLKPALEAANDLFIIKSFISRETKSSLISALQDAIQTYIVTPFYQFTENSRTDIILDFLVKMKPQFKELRITRQLVLDPQAVEKVFTLARNGYKPMMNVAVCLLKYYAEQIKIVVTSPAEALLKSPDFFIKQTENGIELHDIPSPIPNDFAKDIAETCLAHIIRSSPSKIEHEEEEYIFPKTLTEISTDNLISFDLILPESIPDIQTPDQAIANFRAAAWSLSKAPMIKKKQNVIKEYPSLDAAVSDIITSPLWPKAQTIQRELVLYLNKDQKLLDIIKYLGDLYLVKRGDLHLAYIEGSIRREAAADFFKTHLGSIPFFEFRFTNPDTILASLPIRLRKIVTRDQIKIYNKYYGLMLKMRKLQYALSRMKIFRSIISIRLQFFQLIIAFQQTLFFKVDVGLLRLIKKLSNAKNLNELIKYHADFIKLVNTASLAEIPDSQEQFNRLFDLGFKLSSIKEEPNEDDIFDFNDGFITFKSYLTGIMSVSAQNNSSGLAAALLSSINVII
MFILLILINSIFSTKNCNCSKNKELIHRRYKRAFGCTCFRGGGSKSEENSDESGPSSSRRKGKEIVKYEANASFEDDEIENEEVEKLHHVEDIAGSSSKGKHHAKSNVLYICDNFYYSRVQFHVILNKIRKNSLRHFKKC
MPPNTESSNLGVHNFAPGCVPNRSPHQKQQVAPKQVDLLIISLPLEKKPQRFSPQNVAKTAPMKCSRWPFLMPIGWKSLLIELSLRKSSHSKNVPRLWRIIPSVTKNSRHGGGSRWAVPPKSCSKLLSLKGLRYIRLKRMDL
MKKGIIAFLTVLTILLTGAVKVSADSTQAEIYRLYNKNTGEHFYTSRPLNEILLTNQVGLTKE
MSDNAIEGIILAVAVVVVVGASQARLKRRNRVSLGKGRRGRSYGSSSSSSTYADGGNSSWWVGGGDSGSSGGHGGGHHGGHHGGHSCGGSSSCGGSSSCGGSSSCGGGSSCGGGGGCGGGS
MEPALTYGVPLPPYMQNETIVLQVDDSTRMQRGSTEVRLVKDIAANTTGRFCLKLIGSLRVISDDPYSGVHGQKVLILSRPDGIHGDSFQSTLNALAQLTGGTTRGIRSPFSIRRCFVQASGRSSGRILVEYGDKTLKTKLDLNRSRHVPLAEADCPILEPGALIHVEALIAREDQPLYDGELWETSWVLRALVVRHVYSSGAPL
MQEDQEQKRNVMLFFAISLIVMLGYPYVFKSSTPVQVSDLNNQSQEISTISSEAQSSDTRLASRRENTVPQAEVKTIKIRAPRISGTISTKGLKFSDVNLNDYTQEYDNQDKVSIFGKENYFAVSGWKSDDNSIVLPNENSCWETDGTKLTPESPITLTWDNGSGLIFTKKISVDENFVFTITDEVKNNGAEAVRLKNTTLIYREVGKDKSESIGFYHGPIGYFDGKLREVGYDDIDKKKEISYKSKGGWFGITDKYWLVSFIPEHNSSYSVNYKSLGNGNYAVESSEDWSVVNPSSKFSKDYHLFIGAKEINTLDMYEEKLNVPHLDLVIDFGYLYILTKPLLYAMSYVKDLIGNMGFGILLITLLLKLLLFPLANKAYRSMNRMKTIQPKVKALQAKYAGDQMKLGQAVSELYRKEKINPMGGCLPMFLQWPILFALYKVLYISIEMRQAPFIWWIHDLSVADPWSILNLGGLIPVTLPGFLQIGIWPLLMGLSMWVQQKMGPAPADPSQAKMMMIMPIMFTLMFSRLPSGLIIYWTFSNLFAIAQQYVIRKVDEKNALAKSESKAGAKS
MSLMRSQRYIHIDVRSFCSRNLYNAGTNGSERSGTPPGMSIRNSSNPNAGLGAWTDVFIPGKTILGYYGGKIVPAATVKDGSYAWIINIQKEDYKVDAADPSYSNWVRYVNSPRTDEEENVLPVRCKSKMFYVVPRDIAPNTELMVSYGHGYDRRLGTERNHPDSEILKGSWQIRINHVHVDQYAKLTKSDGSPVVYANFRYPRLNLGNVHIKLLLSLYGRQWSWAKEEDYSFYTDLKDGYMKYPFICEKTEIYW
GELLSQTDDRHLLDLLKKFLRDEGVNCRILIALIHDLGAEPSDRTGDFVDKVLALDSLKEQIDLLIRGQEWVARKIRESHHLLPAGSPQLFMEAIKVQHEENVDTLSMYFKKH
MRKLYRIIQVASGNFFEAFDLVVFGTFATAVGAAFFPADNPVTSLMMALMVFAVAYVMRFAGAVILGPYFDHAGRRKGLLISLSLMALGTIIIAFTPKYETIGLAAPLLVLFGRLLQGFSIGAETGGVTAYLKEMSGRNNSALYVCWNAVCFSAATIMALSLGYLINQTLKPDQILSWGFRIPFILGCGVIPLIFYLRRSLIESEEFASSIHHPSIREVWAALAKHWRVALSGMLMSTAGSSMFYVIFTYSPIFAKEVLHMSAADSFLSTVGVTAANIVMLPLFALLSDRIGRKPILIGCGILSFVTAYPAMYMLANNVTFTNLFLVQLWFAVLYASYTSSSLVALAERVPTQIRATGYGVATTLGLAIFGGFTPFVSQYLVNATGLKASPAFWVMFVSACGILGTLTFWKASRAIQMPDAAKTSGIIADAPARSGGAALQESV
MQDIVVRPKYTYNNYLPAFSSCCPFAFKGGLRKAKLMRRSDQIKRVEVTEMVHFYIERRCIKNGMKITKS
MNLMIRIIRRALVVAALIHSSLVHSANIYAFGNSEITVPTDIGVGTVFARHTFTPVEVCGFPFCEITGALRYNKGSIFAPNVSGDSLETNVPGISVVLRIDGKVVKDRFTGRFTGTGEIQLIRNSSPISGGKFSSGSFNTYYILDYYDGSWLGTSMSIYLAGSVRAIAGACKIPEATIHMPTVYTSQFTGVGSAIGKTPFQIRVTDCPAGFNRVEFGFYPAQGVVSGIPGTLQLAPGSTTAGIGVQLTDDAGAPVTFQVPQLLADYDRTVGGNYSIPLTASYVQTAPDMRPGPVNAMMVVLLNYL
MKFKQFAALPYRTSDASLKILLITTRKKRRWSVPKGWPIKRCAPYETAATEAYEEAGVRGAVDRKQIGQFKKRRTKKKRSVLCAVGIFPLQVKRTQRNWPEKFERSRIWVAPHEAARLVNKRGLRRAIMDFERVQLRDRLPPK
MVVKEQRPVRLQYGALPYRFSDERDLEILLVTSRGRGRWIIPKGWPIKGMNPAKSAAREAYEEAGVRGSVGSKAIGRIRYDKILSDGETYVLCELVVFPLKVKQQLKKWPEAGERELRWLPALQALELADDEGLRDLIRHFTPKTGRKRASPGDTIRKSGKARKKSK
MNANEFVQNFKKEKDYCLELYTSGEPATAVSELIKQMGLSDHQQEKMNAVIDGILNDVFYSILLGLDGCGTIGDIQHTYKIHDENGSIISECGDLEAEAWEPFNE
MNADNFARLCRADKDAMVAAFFDPDAETAVGTLIGSLNLDDARLATLRQILDGALTDAMYNLLVALDGGASIGDVQQSYDLRDESGATITGDGDLESAAFVAFHES
APDMKSVKQGARVCAPWLSAQDEERDEEYYQGVITRAQRRNKTCTIEFDDGVQRVFSVSEIRLLPPSTQKWNEQERGKEVKRKRGTTFGHSRAKKKQKKETDDDKQHQPSHSPP
MPRLLEHERSEAVGMLRAGSVVTDVARQLNCARSTVNHRILERYNVTGTVKDRPRPNQPKITTPRHYANIRRVHRRYRFRSATQSARQTIGICG
MTRYAYLDPDDGSIAIDDIAPAGITSYAVADNTDPNRIYIKDDAVHAVPLRPDHPFVVFDVATEQWVDPRDPAQIAVDLHLARYATNTDKNLVFYRLSAAGAYPASELADDSTFFPATVEEYLNSLPPAEHDMVKAALKYEPKIWRLHPHLVGDAGITGFVPWLAVQKGITITEDQLDQIFEVPVPEPIYAGE
MKIGLRGGHSPNCKGAIGLIDEQAEVRKIYNELVPMLQAVGHTVIDCNSNASNVSGELSDGTNKANGAGCDIYVTLHMNAAGAASAGGTEVWLYDASNQTMNTIASNICNNFAGKGFTNRGVKYSSGYHDLNASNMPGMIVETLFCTGTDDVARYRSLGTKGIAEPIAKAIDSRSSACSEQKNNQNTGIEQEGEEEMKCLFTVEGKGAVYYFDGQKVITLGHPDELKIIQQIYKDNNGKDMPCYKWSPKAPWYARLMSVIYSKETTSI
MTTSTDFKETLKQQADIVRVIGDYVKLKKSGAQNFSGLCPFHSEKTPSFNVHPTRQFYH
MGTIPPETIEQIAASNDIVEVIGSYFPLKRAGANFKALCPFHQEKTPSFMISPSRQTFHCFGCGAGGSVFRFVMDYEHSGRGARRGG
MAFSPRIGAMTRSPAELIKEKLDIVAFLREYLELKPAGKNWKALCPFHKEKTPSFMVSPERQSWHCFGCGLGGDVFSFLERYEHIEFRDALRILAERTLGWCSSG
MTRSGTPIQSIERAAQVLGLFVDGRSDLDLNEITRRLGFSRATAHRYCLSLRSVGLLRYEPETGLYGLGARVIELGTVALQSLPLVNIAAPYLHRLVAQTDRTAVMTVWDGQAPVIVRVNDNTSALVRISVRAGSRLPLFRSAQGHLYLAYSPSIVKQVDDEDELAKFESVLKRLREEGFSLRADVTAGIRAIAVPLFRREEIVATFALVGTEGTMPDNIEDPKVAELVAIGQEFSTELTEQT
MSNQFRRQVLKRTALAVVLGACLTNGAVYAQSTTGSIYGSAPSEAGSTIVVQSDTGLSRTITVDANGRYNLGSLPVGAYTVTLKRGDQVVDTRKNVQLRVGSGTEVSFAGAAASGSNADATTLGAITVTAANAPKIDVSSTSSRSVITSEQLATLPLGRSAEAIALLAPGAVAGSGAFNNGSRSVVSFGGSGVTENAYYINGFNVSNPLSNLGGVSLPYGAIDQQETYTGGYSAKYGRSTGGVINQLGKRGTNEWHFGAQAVWEPDSLASSTGDVWFPSNTLPAGYGYTNRDLPPLS
MSSFPEIRWQCRRGMRELDELLTSFLDTKYADCPNDVQIAFVELLKLSDPELVKLLLTPYRSQSNEINNIIDKIKN
MDDKEVLWKCRRGTKELDILMLTFYQKFYKTASKSQKNGFIKLLSLEDPIIYDLLLNKISLKDIAVNEIADMIRNMSLKSS
MKKKIKSISMKKWIIENPYVIFFTGTFMSIGLTSLGAYQRVFHITGILIMLFSFYLMYLKSKKK
MSAIEECHAVAGVESYILKVRVASPDALEALLKEIRSAANVTTRTAVVLSTSYEDRPPI
MLKPSKSSNKFMISCSTPRIQIYWPLTHVFVYSPWTIDDFALAFCETQKIAQNANALHCKTAGGLDDG
MQSNISTAPFANLQWKEFKIAELFEKIELKKLNSLDTRNFRVTKPDKEHTIPAIVAKVGNNGVMYYVNKNDFETTSNKIVVIADGAVASGLVYYHEKEFTILHNAYTIALKNKNENRENGLFLAMIIQKSIFELFNYENKPTWNKVKNVSICLPIYPASSCKKEQNADQDHQIAFDFIETFIKALQKESLKQVDSYNQAKIKAHKEVIKA
MTKNDSWKSKSNICVYYLNTGGMRTKIQSFHQAPSCSCYDIVMIAETWLNSDYSDAEVGVPEYDIYRCDREVGLNTLKTRGGGVLIAAKKSLSIQKIIVPLVDSDIYQLYLTFKIKTQNFVIGCVYIPSPSPSQSYLRHCESVEYVYNNFSDSLFVLAGDYNLPQAKWGNDELGLTVTGTENESVSIVANCFSFHNFFQRNEILNNNGVSLDLVFSNLESINVTPAVDKIFENSLNHTAISFELVCEENLDFMNYEEYFYDFRNGDYFSINNYLASINWEGLFLSGTADSMVVIFYDIIYSLIEHFVPLKKYKISTFPSWFSSELRNLIVCKKQAHKQYKISNRQDDYDRFVALRNQCEILRADCQKQYLDRIQNNISDNPK
MDDNYQNEDYYNDDKSEKLSHTREVHSKSEHITAGNGEDEKKFSKHCSSSVIKCCVLTTEASFPECPGSWEKFQEKCYYYSREPERWNDARSLCQHLGADLIVIDNENEQASLS
MTGNGKLGEFPTAFSNYHFGNKGNSSGWSHDQPNKNEEEYEYCQQGSDGRPLKIQWQKPHETNEIDTWRNTLGRNFESALKYRDNKKYRSLPPSTKKPVDPRRFGMVDPFKYASYIDDEGWNPIAYFAQELQKEEEMKRQKSGHSNSTNEAEELVNMIVKGNLSRSGRESKRRDSGVSHVDSNRKGSISSTSTVTSIKPPIPKKSSNRIQDKRDSKTCPTCKGMVAEGGYYTQWCQPCENRKFEDLFELWSSGNDVIDCFILESQLNAKSRFDYLEWIPFDRFKDVQLIGLGGFGSVYHAIWLDGPREKLDKKTGQYVRCGEWRIALKCFDNSEHITPDFFEEQFSEAERRRLASINEGIGTSNTPDQLESPIHTPIQSPISSGFNIDKNEHFEESYTSRFLRFESLQEPTIIFTRTNDPREHLAVQKYMAEETRSYNVKYEMMQIESSEIVSEVYEILQYW
MNILFLDFYSVNYIWIRLLLLICLGFTTNALAQTTNQTGPTSQPTASLYPVSAPVTTNSQLITSKISGFTITAENLYRDLEKDLVQISGSVQIIYQNQHFSADFVEINLKKKHAYFRGHVNIQTIEYNIGGDEISLDYYSSQALIVNGYVQSNNITFKGKLIEQRGPKTFFIADADYTTCTNCPATWSFQGSQIRAELGGYAFLKNAFLKVSDIPIFWLPYLVLPLKNERQSGFLFPEFGYIRNRGLFFSESFFWAASRSQDLTFTLKNYEIGGLKELVEYRYSLSEFSGGQFNFAHFNDSLFSSELIKTGQLTEGTRFRRWAIQGYSQQEINHVAQARVKINQISDLQYPKDFYEEFKTYAEGGLENRLNISGRSDHSLTQFDSIYYKHLLESDPLQVNSSAVHILPELKFDFTTTQIAQSPLYFRFNAQYDSFYRKNKWDDISTVADQKYASNTSNDPACSHDNTTGCSPTYDGTFNQGTDLLRTGQRVFYKASLLTQSFTPADFVNVSPEVSYNEAHYFFPEGTDRYNVRRFAQIDINSRSRLYRIYESDNPDTKYKHELIPELSYTYIPFVEQHAHPFFGNISNGEYAFSSRNNLSDSDLNTPYGIQYDYHDRIYDRHLVTLTFLNRVIKKRLSDRSYKNILDFRLSQSYDLYQIQNKYSQTNQPLSDLSGTLNLNLDEFTFSNQFNYYPYLSATNSTTSLSYLNAQQQYFKIGYNSNRAEGPRVDDVVLALGFVTKYINVLSGFIVDTSENRDSDSRLKKFSLITQLKPPGECWGVNFYREQQVGLEAEWRVRFDFSFDGKPPKIIPPDELKIK
MKYVVVTGGVMSGLGQGITTAS
MMAAAMATVATAATATTIATVTMATVTAAMIMVTAATATAMATVITAIDKSTAEGLPQKGEGHPCRCHPRFLNTAQTRTQTLLYVTPYYSYPFLSVVFTSLALKHWCCVCICTATLNSSDD
MHAHHHRHQHGYGQQGHHNSFAQFIRPFFGGWRRPKYNVPMNVEDHADHYRVLVYAVSFDKADISVRVVNEELVIQGTRAHDTANDPAFLLQEYPLKSFERTIPLSNRVDAAGIQAKQENGVLILTVPKKPEQVVAVE
MPQKKYPNKLSDIPKYKQRDIEASLQWFLRFSPADRIRISEKQWEESQQYIKKFSKINQWKQKKKFHFSK
MQNINVELLYTYRSVGKLIVAKERHEKYDEVSLRKMFHELSFLLTSSLGKGFSGSQLTYMRVFYLWFRHFPVVPAKNEVV
MAWILRWRWVAAGVGLGLVAGAGLGLAVWTAWLLPGPWLFAMAGGLAGAAVAGVYFALRDTARLDGVKISVPNFTELSFTITGDRRRIAWTLFVEVATRTSTQPLADGTGSLREALTSLYGLFGCVRDTLEEAPPTRVDTAGPTVEYLAIALLNDVLRPFLSRWHPELLAWERANPAAAERDWPLNQPCRAELAAVQQRVAQYAMGFAELAEFTKDYGLKLLHAGAALGPAPDPARGIPAQQGPDDLA
MRRLIGLLLDARAVHRTDGERGAVTVITAFSLVVLLGFAALAIDVGMLYQERAELQSGADAAALAIAQDCAVGRADCAIPTDTAQTLADANATDDAAAVAEATVSMAAQSVDVRLATGDESGVGSLSLAFARVLGHDSATVGASSGARWGAVKKGPAQLSIAFAQCEVDAKFDDGPVVIGMQDNKNSAACPSGTTGSAGGFGWYKLAKNDNDPACGADVSVSVVVAADTGANLPSVCDEILAQIKSGALDNILLFPVYQNVDGEGANAEYTITAWVAFEVLGWKFTSNEEYNYPACTGSSNSCNKGIYGRFVEYVTLDDYELSIQPPSNGLAVVELTD
MGTPKSRKWHRGPRQSRIRPFPEYPGAPNGEPSLAGFGYEVDIDVMNMPFRDVGRTQFTRRLDQRYVTYS
MKMVVGHGTAPMVVLSLLLLCFARQAVQQECLYVTSGSTEMAVLRPPATEPLISFPAGTETTGMTTSPLAPLHSLSPLFVLFARGKGVAMDGDVLYVASGSESTINMYDLANNLTLLGPFVVFSELFYLEQILIHDGMMYVATDDYPYVLKVPTPGLTNSSDFNATQWQPTGGGVALYWRPAVDLQGSAIKGAAWGLQYTNASGVGPGVLVSTQANELYLLSVDNAGYDNVSLVYSDTSAFGPLRWGGMDVDSGGSLYLSQGRGGRVLQFNSSALALQGDFGTALDTLIYDVKLSADGLLYLAILSSVGTMTQDGTFTTLYTSDVGVIYFEFHACPMLALPSPSPAAVAPSSGVDSLVVVLATVLPSIFVGLLLLLCLVAALFFAVAWKDKRRKWHDEHDDRELESMEVGKVKYSYREIDPQDLQLGTLLGEGGFGKVYRGMWRGAPVAVKIFEQVELDQVDNSTLHTLRREAEMLEKLSNHPCVVSFVGAVTKGDVAIQGMEKCPFALVLEFYPHGSLYDVLVAKRLELPFHILVRMARDIALGILHLHKEKVIHRDIATRNVLVGDNYSVHISDFGLARAKKDEVDRTTSNYGAIKWMAPEALLRGEYSEASDCFSYGVLLWEMVTRKSPWNNVDPTQIAIAVGVKNTRLRIPPVCDPVFRRIMKSCWKQNPQKRMKMEEICSMLEQYYADLAKYAGEDWMDDEYEDGEIEHSSTSSSVVSHAQTSTSSPTGKKRRPSNQHSKLMRQVGNTSNNSSEASKSVTGEKEGTAAASKDTGKAKAEYLVTEVFALEGAEE
MKKLSAALLLLSTAAAAQPYDNALTEEAIQKRIAPVGDVYLAGADSVAEAVPTGPRSGEQVYQASCFACHGTGALGAPKSADDWAIRVAKGSDVLLDHAINGFNAMPPRGTCMDCSDDEISAAIDFMTSK
MNFYRLSLTLSLLALTACGPQPNLVVPEPYQEGQKIYHKVCGNCHGADALGKNVKAPKLIDEEYLAVHFSDDDFKNQIIDGSDKMPSMKGKVSDADIDQIIKYLRYSQKAAGLEPEDEEEDLEEEPEGQTP
MGSKTLEMYEGDCSTCKRVSKDPEPDPGQSPSSGQSPSSGQSPSSGQSPSSGQSPSSGQSPSSGQSPSSGQSPSSGQSPSSGQSPSSSQSPSSGQSPSSGQSPSSGQSPSSGQSPSSGQSPSSGQSPSSGQSPSSGQSPSSSQSPSSGQSPSSGQSPSSAVILVL
MEAQDALATVGHEADRLRFEAQQVQPALEEARAAEADALAALHASDAQLAAVADQLGSHRQAQATALTETGRLDEAIEAANLARVRDEESLAELTDRLEAASVEETAEPDPPSGTPSRRRRGGRGRRRWRRGSCCAAWRNRSRPSPVAPSRSSGPPSTSVSRGRRPSGGPRSSAGRRRSPGPSTALRRAGRPRRGGAGEGRRPARRGRPGTQGRRGGNDPGAARGQGRRRTAGRDGPRRAP
MPRDQRSVIIRSYFGRQFGDQHPLAVPGFASVRLLQPIDDFVRRYRGGGWTSYRALVTDGAR
MISLDVDVLSTLDELRLRGEPMLVLYSGGVDGSYFLDWAAEEGLQVHALHIDLFDHDVSGVVQRAEALRTPIIVRHLGENFADTFIAPAIRSRCWFNGLYPISSSLSRPLLAQAAVNVAHDLGIRSIVHTSTFMQNSLYRLSNSLLTLDPGLTIGIPFAQSTITREHKLDALTQSGVSFDAGGRYSVDATPWARVIENGALEDSRNPLPDGGVFAWTQNISQVVRGPVDLVISFKAGLPIAINGEALSLFRIVMRLNEQAGQRGIGRFSGLEPLHDGLKNHEIREAPAAAVLTLAHHHLETATLTAAELSFKSGVDEALTSSAVAGDWFGILPRSARNASIELSQPITGDVRVRLDHGTITVLSVSSRFSLGYASYGQQFARQTEGHAARSALAFSSFASRLRNTRDR
MSTALPLVHLADSAGHQTTYTRDQVADLIARGELEPDTLFWIPGMEDWRPIAEFAHHVPDVLKAQGRTRAGFTRDPAGLTRVVEWFLILQVVTGMVGLWTDWQHIQLLEDPNPDPQAWKDNQARQEFIMLAQFLASVVGGLLFLKWVARATLNSAGFLPQGAAPMRFSPTKAVVVWFIPFINLVRPYQVLADLFRIATHPTTDWNRQSAPFWLILWWLHRLACGVFAWRTTTILAAARTPDQLAEAMRLNLLAGVAGITVSLFGLVLVKALMNRQRALVEPSNPDELATSLDT
MEVPIQMNEAEEDELRSKLYSESLIVDVNRIPDPFTELKDGWLCEKESKQLWPPLSYFETAAWLLVDATCPSQPSRPNVRARPNGSPSGSIGKNTNVTQFSGPLPTSLKDRLLSDYKEGKAFSYFDNKWSQELFYHHISEDSPLCFIMANCLPSQNVRAIPHMSWVCLEKETGKIRNAYCTCVQYSTEQPNVSAATHSDNSQALDRSSLHSG
MPRRLFDPKNGIYVDNDEDLDRPCSYCKEQPAALNTAELHWCKGCKKTICKRHWFNEIPHELGSAEHVRLRPADIRELISAAWAASEVKKRDAPGEQDWLSDATGTNELWTLQGREASLWFGIDTASRKSFVNQELPIDLLRGSSETRFGNQFPCIVSFLGSTGAGISSLIKGLNTLDSPSESDMENPVAAHSGRVKLANSGVHLFADISTALSDRPLLYADCEGLFGENPTASGELDEFVAESIDSLRQIGKWITRPFSCNHRFLEREDFVQDEYPRILYPFSDILCYVVQQWPSNQKTLVTDLILWASRAHDKALNQAILPSCIIVVNDTTMKGRHHDHEITTGAILGGIENYPIQGEEIETLANEWNEIARTRSSRITTLGQLFHRYFRDVQILYIPPRSGGSANIVRTQLHKLRDKLQTTSAAIQRQREDTGGRVNTRQLDVYLSRAFESLWSGSGWPFDFGKYATIQEEAPTDLCQHASNLMSQLREQVMDISPDQFESCVANLLSSCAMFHLIRRFPELSEDGGRFSPRGGTIFIRHAKRILKRDVDEFGAAYRRFHQDSRCWYRRGTKQCRNRAKGHSKDHQEYHGSVFAFGGYHTYWTERGNKRFVKRMRATIALGFGVIRGLSEEEFLEAMEGVAKGGLKMQAIGSLERWFPYYEGFFCAKSLDESLTSVLGSWNPLIGAITYPIEKMGTWTRTNSMGKGEKVRDLRVGAVAATEEGESYQTWVLGNYPRDDKGTPFPWKPRAYRHAESMPFFSLWQAARCSSVAPGLFPAARAANHESFVDGNAAKVSTIETAMEEAALIWPSTPEAHIGVSIGVGRSQNRTTPWWFSRVALHSWEDRDVDQYEEDLDPHEAWDKHYRTLSRSQRSRLRRLDVDFQQEALPSVQASRIGN
ERRGGYGERLAAHLAEAAADLLADPAVGRVRECEADGCVMLFLPAHPRRRWCSATRCGNRARVARYYARRK
MDRRSEPVRGRLQIFQKMEKETQARAGSPVLEYHAALVTGDLEHLKPLMDKFFQDANVVFEIKKDEMVWQVKSPATFGLSGTFALLKCAQALLEHGASVQLEGGAGRDTPLHVAAQRCLDEHARLYLHHGARVDARNGRGETALSAACGAARRPDEHARCLRLCALLLRRGAAADARDEDERSPLHKACGHARPSLARLLLRHGADAHALDYGGASPLARVLQTAACAPEAEPQLTVQELLNHGSPTVWPDAFPKMHQAFYRSLFALAHTPRCLQHLCRCAIRKSFGRKCFSLVPLLPLPETLQNYLLLEPEGILH
MERWPETVRGKPQIFRKIERASVPSRVPSAAALTTRDLAHIQLLGWGEFFQDTNVVIKISTDELAWQVKSPTAFGLSERWGSPAADSGPLTENGLLNLVLWLACKQPACQEHRADPDLPGVPALVRDRSLALCKPLLIVRKCEGLGNYKFFITNEQSLCSSPLAGCGQVLLEYGGASVQQVQVAGQGTLHMVAQHCLVWRGPGHAHKACGHTHHSLAHLLPLAQRTVQALLDHSSHTTWPDALPKVLRTCVPVTAILEVLFNFYAXLQASESWKEVIPEEAYQMHQPFYQSILTLACIPHCLQHLCHCTLCKLFDKKCFHLVPLLPLPKPWQNYLLLEPEDARH
MERRRHIEDHYAVTLEPQLQGYGWTVVCGDGRVVSGHAPSSDSARRSGAFVGAAVSALDQIGRRRF
MLEYYDEILCYTQRLTGDKVLAQDLTQETYIKVLEARKKSNMIIQKAFLYKVARNLVIDKVRKDKIVHQMPYEEEKHCGEVLSNTQERVDEQIRQETLKACIKNLSPQNKKAFVLYYYKGYSRQEIAQMMGISTNAVEKNITRAVLQLKEQIAKEHP
MNAKLGKTHDAADQIMKVYPQLRSYCWNLTGDKWDGEDLAQETIYKVLNSYKETNQKLNSALLYTIARNNWIDTVRKRARESLSDKVQKEESREMSSSSDISYLIENILKNFTLQQTVIFLLKDVFHYNYKEISEELSLSEGAVKASLFRMRTRLKTGRLDGNAEIATGWIDGIASGIIKEEAKEVIEILTASSNAWPVSVNSSYASELFPREGRSSLQNPVLAA
MDSNGSGRLRAMEAAFQREKQQRDLLEKKYARLKRRYVRLERSHNRLLTESQGKRSDAGQTSPQPGTPRSVESTPNTRTPFSVIDLTSPRVDFSSTMRIHNESRNLQRSPPSFLSRDEICAESPNSSVGSPDVSTREFRAIEDQEEKEDTTDSWLPNTDTVYSSPAPRRRLHLDFTLPTMQIMHYQAHSSIDCIGINQPVHTVLHTQKTPQQLTVENLVLGLAHVSVCIHIHRNEQQPALMDTNWSRNSSRAHIIMTDTTGHLIHPRSLLQWCLLRWYRQSVRHCRLQPLYHLDQELKAMKKLQLHWRATYSNKRTLRLMKSTKPNYFASL
MNKKTDDFTPSKIVMEINSLRIYLVNIGKTKGLTHPDTIKISQELDLLINKYQHSKVL
MLSNFENEILLAARLLLGGAFVFAGLRNIQNAGFLTQLMTTRGVPQARPMLWLGIVLQVVSGALVISGVWTAAAALCLVLFLIVATPMFHNFWDHQGSERASRINGFVGNVALTGGFLALMGQAL
MNKEKNIKVIKFITFYKFLITEIRHFYNSNRDNYEYRTISHIYISNLKRTDAEAVKLAAEVSQKAKSGQDFYQLKGTYCEELTDKNDYMYEFKRGTREKLFEDIVFSLSVNQVSNPIKTSYGYYIVKVLEKNYYSYDSVKEQIKKELNFSKNYSVMLQTIEQWFKEAEIKININ
MTEEVCLLQPGTPLFDTDS
MIRIRIESFSLFYMPVNLTRLFIFIFSSIFAFMQYHVFSHPYILIEDSTGRHRPFHREYKRDSKDTMIPEINLSQQTLPKKQRSLKKPGLCELCVIKYADYDQHITTEKHLKAEDRVEYGELEIIAESLRVKGERRKPRKRLFI
MQFGEPLQPWPFSHFKHRVKAKSEADALQKIRSHSDYWKEEASSGLSECVSVLGGVAIVFPELIPVIAGLSLALVGLGVDAAVNGRTLDARQTGLGRIAFGVLNALPIIAEGGAAVEAGAETGLIDEARGGYEVSGPTTPIDPVIEVEPIPVFREEPPALRSLDAKMRRLLRALEVTQDLPGLASGEVAGIYPLQGKSYIELHDQAFRVEWVPQEKQYRIRSDSDPRVWGPYVKTIDTGYWDLDLKLGLRGGESFDGSRLPPASEADSAVVAVIPDEPAIEVQRWGPKVQVELPLDQIMVEEVQSQAKGTVVEKYFIQIGGIRKNVYYDADIPCWRTDSSSNGLVWLDRNGFWNSGSEDAFRKVEAKLPQSRRFEIYSFPRVPRLPADAEPISRVIHHIWLGERMPGDNLLEKMLDNMRTSPDLRFELHIDIHHPTAHQQLLDYFSEHPQMRISRLKEEAFFPTFLKGENGEAFNYFMHSENRNYAAASDILRYRLINEYGGIYLDCDDTINVPFAGTPLKSGPNDVLLGRRLDAQQLSYTGPGNSHFASHPDNPVLKRILKEINMRFQNEKQTNKAFFSTRRPFTDHSSEASRSASRDRMTPYMTRISDLTGPKLMSDVLRKLRPDYFDLLERSYLPVDEVLSVLYIEHLNEAVDFYFPFKGRAKITPGSENGW
MFTPLITHDLDGAALAAPVNAARCNGAAYKTRTIDDLRIKDDRLRAAIEGTGHLLFDGGMGTMLQAAGMKAGALPELLNFEEPQVITDIQRQYVEAGCDVITANTFGANAHKLDGAATVADVFAAAVTCAREAGARYVAGDIGPIGALLRPLGTLSFDEAYDLFAEEVRAGVEAGVDLFIIETMTDLAEIKAAVLACRENSDLPVFATMTFEEDGRTFLGTSPEVAAITLDAIGADVLGINCSQGPAELRGLAARMLTVTDKPVMVQANAGLPRVDDDGNTVFDIQAPEYAEAVAGMIEDGVSVVGGCCGTTPAHMAALRTLIDNHTPSPRHRKPSMSVTSAQTVVDLPCDGHKIAVIGERINPTGKKRLQQALRDGDLDYVVSQGISQQEQGADILDVNVGLPE
MAPEWSIQSIQEHGTLFDDRIYFEGVFTILPGRCIISQGFGQVEHGRYWDSCYPDKASQLDQRQDYSIEDEMVAGVQQRLMNAVRLRMQADVPVGVYLSGGIDSSAIAGLVKNIMDERIQSGGADCEQSSRLKCFTVQFDEGSGADESDIARRTAQWLDAELHVVHVTEELLASRFEETIWFGETVFPELTGVCKLHLAQLAKSQGIRAVITGEGSDEHFGGYPMFRADMIEEKDHSWPASYFPSDQDIKQSVYRAFDGWGFKDLGALDSSSTLCMLQNTQIIHGFAKATLPPLTLWANSLPVSDPHAVLAERLPQDGTARKWHSLHTTQYLWLQSILPVIILRIIGDNIDMVHQVESRPAFLDHHVTEYVNGIPPSLKMKYDPDTGSFNEKYILRQAMKPFITDELYKRRKHPFITPMNWKAGGPLENLFRNLITQHNVTQLGFVDWDQANWALNNAFGKENPTAFKSAMVVAQFVVLMQRFQVKPAIPCPN
MSVAVLIDGGFFLKRYYKVFPNSKDHTATEVAKNLYTVALKHVGNDNFLFRIFYYDCYPISKRVHHPITGQVINFEATEQAKFRLELFEELKKKRKVALRMGSLRDTGSWLIKPAVTKELLNGRMSINDVTENDVFYELHQKGLDIKIGLDVAALAFKKQVQQVVLISGDADFVSASKLARREGIDFVLDPMWNPIDDHLFEHIDGLRSTCPKPNQPPYEPGVSPTGNRY
MVELEGPDAYQHIVKEEEKGVSFYEELATQAKDQGAREILLMIAAEERKHLSIGENIYAFVESPKNFLAWDEFSNLKDY
QFLKPRSYHHSTCEGRYETRSRWTLTTAFRLGILLRCRKRLVDLAKEIEALEAKEAQEDEEERSRAEAGVKAGGNRWEGPTAI
MSQVLRAAYHAASCIKSLGSSLASPSLEPNCHFSLREKSSDLIKIPDAQDLSLRSK
MRIAFVTEVFVPAVDGVVTRLRRTLEQLQRHGDEVLVVAPAGGPPSYAGARVVGVPGLRIPLYPDGSGYPDKRVSLPVPRLGAALREFAPDVIHAINPFVLGAGAVHYAHRQRIPLVASYHANIAAYSQYYGLGALESLGWRYVVWLHNHADVNLCTSVATIEQLRARGVQRLGLWPYGIETDRFSPRWAQLEWRVRLSAGHPDRVILLCVGRLAKEKSLQRLVPVVRELDGISLAIVGDGPVRPKLERLFSGTPTTFLGLLSGEALSRAYASADAFLFPSDSETLGMVMLEAHAAGLPVVTADTPAARELVRNGVDGLRYDAHDPRALVSAVRRLVESRELRRGLGARARAAVAGATWRHATDELRCHYLGVTGPRSGPDADDRTPMEPAEPQPVAAVP
MNALPRLAILTREIAAYHDARFAALAPMLPRLDVLAMADEGSFAGFLAQSLSAGYHAQRLWPGLASYRAAAENARLAPRLWQELDRIAPEVVAIAGWASPESYAALAWAKRRGRRLVVMSDSQSHDAARHPLREALKRRFLRCCDAALVAGRSHGAYLQQLGFDPGRIATGYDVVNNAHFRLGADRARARAWALRQALGLPQRYVLAAGRFVPKKNLVRLIEAFGQARAETGQDAALCIVGDGPDRPQITAAIAAQGLGEAVRLLGLRSYEDMPVLYGLAEGFAHVALTEQWGLVVNEAAAAGLPLLLSATIGAAPELLEPGRNGWLVPPDDRGAIAEGLARMLRLTADERASMAADSRARVADWGPDRFARGMVAAAHMAQTRPLRHPGPLDRILFQRMGRRPVETVA
MHRQRGIRRKLEVLPYFVGDEWRTPVPGVAARGRPYFAFAGRLVKEKGLDSLIPLMRRLPGVELLIAGGGPYEGELRRMARGMDNVEFLGYLRFEQLRKLYAGARALVAPSRMVETFGYVVLESHATGTPAIVPDLGGIADVVRTSGGGLIYGSGEELLEALRRLAGDDRLRKELGERARNAVDLHWTEEQHIARYLSYAGVGGHAAPTGSGRSGQGTGSALPRGRAGMS
MTVASAGNHRVLQLVSRDDVGGVRVLSRMIEKGLREHGVEVDNLALLAPGGPIRKMLHVGKVVGRIATGRYDAILAHHAAASVATGILGTLVGTPVRVSHLSAIPEAIRGHWRVFDRALGALGAYTAIVSNSLATTDAFSAYPSRYRQRIRLVAHGVSPLLEGVQHMDWRTRLGVPPGAKLLVATGRLTSQKGFDCAVEALGDLPEVHLAIAGDGPERTRLLALAAGNGAAERFHLPGSVDHAELREFLLAGDIYLFPSVWETFGLAGAEALIAGMPIVASDLPVLREVLGDAGAAPGMVRYHAAGDAGGLALAVRTTLDDYPAPAARSRSAASARAHHSVERMIGKYLELLDGQRPLT
MRILFVSESFLPHMNGVTNSVLRVVDHFSAAGDDLGIIAPQWPGAEKHLRTSCGRRVRVRRVASAPMPGYSDVRIATTSATTLRRRIDEFQPDVIHLASPMILGGRAVVAAQKAGVPTVAVYQTDIPGYTARYGMPFLESASWQLLRDVHNRATVNLAPSLATRDELREHGIERVDLWRRGVDTSLFNPSLRSEELRAQYVRPEEKLVIYMGRLAPEKQVEDLKVIHDMPGCGC
MSALVADTYLRRCVVRWMLINITKGLITTRMKNPAKVICVCGLQAVYDNADSLLNRVVQFRIILAKTEKHPSCVTSSPLANTKLVKNPIVLLQA
MLKAFKPLHSGAQCPQWSLMELHLSEGQSQAKNPPCRCARFLGLCGLSN
MSWDNTFPRPLVPGVSTALLLYRRAARSASFYYSIPSADAMMTMTGVCIPGISGSDGHGRIQRRASSSPSGTTAFESTGAGVPFEGACRPSVGDARLSLDVKLGCAAVVPFR
MNVTQRAELIFNTLTFARMKLLWQGAGFCVRTDETKRGLANKMAQSNDCLKYLGTLYL
MRLDASLDALLADALASDYSESDATRNHGKFSVVFVAVAATIVTLVLGMAIAETRLQATENTLTRNALVDRVHAADKRVQVLEATVLTAQRDLLDAEQATLAGTSLGAQARERLLRLRAATGQAEVTGGGVSVTLDDAQVDSLTDVTNQPGKVIDRDLQMVVNGLWQAGATNIAINGRRLTPTSAIRSAGSAILVNYRPLSPPYVVVAVGPDPNQIAGLFRENAAGLLLEQLEAQYGVIWELQTIGEVTLPAAGTTTTTSKDTP
MSTRETPGTSRYRRPDASMSLLVDMMTNSLDAGYAEATARRAVRGDTGMNKGPLARILAVVGVALIAMILMVAAMKVHAAAPQAERERHQLVDRIHADEAEVDGLQSTVERLRDEVDAIQEVSLPKGAKDRVELLALLTGSEPVEGPGLKVVADDAKGSDNTNGTSDPRQAEAAANGRILDRDLQRLVNGLWKAGAEAISINNQRLTALSAIRAAGASILVDNRPLAPPYTILAIGNAKPMQVEFMVGADGRYLRQLQENYGIRVNLSAQKKMKLPAALGTSLRYANPAGAPPPAPPPSTPAKSTPTTPPATPSGTGGPPSGSARPTGAPTTSQKATERKGKQ
MLRSRSCENYERDDDITEQSEMAVQIELDMASRYADIRCPVHNVPPQFEVRPEGDVIERLCCEALTQIFRELQATEAEDEVTEEMPPAHG
MWKLSLKVTQDAEGVVVVPLELCILAVTNGLVLSERKQHLTWQLRLLRLSSEMLLNLGHLMMVLHSNEFDSRLNPSPISISFNSAREEFIYTWNVLQIRTRPYSQFFRHGNGAHSGNLSNLLHEAILIFQDRKILPYGMEVKLGQEFISSYSRQEAVDSPAPILLNEAFQEQNIVPLWNTFQQGTFKYFQLLNQGNMIVFSVAISVHSFRLCIPSKVLTQQSSFANECGWRLPSLAHCYSLYRPPPFEFAYPQLFMEFINSYELLDPISFGTQEKYCPYGSVSPHKPTSLIVCNCKVDYGLRCCSDESLEMSKYLKCFNSPREAGNFGATVVSINAILPRLATDIEMPNMRDHLW
MSDADQADQAGQIGQLQADNMRLRRLLNERGAPSTLRHQVRNALGLMRAIMRRSATGKTSVADFVAHLEGRFDALLRVQTGLLSSPDGQADLAMIVADEFLVQAIQEGERATVQGPAVRIEPKQAELLGLVLHELTTNAIKFGALTAPGGHIDVSWTLTTEPERSLTLVWAETGQQRAEPSQAFRGFGMEVIEGMLPYQLKAETALEFLTEGVRCTIAMPLPFPT
MCRGSSGEPQLAPDGHVTKIFGLVGDITERKRWEEHQQLLINELNHRVKNTLATVQSIASQTLRNASTTQEVMQALEGRLMALSRTHGVLTRENWEAADLGVIVEQAVEPYSATSENRLHIRGPKVRLPPRMALALAMALQELVTNAVKYGALSNAAGEIRITWAVKDNEDQTRLLLRWEESGGPPIQTPTRRGFGTRLIERSLAQDLDGNVTIKLSPMGLVCTVDAPLQRNL
MLAQRHERLGRFFLAERDANRAVASRIVAENARIRGEAERASVDYEHDLSELRQNEARQTLLMQELAHRVKNTLAMVQAIAAQTLRNAESLDAAAESLGARLIALAQAHDILIQGSWSSVDLRSLVDGALALHADADPGRFRVSGPDLTLGPRPGLTFALMLHELATNAAKYGALSATTGHVAIAWSVEDDETGSHLNFRWEEIGGPPVKPPTRTGFGSRLIERSLVHSFGGSVSLEYPVTGAALTLRAPLSAVIADAG
MRDLIEYFRRKALDVICADEPVAGLLHEFAERLQAALPHAVVGITVLDKPGLSFRHAIFPSLPASFSDLIANKPFDGARRGSCGLAVLHGTAYEVTDIATDQRMKPEWRKLMQAHGLQSVLSTPAIGADGLPHGAISASFRGAIDLGDEQRSAIGEAASLCAQLARYSRSREARELLLGELDHRIRNLFSSVGAVANLTLRGHPDPLDFQRVLGSRLVIMARAHALAVSPVETSLDVLLSEALAPYSSDFQIRCVGPDITLAKEAAAALALTIHELATNARKYGALSVTGGNIEVLWSIALSPDDGGGEVFNLSWSESGGPAVSPPSRRGFGSRTVSSSVRSAFDGHAQVQYLPAGIVCNISAPLSARFGYLSGAAA
MALAAAHDLLTRANWEAADLGAAVRAALEPFDQEHRFEVAGPDLRVRPQAAVNLTLALHELATNASKYGALSNKTGTVTVTWDVSEAEPPMFRLLWRESGGPEVTAPSRSGFGSRLIQRGLSGELGGPAVLDFARDGLVCSIEAPIANLQAQPEKV
MAETPADPPEPEAASRVIIVAPFGADMAVLKRIVAAHDLRPVTAPGECGPLEERLAASWDILVLTAEACRPRILSCVAARLLDLPVWSAPPVVMLSETEIAGRKAAGLLREARPDLPVAILIRPCDEVEIASALSVARQSRVGQLRVRDLLEDRERAVEHSGFLYHELSHRVSNLFAMIKALAGQTLRHTPDPGTFLGAFNDRMDALAGIYGAMRADDWETTELDAIVRGAVLPLLADTEAINRFTADGPPVRLVADVVTSLGLALHELGNNSRKHGALSVAQGRVSVTWSRSAGGDGLDMRWQEAGGPEVHPPTREGFGTTVIRSALKGEGASVDLDYRAEGVVCRFRIPRRFLS
METVLPPARPFPATGGECSAILDALDWRSHPLGDPAAWPVELKTAVRICLTAKFATMVHWGPELFTFYNDAYAVRLGNKHPGHLGQPAKDWWSEMWDQLDPFFRKVLAGESYYTENARYTPDRDGIARDAYFTHSHSPIWSDSGEVAGIYLTVVETTPQIEAEARSAVLTNELRHRMKNTMTIVQSIVNQAMRRAATMQDAKRLINDQIGALARTNDLLTRSDGLDAPLGAIVASAAEIQGAFANRLSSEGPAVTLNPKAAIAFTMMLHELTTNAIKYGALSNDHGRVEVRWQLDGSALTLDWQEHDGPLVHAPERSGFGTRLLVALAGDLGGEPQVQYHADGLRCTLRSDLRAIIPD
MMVLRSDGGKPEGFLKILRDRTEERHSQERQKLLMHELNHRLKNTLSVIQSIVTQSLRGAKSVAEVASTLQDRISAYSRAHDILMHENWSSTDLKSIVESAISSLGLEGSPRFLSSGPHVELDPQAALAISLVLHELGTNARKYGALSNTDGLITMRWTVTKDAAEPFIEAIWAESGGPKVVPPRGECRAAINRLFLKEKFA
MGEQMRRVLQTGKSVRGIRVEGHTPKDPDHLRHWVVDYYPVTSEGEVFAVGTCVREVTEEYALTRDLAQSEARLRMAATQNPVHFVQLDRQGDIVWAEGGLHGLPVMGTTRRALRQELPEEISEAIETQIAANHEDDLPKVFDIGLAVDDKALTYQVNLDRLRHVEGSDQYILVFTDVTERRGLEDRQRVLLAELQHRVKNTLATISAIARFLVKGTKTPEEYRQRLDARLSAISRTHDLLTGAGWRGTTLGEIVEIEAAAYADTPGERIEVTGDTLTFTPREAVRIGMGMHELLTNAAKYGALSTENGRIRIITENRDGRSLIWKERNGPPVVKPQGEGFGSFLIEKVLRAELQGEIDMKFERDGLRCRIDLPPLAATLDGDDGPNTDTR
MQNSSAVGYFDHWNHIPYDCSYPQFAERPRIPERSKDGETDRKKKKF
MQCMYDAADLAGTMKLQYDVTSRISRMPCTARLGLNFILKTVQKRAERRMWFARKLIGQLGLNEGRVKMMFVSAAEGDIFAAEMNKFTK
MGEWEPNIIVIACNWCTYQAADLAGSLRYPYPTTVKIIRVPCSGRVEPEFIIETLRNADGVLIGGCHPGDCHYKEGNYKAARRFKLIQKMLVEMGIEPERVRLEWISGSEGLRFAEVMREFDAKIRELGPLRW
MNKEQGSINSLNVSTTNGWEPKIVAFCCNWCSYGGADSAGMGRFQQPASARIIRVMCSGRIDPLHVFNAFLEGADAVLVTGCHIGDCHYVNGNDKTKIKYKYLENVVHELGIEKERLQLNWISASEGEKFANFIRDVTAQIKKLGPSPLKPEGVA
MQRQLLDLLEIASGQEFPSPDTLELDLRPELYEDAARLLPDGPEYIGFAPGSGGPPKCWPLENFIDLASRTTEHGRTPVFFLGPQEEGWLEQIKVGVPGALFPLQDEIAANPLGPSPLLTIAMSKRLRAAISNDSGAGHMFAVGGVPVVILYGVTRPDKFQPMTDKLIMVRAQDFGGAEMRRIPVESVKQALDDVIKAHGA
MRSRSRTWGGSSQPGSASATLPSPHRPRRGPGTHPEPLPLAVPQPPLPGRAVQLQQALQSLLLSARQRLLPAPGLGPRGPRGFGVPRASLLPGSTLEPRWGLLRPKGRYRGRGRSPRPFPGGHHPWQLGATTRGSWYPRLHMLGGRFSWGPAKVPGTALRVALFPAPHCRSSLSFPADF
MPTFAELPYEMRIEIFAQLNTSEDALSFRQLDRTNFQLITPKLYKKHGFLARNELSILEVFGRNQAMLRYTVDIFLTRYFAHFEGEKEDLPCKHFRRDLTVRSMLQSANGTESERRQALEVLKWLSHVKLDACNVEWEFRLGNSLNTAVKEYLEEILHKADSHTDGYQVVSEFYRIFYADLEPANGFESSSLFAFARANVLWSYEYSYGSLWVGRRYPRARGEPITNYCPPIIVANAALIPAGDCKKIFNDMKLEGDIVVLRRIACLFSFGSCFIAGACSRQFVEVGKPVEDAAATTMVSFFELPFEMRIEIFSQLKTSEDAISFRSTDRINYQLITPNLFSKHGFLTPKAINILANFSRSKLLRELTSNLFFRMYILSFSAHAEQLPCCHTRRDLTFRRILIRGMETERSLRSRGLWSYSYGSGTQKSTVAPTEIRPNSIRIPQERSTWRLC
LERRLRGSRIMAESENIEVTVKTLDSQSRSYTVPAEITVKEFKERISGSVNIPAEKQRLIYQGRVLQDDKRLKEYNVHGKVIHLVERAPPQTTQPQSGGGASPSQPTAGGRGSGPTPHDRNANSYVMVGTFNLPVNLMDTQQIQSEPRVRLVLAQHMLRDVQGIISRLENPPAEELPLLSSTPPAEAPEMMDTSSPEAQAAAGGSSPGESAATSGEGQTAEATSAGASAPQRDGAPSGPNHPSPSEYLEVLSELQRVEGRLQPFLQRYQEILGTATNADYNNNTEGRDEDQRIINLVGEALRLLGNTFVGLSDLRCNLSSATPRHLYVVRPMSHYTSPMVLQQAPIPIQINVGTTVTMTANGSHAAQGAASTEGQPGAGQSAQPPTSDTAQGATESPETASTQTQSQGSQTGHPRVVRITHQTVDPMVTMQVNVQGKWVLSFLLSASLFVCQPGPHGAKHSDLPQLPGTDSKKLNILVDNQSDMIVMSAKHSGLTLAYNWVRYDCILVIRKLPVNRLCHPLSSILVSHTVLSSLLFQRATDNLINGLEEYIRVTLPQRKGFQITAAAGFSLVRRSALSARFYDTVSVYHKLKSFKEKMCFKWWWGGSVLKFIANLEKKNDPTWSEAALEFGRRSGVLISNTVKEQVLSLDCRHYFLFVLFIIIIIIINKNVFCYTLKKGWVGNPFASVGVEEGVDITHTNLEFLREQFNRIATHILNCTDNTFGHRLLTLCNQSLFECLALNLFCLRGEQSALTNVINDRIRRMSADVNPSLVNWLTTMMGMRLQVILEHMPMTEEQIQHYVRRQADRQQEVQEEPMEQQILEQDAEEMQRESSSGSPVPATTAEEVMPSAEQPVSMETERAQQREEPDSEPWAATVPPDWVPIIKQDIQSQRKMKPQGPLSDAYLSGMPAKRRKSSLNRGPRQSLTEASTQLSSCYLWSVLKKKKILSSPWGAQRIQTPYRDQVKSDIQKRIRDDPNYSSQRFPNTHRAFEEES
MTAALNIVAALLLTVLPALKPCDCRGFTFFCACALPVAQAGETPGPKAESSHCCCAKAMPDSAEQDSEKTRQPLVPRKHGECMKFAMAEAGQALFDAPLAAPAAPVVFLLWPDVLRPMAVNQARRRNSFERPPPMGLVVARVRCIRI
MSHARLGPGDRRHDGGRPGEGGEAAVDAGQTALDVGRVVGFAPLAVVDDLQANLSLFAHARADGFTDARMEGPLVVQTALLPGHEHVKQIIGTW
MKISKTNGEFPRQRDFIRYLGQLAEQINGDPDGDARILNTWCEICLDSDQWRKLKTSIRKRRYQSKDHEDVQSTLSMEAHRALLELKSRSQAKTISAAIIWANEQLRKPRRS
MRAFSYLLDTSKHPRADEFWGDETQSGHFDERFKGLREIGYESPQELNIWCECYLNRLQWRRLKTMLRMKRHRKAAQPVTISINQDAYKILKGVADKEGCTLSEAIEILHKD
MIRDFGCKRIGCKKIGCKRFGCKRFGCKRFGCKRIGCKRIECKRIGCKKIGCKKIGCKRIKCKRIGCKRFGCKRFGCKRFGCKRIGCKRIGCKKIGCKRFGCKRIGCKRIGCKKIGCKRIECKRIGCKRFGCKKIGCKKIGCKRIECKRIGCKRFGCKRIRCKRIGCKRIGSKRFGCKEDWM
MDLCLSLTALLILMLQALNGVRLQEPTESLPIPPVHANWTNRADSSPSLSPDTRQEDMTNEDMAIQAASPLNPDSPDEEENENGGLPASPSPHDGTAGSPVTTPPPKTTVSAQSVPPGSLSTTPKNTGQPEVPNTNISSSLNSTAQSWPSRRPEPAPNTTGAAAPESHDDASSTAQQNPDRPPANSSSSTNTTTTTTTTTTTTVTGATTTRVTSPTSTRVASPTSTPTGLSTRVMAGDSGNGTDDRGFAGSNTEESSARNTTSAWGAIIGTALAVGFVGFIIYVILKKRSGRQFIHRKLEDDLPADPVLRLDNSDVLDLKFDGLAYYNPGLQGDHIQMTNFPHGHIH
MGGQDAEDGLDQLLSNMNSQCAQTLSRLHVWIDRKSHELKARHEQRRREARQETLHERREQEAEARRLEAQRAALHQWETANRARLNEGFEYTLEQSVLSGRAGELDDRSLLRAWIVGEQLEGADPGWAAKLERAQHVMRDEWTRRHGEGDIAAVACQHTNRAVITYATHGADLDATLDALRKGGIPVRLQRADDPQGFLAAHPVGFRVQTRWGGQWYGWDETRIHDAVMRAANEDIDSDGVDDAIAYLKDLDLDESAPADRTAAGIEPAETSEIERGELRDAAPGGATETTTADFEQDAADMDARGMFDMTQTQTGGDDVFGMWSVVDESDMAASSDEPGPAPVLDPRGFERRAAEAAAI
MDSSGAVPTFDSFTAAVVEYADAPDECTIYPTDVAEGLRTTTWITAAAGSFCSVENRR
MSSGLTTQGKIAGEVHAIDWATRRLGPIELWPDMLVLSVNLCLGAPFPSLVFWGEEHHVFYNDAYDLFMGNYEAHQVMGRPAEVCLPDLWEWVGARVREVKHTGRVFESQDMILAEDFPALWTLTPLMAAQGEPKGVMSTWSTDLRRMERQHQRWRTSEEALRERIGRFESITDGFLAVDDQWLITYVSPNTQSMARWPVDGVIGARYWEVFEALIGTPLEVQLRRAAANGETVVVDAHYADWGQWFEIKAYPSADGGLAMLFTDVSQRKDVEIALVESEARFRAVAENAVDTIAITDARGLFSYVSASASEVLGYDARELIGRSFFDFLPDHEIHHLRNEFTQLVHQPGSVASLSFGFEHKSGIASHLAIKAKNLLAVPGVDGILLNIRDASESHRVEAQLRQAKEDAEELTRLKSSLLASMSHEIRTPLTSILGLASLVAQQVPEEFRDSMRLIERGGARLADTLNSVLLLAQFESDAVSVEFERVNVVDEVEEIARSLQLLAQERGLYLSVLAATPHVHARVDRAFFDRILNNLIGNAIKFTNEGGVTVEVNPVGERVEISVCDTGIGIDPDFFPHLFEEFKQENSDLAGSSEGSGLGLAITKRLVKALGGSIEVRSKHGEGSIFTVSFGQVGVAEAGISPAASDESLDSTVRGISAELNVLVVEDNEAIRSLVRQQLETVCQVTVCTDAESALECAREHDFDVIFMDISLPGMDGIEAVARLRAM
MIHPNNIVAMWSLGFATCKMMAEAQAVIGFRVLGMAGIWSVTPNENARMITEKTKALRQSAAAASKATLQGNHPEKVFSRALTPIGNKTSANYKRLSKRGFSKR
MLLASSPAAAAAPQNLQASSIDATYTVAADQSQQASSENSSLLPTPRWSAEPLPVQLPRVLGAPHSQRQAPDKASTEIIVKPGDTLWSIADAQLGRQATIAEISAFWPRIYEANRKIIGENPDRLEPGMVLQVPHIA
MITTSGHRGPAFDALLETAATWVLIATLLWGAAVVLAALVERGSRGRVPALHWVGCPRRARPLLLAVAGLAVLAPGMAHAAAGTADGPRPGGGALPVPSRPTDAGAVPSLPRAAARPAPAGGHRRDAGVTVRPGDSLWTLSRERLGPAADEADVAALVERTHRRNLAVVGPDPDLLRPGQQLRFPAPPPPLTEAP
MNRKFSIIIIVTSIIIFVGCDIIDEIMGSGKDNSNPTPQQEQALTTVNTIQDDASDVMDNLFTSGMDTLDVIDSLANFFLADTSIQNVWPDSEGVAVEYNSGISGGIFIDRFLPDTVSGAPPDTFIFDSSYRNLARQVTENVAYSPQLKKSIYFDGAYDQFKNYNDKIITAANNGFSKVGIEPFVKYLNKDATIDVLSTLDEYGIIYLTGHGWYYTKSQFGKSHETYLLTGEKVVLNQTYGNLWDDILLKNIIIVNYKGENRYWVSPKFVAWRNDFHDNKVFIYNGICNSLRGTWSYELVENAGASVLVGYDWPIFPKFESSWVVKMFDQMCDTSQTNPKTISNCIDDVIHDKSSGLRGWYTNGIQNHKLKYVYLKYRGDKDYTFWEDSLRGIGVEVYLDNVTFRSKPTNEPDWIYTDGLWESNSFQSGVFITGNIGTWNINDNIFTGTLDDVLGDTQVDSGTMKITFIDNPKSVNIDIVSNSIDTVFTEQKHVEFSINGVPYSNGLYQEYGSSVSRANYIYTSTTPDIIKEIVSFSCDNDAYIKIRLFKYN
MYWPVTTVESSGDLCNKTAATITPTPTAPKSFVTDGITITSPSVAISFGHMSRADQCGRTINATIVPVNPSEVTSVRGFRALFTHHRFNFADLNYHCFSTNSTNYTIADGAGDDCYQQVPAAAYFGGLNNAVVYDQYVFRTLSQYQSTIWGDYQPQILPPQTMTSAIRRIWGDDCVIHPDGAWDPPIALTAQDSLALPSWGPGATTTSSGIAESTPASPAFPYAPQGAQETGIRGVSRTGSAQHFTAEPFEQSDGDDGSAMYTQASSTAAGGDYAGSTEYSPTPTNEVLIGTTLYTVIKATDGSAVWVGDLTTLIAGGTIPNVPVFQVSGSEGSGAMATSTSPGGGTSSASDAGEQPGTWSSVAAGAWVYSDSTAAITASQGGPAVTIGTEVMSAAETGIVKATTSTSGESQESSVTAASITSASPASAASTQSASVLDH
MNTQQSYAGRANHATPNPPTAQSGGPTSPITDSRQQSTVPALSDELVTIPEDLYNAFIQFKSISDDFLGISESPVYAITRILESWLVDARLYKDSTDMKCLLTTLRLLDFLYDLRVERESLEWFQDEARKMAKGGQNNE
MLKLNPGGQGTNDLVYATYLGGDENESVHALIVDAAGAVYLTGVTGSQDFPTTAGAFDTICGTDDTCNYAPGWGPYPDAFVTRINPDPHGTAQGNLLYSTFLGGADYENQMGDGDLVLIAPGELYISGSTRSPDTFPITPDAFARERTGTSSDAFVVRLRMDSNGADDLVYGSYVGGHDVDGANAIAWNGGDIVTVAGQTWDTTLSGDFPTTPDALYPDHNGGGEYNYDGFLFQLQVPPSPDLSTSTKTVAPTAAVAGEVVTYTVRLINSGTLSATVAVTDTLPATLIPRGAPAASSGAAPGVDGQTITWSGIVTEDTTVLLTYAAELTSTTTLTPTVVNTAQINDGVGHVYPRSAFVNGYRVFLPLVLRN
MNPPGPSRLPAMSHGPAQPPPQGAFTQQGTTLHTIPYGPPPNKAHRAPKLPQQQQQQQQQQQQQQQQTFIHHVEELHLPVEESKKDRKERKTREAAEKLVRYAQEQWEKRDEIFSTELSLPTQGYQTLLTYPPSNANFQLALYQLSLIRAAALAQIDKDEEYAVECAEAAWEDERRVVEEEWKRGREDARQRMIAGIAEGRRKAKEELEVQDIAELGALDAGQPRQTRKLRGQVGSGPPSPPSEPAVGKESPPAPLPKEMNHAQAILGLSGPPETNALSLTNGIPSRYTLPLSNGRESKKAKGSQAGSNGRWSLGKAVPLLDKKNEGELEADMAAIRNGGKKRRVVAEKDRLGSITGVGER
MSTPEPNAETRMFTVQSLTGARFAGAAAGWGIFGVAVVVSGILNFNRFGWRLAFVIAMAGVLFALAVFNFRVFLKLAQLRVETPRGVLPRVPMRTFGGWLITNVAMLLLVAGLGALFSLTG
MQGRQTREDTRIAAWTRRQRYRDHDELIDAVLRSLRALPAIGAGLIGASAIATIVGAFYLQAFLEALGAGWAMDFVPYATMLRTGALAISLVTLATIFCLIWAARHPGGWVVNSKVFWGVMVAVLAVLMLRSVNPAWAAAGKRILDITLPFGVSLLFGMVATLVISTRPRNAEHRSIAVGFGVILVLSALFVLPWQQGQLDAMGLLNNGPTQSHPLACAGDDATPWHLVRPMGTSVLLARISDHHLSTVRLAAGESVVILGAASPAAPCPASRH
MCKPLPPTLALCSHTVIITTESYYFDPVEDAIAYGRDVIPLVKKEVQRKEQQTVTVA
MNNRYKVAALAFASLWVFTIGVFAYFFVFGVGRMGPDDREIINVTAGEKQFLLKEMRQLLEATQQIHEALGTGQREQAALAAESVGMGMVQELAAVESTILLKLPVPMKELGLGTHREFDNLARTIRSDASEKQIMKEMGELMTRCTACHASYRLP
EAQAHALGIEARIVWRPWLPSAEMPAFYQGLDLLVLPSRSTPSWIEQFGRVLIEAMACGTVCVGSDSGEIPHVLGNAGLTFAEGDASALAALLERLAADPALGARLAAAGRERVFERFTMRRVAEATLSVYRELVSAGAGGHDIGRS
PEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEKSEPVDAPDVNPPVFASRLRASRADGAPGAPVTPDASTPKGAKVASDATAPRSNGRAEPTFARRSEPSLAVPTGTGPTVAPAIAAPARADLSAPPVAAPDASAPSTPAQAPAITGEAPTAVSPDIAAATLQVDPDPAGTPDAAPSRSAGAAALGAATAVGGAVGGMFASRRMARSDRKEADRNVAETADAPADRTVFGARKKTRGAKKVVGGKPRFLGLILTAVLLLFLLAVAAFAALSNETVARWFGLGPQDTEVAAQDPAAALPDSPADAPAAAPVEAASTVPEAPEPVAPETGNDVLDAAVTAPAGQVLTPEEAQRIYAATGVWQRAPRIPQVPRTTTLDALDLAARPRPVARAGASPLATADSVAGDAVIATPVDPPPPTATFNFGADGLIVATPEGSLTPDGILIIAGTPPLNPPARPGTVAPEITPQDQLAAVVPDTAAPLSDAPEGVIVISGRPAITPPIRPGTTAPADPPAVDAIAAGNAPLIATDGLIVLAGSPPVLPPVRPGTVAPAATPAPVLPEAAPAPQPLTEPVPTPATPPEGLNVIAGPPPVLPPVRPGTLAPQQDAAASALATDVTAALATSTAQVPTADTPRPLVRPAAVVQAAVARANTPVLGNLTAVQAAAFRPQTRPAGLAPPAPEAVPEPETQPQTAEAAPPATGLLLAPEIAAAVQAAASRPQTIINPTRQAVAVSERPDTRPRNMARIVERAQAAQARAATQVAAAAPRAVQPSGPTGSAVAQNATLDNAINLRDINLIGIYGGSGDRRALVRLSNGRYQRVTVGDRLDGGRVTAISAARLSYTKRGRAITLEVPG
MSLLDYFRSSKPSSASLAKERLQILVAHERSSRNQPSYLPQLQQELLTVIRKYVNVGQDAITVNFEQDGNQETLELNIVLPEDR
MKEGYVPASTLFTIYFNMMLLQRAMTNLDEGNGIYIRYRTMKAMRLRSLKKSAPQNDHLHPLIIIDESEPKLIQTVQLFG
MRRLLLKPQQPRLPRCFQTPLSVQTAPPAAMAIQLLRLVGVIAAASLGTADASRTSAALALSLQDDSVFQCVKVCDQKRVKGKCTYSLPQLELLLKSPDAATRKELAQVMEGDLTFVA
MTAQSARADPWTRRYVSGQLSPTTLSSASPATTTAFKFPTSSLNLPSSISRDLRSASPTRPRPRIPSDASAPVVPSAGGGLQKVVGTLLSPDAQLKAWACAGCSAQFARDATLYPGPPLPGAASTAAPAAAAAPAAVAYYCRPCYATHFSLGTCFGCTRPVLGLTKEEGKLVRAGEAVWHGRCWVCPCGRAEDVLRGMDGMPVCEACFDTPRPRGRPAALDTRGARAASPNKALPAAATPMEVRRMSGASASTRAGMGATIEALSKRFSTSAAGAAPSSAAAPFARTVSRPLSTRIPSSSSSGFGPPLPRSNSSTFHLRDRSSSLSPTRVPASPPKPRPLTAQFTGDRFDLDAFRSALPGSTDASDAASAARLGRRDSRCRSTSPYKMSASRSASSQDVAADAAASESRAQAHAPPSHAPAQPKAASSSPSRPSTATEEDAVRCAHCRGTPWDAAAAGATSVQMIKLRGAFLHAHCFTCAVCRESIDGTRTFVSLDDCDDPAVPGGPIGDLGRFAHPACAPAPRLHPVLRDSESASIKPRSGPTSHVTHQSAPRPSLGPSPQPTAPAHAFVTARRTSASPHATAVPEAPSTGSQSSSRHAPVEPRRFVPSAGAAPPTRSTLNVQASRSAHAGIFSTSSAANAAAPRSASSYAPAGRQLGGQAACAGCARMLTRLEGVPGPRGTSWHRACLRCGGEKKGGVAGKCGKQLDSAAKVDERGQVRCSACAAEKR
MNNDSEEPTKTERLLHYIITRFDRPSKLVLASAITAVPTTWFIVYVADVPFEWLIGAPLIALFGSVTSTYIVSKTLFAFQERIQKQNKILQAQAAALQEANAELDAFASTVAHDLKTPLSTIAGYTNLLSSEWSDLSPAEIKQILEMVDKSAHNMSNIVDEILLFARARHDEAIDLSPLDMHQIVKRALERLHDEVQRCAAEIILPDSWPAAVGYAPWVEEIWTNYLSNGLKYGGHPPRLELGAAVEHDQIRFWVT
MGQLIKAKDIIEKKYGKKGTESREKFRDEAFSYYFGEIIKTRRKELKLSQNDLAKMIGKKRPYISRVENGEDIRISNFVLIANALNLSIDLTAK
MGQLINAKELIDNRYGKTGTESREKFREEAFSFYFGEIIKNRRKELKLSQNDLAELIGKKRPYISRIENGEDIRLSNFSLIANALNLSIQLTAI
MAELHILGQLQCASNFEESTSLFCRYTFQTGRVQYKFQAQIGQFYLDVQKDRLHQLIQIIISQIFGLIHLTFIILQKEFRGGLN
MGNTARTDDGYVAVIGTMSARRNNDPLCQASFRGIGAENSVYRSTHSRSYGESSSNASEIRRRTERRHLGVERENGGA
MTATKTQITEANSLTMGNDSNQDTDNSLTMGNDSNQDTDNNQSSDVSDDIKTAVNQDFDKFVERVATFGVLKWGAYPQLVLQLAQFGWQSEMKDYVIYCTSCSTRLSFSAYLKEPDDWANKIKSSHDKFCRWVSLGHAYPEEFVKVPSDLKLIRDRVITRTKALLELGSSLPKVPRYFLKSMVSYSSPMTSLVLTDSSQLIADGFEKLPDQI
MLIAVLVIILIIFITLLTLNVIAKNKEKQKIAEHKANLSRQVGEIRREYKTLLVQLVKTNIIDRHDEGKLYAIANNYFVFQPINEVNIKKFKQCIDSILIAFGLTSNVESLVQDQATMEVTKPLLVGFALKLPMGARNFTASFYNETLPRLVFDYSDIVANRLLRFNSSNPNDEYSHLSRSDGTVDASLPVDEKTEQIPELQFDETTGAAAPNTVGKKAVTAASSNSVTKPKPVKKRAVSSKGTNTGKASNANKATSAKVDP
MLFIKSLYGNDLMNSQILCRCAKLYRSKIGAAKMKKRNSCEKMYY
MASMINVVTKELIVLTPYYAFGRNAAIVHRCIPQQDVSQSHATIFWNREGWFLRDHSRNGTLIDNELLRQSIRKLSRKHQIRFGSTETTCWNVIDLQPPAPGLHGYLEDTIDHFNLTRHTVFLFLLSSNEEHIRLQLKVSADQLIDLGSRAHNYLLLALARKRLADHQLKQRPEEQGWISLDELISDISKEMRKEIDVYFINLQIFRLRKQLYEQLSFGQMFANVVERRMGEVRLGHPYFSISKGSEDLGSILP
MYLHTIIGRFAVFTAGIALLASCSTGEDSSSADNSDSEGETRTYETEKGEVSIPTDPETIVTDYYAGELLAVDADVSGSGPDAFSNPFLEEQLSGAEDIGAPLDPEQVLGLDPDLIIVMYDDNYEQLSQIAPTVHIPYNPDTNVQETLDTFGELTNNQAEAEEFKETIETTAAEAKQRLKSTIEQNDTFGLYELTHNNDLYVFGANGGRGGQAIYNALDLNPPEKIQEEVMGENAQEISMEVLEEYSADHMFMTTYDPEETGESKENIQESDVWANLDAVQNDQFYFLDFDTYYPYDPISINEQIDLIAETLAE
MKISKINELPDILTAQSIAEYLGISRRRVYELFQLKPECGGIPNFEVGKSKRVYKDDLTQWIENKIKENLPGLLTKKKAKVCTKMNKITAAGYDPLIKREGNVFNKYGVPLVDSREMAELLSVDHSD
MAIDEAVAAEALAAAVPAMYTDPVDAEVAFDADSTAYVVTPGVDGTGVDAGAVRESLATAFAAGETTIEVDAVASPVSPERETYVAEATAARLNTMLDEAGFYVGDERVVPIEASTLASWITLSPGERGTLDIAVDESAVQEVVDTLPEAVNRDPVNNKILVNMAGTTLAEENEGTVGRELETTDGIAAGFSEQVATANGAYQLPVVETPYETAEVVRLLEVDLSSQMLYLKENDRVVDSWAISSGQSNTPTYTGRYTVHAHLTSQTMRGSERDANGDVLRDANGDPVTYTTPDVKWVMYFNGDQAFHGVYWHSNWGTPMSHGCVGMPEWRAEQIFNWTANGTDVWIHA
MSIENKNAEKPKYVKLATAAKMYDTSRWKFDRLIKAGKLTKYEVLGSSMLKVEEIEALFESGAAA
MNDRIGIGLPVTEEPPPTPGIRIAYQGGSADVRNQFPGRYNPFSIKYSTGMTMATHSKSG
MLTASIAYQIQSHLHEIFSTISGVATENGWKIQLKGNNYLYVIHEINEQKIVFSFSKNLSFEEYQQIHHIIISLQQHIKGTIDVSNSLLGYLPDGRGAYIITNWNKWSHFILTAKLKSLEGHKVIVYNGKGKELGNGLLLDYKLDKSPCVYECTLITTFGEQIFHGEYLVIEPTNKW
MADALAEKGTVSRRVTAQQSLVDAMAVVYRLSEMRYEKGIDSYLSVLDAQRSLYGAQQGLILLRLASVNNIVTLYKTLGGGASS
MRSVTDYYQELLTKYSGTINFKRICADEEILAVKGILPDGLNGFFISDGDRKVIVLNEKISYEERRDWAFHELWHALKSPQSSTYHGSIKEEYKANLFAALCRAPVVREGDTIESVREKYNCSIWLAKFRLDYAVKQLQR
MNAPTAYTAIPGGPWPPIWPPRSTSLLRPTARAIREATERWADEDAARDNDRRLVAETIAQVALLGRDSPAPGAVARATGGQVPKPVIFS
CLNWYGDTKRRLYCVTVFDRDQELLSVGEKRLLFFCAFLWLHISLENTCDFIPKMLPLSIMRSFAQAKLLFYET
MSLEELNKLPAAQALTLFRDCCAADAWAYPMVESRPYGSAEALHGTARALWPNLHETDWLVAFEAHPKIGDIKSLKAKYASTEALASGEQSGARVAPDEVLQRLKDGNYAYLDKFGFIFIVCATGKSAEQMLALLEERLPHTREEELRIAAAEQAKITHIRLDKLL
MTSKSKVAPSPDLEEESNSPSEDLENLQGYDAGSDSGEFAFLTMPEGAKATSESRSFAQ
MKSIIRIHLLGLLLGLGVLGCKHTNSGVSGVAGASSGTEARSAEIHDAISSKLEANHGNLLNKVWVKADGFFSNDWLVQSPENYWGKSAEELPINLGCKATDKGCDKVFERMTCSTDADCASRNTTCQPLASSVAIPGQRPQTMCLGSGDALLDRFYKTITSADTNLDITTLGMAAGRFRPMLINALSYLAHKKNPPQVRILMSGAVSRAFNAWQPAQGLLDEILKDVYAKDDSNKGRLSINLGYLADGYLSWNHAKIILADQSRMITGGHNFYDPDYLSDNPIFDLSLEISGPIAANTQNYINELWSFVGHTVGFKGYVSSLLKTEATYWGKGGADKSKDARITKPLISRAVVEPKTSDTIAQVIGLGRLGTFEDETTKGYAGNNPSDTGLKALIASSRNTLDMAVQDLYFRVFFPTGSDYSDALLKDYVLPTPKAPWLLDELTAAVLRGVKLRVVQSDQKEGVEGYTMTNYKLAYKLFKESLVAAAKKDSRFTKMTTSDLNSYICEHVEYAPWRFTQGEKAWKDLSNAGGLIGSHPKLIVVDNAAFYIGSHNFYGADLQEYGVIVTNAKMTSKVNAEYWDKVWSASEGEKVQDCK
MAKLIFIRHSAPLIDPALPARDWVLSAEGHAAATSLADRLVGHGIGAVISSDEPKDRQTAEAIASP
MSCGVVQQSNGSEAFWRSGLSQQRKMPNDFFIVRHFSIYRGFVPDSLHYFVTGAPLDDCSVFSQILKFSLIIPASLAEVNAITASGPIIKPTTPSTERPR
MNFFHVYTHASGKTVYVRDGFTMGAFLFTFFYSMCKGLWLMSALLFMASTATYMLHSSGVISLQFYLLAELTIKLYAGFSFNDWMKSRLERKSYGLADVLLANSVLHAKLRFMERNKKPDEIQVGDAPAEAHTTSTI
MSDRLRCLAMPGPDFDTALADAVVATHAEGVTAAFLLRHGAELTARGDGLARAVSPPWHVDNAFDTLWNPAVGAVERLLETGEGDPVAVAEGLARCLRRAGGSPEPFGTDGSVPVNGTSLRLLPERAVRAQLAIEDDFHSIVTFPEPTKELAQGYADAMDLLRERAPRCARWVLRVLRALVPCDSGTSRTRSSSWREAPGTVLVSVSPDPAEVAEMLVHEACHQYFYLARRLGQVVDPADTREYHSPAVGRARPLDKVLLAFHAFGNVRLLHEELGTPGVLDRMRRDTEALAAPLRGNPSVTPLGRALTDPLLERLERLERLERLS
MKKLILFMALITFAATSCQNEGKPTPLAIDNALTAAEIEAGILSPEVMWKMGRVGSSSLSPDGTQVAYTVTYYNMAENRGVTSIYVQPIEGGEPRQLTDNAGNDVSPQWAADSRSIFFLSNRSGSMQIWNVTTADAMLRQVSNIEGDIEAFGIAPTGEKVYYIQPVHVKDILSKDVYEDMDKSKARIYDDLMARHWSYWDEGDYRHIFVADFDATAGISNPIDIIGSEAAWDTPLAPYFDAAEIAWNNAGTALAYTCKPLTGTEYAVSTDSDVYVYDLESGKTRNICKGYFVGEEPVEDPRLRIMPAMGGYDKYPVWSPNDSQ
MLQSLAAGTVQERPARPEVNLLDKARQIYQDSRQYHGTSKGNKAYIQEAGIAGASERGTMHAVRSTNRTLTSDKLMAKQIARLGSFGDTPALVRTIGVPLERPEPFFQTTHAVEPGQVLGSKNSEPGTDAQAFQAELARSRVHVPIDVAGQMLRNVQSDSEDDFG
MTSSTTHDWTIRPSLSGSRRDIYDLAAAGETLVATLEPVDEGDASRFNDVADLLIAAPFMHGAIVRAIEVLSAEQYAGDVTLRLLRDLLNTSLRHCSDVS
MTAHDTQLTISTTQLLQRDGWTRYKIEKELTGKGKLVPLDTFGRPQRWYDVRDIEVVEANEEWTQWRTKVRAKQERALPSELVHIHIRDRETRLFVQHKRAKWVPASKSWLVPGKHVAEARVRAATFDPAEPAEPAPHSFRK
MNRWEERFEKVESGLQYVFSSKLILFLCLQNHKIENGISNKPKENAFQLQVRSLRTVKGLKAIRTSPFNCSITILTAQSRYFCAYFTLLQQLSNKLQTLDTIFMIELHDQPE
MTSPIVPPDSFNSRVPSTANTNRHTHQLLVNARRNNKPVTVMDLLKAAKLDQKGIIRPKIGDMVIFTYSAKHNATLPYWDKFPLIFITDIGTDSFRGINLHYLPPNYRAKLIVKLLDLTNNNRYDESTRLKISYQYLNSARRFREFKPCFKMYLKTQMVSQFVKIHPIDWDVVTMMPLARFQKQSARQVYKDTRQKIRQYGGVR
MYSTFDSFAEHERRVADSLQAYERRARVASRKKSEPHAHRGASRAFGSSLRTWLAL
MWRIFLFYECFTGMKVVLTQSKKSKLEVRINQLEVDVFAIVEAGVYDIVRFNFKNCIIYNLNKSRKIAGGILFGLRNLLAGKFSVVKKMEGVDNKSDIAKLDVWKE
MIYGNSKGVYTRRHTILHLHSITLPCNISAVHENYIFLSGQTDNT
MSRSFLLIKRLKVHNANAHSSPYSIGFPAMTAWLGATHALQRKLRAAEQFDDLEELTFPAVGVVCHDFNLHAYKGAKQYEQVLIGTGNPLDKSGKRPSFIEEARCDLTVSLVLEYDCDDDADLVDAVIQSLPTLKMAGGDILPFRVEQIKLQQIHGET
MSIIDQSKKYWISYIRRYDSQKFIDKTFEEIEFLNDKISQYHIEEREYLDRIKYLEKKLDIEKNKGIYGEDPKVMTLFIKVITLEDKTIQKYIPILHYSIKTNEYKESKFIFITANEYETVVFERRYYNHIYYKIEGVHKEILEL
MEYLGPGRMEVLKNDGDMLKGANCALPSEAPAPPGRPRLRSGEAGPARQPSPEFRVPTPPRPREPLTPRSPGRGDALQPPPPPTPRAKSSSARGKRRRALCESEGVQRLNHSAILVQANGLHFTLPTSRIPPSSNPPGSVSEETLPLFSRFSHPELERPPRQGLGFPPPPQLTVAPGPARQGRQLHPSSARWRRGRSAGSSEQDSGPASGAFGFEEIRLVLSLPLPGRPLHSPRHPTTSKLPQSHGSGAWEPRTVRQPRPCSGGAGGTLCPRTSISLETAQTHLCGTSPTGSSPARSHCEKRIVLLPPGIPVSPPRTLP
MSRKNILVFFCMLALGGVIFWWSFRDVSWAQFASSIAGAHWGWLILALVAMMFICYWKQLWSRFCG
MQIIFGNRWRHLLGEKDFWEHVGGIDISLAPSSFGQAFDSLLRKLHKYVPCGSSVADLYAGAGSIRLSLAVARKCRQEPLSWLEGSDVVVVDPPRKGLDYTLVEALQTLASSERKVKASKSTFIKGKDEKNEKRPWILCARESSVQIESKTPWEKNQSFPRTLIYISCGWESFNETGSGKTYTMWGPPSAMLEDHSPSSNHGIVPRIFQMLFSEFQREQEQEQEKSEETHINYQCRYSVLEGLSNRKVGASSINSKSSRSRIIFTCVIESWCKETSSQAYDSTTNGGVVHLGTDDVSRMTNELTESKFIFNPSDTLRNFRETSTQEDYHVSNGGLMHFGVDDVSRTINRQSGTAFHTYLRSTLAISREMGTQEEYCVSNGGDIHLGINVVSTTINRQTGSEFHVNPHSTLAIPRKTSTKVNCHVFKWKFHAPRDTSTQTDDSISNRGVAQLDTNDAYRAANVKTRIESDSQTFAIPQLAREIFNVPIKGEYAQSNGGADNELPMMLTSISLAGLFGIYAIVCCISWVFVYLKVP
MRRGRSRARILKDPVMLEKMNARGLTEITRARTSINLHTNNRSGVPGVSWSKQAHRWVARMSVHGELVLNKTFATFADAVAARTAMECALIKDDLLAQRRPSRKKGRLLSEDA
MTDESISTLRTMAADCPPMRLRPASLFVAHCGVLTIVFEGFDACILAMKDKIDRAFPGLEEEHEGSLWPKVTLAVLKDWKRLTESEVGRLRDVADEWKAVICSPENEIEINELLVVEYGCRNLEVRRRTEALPLQGRPADPVPPPHHARYVRSVLGQFTRDRIQEYTALIDRDGNRETHYREAAHGWSLVADIAEEQLRFAPQILESVDSVAAGKYRPFDPASWHVTVRSLDRAAG
MHGQAYDDTQDGRWDGRAGGAALFAGTAMLLTTMAFHPTGHAILRDASGTAARLSAGVHALALAAVPLLLLGGVALTRRLRGALAELALCCHAVGLLAGMIAGMASGFLSTSQLARAQAETGAAREATLLLVRYSGLLNQASARVLVGMGSAAILCWSASALRSRRLPAGAAWTGIAVGATTLLVLLAGRLSLGVHGYGAVVLGQAVWFVLAGRALRRPAGAP
MAIAEPQHCGEDQPQTRAQITKSDIAIAVSASEQTKLEDQRASLDLEALPAESSPTDSRKRNASIAGLDDDSLNVESPDNDQLGDDASGKKRPIKRACNECRQQKLRCDVVQEPFKICTRCRRLRLECKIEDNFKRIGKRSRNAEMEREIVELRRRVAEQDKILQTNGAQQVNGNLSIPNHAYAADAAAGLLDLRSGLDKSPGRAGATYKRLETVVLTVDRARALHQRFFTFFHPFLPFLNPDRSPEDYYTRSPLLFWTIMHSELDTTRPMKSSFLP
MGVKDYITKKIQEALKTAAKDIPPAATWPRAHLRVHGKKEFLRRNSKKWESGRIDKREGTYTIHECVANLTRLFYEAGDKPIGADEYRCRHFEVKHAKLSKSWGHPYLSFKVELFWPERKAESLEEFKFFFDVEMKQV
MVEIHSHPRTFEAFERLYQIRVKIRHLFSCDPSFKRGIERYCRELPIQKYPLNAYMVYNLIVTGVLPDEYLHFDFPGEHSLAKYIERRYEKCHVKHSDGFSTTLEGC
MFGMNRPTEQENTIYLSETNTYLCGLLKGFNIIYRQITEIPVNTIDQMVSVARLLLHKYVRAHEGYKMLFAQSLTGFLWVLYEKNQVRDIWSSLAYELVMLTCNDSLTEGTPDVQVSGRATYIQLWRNIFEKTCIKLLPDLEDSETSEEYDERITALTLRFHETLYDEVMNVILRIPHQFNLKLHRIPDELDDVVIPVDEATANEQQFNASDITHLVAINPQDYSALVAFVDFTEAFLTSIQVKLFEKWVCNAGEAWISMSRRHPLISGFHRLFGLCLRLVNKLNYFHNLLKPGLEGQPHNGTEEELVDEAGLSQRICYAAFTRHIHNLLLDTQLYKDELLASCLQAILHAPRELTSVSSFLNPLRTALRFGLNFHPLANLAIDVLEIWIDTMPSDVVKAVLPDLLPALHDYLTAPTTEVEIQETRTPATKLGKSQYGTKHQRKKWTARFVSEADADSAAVFRALQLRVVRVLGKTGRDAVLVLGSRVDTYKKALRWESVPKLIFDLPYQDVKLPIICDDLLSRIVELAEVASDRKTKMASCELIHSLVTFLIGKTNLVGDKTKGTYFVLWSKIFPIMLVLSVDVDRAVRELIRPLSLQITRWITRFKTNECPEAEAVLSACFDGSASPDGQRRDFATTALVVFFEWSIKHTRENQEVLNAQPFFARMYDFLRDSNMAKRIGSAVLFNKIYRHFQRESSLVDRYTLELLYYFLQTLRLMDTEASSRPILESIGYLRKIILLNYELLRCGNDTRNPVPSLETTDLQSMVGWLFKQAGSQEIVFAHNCLELYLSLVRNIAKGPKEWLERMQKSNANYLLEIFEPPSIHMPEQQRDWTPWSRQIIATLNGYTFLQVHSILTPIEILQQPGTAFVKSLLDFMTHASKPSRNPAQYEILRATGIVSLFTFIEMVCNNASETVLGLVDLVLSAPAFYSLVASTIFFPRQVGFSPLSPAENLPLVMTGILRVIASIRPVYSRVLDKLRDSFCDSMGTPYITP
MLGQARAFTGQDPELLGVVAGAYADASQPGQAIDVLRQAIVATVRPPPALWLQYAGILLKTGQDAELAGILQQLGTQPLNAEDDRAYQGLRVSFAVRQADALREAGDLAGAYDALSPLLVELPGNGEVIGALARMYADHGDYPQALSLYTRQRQQNPRDVPTLLGAASTAVQAKEYGYAETALTTALAVAPRDPQVLAAAARLYRAQGKNTKAIEFYNAAIAAEQRQANPFGDALAPAQVSWDDARPRNPFRAAGAAAPAQGPVSLPWMSGAQPAATAYAAPATVSPVAAAATRDGRTTRNNASARTAASGAQPSAAAYAAAPAATSAYPSYPVAPGQALPAAGGNAYGAVPAYPVAQAGRAGASALLPSVAAPVDAYGLPVAASAQPKTLRDELADLQQERGATVTVGSTLRTRKGESGLGKLDDIEVPFDVKVPVGNGKVSFNATLVSLDAGTPTTTNSLASYDAGSRFGAGPAAAYDSYLSALSGGRGSSAGAQTADGVGLSVGYESERWRADIGTTPIGFQYSNVVGGASINGPLTEQLNYKLGVARRAVTDSLLSFAGARDARTGDKWGGVVATGARVELGLEPEKGYGFYGYGSYHSITGTNVASNTRLEGGGGMYYQLIDEATRSLSSGLSITGLGYGKNRRYFTYGHGGYFSPQQFLSVSVPIDWSARTTRLSYHVQGSLGVQHFKEDPANYFPTSRSRQAAAYQSAQTAASVATLSDDAAVARYAGQTKTGLGYNVAGSLEYKIAPQLFLGGTLDLDNASDYRQLTGGIYLRYALEPQSRPMAMPLTPYKSPYSVY
MGAHELSENSIGSRLSTSIPLLARQRKKNHLWQIVTDLGQPTTSTAKPNTHAKKVLLCIWWDMKGVLIYELLLPGETVTAELYGRQLTDLLNAIEQKRPFTGQGSRKVILLHDNASPHVVIRIRESTKISYENGIEIENICGRQKKSGEREFPWAVSILLNGQNRLGGSIISPYHILTVAHGFMSFEGGSETPCLLRSYRSIKEIRSTRIVAYGGRCIRGESDFFPNNPACRRPDVQYNEASNKIEGMIHQIIYSFSMNIIKENDMLKKVYILKRTFNVTLDS
MDIRMTRQIGSLVSPVFYRLGLGYRQDTFNPEKPNVFVQDLNEIYLADFNDLTTGTLKKSYLRNHYFVVPADIVWVLNPKYTIENNEKMLDNSRTNLRLTAGIYGGVRFLTQNYVIFKNEDNNRVKYRENVQEAAERFIFGGNWQ
NHGADGLYADAFDSETIKGAATTELDPNKYQAGGVHALHAIEQVSYVRYENYRLNGSDAGANSETVTASAAGRASVLPQVDQLSTSAALGAVKTMLESNLIKCEQAAEGCSGETIGGCPLAGSANKPAGVDVLDVASAELAPTPGTTMQF
MDKIVTEAIPFRPSQIKSAVGNNGDFDPDNDNILFSRSVGDAPAQEAQRVQSAIEGKTLIEAAQFMTRSKDGAKAAVAQKVLEKLQRLEKAGVVLDLKIVHRGDMAPASMVNARGYTETGFDEKGRDIVVWLNGADVTGKVGTEEEVLLHELVHAATAGMVFYGTQTPNSLAGKHARDLMAVTDAIAEHIRKRFDAADAGKATLTEFEQDMRDGANNAFRSDDEVLAWALSNSEAQAYLETIPYRSGSMWSNFVQAVRNLLGLSARNDTALSEVLRVAERILTDDAPNAGRAAFWHKRNIRMAQQQARGSIAQTAERSADDLQFSRSGLRELASRATTELNNTLTAPGKLSWWHKTIGTMYNLAERSPAFRPVFQAAQGFIDDVSHYAADAAERAPKLLPRLETWRDIMKSPISAEDNAAVGKPIFEGTLSWTRDADGRPVRVDALIDAASGLSIEEMAAELVSRGAIEERTLRMWRGMPDAQFESAIRTRYENSFLQPGIVWTDDELVSLFSLTPAQIELYREFRAAVDRSLDTMARADMLRFAGEDVRFLRDPVMEAETLREAASLIVMNLSEQANAQPDRAEQLMATGQGVMDRMQKVLKLQAQGYAPLSRFGKYTVDVVVDGNREYFGLFETAREANKMAERMRAEFGAGTVTQGTLSDEAFRLFAGIT
MRKKQTDSPYKRGYSSKLNSTVHIHEATINSADLEAKPAAASAGRRHSLVHGGSQKLNRLWSWQKMPSM
MSEFESEIAEGERYRVGYALQPKKVKSFLQPSLLDYAKQHAIDLVQIDPSIPLEQQGPFHCIIHKLHTPQWNNHLQQFSATHPNTAVIDPPDLVSRLHNRVSMLEAVTHLQISIENATIGVPNQVVVNEPKAPDFDKIEESGLRFPVIAKPLAADGGDGSHELCLVFDRDGLNSLSAPTVLQEFVNHGGVVFKIYVAGRRVKCVKRKSLGDISEERLRTLKGEVLPFSRVSNLGVEDEGGAVEKTEMPAQCLVDELAKALREALGLNLFNVDVIRDSKEPTRYLVIDINYFPGYAKWPSYEPFITGFLLDVVRTKAN
MDCRPSPTAAAGAEAPPPTTYRIGYALSPKKVESFIQPSLLNLAKQRHIHLLPIDLHKPLSHQGPFDCLLHKLSGPDWTQQLRHFSSLHPDVPIIDPPDAVLRLHDRLSMLQVVRDLHLPEPIDESDSSSSSSCSFGIPHQVLVPDPQHLREQGLPSPLEFPVIAKPLLADGTASSHQMSLVFNHQGLKQLEEEEEEAPFVLQEFVNHGGVVFKVYVVGDYVQCVKRRSLPDILMDDDKALGRLGASHHNLLTFSQISNLAAAAPPSSSSFKNNDEQQLQEEEEEEAAQMPPVDKRSAATNGWNWSRRILVLGRTAHANEHYYYK
MCNGKSRTGFFILKMVWALGLQKPCPTHLTSKNTSENVVTLSPLLIRKRSPHKLTIFWPNCCGESTRSAADTDTINKPTPDTDKIVAIPSARTPSANPLRMLLNC
MPDRFGAVISKELSINNALAPYQPEPAPMRELMPANPTSTESKANDSTGPDPRSIENNLSHEQSPVQDHLVTIDSNAHRVASKAPSLPVPTDLDRLSFAATLNLKSRESGSVRLSGHRRSLTVSSPELLNKREKHTRGSYKSTRIAQVAADGGKTSRSSLGLSSTNLQANSLRAAPNRTSTDRYLQRQYKSYVSSPLTVASTVDSRSNLSSNAKETSNTTTFQAPIEDKSNPMDSIKAIDLKYKRAHPHVTRRLSGRYEDKGEGDLAQPHSIVGNPQERSVVGYTWASHHLQTPLSKRILTNQDEAHAVTCREPGAEKSDSRTHNTNGSLTDLDVYQAKHAGRDGHLESQGTPPRYRTQACQNLAQSFQGAPRMQRPEACHMPYESKLTQRRGSISSKSASSEEPRSEDYNTADEACSSISLPRQDGIAPASFPHTDDTVPSTLDNNDNDVSLSVGASTSDLCNTPEGRMNGRIEQLRNVQTVGPFKMLFVICCHCGHWHDLPSKMHAKLTSPSTSSVAKEHKLSTDKGRVLSSQTIGVEFSSKIVKLGTGPRRTRIKLQLWDTAGTERFRSVSRSYYRGAAGAILIYDITSHASFVSLPTFLMDARALASPNLSVLLAGNKSDLASDSVPPCDSLDDNARPPPTPSSTSSKVSFPFGSSGGSVRSNYDTTTRMTATHAPHGREVSTEETSHWAAKSNIPVAVEISALTGEGVEELFNRLARIILTKIELGEIDPDDPQSGIQYGDGALYGHGTSDGSSIRSRMSLDDGAVQLHHRHPRKNTTNNWKTGMREWEDVFRLSDSHHRRGRGCC
NDLAVVFDDVAELDGLSEGEVSAAAQAATARGLDGRYVVTLTLYTGHPSLASLTNRESRRRVLEASRSRGTRGNAHDNRDVLREIVRVRAERAALLGYPSHAAAILADQTAGSPEAVHDLLRRLAVPAAENARSEQAALQRLAEADGIRIEAHDWAFYTEKVRAAEYDLDRAALRPWFEAERVLR
MDEDRSLNALEVTADGLLRLARRNAHLTRRTYAALADSLPGKRETDLVLTDHRRAMVSQMLRQMIGEIAAAIRWHVVAHLEDGAAGNFSLDAAFAGHGSDPVFTFMLERGLLQDVDLMETVLHRLCQHQLETGLRSARSGEWNVEPGLDRPGEFFFPPPSENSPVHRRVAAYVIEGSRRTDSYGTPILMAGDMDPALYARLYWRVAAALRQNLDPPSRDQLPALDMVLESATRDAQRQAAAKASAPTATLEACAALEAAGLLSAETVVRLLRAGEIPLFEAVFARLAGLRPVLLRRLIYEPGGEGVVVLSRALGLDGDSAADVFSMTRLAGKGLYLDGGDHVERFQAVFDGTSRQDAERVRAYWARSRDYTRGLWEAESGSLGETPQLER
MILFPQPRSIVLSEGVFVLPVKDEYNDLAAFFRMVREGEPRIAVIREDALEKEEYRLLVNERGVELRASTDEGLFRAVTSLRQMLLRTGGRLPYARIEDKPELPRRGYMLDISRGRMPRVGTIKAMIDFLAALKYNEFQLYMEGDCFKYAAYPKETADFDCLTPGDIRELAAYCRERFIDLVPNQNSFGHMYTWLRKPEFRHLGLYGADEVPSTLNPLLPESFEFVCNLYASLLPHFSSEYVNIGLDEAYGLGKYQIEEYCRQKGKDVVFMEWLNKLSAHIRENYGKKVMFWADMIYNYPQSYRMVPKDAVALEWGYELIQSQRMTAHCIAYRDAGVRYYVCPSTNTHGSFAGRMDVTTFNIRTCAELASAYGAEGLLLTDWGDGGHPQSWVWSMNPIALAGQYAWNTGAPQDGESFKADFIRNAEAFVDEFAFGGAPLSRLLYRMANYYLLEPERVHVCTMCAKELTLPLNVTRYAHLFDMKDSGDDFYFDNVTAYVRRVAADIERLSFDELYRREIRLTAQMIELGSEVCKVKLHPEKSVREAGGLADMIDRILPEYADLWRRRNYEKGVERFSGYLLARRDELRALGGEG
MGSSHIQPQIRINFEEIAGYSQVYTSLPTPSLHFPVWYSLPCCDQVLRSSKTNSDSLDIDRQLLSSTTTPSWRDSATPLSPHATPVLTPVSPLIPPTMPSDNVPPFLQTAHMFNLRPASFSPIDLHLLGANIRSSFDRMTCALRGSPNFSYFTPTVDAIDANYNIFQTYFTLCTFLSVLPHGQQETVLDKMQLPKMARDVSNAFLKAYTEAPNFTPAIFERRPAYFSVDSGSAISLVPLSTLVNINVLTPVCPSNWCIRAARGTSLKVMGEVKLNIVLSGKNYAFPFVVVDDAHLPGDLLLGYNIMRKAEIRQQPDRDTVTHQGNVYCLTTPSSVWHSSSNPASTLAAVTSTIEPPTVNPTPDGSLKDDRTRRSPFAICTPAQDLQDRCHATTTIPNVKTSTVTDSPFWAPQTDASACIVTTNLTLPPFTDSMVPVRVTSTDGTVLINPDCIRVKGLFALPLIYEVTNGTSALRLINPTCTPVRLRRETRVCDCEVTGLPVVEVEPPIAPVCNTSATTSAPDAPGPEETLCEFPPMDFVEDVLRRLLKEFPSLLPTNALMFSDIASTSFQPSGRLQRSHRRIARSRYHRTFSVTVDGFLRPIIDYRRLNAATVPDRYPIPTIRTLLQEVGEGHAIFSSIDLAHGFLQVEMDLSSKDLTTFFTPHGHFACTRMPFGFRNSPITFSRLMSHIMQGLIGDTAFMYLDDLLITSKNIADHERKLKLVFQRLADANLTIKVKKCQFFHKQTEYLGHTVDSAGLCPNDKKVQNVQNFPVPSTVTQVKAFLGLSGFYRPFIKKFGIIAEPLTRLLKKDALFTWT
MSKWESLQLNKIAGLVMGQSPSSDSYNTEGEGMPFFQGKSDFGKVNPKIRMYCKSPKKLAHKNDILLSVRAPVGDVNIAEGTCCIGRGLAAISGQKEKSYSKYIYYYLLWKKKELENIGVGSTFKAIGKKDIEKILIPLPPLDIQKKIATALDTANALIEKRKEQIEKLDLLIKSQFIEMFGDPVTNPKGWESLLWKCVFNTTTGKLDSNAMVTYGQYPFFTCAKESFLIDVYAFDCEALILAGNNAAGIYDVKYYSGKFNAYQRTYILTLKNAHNKYCIFKMQLELRLKQLRYNSKGTNTKYLTMEILNKLDFIIPPTDLQNQFAEFVQKVEAQKALLQKSLADMEQNYQSLLQKCFKGEIF
MRLKDIAKLNSWEVAKISELTFFQEGPGVRKKQYTNEGVKLLNVGNLQEGKLDLSLTDRYISEEEAYGRYSHFLVDEGDLIIACSGIQISYFHEKMAFVKKSHLPLCMNTSTMRFKSLNPQKLDINYFSYFLKTIFFKDQLQRLITGSAQLNFGPSHIKEIDLILPPMEIQEKIVKFLDQAQALIDKRKEQIKALDQLIESIFYTMFGDPVRNEKGWAVKELEEVLLNNVSNIKKDFKDDISYIDIGSINNNNNSIETYNNFNIKNAPSRAKRILNHGDILFSTVRPNLKNIAIFDMYTENTAIGSTGFCIIRVNESLVNNKYIFNVVKNKRFTGYLVKIAEGASYPAVKDSDIKSIKISIPPLSLQNEFAQKVQIIEKQKELLEESLELLDENYKSIMDRAFKGQLFN
MKYIKLKEVCMINMGQSPDSSSYNEKKEGIPFYQGNADFGEKYPITRVWCNTPIKIAQSGDILISVRAPIGALNYAKEECCIGRGLAAITPDKEKMSLEFVYWFLKGKNLELNRKGTGSTFKAISRKVLEEIMIPDINLKKQCEYANVLEKIHAAIQMRKQELKHLDFLLKARFVEMFGDVIHNDRKWECRKFSDITASRLGKMLDTKHQTGTCQYSYLANFNVQWFGFELKNLNKMDFNEKDREEFCLEDGDLLVCEGGEIGRCAVWHNQVENCFFQKALHRVRCNQEIILPDYLAWWFKYNCDHGGFVAIEGAKATISHLTGAKMKMLNVTVPPIGLQKQFTSFVNQVDKSKVVITNIRIFVRTTVERIEVKK
MYLKDLVDIQMGQSPDSKFYNYDKIGLPFYQGKADFGRINPTPKIWCSKSKKEAKPNDILISVRAPVGDLNINNEQSCIGRGLAAITCKPDVDMMYLYYHLKYLKPQLRHISTGSTFESINRSQLENLIIDYKPYSEQIKISNILQVVQRQIEVREKLLRLYDLLIKSRFVELFGDVVLNTLNWPLVKLSDIAEYRIGLTYKPEAVSSSGIAVLRSGNIKNSQLCYEDTIYVNSKVESKLLLKKHDILMCARNGSQSLVGKVALIENDISNTTYGAFMMAISSKYYVYLLEYFRSQAFRRLISVGATTTINQVTTRMLNSIVIPLPDMDTINRFALYHSQINKLKSDVQKSIDETQLLMDSLMQEYFG
MKKIILLSFALLLTQVVTKAQFYEIHPHFVSVSGGAGTISFNDAQFPGYHGKLEGAFFYNYLFGTGLQASHSYFKPLENTFADQRINTPRHTYNLTTADVDYYIVENYTANAYFVAMPTWWFSFMGLGGAGFQRLLTPQGTIQYDDFYPYLHFGEADQTSTIDVVREKYNSVVFHLGLRANLMVTDRFGFTFGTDYHFAKNKKYANERLHQFSGSAGIIVKLYELLY
MPNIDYSFIKIIDNKLILWDYLNRNQYEISPELVSTIYNYINSSGEYDEKAIEHLQELNILRESDNQHWGWDCLSHIYHHGTKNVFNEEVIESADAWVEENNRVQLQESDSIMPKFREIVDENSTERRIALSCKPSNLDIEKYLNNRKTTRDFIDSPVPFPSVESILTICYKASFDWENSNGKEPLGKKKTSPSGGGLHPTQTYVVALNVDGLGKGIYFYNDLDHDLSYLRPFPNDYPLSRLVGGQPYAENAAFLIILTTRFDLSWIKYKHSRCYRVSLLDVGHLSQSTQLVTSELGLGSWITGQLCDSNIECLLGCDTSVEAPVYCIAIGNTTGNSFPND
MKRSKEEEPDAVCVVGAVAFVLSLLEPDPTKRPSVRAALEERWINEGHREGHAQRASTPAPQNR
MTNLYDNGMYIDNNNDIYIWGRNFAGGSSDPKYSGIYDRKGLLWDNIQPTPVKLDDIYAQLPAIDSETQSENADTSAVAEDDFTGLMPDCIYNVYGFNEDYMDLSNEGLAYIDQFVTDSDGNLPFDKASVSGSEALFWKAVPMTRLSIANAKIEVKDLSYTGEEQFIEPTVTLDGKTLVLNYDYFIAQGDSATDAGEYTGIICGMGEYKGEAEFTYNVTGGGKLGDVNGDNAINVTDISLVAAHVKGRKALSANKFALADINKDGSVNVTDISRIAAHVKGVKSIK
MNSVIDQLFKIEQAAKDMQSSIDKKKDNLRKKYQEKEKAFDREIDQETQQQLKEIQENLNQKNAENRKEQNRLYEAEIEHLEKDYRQKKEDIVKEIVGHILKERGD
MSLKWTTAAEPVFAVSLLDGTLSVISIDRQVLNKFTIIATVQLNCNAICISWSPKGQQLVIGDTGGNIKQYKPDLVIVRTIPLPNDQYSVKNLSQCVGICWLTTTDFLVAYSTKRYMEVFVSLICAKKGVPIKYTHFDDIIFCGNESPFQQQITFLYLIQWKMVLVASSRSSEIAVIGKRNDKWKVWVMDDNERIELPVNKEYKESFPLGLAIDYSSIISLLSFSNEFSNEFFRPSEILPSLIYMSSTKESTQENSTYNKTSASSFSFTFPESVVNSTPSQQKSPANVKVAVISTTLKSPLNIQEASTTSEVPFSFSFPAAPETNVIQVFHLFHFHLLKSSVRGIHRISFRRICQ
MGESKNTPASIWGLWIVRVSVLLMLFTGYKLAKTFTGDVKLDTAIGWFLFTSCVYFIGMYLKYSKTKKD
MSDLEFTRVPTLPLIADAVAADIGRVAVQKCFDSIGISRETLSRPGAVLPMRDLFGLFELFARKRGDDAFGLFAGNALQPASFGLFIRFAAQGPTLAAAIDRGNRGLRLHQVCSTFALTADNAFVKWSYTVDLPLSFGRHHHAVHVLLLMRSFVTTFLGHLPRIMEIGLEANEYGSSGGLEEVFAAPARWGQRANYLLFPRDLLLTPRKPTLDPEEPITFGDLRRYALGHPPRTASERVRAAIQLRGNDARTSLDDVARYLNVGARTLQRQLSRESSTFKMISETAQKIRARELIKETDLPMKQISRLIGYTDAAHFTRAYRGWYGIAPSQDRISSKSAAI
MDLNRIDGAPTGGVGRLTVSHHITLSILAKVLRDSGVWPRSIGASIIVISTNLQRVRLDLFAMADHD
MPLYGGKTFTVLSGILQVYVLAHLNRNHLSVVCQPDFRCPELSDLGSSARLFCSASNTDTNIIYSGPGDLDAPHCNLTRGLCTSIAGYTGTVLDANDTELVIHNVQPGMAGSWKCKDDLRSLSSYCTVNAVQIPSCNITSDSEIDTDTDTTSVQLNRSVTVDLKGLYCSTVFNFTLQVGNTRQHLMTSEALDQPTDKNNTLILADTTYGETTLIFTCGNQQRNVTCGGLAHIPKNTENKGTKNTTAAVIGSVVGILVVFVITVGIFTALIVRKKKILT
MTISTHNPDVLLCLANLSSDEVFTPPNIVNQMLDLLPPEIWRDPTVTFLDPACKSGVFLREIAKRLLEGLKPTIPDLQERINHIFTKQLFGIAITEITALLSRRSVYCSKTANGKYSVVEGFGDDQGNIRFRRVDHTWANGRCVWCGASQAEYDRGPDLETHAYEFIHTDKPEEIFNMQFDVIVGNPPYHLSDGGYGRSARPIYHLFVQQAKKLQPRYLTMIIPARWFAGGKGLDAFRKEMLGDTRIRKIVDYEDAN
MRWPHPLLPANQRGPAQPDSHLPAHSQVHDGDLPPVLPLRASLPGTSEQPDPAPWGQPCQPPSQRPRANLQGAEPLVYWLRCLLVGDSLLRSPRSLCTSLTVLLRPPRQSPGTGQRPRLCPPRVPSHPPRTAPRNRLKQETAQSSLSDGHCKDFKIVFT
MKGDRDSESDRSSDSDRTSERGRDSDINSDRRVIEVVIGIEV
MAVAATVFRDYETDGVPSSGSHKVKKSDVRQLLLGYENIINAFLSNGGLIYTSKAAMDADLAHAANSMAWVIGDATVANNGIYRKIGASGVGSWTRMADLPFSFIIASDTGSGTPNAIQATTSIPVSSSALIWMNIFEANTASPVTVSFNGGSALTIKTNSGNDVTAGGLTAGMIVMGIVSGSTFRLVSDQASAALVAQAEAAKDAAQAAQAAAEAAAAGVDLPPVSPNRMLVDNAAGTVRESKTFEEVRALLDISGKYIPDIDAPYVSLERNLKGMGLRPEWFDKTNAIELGLDAGPAIRAMFAFGIANNINLYRFAAGKTYIIDSFDPTDATQCNGVRIEGFTNNITVEAWGSVIKGKAGIQGLAGAGAMVRLYGSGVPSRQRIIWNGGELDMAELPSASVLGTTTIGGLAFGGSLNITCRGILFNHGVASASGESIGTGGGDQSVFGTSYESLLLDGCMFVGAPDLGVYLSSSGSQEARIVNCLFYRCQNGIAQKRRSAGALVDNCRFIECGVGIYNPVADGLDNNHGGAFYISDCIFERIQITPIDLGGQVAGGSTVQDCKFRGWGRKVSDGGELAASGIKFAVRLRVPGCIVSGCIFDMNGFAAGGTTAGKEAIGVEFNFSGGTVSTGANDCQSYGNTFKDVYRAHNMGANTSGIRRFKNRRINVSQPDLDGGNNILPYVMTDDTMVTITPPNNRNIVRIKSNQLANGHPNGLVSINVTGVPSAAAVALERTTNILFSSSVSSVAGATDGNFTIAVGVNVLYLINRMATTISLDVEWDQE
MIKITSDHGERMPTIDGMRGIAIIGVILYHFFSRWIPPANTTLFYPYGDKYNFFSYGQYGVQLFFMISGFVIVKTLETTNSTKQFWIKRLIRLWPPLTISSILIFLSLMWYDNDFLFSNGHKINNLFSSITMINPNILNKLIKTNVDYLNGSYWSLWPEIQFYFLCSTVYFFDKSNFYRNYFIFAILINLMLFILTADFWSRYCTNQGLPLNIAYLGTTINTYFNLPDFINYFSIGVFGYAIYSDKNISKKSRYLKIFVFSFFILIQLLSPHAYQSRKMVVIIVTIFSLFIFNQKMIRVLDNKLLIKIGVSSYFLYLIHEPVGVLMINKLGNYFQDYTFLTPLIVISILIYFCILFHKRVEIWCISFLKFHLLKKKDV
MDITEQKKTYTLYTKFVLYGTLAIALLLAAMAIFLL
MDAFVRRVTWSRADKLWAIGSTVFYSIPCFALMFAGDPWLIAVLALSIVGFGILNWRMRARDSNRLLAEINEHGIRFREHDTPIPWGSVTLVGLYRSGGGTWSPSRDHVLVQLSDGTRVSHQLDDRLQPHEVRAAVARFAPSVQIEG
MSSSTTVQEHAARRPHGARQKWAGGDSGDSRQIQEQPQSHLATRCSSRSMACDGGWGASNVVVSGRVATSREATWGACWQHGTNRAKPPDDATA
MKYANVSVKFPEELDRELERFLEETGVYTNKSEFIKESVRRQLLELNREPAIEALRTEQLLARAEQERVSDEQLSNRLEELRERVNETKVDDAIEAAREETADEFAEQT
MANITEISCERIANIRAFSQGININKVVSDSAKELLELEDVCRKLVANEQEKRYRQDSMPTLAESKVKLADVRLASQACQTGGSEESSASRAAWQQEPGFSANASLLGSMATLRKLLHAGNLEDLRNQLALITAEKTGIRLQGEKLLPIFEKSLTQLEEANKQLSQTQDELAQARAKRAEIPEPPQTEYQQKSYQQLSEKINQLQKQLDTQQGNVQTMTEKQASLADKLTDAKQIGHSLTPTCIRRRR
MHPDLKTIHKREEKIRYLRQKIAEQEENGTKKKKGEEDKNTNDPLRSDGRADSEDLSIEFASGKRIRAEAMADHLDYPGNGAFV
MHTIAAIDIGSNAMRMVIGRAGNGGRLDTIENLRLPVRLGQAVFSSGQIGEETA
MDVLRRINRGRSSDGEALDEWKEEAEGTPSPWRATKAPDGYKSPKLATGGAISGERDSGDGRGAGHALPHDQTHSQHHHSFSLSSFFRSGRHGERGKKPANRERKSCMGRALGPNRTSADQSPRPTTDTGSGSSGGSPVDGHRDWKSVASPEPPPPPPPLSAGAMARRRQQAELWEGAEDRSDESNAEFFKALGEEAREKSSETTKAIVQAKQIAERTRGIGGDLLIALNEQGEMIARTHQTGEKVMRKMGPWFGKSWKPRRGKGVSGPPVVRVKSMKRMNSGKLRPELLGEPRKPQKPTVQELEKQTTLNHLEAERKEQDRGLDDLSDFLKDLKGLSLEIGDELSRQNPALDALHDDLTAVDKGVGHANTRLRYLVRR
MENSRELFHESINQTTRNQPSITSPSLFGSNIVEIEIIVLLFCNKLVSNLLSHTRFLFKTKQQFQTMFIDECVFLSVIALSMLQVTTDARYLIARDPRNNQVYIPIFRWASDIFRDYIFDYAALVPVYDTSPSQHLSQSNHSPFYDLQISPADRVRFEKGIPSTLSGLHANYLERIQQGNDGKDSSSMDAIHTHEGGILQHLTMLSSQPQTGATPSATTPPQTSTTASQSAAAPLQASSSPPKTPTMEPQNTSPSNQTSTLTPEKTNSNGQGSVPQTVPSIPQTVSSTPQNTSATR
MDDDPVTVVLQGAKRIMQEPSSRAVDCPHLTEIDCCTVIFAETVDHSGDDRLGTREPKITLQLHCGHTLRLGHAADGRFAAGCHMHHVQTQIAREPLEHRHPTDAVAVLVQPRRQHGNPHLTGQHTDDRPGNPALGRNTHGRKPAAAAVVHSTGGHDRQHVSIDRRVDHLFARAGILPTVRQHRGDPGQIVAGHGHRALTCITVDDIGGLGSEMADRLQQVRDRGVPVVGFEFCLVHLTVDGESAAGHSAQKVRQSPCPLFASVASNHRMHRQRTGIDQRVPRSSGLRFEGYLVERVSRRLDVDGLEQRLDTVVLDGERVRDRFGDRLDREFGVGVARSVQRSPDGGEGDRERVGIRRGHYRVALGHRPSVELSAVLQHGGEVFGNRRSHVSTLVLGEQVLTSRPYCRLHSWFLNRCRAPSHKDRIPDVRLRRLIAADSTDRLAVYAPESGSWVDLDTAVSTFGADESLRPLTRTVLGFLDAGERVRDAASAVVQRAVSEGVAVIDRPAPTLPVIPASLRCFLGWEAHWDLAAHNLVRRNLPKALPFIRGFEAVTRSTFPALRPGPGFDDHPVYYTGNHLTVVADEAPVDWPSYSRALDFELEFGAVVTRPVRDVSERQATAAIGGYVVFDDISARDTQWEEQRRTPFGPVVKTKTFASSMGADIVTADEIMPYLDSLTATVTVNEELWSTTGTAGMRYSVGESLAYASRGENVHPGELLTSGTLPRGCGLELDRWIAPGDRVELSIQRIGSVTNTIGTR
DNSAAIKARQEDKSLYLRLGERDGIAKFSRNLYASHKANSNIGHLFKSVPEQPFITNVTELVVTATGG
MQSNRKNSNLVSSSARYQPLSQAPLGNETSNPAPYLGPGTSSGKPRFFTRVLHPGETNVQDIKQIRYLPVRLPPKNKAKTYDHGVNIKETVSTG
MVIEYVAPKIVNGDIEIDIEEEDIASEMKFWETTLIMYVLGGEVSMHMVKQFMMKTWHFVQLPNMYYHDDGYFLLKFQSNQDMELVLMRGPYTIRNMPMLIREWKPDFNLKQDMLRTLPIWVQLPQLPLHLWGGKSLGKIGSALGTQLVIDESTANKLRVSYARILVEADVTPELRNEITIKDNEGRRITQKVEYEWKPMFCDKCQKFGHKCGEVKPRKQWIPKQKPPEQRIPVLIGAYGKGSPYPRCCSLLSWNISIDSSTKCKGILISIIIPNAKS
MHEVFYAGPYSINNKPMILKKWCPNFDFNAEFLTAIPLWVKLPKLPVSCWSSVSLSKIASTLGILLFADECTTNQTRISYARMLIKVDVTRPVPDFIPVMDPSGQVFQQQVGFEWKPEYCEKCLKLGHNCINKNTAPSQQQRVHKQQDHQPKKRKQPIQQWQAREEQHPVILGPNSTDHAIPQTQQDGEGKNENDSHKRKDLGQSGEANTPATMRTQGVVLADSDETDRAILENPLSCPSLEYGGLMEKPNDNAVVANLFPPDEGGGSSIQV
MDLNPVTNETGKITPIDLPGQKSGKSDVGKINDSTLEDSPKPWKSLFKDNRDPTRGIKLKYVPPKGETLDSVDRALPSMIEMWGFCLVGHFTGAFPGLKAVHELKAIWGVKCRVTSHEKGWVIIKFQNEEDRAKVLHEGPYTVFGRLLMLKELSEDFTFEDAEFLKVPIWVKFQKLPMKLWNDVAMSEVESMVGVPITTDKVTQERTNNDYARVLIEVDISKPPPLSFPIRLPSRKVFKQYVLYETFPSFFFHCKEYGHHPFICKELAKRGDGESGDKGKM
MSIEERKLVLRIFDDGCQCELPSNVHVFLDMIRRDFGYRPDVTMDILYGLTSHGFMVHLKERSRERGGDLVALGWRDRHGYQDSTVYDYVMRNSLLIAMNMLELSQSRFCSHHRDKVMEDLDFVGLSTDILKAELEHISRAG
MEGSIDIDTLELDERQPATFSTSLRPEVIQKVFIDGEWREPVKKNRLPISNPATEETIGDIPAATVEDVDNPVKAARRALRRDDWGSTTGAQRAKYLRAIAAKVQERRPELATLETIDNGKPLNILTGLGHEAGSPLIDRERPGPSWFGNAHHGQGPDSCRDKLGIRARLMVPGLAGVALVAPVINYWWHGFPANLSTKGYNLQFPRDQWALRVAHYAPGLVYWWNTQKWFPYNSVIVEKFNRSPPNMEVASRFAKHASEIQKHNIIGFLSEYVQFTARLMSLDS
MVRQDVERLLWTIEHGRRLHAPESQHVDLKEEANRRLNNGRVLPGHSENEEAAVYLAPEVCCMANTPLGGALVLGVEDGTLLPIGTELDADWLAERVFVLCGVRVAVERRYLLGHRVLILWVPESPTPVLGPDGKLRWRVQDSCLAVDLQTWWRARWHADEDPVSDVEPRHGDGGESGGLGVGEPTSE
MTIALLSVPHITQIDCGTDEEIRCGAACVEMVLRHLGSGDLESNAQESIFGITHSPPPAFPDQLENYPSLMVEAIRRHLRTSNYQVFWNEALGSDGQGRATFGIEKRYDLRIAFHQQVEAISELIAKTIEQEQKAAIIVVRQANAHWVVVHGVTRNTDSAIRSFFIRNPLGKYRRSTTEIDRTAYCEVIDDLREDYVPYGYWKRGYFPFFLNNEEGITYPVEDPPWLNRFFLICAPTKTALQSANRTLRSIPAPRPSLRSSVSLPLTSLPLKQILRLTPAQAIGFAKDAISTHKLFEVPLWNEVIGPTWTLGQPYLVKQLDADNNHYYLVPVSNNVGKSPVLIRISAADGEFEEATIWAHYKDRMAGSVQGGEFIPYFAQRQALESGLKGKAVYHQGKSYKIKTLDMPSDGEFAWKPCIESFSSFKPFYYFLASMESGDKLPIYVPVDRPDLILTTLSEPKKKGL
MRNVSAAVVLVAVTLLGLLWQEQLLDANILLGLAVIFALAVIGLIMVFATASGASWTAGLGTLFFAASIADSTLVYWVIREAFLEYALLLGWMTLGLLYCATRSAVSDVRPYGEPMQLENIMPVPKPAPRSARKTRKPRTSRKKRR
MLSNVRGTIAYAAAMDANGVAVNRTTQVYINYGNNSRLDSMGFTPFGIISEADMAIVDAINAAYGEEPDQDSIYAQGDAYLSANFPGLDYITATSVAF
MMKTTANWLADYVQTGLPAEKVAEILTLSGTEVEHQEPVGNDVCFTLEVTSNRTDCLSVLGLAREVAAVTAKPLKLPASNYPSAKEKASAVTSVVIEDSAKGACPYYTAQVIRGVKVGPSPKWLVQRLEGIGLKSINNVVDITNFVLFETGQPLHAFDLGKLAGRRIVVRLAKKDERFDPLIDKKRDKPEPERLFVKCDTQTLVIADAEKPQAIGGIMGGLNSGVTAATTEILLESACFEPRGIKATSRRHELTSDSSFRFERGVDPMGVVAASKRAAQLILECCGGEVLDGVIEAGAATRKGHEIALDHALISRVMGVDVSRDEVARILRTLGLSEQAGKWLAPSYRPDLTQPIDLVEEVGRVHGLDKVPESLRMTVAMARPSRRQVVRKLVRQTLMALGFSEALSDSFVNPRHSVANFSINGDSQTAIAARNPVNLELPALRRNLIGSMLLALQTNQRQFIAQPRLFEIANVFTPTPNGVASGEHEVIGLIGRDFADVRGSVDTLLNALGIVGVSYKADSGKLFAPGHAATISVGNALLGVIGEPAVGVMSEFKCEGACGVAELHFGPLMQLWNDTHKFVEPPKFPSAERDLAVVLDASRTWAEVEACARAACDATLRHVELFDEFKGKQVGAGKKSLAFRLTFRHDERTLRAEEVQAQVDAAVKALTSKLGGVLRA
MKATKNWLKQYIDRTFTTDEIDVGLTQAGIEVEGVDDLSASFSGVVVGKVVDRIKHPNADRLSLCKVDVGEGELLQIICGAANCTAGMKTPVAKVGAVLPGGFKIKKAKLKGLESFGMLCSAQELEIDSALLSESEREGIWTLPDDWEIGMDLKEAIGFDDVTFELGITPNRSDCLGMLNVARELGMMYKEKVMIPEVNDTSDDTAAAEYASVEIENPELCNRYIARIVKNISIGPSPLWMQKVLRASGMRPINNIVDITNYVMLETGQPLHAFDYDKLEEGKIVVRAARDGEKMTTLDGVDRVLEPDMILITDAKKPVAIAGVMGGKNSEVDENTVNILFESAHFHYAHVRNTSRKLGLRSEASSRFERGVPPEISMYAINRAVDLVEQMQAGTAVPGVIDSYPIKQEKHIIELEPSRVNSTLGTNISASDMYSYFERLGFDVIKVPGSERAKIDIPFYRMDITRHVDLIEEVARIYGYDKIPTTLPVSATNTKRQKPEITLTGRVKDILYGLGLSEIITYAFINPKEYIKLQHEEWLDSSVHILNPLSEAQSVMRVSLLPGILNIAKNNIRKNQKNLQIYEVGKVFEQYKKDELPKEKRRVACLVTGERIKNWYGYSEEVDFFYLKGITESLLENLGIDKVSFVPQKDDGIFHPGRCASIMVKGENVGILGEVHPQVLENYAIKERTYVMELSLDGFVGSIANVTSYKELPKYPSSNRDIAFTIQKEVLDSEIMQVIEDASNDKLVDYRLFDVYNGEQIEDGYKSLAYNLTYQDSEKTLTDEEVAEIHQSIQKSLEEKLGAKLR
MKISYNWLKQFINTDQTPQELSLVLTNIGLEVESLETVQPVVGGLEGLVIGHVLSCVQHPNADRLRITTVDVGAEAILQIVCGAPNVAQGQKVVVATVGTTVYPNEGEPFKINKSKIRGEVSEGMICAEDEIGLGASHAGIMVLPEDTQIGIAAKSYFNLEDDYLFEIGLTPNRADAASHLGVARDLAAYFRTGITMPDVSAFKTDKETQDIAVTVEDTDACKRYSSVSISGVTVKTSPEWLQDKLKVIGIRPINNIVDITNYVLHDLGQPLHAFDADQIKGGKVVVKKCAKDTPFVTLDGLMRKLDAEDLMICNAEEPMCIAGVFGGKDSGVSEGTTNIFLESAYFNPVSVRKTSKRFGLKTDASFRFERGTDIDMTVIALKRAALLIKELAGGEISSSISDIYPVHVLPFEVSVSYLNINKLIGSNIPSAEIKGIITALGIEVTEETAEGLQLKVPGYRVDVTRECDITEEVLRIYGYNNIEIPSKINASLSYTAKPDKEQTQHVIADLLTANGFLEIWCNSLTKGTYSKQPDEAVQILNPLSADLNVMRQSLLMPALESVAYNINRKTTDIKFYEFGKTYHLINEKYVERPRLLMVLSGSIQPEQWNQKVTPVSFYHLKGAVDAVIGRLGITTYQTEEVKDEDFAFGLKYFRGDKTIVTFGAVTAADKKQADIDKDVYYADFDWALLLDLVRKNKIINKEVAKYPSVRRDLSMLVDTNVTFDDLKTIAFKTEKKLIRAIQVFDVYLGDKLPAGKKSYALNFTLQDDEQTLTDKQIDSVMQKIIYNLAQTAKAEIRK
MKLPLSWLREWVEVEAAAEDIATALTHRGFYVEGIESHGRSYPRVVVGRVLEVMRHPNADRLTLCRVDSGAGEVRVVCGAPNVRAGMVVPLATVGATLPGGTVIRKSTIRGEESQGMLCSARELELSDDHEGILDLAAYLDGGAEAAVGKPLDDVIGPPETVLEVEIPFNRPDALGVVGLGREVRAALGGRWTERAGPRLARPQGEDAGGGARRKEEFDLELEDQEGCPRYIAQALEGVAVGPSPRWLTCRLESVGQRAINNVVDITNFVLFELGQPLHAFDLDRLSGPAIRVRRARAGEKLTTLDGKRRELTGEILVIADRDRPVAVAGVMGGADTEVSESSTRLLLECAWFDPRRVRRGARALGLATEASKRFERGVDPGIGRAAVKRFLELLGQVCPRMTLGPGRERVVAAPGPPRIELRSSRCRRLIGLPVSTAEAAEHLERFEFGVQPGDPLRVTVPSWRVDVTIEDDLVEEVARSIGYDMIPEAALETGGAYATRSAREILVGRARRAMLARGLTEAWTSSMVSEREARETARLLGQDDGRLVRLQNPMSRESEVLRLNPLPGLLRACAHNLRQGIGAVRLFEIGPGFSWPAEREAATSSRPAGARAARAELPVEAPMVAAVLAGPRYAHAHDASQQPVDFDDAKGIWESWLEEMRVDSPIWRPYSANGWKPGASAEVATATSRIGWAGALSQALLRSWEIEAPVYAMVALLDPLRDAVAGPAVSLPGRFPPVRRDLAFFVPETATHLELERVLAAAAGEWLGSIELFDVYAGPGTPTGMKSMAYALQFQNPERTLTEAEVQAIQDRMVSAVVKSCGGRLRER
MQVSVKWLRQWIDIGDDIPALADRLTEAGLEVGNVQRLRLLPKTIVVGEIVALEQHKSYSKISICKVDVGRKRLCSIVCGASNVSVGVKAPIALPGTVLPDGRKIGQKRLYDIVSSGMICSGEEIGIEDDCDGIYQLDARAGVGQNINQYLELDDSILELDLTPNRGDCLSVIGLAREIASFGGGTIRSPRFTSRSMAIDSTVAVSVSAPLAAPRYVGRLIEDIDQNIKTPDWMKERLRRSGLRTLGPVVDITNFVMLELGQPLHAFDLQAIKGHIEVRLAKPREKLMLLDGTRLQLCAKTLVIADSERPIGLAGIMGGANSAVTAKTNTIFLESAFFSPKTIAHGARTYNLQTDASYRFERGVDPTQQRRAVLRASQLLGEICGGRPGEILERKDNSHLPVRKKIILRKRRLEKILGSTIKPKEVDKALTSLNMSPVKVGQGWQIRPPHYRFDIIGEHDLIEEVARVSGFGRIPNNMPIRSRSNLRTREEILPVSRIEDYLVDHDYSEVITYSFVHSTLQKYIDPGLEMVYLKNPIASTMDVMRTSLLTGILTAVNINRRRQAQRVRLFETGKVFHRESGQIVETNHVGGAVCGPVDPLSWQSPERAVDFFDIKGHVEGLLNLGATDRNYEFRPANDPALHPGQSAAIWNGKSRIGRIGLLHPELQRKFDFDFDLYVFDLILDSVTCRSIPIYASISRFPSVSRDISLVIDKKYSAEAVAKIIRGAGGHLLRRSILFDVYSGQEIKNNCKSLSFSLTLQSSSSNLTDTDVESVLNSIVTAVNKVGGELRTAK
MRISWHWLQEFIPITESPEAFAERLTRAGLEVEGIHPYRRLPEPLKNIIVGEVQSIEPHPAADRLLITQIHIGAEQTRTIITGARNLSVGDKVPVALPGSAVYKDGRWVVLEARPFRGILSEGMLCSEVELALGSDAEGIMKLPSQAKVGSPLTTVLEDYTDTILEISVTPNRGDALSHLGIAREYAALTGKDWHLPQWHIQGERFPLPLTIDVPDPEACPRYGGVYVEGIRPGTPSPDWLRFRLEAVGLRSIHPVVDVTNYVLVGYGQPLHAFDFDKLAGKHLRIAPLAAPTEMEGLGKQKLALAAGDLVIADAEGPACLAGLLGGERTAISADTRAAFIESAYFSPAYIRRTGRRLGLHSESGYRFMRGTDPERVPWAAEAAGALLQQIYPSATVSLYSEVHAAEKTAPRRFYISLAKLQKLIGTAIDPTWTKETLRRLDITLLSESADSWEIAVPQYRIDVTRPADIAEELLRIKGWEALPLVA
FSTASEFSVQVKDSEGCPRYAGLWVKGVQVAPSPAWLVRRLESVGLNSINNIVDITNFVMLELGQPLHAFDAKELNGKQVLIRRAQESENFVTLDGTELKLNSDDLVIADEKRVLALAGVIGGKNSGVGENTQEIFIESAFFNSQGVRRTARRHGLETDSSQRFSRGTDPEGVVLALLRAAQLMVELAGGEASKDFIDEYPVSVKCSEISLRSSYVEERVGYPVDPSKLVDWIHRLGCKINEKGPGEWTVIAPLYRWDLQREIDLVEEYARLEGYDKIPEAFPVLQEAPTKVEGQYALDDRIQNFWAQRGYSQAINYNFLSGEFLKEFLGSTEELKNVGLPTSKEGIRLQNPLSEELSTLRTALVPGLFLNMVNNLRYGSDRGGLFEIGGVYSQTSEEFAQQERLGLILWGQPAGLWGESQAPLVFRLKRHLEILLESLLIQSVQWKTPHQGSNPSFVHPGQWTGLFCEGRFIGYIGTLHPQWSEKYKIQGPVAVAELDRQALLRGQPRWTKAKSIAKFPQVERDLSFLIPRDLPAGDLVPVIQKAGSPYIQNVEIFAQFEGDKLPVGMKSISYRFWLQKTDGSFSESEWKDMQSKIQAQITKKFPAQLR
MNISFNWIDELAGLRGELRDPRVLAERLTMIAAAVEKIEAVGAGLDGIVVSRVLETRPHPNADRLTLCKVDRGGGEALDVVCGAPVIATGGYYPHVAPGGELPAGFRIESRKIRGELSHGMLCSEAELELGRDKAGIMRLGDGLEPGTPLAVALGLPDTRLTLDLNPNRVDLACHMGVAREVGGPPAPRDFGGPAWSPEWRDGESEANGAAVTIRIEDLGRCPRYMAAVIRGVRVGPSPPWLAGRLLAAGARPISNVVDATNYVLHEYNQPLHAFDLARLSGAEIRVRAAVAGEPLTTLDGQEHKLTPDQTVIADRDRAIAFAGVMGGLDTEVTGDTVDVLIECASFDPAGVRRTRTGAGLSTDASYRFERGIDVHAQERALARCVELILATAGGEADVIALRAGPAPARVPPIDVRVSRVDQVLGFGLDRADVRAALEPIGFEPLPDTDGDDGGDGGTDLLRVSVPGWRTDVAREIDLVEEVARRVGYDAASGQDRRFRPSAVPADPRVEKAARVREMLTGRGLLEARSLSFMPEDYRGNRAVVLVPNPLSAEESCLRSAMVPVLLRRLEHNYARGNRNVRLFELGTVFGYAAGTGGEEDADGPGRFDESGRVGAVIAGARRPDHWSGSGLDFDLWDIKEIAASIADRLCGATLEPLDDADTARAPEGRASLAGPWLSRGGFRAVKDGRVVGVAGAVAGASVDAPPWAAPAFGLEFDLSAVEGRTVPAYRKTSPFPAVRRDLSMTVPRGVPAADIERAVREATSDLLRDVRLFDVYSGEEIEGGRLGLAWTFRFRAPDRTLTDRDVESEMSALSNALEKRFGARIRRS
PLENKELYLCEINDGEKNLQVVCGAKNVREGMKTIYAPPGTEIKGEIIGIREFGKIKSYGMLVSLDEIGIGEEREGIVEIEDDFKVGEDPFPKLSLPDYVLELEITPNRPDLLGIIGIAREIATYKRISFRLPKANPKINLEIPEFEIEVKDYDLCPRYTGRIIKNVKVKKSPLKLQIRLFLCGLRPINNVVDITNITMLETSQPLHAFDLDKLKEKIIVRRAKNGEKMLCLDGIERELDESILVIADKEKPVAIAGIIGGEETKIDENTKNVFLESAYFNPISIRKSRRKLKIDTESSYRFERGTWWDGVSYASDRATKLIEEICKGISGIIIDVKKAPREERVIFLREERIEKVLGERISIKEAREILSNLGLKVKFHEGDKIFFTVPSYRNDLSLEEDLIEEIARHYGYERIKGKIEKGIGFLGRRNSFKDRIREFFINKGFYECISVTFISEKDIELSGISPSEFIPLKNPLSQRHTHLRKYIFNSLIYSFLNNVNA
MQAQIMLGMVTPQMMHMAKSQQPSGSLSQSSSHLNEPYPQTDAMIPLVSRPSSLPTNIPPNPTVLQEQTATLHSIPQHQHASQPLVKMFPHGHQSGIAAQSPMLHQPLDGSSSVPTQSLVTSVGLTSQVQLPLVPQHPGPSVMPTSVQQLPLTHPHLAQVAVAPETLPNEISTLAEFTHPSKLRKLEDGTSVPGMVNSSHAVYTVPLQAVGPSGPSGSYSAGSVSLQQPGNEEQLTPDVESALLQQVLQLTPEQLSSLPPEQQQQVIELQKMLSVSK
MTPSDAVIAVDSENTAQPAGCHAGEEVMRDAAAKAGKSAQLEQYDKDYPKGPHDQPQSMCPAFGSLRVGLRMRRTATFVRVGLLRLWPDLYLALLRCQAHVGYVPSTPNHW
MEREDIIQLARENGLRFTDSRHNRLITPNDRWEVRKILDEDRWLVFDQQGHTPRADVSGLIEAQEHIDRVVFDEEGS
MKKFLSKEHEENILNALSVFPESVISNNKNSTYYYGKGNWFYFSDSSYMCVLNLCTEEYSYCLRIDPGHKLILLCEKNDEFYESDIDDTDSYISDFYLEEENYFMDSTVNEITIPFSIMKRSYEFLKYNREKFHD
MKYEEFENEIKLKLNNKIGPRLLLKIINSPYRYYSLLNPFDFKIKLKQSFLRTQENDYFKYINQLIDLFFAQKGYQQSENLFLVKNDNKEFLEEENNQEEYVKIRFNHSYLSEEEIIFIWQRKRDDLSIKKADELFENCIKQISLLIDKFKDKKIKFYLWFMEDNFVQNKFIFNELCDKKAQLGNNVKFNVFYGSELFKEFADEEFWISMEINQTKFKLNNQNLFNELPNLDTDPETYEFMLTLSNSAWEKLISQEKIYIEIRKQLFDLHNPDSNFFKVLKERKID
MRELFNIIPDKGNIAFFIRKAKTSNSIKWVNIGDDNFRQNVEDIITKAINDKHDCYFTPATFSLDTKNELHKTKNSADTIKCLFLDIDCHGQNPDEYYNTLDEAKFAFNSISNFLDLKPTYIIYSGNGLQPVWVLDSPIKIKDWLDLSYLLFDIFCYRELKLDRNVRGDASKMLRVPSSFNTRWNKIVEIEEVNNKLYSPMRLYNLLKDYADKHKVPAAIKSNREPNKKDSLPAPDLSNDDYNQQLLRWMLRYIPSSFNNCKMPNDCSSYQMWVNTIWAIKSTGLENAWEAAKEWASGASGEYPDYEEALKRVWDTDDQSKDNNGKISYGSLLYIFRFYHPDEKIAKTYNFIKKEATKVGKKATGTVLNPGSPNSELPHPFTHIKDRKGIFIIREGGADQLPIPILFLDRDIELLDVISPPPNYTANPVCLIHLLIHPSLYSDHPVEMKIPTYTLADEKALSKIFAGACIIPEIRNKWTTMSKYLQSLINQHRNRSKNKFIVEQAGWSNDNKSFYLGSHNILTDKTYKAELSPNVEVILNGVGDVSGDIKTYLQILNAFNSHDHMIQQAIMVLMLGSPLCKFSSSRAATVYTYDRSTGTGKTFAHLVGLSFFGNPRYMMIGGDSTFNAINQSRMRYSDIPLYIDEFKIVLDRRNSSKGLAESKDFLLRSSTGKEKNRSSRDGQSFISKGLYWNSPLFVSCNVPFSSLIESDYTSQAATYARVMLIDYSQYMRKSPIRSYIGDKDLEILLSENYGYIGRHFLKYVIGNLEEIKSQVRQNYKILNDRSTSMGRHNQHRFHNTTISCALTAIEYLEKYNLVPNWDLNELRAFLPSLADTTNTDASKLLVNDHGALFSKFISEQTKYTFEVDRQNSPPCEIMLTNGKQYVDTFHIVIFKNDGLCWVKVSALKDFCKKYNADYRALSQFMFNDTSINAIAMRRVNFTANAKNLNTDICDVVVVPLKAIRASLVSESPNESQNKIVPIR
MARRWQQDIHRSIRRHTLIRLRAFVSVLPSFACRRQQLALHTSVLTSQSGGVVWRRTIFINAGVGNNWADQEDSKNGFPNLKVLDATDLNLSTLTTERLSG
YIQLKYAVDILTTCGFSEVFSDVTIPAQAFKESIDHLWESGEDGLQCMCTTLGLKMPTSKNMVFKSKKGFLSDRVLYEVLGVKIISANKRRTEYRLKHYSNVGSLRQIPFSVQGTVMDEALEYDAIVQSHWKFMYKDWPIIDRVKHHRTEIAAVNDIDSIKTFVLKRLGVIPVFFFLTVIFGTRAYSCSYRNVEKGVMLLYVLVKGVSLSDMAQYIPKTSFHTVHKEFYMYNPAALNRLLTTMLSEMFSTLKSRHLAAERNPEPFKNVTLNLDGHDSRIIYVNADKASLYSYKLKKSGFRVQVCTDMNNMVLFVSAPAPCRDYNDGTMLLRMGIQNKIHKLDCVAMDGGYNLFIGKLLDSADELQYENFCYPIRKMRGIALTEEEKAYNNIFGGFRSRIESYFGEMQSTFTKFSHTVVNKVAEKETFGVQYKLACLLMNIKRFVALRNIPTEQHHMLWLQDGFDYPSNTEQETMYTLPNIKVKLSQSQDLLATRKAFFDMAISLQTGAADDDMALGDDDRANEVKAVRESASNVLSVPVYAIISDKMRIVEYLKFIQKGVGPDLKGSPRTPAQSGFQCLTQVAHLLKPVPVHPATPDRSGAASPLLFLQQ
MRTKRYGLAAVFVLAVLALTACVNSKTAEPIGVLSAETLFEGEVNLNVYAVQEDEYIAALLERFQYDMFATKAAGVTITWFESVDTMIQTVTTEMMGGGGPDVLFFNPLMFESSYNLLKNNMFADLNPIIEETEYDLSSFNSKVIDAGVYNDKRIFMPINYDVEMFLAVDSFLNGISFENMNSMEDFMAAHENYILTGLGDDNRFNFANPFSLSYFLEINNVQVVDFKEKKVEFDENELKIYVDWYKEIQKHFIKSEADLEGYSNSRYGPILEGDMVFLNGYDISNHNRIKFTLSLLKALSGDEEARLYSLSDLNTGSSVATIRLCGAVNKNSKHQKEAFELIKCALSKFMQQSGVNYNLPVRNTVLDYEMLELSNKTSFEYNFDGTSVPIIPLTEKELNTYTRYLKEPGRVIVNDNRYNSIMEEAFKGYMDGTVNWDKTLKKANHLLKVYIYE
MFRSKSFNINQPVALNSLPHYNSVPNMKFISVNVRSSIIIKEVDKNEALNTTNMLINETMNKEWRYENSVGFLNDDDEEKKWNDRYNSANYIFKQISISAKSDIDKKNRPKDSIFFAAYFKGVPIGVLQFSPQNKDYPELPIVDYLATHCGIRDCGVLLIECAVNKSQQLGMKGKLILSSVKAAKQLYISMGFTQLDDEVYLQLNPNESSKWYFINNCYKFIG
MAASSKPGSPGARARKIKSQPTRASQKSNSSSSSPSPAPSDISTTKAVYETPASSFSELERDAFTSTSTGIPRRKLPPSNVRKDKLCDRPSSCSSKKKTSSDEEGPGSSNGKSGAREGKKLQNPEDPGIRSTGTNDLLQWLKDATAELEISRLGETIFQSFHEKLSEEIRMRCRAEEQLKFVSVTSEKEEALREHSKLKAHTQDLEEKLKKSQDEFKKLQETQDKRLVLLPEYQGVRECSSKDVQEQGRPHKDTGDVLNEREIYLENMWDEAAEQREAAMDQATVYERDMNVAMQKKDQLEIEKAELVERLERVKSNAFTQKLDLEKAEKESERHERENSVLMQDKDKLRMENAELVRKLESSESDAFRQKLDLERVKMESKQHERENITLKQENAELRKQLEAYKSESTKEQASQRDAMTQTELEIETIATSTEGTLGTFPDLTTGTIGDAATRENDEELNEVQVYEEDHPEEADEPHEDNQQSEEDKEQELREHDAIMKLSREKLERLVATDNDVAPDGDAEPDKTEQQDTSEQQNTNKPSAEEDRTVTRSPVHMVSAAQQDVAPAETVPEPPIATPIPNSQRRRGNKAQRRPRIPSTERRATPPSV
MDLTPTQLLAIREEFYTTSLSIEDLCLKHELEELPGSHRWVKPSSLIPIEQPSEPDEGEDLSPEEQSLKTSLYTQAEAIMRKCNRMLMKVDNPRDLKDIASIHKDIFQAHFGKVQPTKTEAGEDEFSTTLKSLMEKYSDE
MKLMLIIINEEDKDEVMKALNEHQFQATMVSTTGEFLHYGNTTYLLGVEDEQIKEVESIIQDNTTIRCEMIEDMPDAKNLNDPGRATIFVVDVKQFHKIGG
MWEIELLLPLINTNRNPFFIFLSYLLFGYFFSSNKAKLRYNTIVDNMKLIIAIVSSHDANKVQQSLIENSFFTTRLATKGGFLKEVNATFILGVKEEKVSKALEIIKSHSHKKTQMIPNNIINEFSAYNSFPTEVSVGGATIFVLDVDQFLKV
MSLKIEELSEGDLEDFITILSNAYPDIKIETPEDRKRHKERIIASLKSNARVFGAFREGKLVGGARYHDFKMNFYGKVIDVCGLGMVAVDLLHKKEKICKEIVLHFIEYTRNRAFLGMLYPFRPDFYYKMGFGFGTRMEQYRIKANSMPMRKKENIRFLSKEDVSYLLYCYNTFAQNRHGMLIRNTASFGHLFEDIQNRIVGHFDKDNEDKLDAYCVFSFKPTMPSSMPDTLFQNDIFVKELVYNNPNSLSQILTFLATQSDQVNNIIINTQDEDFYHLFPDPRNGSNRVIPSVYHETNTAGVGIMYRVVDIPILINSLFSKIDYEGRLKLKLTLTDSFYPKNSGTYYIYFSDCKGSISDDREPDIELVTDISPFSSLVMGSLSLRSLLRLGLGTLSDDKYLPTLMRIFSKGEKPICMTPF
MAATSTQAAGRPVDRPERVLAAAAQRGAQAVCACACVCMRCDENAEKCERLLAEDRSVVPTSEGNVDQGSSGSSSHLLAMGTEDGKLVAADLRSTMTTHTGVPVHCPFTIFPCRAAALPFANCTSNKMTSTSSRQFAEDEEECDLRRSFNAQLEAFLRRRCNGIVVMPSINGRRIDLYRLYRKVVQMGGWTKVSSMGKWNQVLPVLGIGSNCLCGDYGARTIYMRYLARYEQKQLFGSESDDDDEFSSGTGGVRSSRGRSSNHYFSANRLPDVSKGSSDINARESGWGTLLLGQHPLVHCEM
MSILILWLDILAFAFLTAGFGAEFLAWLKKRESWRAYYLLTILGYAVVLFALSIAFFSNKYLSAIPAALPVFLGWVHTISSVLISFAFPGCVVRVAGMETGKIGKIMLWAPVSLTTVSVVIAAFLASTALAVTVNISFNAVMAAVAIAGALRVGGGKGRGDRRESLPFLILSAAAYSAFVALSALLVTGAIPRSDALASPFITGIFSLAWSAVILASAVRRALGNRAAPDGLGGAFFERFGLSPREADVARLLVAGRTNREIGEALYISTRTVESHVYNAYQKCGCRNKAEFVARAVGFGAADNGDGQVAGSRVAASGLEPR
MKKGNKNRRFIFLGVTACIIFLGIFCAVYFLQEGFRWNDKNSKKTSVHQISTDSTNISGKYFSNEGGTAEITSDSNQWRVSYQTADGLVSATFKTDWKIENHNKISISKMKKSDGYNNFTITLRIFKEKQNLITITMSDGKVEHDMFFANRKDYFVSNYDAILQGDLSSFAGNYSNDQLEKAIADSKYTLYGYKPEDYYSNKTSVFPSIRKNIKTGNEWIYWSGVSHAYYKLNATKPPKKINNYYEVYFVGANAIAIEGQELILNLVPANVTGPDGITTKEYRLVYGQSNQVVFRPYRDKWWERYQTVSENEKDLNVEAPQH
MDKLALMVIDTRNIQKYVFATNNLRQNAGASYLVGCTTREWVADMLPKPNNVIDITEGSYNNEKIEDGDIDAEVIYCGGGNAVIIFKNKSIANSFTRKYTSYIIQKAPGLDVTVVYKEFDWCNDSLSDMLTFLQKEGAAKKLNRNFSTPMLGAGVTAECIFSGLPATHFDESDGCFLSSQAHSKYCIEKDEAWESLKGAFETKGYTFAREFNDIASGIEGSSYIGIVHTDGNGMSKRIDAIRQRHTDPSGNRNFIKDMREFSSSIQHAVKKSLQSTVDKLVAAINDNLIKGEIKITGNMLPFRPIVFNGDDVTFVCHGSIALSLAHNYLFSLQNQTLSDGKPFYGRAGIAIVKSHYPFARAYDLAEGLCASAKQFILDKKSANCPDISAMDWHFAVNGTVYNSINAIREKEYSIEGNKKLYMRPISVMDDDDWRTWNVFKNIVDEFKSDEWRDKRSKIIALQRALRKGENSVANFMALNGIKELPMIENYNTMSKNGWQGGRCGYFDAIEAMDFLIDL
MNQESKFLFRRYSRINCINECAANYTNSICHCIPVYYPQYKKWKICGLRKWCCTLLTIDRVYAHKMEANKRYNCSCLSECETLEYDKIESYGTLIQMPQKENILKNYTDEYIRENIAVLNVFFKSTTFVKLRKQAMYNISQYLSNVGGILALFMGFSFFTAAELLYYGFFKSAIYVLQIFRPNIAEPKRNKLKPNKFNIQRAKY
MKKTNQQKALEKKPTNLPKNNKFSLRNNSKNFLNLFGHTDKKTTKTLGALPLGMNYRSKYISTNELLTTTYFVRSVQMIANDIASLE
MSSRALSLATVVLLIGGAMAQPAQAQNLEAGKSPSQIFAGACTVCHKSPRGLLRTVAPGALPGYLRQHYTTSSDMAALLSAYLISNGATDTRYGVAPAKPGRDGKPEAAPGAAPDQAEGRPSRRQRQEAQPREAAPPNADGLPPQEATPGRRHRNAKRQAKPEAEKPAIAAPAVEGEPPAVVEEKPAPRQKKSKKGKHRREAKPEPADAVKTAPAATEPAKSEPAKTAPAKDDAAKSDAPKSEAPKVEAPKVEAPKAEVPKAEPIRSEPAKPVEPAASEPAKSEPVPLRSDPVPAVTPAPKASDGESSPNPRPSASEGAASPATPAPADEMVPPLK
MKLNLSLKGSLILAFITITLVLVVGYSMLSARYFMGGMDTIVADNLVRAASLPLNNEELYRNTALQGYS
MKGIFNTALVAALLFSQVPVSAMHPTAKKALALGAGAITVATVKHFKNARVLAQAQTAQRAAEQISFFNKLSPLHIAGIIAGVAGIACYKYHTNKQYKAARDFCLQRLEEAKIAACTWQTDNGAQAKTCFFSINRLFMDEPNCKGTLMKYGKQHLTPYITKLSSNIPKDARWSRDLVRYDQTTAPIADQETANRMLAHYDRLINLIRAQ
MKISFPFACFPLLCHVFKPHAFPHSIFHVCGSSHGCKDLKKVPIEMIEMVEMIERREDCIIVSGRFDVLSSAVLNGGYVRDVEKILNVKVSEDFNEDAVEHLTNFIKKNFKAEFGKVVGMMTAVPMENAAIVTKNEVIAVVTAGISSSTVNIILIIDRNLRVSAMAEAIIVATEAKSAALYDMNVRDSSGDPMTGDLTDAIVVACRCSGCDDRGMEHNGDNGDREYGDEVASDDFGKESDEVTFAGKATELGTSIYDAVREAVRESLRKGGIDASDDDILRKLERCYGIRMDDIADAAFSLYVPAHVPASSVVRDAETKIGDRRISQQIATGRSTGGEELMKKNGESNGERRSDEHRKHEENLRELFKHIVRKECEDLNVAALIYAAILLDEAVRKGWFGGETARSDASWIVADEIIGISIAEYIGGKNALFNFFRYDTKKPGILRKIPIFADDAVGGLIAGCMSKMLANME
MLNALFPLLLIVFFLHGIFASGFIPILNHVLGTDASWAFAVYFGGLLLGQVAIYFFGWLSNKRWHLTFYEIVFGFSLILMGYLPPDWLVLGRGIEGLAGGLATPLLFAHMIHAPSKMSMAERIVRYNAVYALGYVLGPLILESTMQMMTYRVCLLLFGIVFIVLILHLGSLLPELDEPAPHTLTFRSLFSGTSWFEKFYSLFFAKAFYGFLLSFIASFAMVYFGGWPISVLTVSLAVIFVFGQKLGERTLHRLYKRGLEIILPLSIALTMLLFWATEWRLLLFVAALQHAYLLFIAFINFTTQISSGREFALFNSISDPGMFLGALLAGLGLKATWVLLALACLPLLYWREWPRLRPPAAALAAEAADGQA
MVSGTGPVTNQADTVAFWLCLWSEPVNHNEGPWTEVVVSQCANITPMDPVIVAKAVRTAPNWKSPGLDGLHHYWLKGFVVCHAVLARQFQEALNQKSLPSLFITGITHLVPKGQGATDPSKYRLSRVYRPYT
MAAGLQALPDRCAVVLVHDAARCLAPPEPGGAGGRGDAAAGCGRAGAAAGRHREAGRRRRPGARHPRPRRPARGADPAGLRPRAARARARGGTRQQRHRRRRPRGAAGRAGARGARAPLALKITTADDLGRAAQLLADADAPAARMGS
MLKKWDLVEVRRYWTGLKRSEQLLLFVLGLFLMGLGLGRTLWWPAFRTTEEMKTQLPKIRAQWEQVMGLNQALLSQTAT
MDTSEFLELAIAHQEVHRKKRCRLEANIKKQHRNETTSETDIMNEMLSLKEALKSEQSNLRKLHLELQKERSASMSAANEAMSMITRLQEEKAAVLVEARCYKLAAEERESHDQQTINLVREALVMKEDELLVLKELIETYREALMRAGGGSVESRYCIDKLPFVQGANVVISYVDSSDNNVYNTHDDEETFCSESQLMTTNNVRGNCDYQDTPQCKNALIHDDVRRCQDLGDGGYYEDTDHEQWLPHTSADCDTEAGSLKCSPEQALNDMSASIFTRVQQLEERFELLRENQALELQWLSAMSQFKAEVSNDGMSEKRADNDGKLIPGGSSSRTSPQLYENEGTLAESLAHTDHIEEDLSTTSISLDKVQIEIMNEAQELCCLEQHEGISFRTEFMENNTNVLKIHHSQATSFSDIEDDDSDNVHDVYEVQSNVKGSFLHPVGNPCLEDNNEQQNLTSMQKTIEEVGDCSIGAKGGLSRIHMQVDSCVTSLAQISVDESLPKVKDVHSNAELVDEELQQLKIHLEALESERFYLTQVIDSLRKENMERRALQALAQQLSQLKMDSKIKERREQGRLPFVILFKRTLSYIGFQSFSARKSRCSFNSPFFCKMQKLNGLHHILDDDTEKQRNVYVLRKCRMNLIRA
MKNISLTFDNGPDTSGTQHVLDVLTRHDIRATFFALGSRAVEPEGRAWLERVRDGGHCVGNHSFHHRVPLGEDRGQEAVEREIVQTEAALAPFTGGRKLFRPFGGGGRVGPHLLSAEAADYLVRERYTCVLWNCVPGDWLDEDGWVDKALAQCAASEWPVVVMHDSYPRAMRQLERFVCALKDGGYTFSQDWPLEVLPIVEGVAGAQLADITAPR
MMPVKGVTQLVQPQDLLAVPESFRGKIVRQVQLSSANKAIALTFDDGPSPDITPQVLSILKEKNIPATFFILGRNLKKFPQIGQQILADGHTLGNHTWHHWYHRMREFIIAREIESTAQLISQVTGVRTNLFRPPYGHRYNGLVDYALKRKDVVVLWSVDSEDWRGQDISLAELVEQVLTEAE
MQDRCASASRWAAVGLGAAAAGQVLPAATWIPSVRRFLPGLQGSGRPGHVALTLDDGPHPDTTRPLLDVLSRCGVRATFFLLGERATRAPDLVREIAARGHELGVHGWSHEYTLGHGPRPLLRQLRRTTALIEDLGGQRPTWYRPPYGVLSGSALWACHRAGLRPVLWSAWGRDWEPQPGRQIAARVLEQLRPGGTVLLHEAPVGGSPGVDGSIRVAVGLVVQACRRDGLVVGRLCDHF
MVSSAPVALTFDDGPHPENTLRILDILDTAEARATFFLQGDQAKRYPALVRAIHDRGHQVGNHAFHHVSVRDSGSIHHVANVRHAQQVLEDIIGAPLAPTFRPPFGDCSTLAFAMLSMRGYQFVLWSMDSDDSYVTDSDALAAHVLRKGPSGGEILLFHEDYAHTVAALPTILGRLSSNGLRFARIDDFGTKR
MWRLLLALLFLLPGSARADSLRVPVSADEITQGDTGQPNIALVFNVGAGFEPATSILDTLAAHNQRATFFVMGWWAAKNPDALEQIADAGHEIASHGDHVFDLTQVGDDQVRGDLEQADSAISAVTGRTTRPLWSPSAGYRDARVRNIAASLGYRPILWTVDSGDWTQEATAESVYSHIVNGARNGAIIVLHYDSPTSQLSTGAVLGAAIDDLRASGYRLTTITELVGQ
MTGVKSSNYRATENEQPKNRTQKPRTNMHLHRMPGLVQRLFPDCLWTMPPTTPPTLYLTFDDGPIPEETPFVVEQLARFGASGTFFCVGDNLAKHPDVARQVLAGGHRLANHTYHHLSGWSHSRPEYLADVARCHTLLQQLQPEARPLLRPPYGRITPALAQKLNQTHQVVMWDVLTCDYDRDFAPEECLATAIRLTRPGSIVVFHDSLKASANLRYVLPRYLTHFAALGYTFAHL
MFLGLSVAMHLALLALLLRNGPLTAGVLLGYGMYHVFVAWGVLHPRSRIFGPNRSRLRTRDRVAVLTFDDGPHPEVTPRVLEILRAKGIHATFFLVGKHVDRHPDVVRRIVADGHTLGCHSYGHSYLFWLLPASRLLREVRASKAAVEAASGKPCAWFRAPVGMKSCLLRRVLARSGLELVSWEVRFPQRNPLRRDGPHRRRLRRVSPGSILLLHDGHDRKVEGCPEVIDLLPRLLSDLDAMGYRCVPLS
MNWCFNLTFFVFLCVYQTSGAEENICQDGQYYEKESNICRNCTKCPINLIIRTDCTNLSDTICSHFTEFDNFRNEIPYGTQEEITVVEESPDIPDIFDRDYNPRIIEEVPTTSSEDKYWKNLAFALIGVLCVLIIAATMVVWYACRKLHASVQIKRPEYDDTDDPDNGYVVIRSIRHTAPPSNQPLSQSRINNTNYQLLQQQQRLIRPYRPKRRLLNEYADDVFESDDSGGSRASRRILCSIPEQNDESTSPRESESSPEKQPMV
MSARDDSNRGCNPSGPGVLPGLRLIINGRTVSSVTCTDSMSTGTCSSSLILLKRVWSILAVAATSAFSAFAGMLSYPAVLPTMIRLTVTLVSLIVGGPTSTGRSLVAASTMGMVKAAGGIKDS
MHIPKLALFLRCLIVLVFCLDGTAMAWQSSAMAIATVQHTHSHAAGTQHAAGTQHAAADHGMENGGMLVMDCEQVAATDHSRDEECACSDGGCDCTCGFVTLALGRGVPAVNVLWIGFMQVSHHVTMIRPTVSASVFRPPIG
MSRAAHALLVAFASAPPALAQDQSEHQLALRNASFVPTCEPALQRRLDEGLRQLREGNAKAALERLLPALTPGQESPLIAWGERETRSFHELLETHLREVPAAVRDPFLAGLAADAAKTLSGVGRERIWLDGVLAGFPGTAAALGARRRLCDLALESGDAVEAARWLWQLPAAEQGPRRTMVDDLEKLGLRASAWPCSSGGPTGVPRPLKLGTPTGRPHLAGRWKTPFTNHRRAGGVVGLDEHGRPLAVWQAGTSLRIARRTGDGVDLKSISIQTLTGIVAPPTTGARPSPTMLGDRLFLVHGGVLMRLRRAATPLGFVTDWRWSPNKPGQNSLERATLHPYALASGGRVWVVLTHPEDRLHSSVHVACVNGNTGQLLWTRYITKGAVLSAELSMHRQETLDRSAVEPAPPILARGRLVVSTGLGVIAALDPVRGTIAWTLRTARVKSARGRERPWAEVRLLGVAGEAWVTPSDSVFSYRLHLWPGMRDVLAAHPGEKRSLTRLIGVSDRWNASYWFRRGLSEAGPRRILTRADPDRPMRYDPPPIAPGESLTALPLLTDTSLILTTDNSLYWLDLRKDLYYEHVIGLRAHGPGGFGPALPLDGAIVVAGKRGVLLWQ
MFSHTAPFSPFNHVNFSGNFEGLAKTTHSG
MEDESYLEHTHNPTHQTNPSHTTTLRNPPQSKVNLDQLQSVELIGGGTRVDCVKRRLSSILKLDSSKQNYGLSTTMNADEAVARGCALQSAILSPRFKVLPYEIIESAIHPVKISWEGDGAEAEEGEGEGDEEGGGTTENEVVMFDRGSNFGVTKRVTLKKTGDFIVKASYVNPNSSSTTLDPLNPTTIATFKISGPNNPSPCKIRVNVKQDVSGIITLSSAQAMEEIPQVEEEETKEDKTEKKEGEEGKEGEPPKEGEEVKEAPKKKYKKTLLSFSTDTYMRLSKSDMDSTVELEARMANADRIAAETAAMRNELESYLYSMRDKMLGALKDYATEAEATTFSQALETTEDWLYSDEGFDTTKSVYCDKLKEVKGIGAPIEMREVETNTRQKSINSFKGSLELYKGFVQSSDEKYAHITDDEKDTCRAAISSAETWLYDMIEKQANVAQNVDPVLTVAMVGEKQKELTGKVTPVMHKPKPAPEKKEEVKEEAKEGAEEGKKEEGEGKEGGEETPMETEATPPEPPAEPANMDVD
MERVGIPTAAICTSGFVNAGMKQAAMLGIPSLPIVDIPFPFASLPPEQARMRGAEAYDTIVAALTTSPH
MVQLAQGGPNVVVVDYLAAIVSEFRFDPGLSGEKPLPFRLQLVQAVHQCFGGDKVDSIEGKRQKHLSQFEGYLGTLVISTFLLLKIA
MNKFDVTAIGTAFVDIVANVTEDFLEHYSLTKGQGNVLPISVLREIRKNLLDSRVIPGGTVANAVAVVASLGGGAAFIGKACDDTTGENFKRAFDETGVKSCVPLVAFDRDVDRATARCLVLVTPDHDRTFAFNFGVCEEIDDADIDVETIRNSKILFIDGQMLVSRRARQAVSLALKTAKDEGVRVAFNMHDLNFRAVAVQEVIETIRSQADILIGNEREIRGCFEIDANAVDLDAFMGVLAERGQILAMTRGAKGACLFSHEGVSTVPSENHQSVVDSTGAGDAFAGAFLYGLARGFSLPAAGKLAALSAAEIIRVWGGRPEKPLSVVLKSYLDSVRP
MRKNLRKWILLLLAGLFILSCFFVSPEKFSVESDLPFFLNFSFLYYFPLEKYFYMATILILSSYLLESRVLSYIAYPILRFAKTRKALSHILIFLSFGIALLFGTSISMFFTLPLSAITLGKEEDSPYLFMHTVCLQILAAELGSILFPFTSLSDFYLFLQFNYSFTDYLRISFPFFFSSILFLLPYVYCFHKEKNRPLSLQTLDRLQFSYEGLKERRPEGKVHRFSIPLGVFSTLFFLSCIRLIPIYLVTVLLLLYSLFFRREVFLRLDYFLLGFVLLIFLLRGNFLTMHLIPRFLSTFISGKECSHSLVLAQIFTRLPAAVFLADLTTKGRQLIMASILSSIHPLAWNYSGVIAYSMMKNRPHGKVFILHYIFLHIQMLLLLVFLAFFTGNL
MVRTRGLGRAIDRDIGRALGREDNRDSDDVPQRRRPTTSVRRQQEVVVVVKDAPHVDDAVEEVFQHVEEAVDDVEGFLGGPRDPSVLTAYIDYVGVIVWNGESGDRQLVGYITLLQCWIYEHFPSILEAFTNPDYDERFYMISHPFMTPTQPRDPPRNPPIMQDETYVEPDMHGFSVAATTMEEAPAHAVCWIYEHFPSVHESMIDEGYDKTSSRAYRWLTTKAYSKGFATSTY
AEEGDPQPPVEQPGIAPGLLPIETGEDIAATGCVYVNGRDRKGRSVLYAKAWLDPFRENGRDVLRHLCDVVEDERSRRGGDVQGPEAWMVAVIDLKDVLIDVPLALEAASLVLPGGVL
MYTLITLLIMIVMPIPVMMRYMLTGRNAYRGILEGSMSAITGVSLLFLAFWSMTGLSYLQALKNALNQVNFENMQLPSYYAMGIKELEPASMQLAMDRMKEITTLAVPGIIIVFCIVIAYLNYAVISRVLSKVGKKKVSLLPPFRTFSLPKNIVLGSLLIYVLSYITVSMGIITEDLIMFNLEMLFTFIFSIQGLAAVFYFGYRKRVPKFVLLLFSVIFFTTWIGQTFLFLLGLTDLVFDLRKRFSKLV
MTVKTEASGSTLTYSKMRGMVAILIAFMKQRRMGLNDFIQKIATNSYACKHPEVQSILKISQPQEPELMNANPSPPPSPSQQINLGPSSNPHAKPSDFHFLKVIGKGSFGKVLLARHKAEEQFYAVKVLQKKAILKKKEYLAPEVLHKQPYDRTVDWWCLGAVLYEMLYGLSGPNDLRHFDPEFTEEPVPNSIGQSSDSILITASVKEAAEAFLGFSYAPPMDSFL
MMHQLAMQALHFTYTVRQRAFMVSTLKPPRRGGAMLAGQSIHCTVSEDADASQWLAEDVVSHLKDVDQCAHRSKATRNLNRARR
MDKTRIATWNDTLATPLPPGNTSTILLCATFSLIGTFGIVGNALVISIIERLPTNNRAASLHLICSQAVNDLVASFLIIPVTLCGLFSVPPPQNSAIVGNVYCRFIYSYSILFITFSLSTYNLTILAYERYMAVVKPMVYKAKFTKAHSKKCVYISWILAPLSQMVLVTFQFDYDRHKGTCINDNPSFGPIFQSIVGTMIFVWEYLIP
MEIKQQEVYVMQLVRYFIEQQDYQMVIVQNSKNDIWLANVDQPQYPIIRLCAESEGQQFFDRDYIKEVYERICEQLQIAKPLLMIQTSPLAETIQWEQAEVVSILPNAQVPSKIAQVFHGIEQVVHSVNNADQEIKTIGKRIRDVQLERQKQLLRSLRQPPRAFWIIMALSLLLFALTTYVSLSGSDIYQGVLLGGAYYKPSMMAAYEYWRFFTAPFAHYSLFQLLIDLYVLYLLAKSVDTFYPHKLFLIFMIASLCGYGVSYIGVDNELAFGMVPGLLGIWGAYSVAIFAKKMMRIPPIKSAYIRSVLVIAILLLAPGISYLGVLAGFTAGVLCGIVLSDEAICKQLRPHVLIAAAILAGGLCWYQVNRNQMISNRSETLDSKLIEAYENIGLHDYAKWVEGQINTFYEEGK
MHMFTLRRSLLLLAAVLAVQTSAQKSKSDDSNIQAVPSDLRRAVSEALALEKRFLLGASDAHNCSSDEDEFSRIPCPPSKYRSASGECNNVRHRPWGRRGDVFLRLLPPNYAD
MDTVLVAFCESVARCLSIPKDNPYVHFDGLCRLNSSIWAEAGQRGKERKHTCAELIYDDEKTQNRYFKKICLDGGGEEKLKFIEYSKTELEHKVFLKKHCDFGIKKLVSVLARYDISLKLEKQEGGSMACFKNAHVKISFENYGDWRPNPLEVTHKLHDSKYEMKAPLTEIAIEQHEFVPEEPKPETSKKNCESPSPTASQFLADYNAMKTCDPEIFGKYLLTLDVKQIPEITSVFLEGYMLSAILRGLRAVQSNVSSDDLAERLVAVSMSPGFDVAAMFLDESEKGDLEAMFPLFEKEKADLLRQRYL
MAILDYFFYNWPKLIFAAWFSIFNLLLVWVYLNERRYIIERFIVGFFVSSAFMSIIAEIVGVSLYNILIDNGLLIDKYNFFFIAISIFFDALFIFIGGNLFSHFTGQKNYIGASIYMEYVCLERLCLVVATNYTNYILLYIFFQFSLFALMRKKLDYLFKATSLKWKSIFVYLTGLFYILDLLYSAYLLFPELGTNVVNFQNLFWLDTMALITCCFVSGYINISISESKEHDGKIEYFERLQKSQEDIITTLAEMSEAKSGETGQHVRRVAEYSKLLGTKLGLGYEEVENLKIAAMMHDLGKLMISKEIIEKPAKLTPAEYDIVKQHTQYGWDILSNSDGELIKMARIIAVQHHEYWNGQGYPIGLSGNGISIYAQIVAVADVFDALTSERSYKRAWSNEEAYNEIIKQRGEQFSPRVVDAFIECFNQIRVIRDTYLD
MSVVAYGPDVSRGPWLLGQRSVLDRVLHDDGGGHALDAGQADLAPR
MHHLLRSKNMFIK
MDEPNWSPSTTVASSSSYREDSGAMVAASSSGVSEEEEDRDRDYPPQNHFQFHSPELGNRCIGGFLSYKDNSPAFNDNSPPVIRDDMWSCIIYLRLNKETTVVMFTGKRGRRKRKKKEMEMENKREICGKKKR
MSAPRLPALFLAIQKPASNVVPIGRFPEKRQPLKKFVMSLRDENFNSLTSIAGARGISVQELLRAVIIPEWSKTMTKKTTALPTGPVRTF
MKAIDTNVVVRLLIGDDPAQQATARALLGAPVLVTITVLIESAWVLRSRYGFDRATVAALLDAFLDYPNVLVQAEARVRWALARYRSGGDIADLLHIAAAEPANRFVTFDRGIGPAAGDDCPLVIETLT
MAGGQGSRLEGIPRVKRPIPLVDTSVLVRYLTGDDPEKAERAARPLEGEEVGLTALVLVETAYVLTSVYRIPRERVVDALMGLLLRKNLTPRDVDEEWALEALLLCCPSARVSFADALLWAQAGPGGVVYAFDARFPQEDLEVRIP
MIGIDTNVILRYLLQDDPDQARKANRIVDRQLSEQAPGFINLVTVLEIVWVLRSLLKQSPSQIAQHLENLLAADVFQVQNEQQVFEAAFALKRNTGEFEDALIGALNAWAGCSHTLTFDRKATRLPNFQFIA
MRRSARGVKGRRKSKKCDGGRGGDAAGTPTWPAVGDRLEVRSDEEGFIGVWYAAEVVHIEHPSSCIVEYEELLSDDGLPLQEIVPLRNLRPCPPSLPPRTSWCQDHLVEAYDRDGWWAGIVVRSLPGNGHVLVRFPTTGEELTFPLSLLRGLQNWDNGKWTDLTQIRRTDDVLDEDGDDNDRHADMVDYNNFRNLHHEAPERQTSDQKSKLLGLDHSSSFSKESSQMTCSDQCDAPSHASGHLTGASSRLTGCKLSASMEEFEAQVSANDHSTLLQEALKGEVRLELVTYELLVKAIHLKGPLNWAQEKLLTDVRLQLGITSAEQAIVLKKYMPSSDGRG
MNEIVVVDSRFGPCGFAAVMAGASSLTTKAALALAAVPSAIAATAIFSFVFIALSLEKESDFQRQKWKISALKNNLI
FVLFFFFKVDREQSKGGDETGLNGILLSCVNTTTFEVVKQITSSVGPWGTWTPIQWCPGGFLSTFCLCVEPKQGNEDDTAADNIIFGCTVPHIVMGNGLERGLYGAWSDTCTEGINGINIRLEKPKGIGDDTSVNDVLFNCA
MESLLRAVLAVLFLGLFQQGAVVADRPYTSELTVPNGQRWGTWRGPEMCPDQYFAIGFRDRVERSQGKGDDTSLNGIRLICSKDGDQSSTTTVQSHPGPWGDWSQPQYCASGVLTSFQLRVEGLQGNGDNTAANNIRFRCSNGHVLEGSGLAWGQYGQWSQDCVNGGICGIETKVEAPQGEEDDTALNDVRFRCCARAQKKLGPSFLPHPELNNDN
MRNDKYIQIGITALRNEDGSFQPSVPLYIRAPADEVDLPTGFTHGEKNMLSESSGIFLDLYRQYVEAGGRKTGD
MKDYILTYPKNVFNKNITPKQHYLIHLPGQILKFGPLIRLWAMRFEGKHHQFKNISKIMKSFKNLPKTLANRHQDGVRADFISLSNANDPSDHPLFRKDVSLLKGGNARKLSGVKRDDAIAYISSNCVHGTCFKKDLNTILLAQMTDSQPVFGSLENVWLSATHVFFGLKLYKTADFSIDLNAYQIEEEEMATGLFVIEVGDLLMTSVMHIYKHERCQYVCPGEDPSTLLDN
MSERSELSESTMVIRTDVYHWLLETVGRAHCVDTWTWVTDIYANTITFGFWYKEHAALFKLIWS
MAHALLHDDVAWLPPAVFDISNLYLKNECSQCWLLFIFLGVDIYVIVFWMWILMRFVLTISKKDLHSF
MNELILDNNLDCLLLTETWLGTDAPVVLTEASPLNFNFLFSTRGDKKGGGTASIARGSFATKTITLHSYSSFEHHAFSFNSPSSLCVTVYRPPKPPSCFIQEFSEFLSVTHSKYSRVLITGDFNLHVDKDSDAHAKEFLNLLHCMDFTQHVTQPTHGRGRTLDLVITYGLSCSVSSVVDMAVSDHYCIFFNITSFTRQEAPVRTVRKRYITPDVAANVINILHQTPAHILPASCDFMVEHFNNKLQSAIDVVAPLKTKMLKTKATAPWKNEPIRALKRECRRAERKWRKTKLTVHRKIFKEQQMRYSHAIKKARTLHFSNLILQNKNDLRVLFKTIDSLTKRDSQRSSIAASDAVCESFADHFRSKINAIRSSLLTQKGVDFNESIALYLSEETLESFVLVDAVGLGQVFSQLKPTTCLLDPIPTSLLKTFYDFFESELLNIVNYSLQTGVFPAAFKTAVVRPLLKKSHLDPYNYNNYRPVSNLPFLSKMIEKTVLIQFNEFLNRNNIFEKYQSGFRTNYSTETAHVKIVNDIRCNLDCQKLSVLGLLDLSAAFDTVDHSILLNRPRGLGISGTVLKWFYSYLTDQSFYVSMDTCSSRVFDINCGVPQGSILGPLLFNLYMLPLGDVIRRHGVCFHSYADDTQLYIAVSPDDEESVNTLLKCILDIESWMAENFLQLNQDKTEVLVIGSEDKREIVLSKLKNYKTSQCVRNLGVIFDSEMNFIPQIKNITNTGFYHLKNISRVRPFLSCQYRGANACFYYQQIGLL
MKYVRENRFWTITGLETFILGMFFGLDNNFVDQPLHMPVFVKVVDDPPFAIVLMIVGFYVTLISVSKHFTEANKAVVTFTLLFIWTFYFIIFLLHDIVGPFTIPRYTTIITGFIVLRVMVESIWGIPR
MSELPLGRGATQCQSLRWKLDYLIALDLPFRIDPWGPEPRDPIDPPRGFDFDLFEAVLLGAGVHRAREEFGRIEKPQSLLKDDGARLEAVFREGLSRGIEVFREEFNAGCGETARLLERLN
MRTAEEVSRLVVGTHGGQPVYIADVATVRHMPEDPQKLVTHYTGPAYDGNHVSYGNQAVTLAIAKKEKTNGVDVARAVLAKLETLKGTMIPNNVHVEITRNYGKTANDKVNELLQAMFEAVLIVSVLCLIGLGGRAAFVVVTVIPVVILLTIWWAMAVDYTIDRVSLFALIFSIGILVDDATVVVENIFRHWLELGRTSIAHAARAVDEVGNPTILAT
MLSSHGEMLELADALVLTSGEGPWASPNNVTLADVFEPATGTTGEKQYFIPLKDREKNRKLNELLDELQFKQLIIFREY
MAATPHQAAAPAAPGEHESSISVVCGAARGLMLLPRQRVLMPAAQAGGGGGSGGAGAGGAAVVSPTEFERLGGRGSYKHWRTSIRVAEDGCAIGEWLAARGVASPRPSQQGDGAAAAESSTGASGDSSSEVADVPVSPPGAAASHPSAAASPPGPAASPPDPAAASSSAPPRRPPPPRPAGDALLRTLAEAAAASLSASTPPPPPPPRSGAPPPAADAAVLQVLLAHRAAQQRAEAEKRAASRALFGAAGLPLAKRRRVTRLPGDGDPRVAAMAAYLAGTTQQLPPRHLLPPAAVNPAVAGRAVDLRALFRAVVGRGGHLRVSLTSQWGAVLQQLNLAGAPGAEVATQALYENVLLRFERIYDPDMWLAATGLPSPIALDTALRLRAGSAASV
MHVAYFVNMNLEQCVNFLKENFENLEVSEIEEKVNIHLREKIQSYCENGEDKVTQIDDSTLMELMEVLSTNGEQKGDIKMHLPTEKREDTTIAYSPYPVNSYNKTETHHSSDGNNNIEESDQSDSQKREKYDVNTQGGNECSEESPFGDVISGSVVNQNNARISQRHADNIADKRDSADKRGSTDKRDSTDKRGSTDKRGSTDKRGSTDKRGSTDKDNSDNSASETMLEIIFRKSLLENENVKRNITSKNFYIIGEDMLVNITIILDRIILQLNNHYKEKKIETKEQEIHFENFFKVLYKLLSNISFYSKEEKYKTIKLSNIQVKNSFLTNEHIFNLVKLLFEILNFNTHFGKKLEEGGGGEVGREEEGEVGDEGEEGDEGDEGEYVNNDKSQLGNLSLYDNMVWKFENKFTDKESILFDYVLSSVNIIMNMVNKKIPKLKCSNEKIHTVENKSEHVHNTGYTKMLTQEHKKSVEHLANNITPVNSKILSIHQQNMKEKQALNDIRKLHNEKYNVHKNYGQNSNNDKKFYWANPSNSKNNKNKMSFGKEKKNEHSGGNSPISDGELQEKGNLKKGKKKIKKFFKNLFKTG
RRSTLQTSVAINMFKFVLLSCLLVGALAWPHHTAGHHGSQHDGEEKVEAAQPAAALTEEKPAEEAKPQESEAEDKSKPQQVEEQKLPEAKPEDAVRKEVHEHHEAPAVPIPLEASKPVSSRR
MFYVDYAANERPSEASLLTGRMPRMIHFYIERVDISALRDKSESELATWLEKRFERKEALLKAFYEENGKLPHGATPLFQQNQSSAMVILVAFWLVSIGAATIFGLIGNFISIIAALAIVTGYTINTAYGPGVDGFLINNL
MRRLAVAAALALAATATATGCDDGNDPDASASAETPSTRPSPGGPSIQVRGEDPRIATTDSGFTTTAALRGVLRYDTATRCLYLETQKGNVLPIFSTWTSPALKPVLRDGRRGLDTGNKGTVLEGDKAIIGGVPIPRRPDNAPDSCAPQATTITTSDGIEPDA
MEGNTMTTKASRTSTPVPPWAWHVIRFAVFGAAAVIPPPLIVVALAAGWIVILAVEGRKGVPIAVLWTLAAVAINVVMFASLPGG
MKFFAYFAVIALSSASLINLFKRATANGCEVESCYKAHQTLINSCNGAFDFNCLCNLPQSYFQNLYDCSKSCDTLQESDIHSPSDIRSIYCEAASNSIYTFSIDSISLDMIGYSDFETDTEATTGSDTRTKAATGATTSAGTGVTKTSETGGVSSTANSEAKSGSVTTSKSGSTSISESKTTSGSSSSGKSSSSTSSASSQQTSSHAGGASGAFVSLLGLFAALLI
MQRGQSKIGLSRGMAAIFECASGVCQSTVDLSYRMFSDQGLGAVAESGEM
MAITKSAKKSIRQNRRRNAQNLVYKNKIKNLIKEARV
MSAKAETSGEDSKVQDSKVNGLLKLQDLTGTDTDKKTRKLDKNADVFLFENTDKGPIQKIKVKGSKYTNVNATQCTEEMTLKKVEDNQGLLQVNDVKLNADATAGDSEELLVAPVESKDHSPDVEDVGSMCAAPVTIVGGLPQQEDGSDQSTDLEEPEMKNLEEPEMLIQQDVGSTENEEHSRAILLHPCVHGVCGEPETLGEIDLSDFTTPMEDSKMLLVFFHGEVGGVQHAAVMDFSDLLSTDDESEEEEDSMSIPTMK
MLALLAALEPGLLGGLMAGIAVVTFAQVVSRYVFDHPLQWSEEVGRFLLVWLTFIGAGVLVRFRDGHPAVDTLPEALPALRPLLGTVSQVLVITGCAALAWGGWRIATLQWAQTSPSLEISMGLVYLCLPVGGLLGLFWGLRRLAGRAGADE
MRGHIALGIENSLIMKSNGWVMGATMEPYKKMGMFNIFNTLVKWFLVILLGVMASLSFYQVVMRYVFNNASSWSEELVRFLFIWCSFIAAAIGIKEHIHIGVDIFVKLLPEKIANLTEVLVNLGIMFFSSYMVYYGWSVVMVTRRQLSPALRIPMSWVYLSIPAMGVLLLLYCSIEIVNAVGSFKEEERA
MRTQHSGLLRILKWLDDNLEAFFMVTFLGLASVFIFVQVVARYVFESSFSWSEEVSRYMFIWLIYLGISYAVKTDSHIKVDALLTRDFLSDVQKKLLCILSDLIFLAFSTTVAYVGYQVAHLIARRGQITAATEIPMWIIYLAVPVGYSLCTFRLLQTLVHRFRHFHSDFKVFYRQVPSGDQCPEDK
MCLTSILCFLLLLCTSINSSSPPKLIPMPTLQPFKEGSAFRLLCSVQYGAMPLKFNWFFNSKLITYQLHDINIETREDESTLKIKNVKSENSGNYTCVVSNEFGKDSQSVMLVVKSPLKWLVEPKDLHLIHGEAGKIECKATGFPLPTVTWYKNSEIVSKNEEINIERASKNDKGVYECVADNEINESLRKMVKGTEPLRFQWFKNGFPISNRISNAKIETISIVSNLIYEKVTRADSANYTCVVSNSFGEDSKSFTLGVKDSIVTEGRDFIINCNAEGIPPPQIKWKKGQNEVQRSKQTLEFKSITLGDSGEYECIAENGVDNSLSKKFKISVIAKCGAFCVWFDVLNAIVFMMIFSIAFHISIFITIAKCNFETPKIQPISPFPPLSEGLKVRAVCSVLQGTEPFEFNWYKHDTPINEHLSNIKIETNSGVSTLIFEKVSRNDSGNYTCVVNNAYGEDKTSFVLTIKGNGIIINCIAEGFPRPQISWWKNKNVILSHSERFEIKNADYKDKGEYECKADNGVDQELSKKFKITIV
MAAATSSKTSVTRSERKLAHQKRDRRKSSLMKKAYEYGKMCNADICFGIRIRESGHVFIFSADASNFWSFVGSQLIYEVKKIV
MFNGLRYITLPGDIEFIVHGHVALWVGNADIIRRISVEPGHFGEVDYYCDLQYDDVVPLGKQLIVATDGQLQVIGVGSKVVLTVPFPFDYDEGEVTRLFLDMASSVLYVLHGRWLAGYTVERFKQLRESYTICLPLHDIDGLMFRASIGHWAILHDSQRRILVYTSRTGEAVLDETLPLEDEYIVYADAWPPWLVVLTPRKLYRVNVVEGGPCDRRMWEAFPISGTGIAGIEFRRCALEVKIDPKDPEQFLMLSSPYFDGETALVLCRRSAQSTLDYVASYTLEPGYFCDRVEYRHDGSTVEVCVGKQGMDAKIAGVYSRIVWLNRIDLSVIHVFDNAVGEWRTDVRDCAASLMIGDDDNDDSVTMKYWDLMKPV
MNALSFNQVSLNPVTHTDSQIWITATELAHALGYKKADAVTQIFNRNEDEFSSSMSQTLNLSVSGKINGLQHKSVRIFSLRGCYAIAFFAKTEVAKQFRKWVLDLIETEAQKFTGRSTTTDERTGLRDAVNAVVSKKGMLYPDAYKLVHQRFNVEHIDELTLEQVGQATEYLHRLLLDTAEAVVQPVLSPEQKRRIYLTSIHATLLAAWWRHYQEGMFSLNRKMAVSVHDSFINTHELISEVCRDLDIDTVRIQDLAGMPWESDWHTRSKFWNQLALA
MSQALCFQSVEFDVIQQNQQPWVRGYQIGSALGYTAPDVQISKLYTRHADEFTPAMTAVVTLPTEGGPQETRIFSLRGCHLLAMFARTPVAKAFRKWCLDVIERYGDRVPVERPISLTPSTPDDRKPLRDMVNVWARLSGVHPSNLWPQVRARFQLGRIDDLPTNWLPDALAWVQGKIDELNQKPKAALPAVPQPTRQEQTAAALERFRTFQREFFKVGSELEHCLRDAYWMPGNAMPDNLKPFANGMNYGIQSLFSATCHTMEAMERYASAHIAGEELMRRGAQ
MSTQLTFQGVCLNPVERNNQFWLTSSDLASALKYKSSKSVTNIYNQNADEFDHSMSLVIESMTNGINDSSRTKRVRIFSLRGCHLVAMFARTAVAKEFRKWVLDVLDKEAQPQPAKAQTDRQSTATQLIPLRQKVEQLITTGVGNIYGDIWKLVHNKFEVKTISQLRPEQVVEAVQYLDAIEGEYIAKNSQPEPAKYFYPKSYAEPHSREFENALLTPSVLLDDRNRAIELELLDELERDGYDVSGVRLRVLALRYAAKQHLETQRDLSNLKYYLNQMNRAVDDGRKVFGLNVDFGNPKHKRLN
MTASSLPDCVRFHDTDLSIIDRNGVPWITAPDLARALGYSRADKIGKLFRANQSEFNDAMAHTLETRSRGQVAARPVRIFSPRGCHLIAMFARTDRAKEFRRWVLDVLEGLEQPAPAPARVVRSRALTRTDQRLINQRAQQILSQHFPDIRNELARQAQAALDGGQVPDIAALDVVDPHYFSRAPHYAPFQEGMGIVRVDGRFVVFDASDWRVSAGARVVAVSVGDGRLMLADIADAMAGQSWFDRCMMARPQPGSGMRPVVVVIGRVVWAEGQA
MNENETKKLSRTDLFSMAIGQIIGVGIMTMTGIAIGFTGRSVNLAYIVAGLITIFAAIPQIYIGGTANFYGGQYSQIGVLCGQKAAGIFLYIQLFTSLAISMYTLSFADYFLSLFPNVNAKLISFIVLTALFGMHFFGVKQAARLQNILCAILAIAIAAYIVLGIGNVQGDYLTNGFMTKGIGGFVLASIYLTFAAGVVSLKRLLNQSNLKSWSSKMIKKSLEAYFFTLKNKIVFCKKQFQNMSVFCFVSTEIV
MALRPADVGELFRTDAACNDTSVVLGGWFLHKGPDPKKAPWFQIILGRDEVPWLFKKDSGSSWASTSAEVLASLAALHLLRRHFKDLWSVPGHFRASFCGGTDNKSAEALSCKLLTTRVPLMFVVMEFVTFCDALGFRCNLSWRPRDSNQEADRITKHVFEDFDENLLKDTVLPAGSIDAFLSNQMEKKLDFARWMGNFCPADAEKAYSIDSNGCYLRPFQLGWRSDFGISGMMEPETAELLLELILTEGFLTDPNVVGVEKLGVTLPPPEYLDGGITECPAINLGSALLPPFSVGYVKGWKRAVCLILALCGIRVLGLEAEVPHHIRVTMGTIHCSFGAFQDVKAKVLASRGGAEQQFSETQNEIDWHSQTAIAKAFQIGRSEAGAVQQLITAVPKDVKNALETAVKQRGMVKFLGHEAVARQLFSASYINTSPGMDAWRDQLTNAPGDSRIASLLVERLVSDFDLAPEPLRKAPTCKETVTKHACCAAMLHFLGLLEAMCPGKEFPELRRQLMHQFHHGYLDTDLVHVLESAVPPADLKSVSAFRAVVVQIETQAQAEMDATQAEHARQVREATLAQITALVESDIKALRQRTPDHVLKAIAAAKDLKYVKDRQMQGDNFVHTWMAEYCHCEMLDETLSCKGNNVLPNVLKYLGQFRGIAGTQPLDDYLSCSLESGLK
MSTARYSLRQLFADLELEPPTFVLAAQPPSDDPWYMRIFAGIGAWFAALMFLTFLGITSIITNEFGALVVGLGLCAAALGINRRNQPSTFLRQLALAISITGQLLA
MLLKSGFILAAYSHLSSAMFNKTPVKGRHDGESLPKAVIEKPVHTAVHMYNCMYRFGLNITLHRSSDHIPAAGHALPTLLGNTKRYTYNKECSTSKNHLIYPIPVSLHAAPM
MSFREYKPTIDEELTLMEGSEVDVVEILKRLKPGESYTRNYITTQDAVQRMERYVGRLRESDLDIGKGDLTFGYKMPLPEKYKGSFRQLIIFRRK
MGVEVDFNLADVFSYESLVHAVAGAMGSVTAMTVFFPLDTARLRLQGNTRTAPRGPQASGVERSRSTPGVPRPAGSRGHAVPRGSPGQRGREVTQYPGGPQDSGVERSRSTPGVPRPAGSRGHTVPRGSPGQRVQ
MPDPAKSVGDIDDVLSSIRRLVAEQPGPARAEANRDSDASSRPVAGDRLVLTPALRVTDADGPARASLPDAGEDGGTADSAEAVGTTPEAADAAPNFAEMMRAPTENTSEIEQATPERAGPEQAGPEYADGMVETTVPDTSGEGAEEAQHGMQPETAQNPDFSVDVPTETPERHSDAPAPEQAEVETSVQDDGAALTETPSMFETESETEASETMEPSSAGLSAPAEEEAHQFASHEPAAETAASAPANEARRPMLFDTRQNPEDEGAEEGLTAQDDARGSDTPDATDEPRAIGNDGWRPEMRLFDWGASAQTEAAAPVGLVNGAEQFESETGDANWPDETADRAVLDLAAVRETAGIDTAADADTQGEPATEPTVAGFTPIFSRRATGPATRDASPAADAEAATEEPAPPAPSQPESAGGSPVDDLPATPGDEIADTGAVHDNTPVEPEAEDSAADGADMEPGMAGADTVAFSSVRDTVTEPLAEVSSSDPGDVDAAPLLDDLPPPAATPDEGDSAASGARLTVLVGKGDQVDSDASDASPQAAEEAAAPGANDLRSIDTRILEEEVLRRIVAEAVREELQGALGERITRNVRKLVRREIRLVLAVDELD
MNSKDIKAPQKSLSRISSQKKQKGASLYQNPPFVDKDTLAQGKTSFSLQQKAPQSIPTRQRKAKRKQNQFDEGQANDLFGASEARRTSIIRAIRNPYRQNAQVDIRVNVGPRLKIPKNPEPKNKKLAKFIARGRGIKKLTPDDQIPINPDLDQLNQEEIAESSNLNIRKSLDVSSKPNLSTFHISSEQVNPVPRISGNKLFTLLHIDKFAHLMETLDRVERNIGLIMGKLDEISGDVEQILACLQRIEVAISQNTRTNTRIRSAKVRG
EFSDAFREGDFQQAVARYPERPLSLYIHIPFCHKICYFCGCNKIVTRQQHKADQYLDALEQEIVHRAPLFAGRHVSQLHWGGGTPTYLNKAQISRLMTLLRGNFNFNADAEISIEVDPREIELDVLDHLRAEGFNRLSMGVQDFNKEVQRLVNREQDEEFIFDLLNHAREIGFTSTNIDLIYGLPKQTPESFAFTLKRVAELNPDRLSVFNYA
MPLPPPRLLLLLRRRRPLTSTSPSAASAATTATSPSSPSAPPRPRHPHTAGTATTRGSPTTSASSSARSPPRAPCPTTGVPLETVFFGGGTPSLVPPRLVAAVLDALRTRFGLSASPEVSIEMDPGTFDAAKLRELVGLGVNRVSLGVQAFQEDLLRACGRAHGLREVHDAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQLWVEPIRLTLNPVLDKVATLLWGLVGMAIWAMN
MKKNSRVRRWLAMILCMTLVLSSNVVSMAAEENGTEVQAVTEEPVIEETEPAAVDEAVPADITETEPTETPVTPVTETEPTGESETEPETKTETVTEPEKTEVPENQETTNPEEVEKPVTFAAPQAPQVQEGPGAGDATDDRIGVEASVLKSFDYPPYLSSQNQQVYSHLAKKDYDMRYDGNKWICCWFDDNRDNIAEVVGPRNKHYIVIDDTWDASNDPYKIAYMRCDMYGGEEQEKIYYPVFFIDEEGKTLLKEDNGRFLGECGKRQKPDKNT
MAEYIQVVTTTDSADLASKIAQTLVERRAAACVQVVGPVQSKYWWKGDIEEAEEWVCLIKARSADYDRIEAIISGAHSYDVPEILAFPVQQGSRRYLEWLEAETSG
MSSTIMLVMTTVGALEDAQRLGRAAIERRGAACVQINEIQSIYRWDGEIQTDREWRVLFKTTAQQCATLESLIKELHPYDLPAIVTVNADAESRFAQWVDGEVQLDDQM
MNALFIVVSTFPSREVARQLGAEVVEAGLAACVNILGDIESIYPWDGEICRENEVYVMMKTSAATYPKLESWLEENHPYDNPAILALPIEKAAAAYAKWVIENTSQ
MVVHLLVTTTTPNRESGANLATSALSAHLAATSQLIGPLDSFFWHLGENGTGEEWNVTFKTTDARYAELEAHIVENHPWKKPEVTAVEIVRGTDAYLRWVEEATTDRSSSG
MAEATALEPICEVVVTGPRTSLMQQMAEDLVNERLVACAQRVDPIRSTFRWNGGVDHQVEERVCFHTRASLVPVIVLRVKALHPYDVPCVLAFPVMAVNPAYHAWVITETAEADTDPTGPA
MKEMLIVQTTFSEREEAVSLAKKLLQKRLVACAQLSGGIESFYWWNDVIEQSPEYVLTMKTTADSYGMLERYIKKLHSYETPEIVAVAVEQVESDYLQWLRTEIQIRDE
MSIIVVICTVPEEQSAIIAKKLLKEKLAACITLIPGAISYYFWQNKLECANEVQMLIKCDEIHQEPLLNFLKLIHPYEIPEILVIPIKYVDPIYLSWIKESLLKKTKL
MKFIVIETTYPNLQQAKNLAEILLKNKFAACVQFQKIESTYVWEGKIENSHEILVSIKTISSFFDEIEKIIKKHHSYETPQIIATVINQGSKDYLEWVEKSLA
MKVNIIYMTAGSREEARKIGKELVITRLAACVNILDNMNSFYMWQGEVQDDSEIVLIAKTTEDRVPQLVEKVKSLHSYECPCIVSIPVSGGNQAFLDWIAEEVK
MTQQPQLILCTTDSSDSAKQLARSLLEKKLVACVNIVPNMTSIYSWQGELHEDQEWLLLIKSTAERFSDIKSTISAIHPYDSPELISINIEDGLPDYLTWIQDSVK
MNDDEQNAIVVFITTNNRKDAERLAQVLVERKLAACVQIVPEIVSIYRWQDAIQQDSEVLILVKTLESKFDELEKAVRENHSYDVPEIVAIKANRVSTPYFNWLKESVK
MNRMSDMNDYIMIFSTCPGSITAKQIAQDLVANSRAACVNVIPGVHSYFKWGNKVDVKEEYLLVIKTRADLFEQVKKDILSLHPYELPEIISVPLKDGFDGYLNWVNQVTK
MTKKQHKKSSQNDIFQESLKGVKPLKNDRIDLYTHPKNTHPFKDRSDYTEDNISHTLSDQQETHNVSGEEFIFFAHTGLQLKTQKKLRQGKIPIDDHLDLHGLSINEARKLLVEFIDFAQKQKIRCVLLIHGKGYRANSDQPVLKNKINNWLRQHPGILGFSSAQPRDGGTGAVYILIKNLT
MMARRGRKRSLTPDEAALWQAVVQTAEPLAPARARPAAPDTESEPAPDPEAEAPPVPPIPRPSKSGYRASSGGMAAVHGAQTPAAEAKKRLQQLSHGQTAGIDRRTASRFTRGRMNIDGRIDLHGMTQETAHRALCGFLARAYDKGSRCVIVVTGKGSRGGEGGGVLRRMVPMWLNEPGLRSRILSFSHAQPRDGGEGALYVLLKRKRGG
MTHFPGDDDDRAASAKPDSAFREALEAAGIRRLRINRAQTGRPRRNALNVSARREAAEQAPLQTQSTLSDGGVEAVTPHQRLEFSIPDLPPRTFAQLKKGHIAWEGGLDLHGYTLDEARIELESFINDAIANRARCVLVVHGKARGYRGSGADYPVIKSHVNGWLKNWSRVLAFCSASDIDGGTGALYVLLRTRADSNS
MSPNSSDEDPIWTRIKAQSEPLRKKAPNRKNQQKPEEKSKPMPGRPIRDGNLGGGASQAEVARKAAAKPPVREDGHRRIRRGRVEISAQIDLHGMFEQEARERLLGFLQNQPRHRAQSILVITGKGERGDGVLRRSLANWLHNPEFSSMVSGFAQAHLRHGGSGAWYVFLRAIK
MHENDSDLFFSEMSDVKPLAKTRNLAETGNKKENTPGQQLRREAAQEELIDPNPLSLELRKRLQPHDFLFWKESGVQEGVWKKLRLGKYATDAHLALHVLTVKEARQQLHEFLQDCREQNIRTVLVVHGRGENSEPKATIKSYTRQWLEEHDNVLAFHTALRQHGGTSAVYVLIRKNEEKRLQNRELFARRRPGVS
MVSLRTVTAASITATSITATSVAATPSPRNSIHPLIDHVIARAIELISRVSLECCNRFYVLPIVSELKIQRDGAGRVPPLAHGKQVRARVCASPRRAFMKNCMLTSNCLVSLDVPYESGQVMKAASSREPGKARSRERNVCKLCTGPDYTYILKTEALHLQLLLNLLVLLVLQPVPAVLLLIPMKAVRIGLIIIESLISREIRKNTFKISLKIRRKHDFLMSCTSMRSVRHVCSVRPHRLPIVIQHAIIGARDGKIQTTQRNNTRHAFACSLLRTYLAARYRPSQPERARERERIVRSTRATPLGSPICTSLLCLDPKPFHTIKRYVWYTGKVAIFRLEFYKLLCTTLSQLTTASRDFHYSSPSPCVTRTRYIDESGESTIYGFKEDKISKKSKKIADEFNNFDMISYTYIMLGREPKSPKSKVQKSLNRKDPTQLLSNLLILRSCLFLCTLSFRNDLPNFMISTLCNGCGYAFSAKRGVAERAEGKCLIANAKEGANPPKRAEKREALEPNAEEKEVVVGARRKITCTSMYSQFNASSRSNPKAASSKASSMSPERKSRDRRCKNYKSIKLVHKNPMYFITFLNPSLLEDDILQKKRDVRWTSTPNLRSDPRKGYCEESNLVGEVRRRRERSNGGGGSSRTTRKKVFLRRIFYLPPPTIASFLTDFLSIIPLLTPLQAALSPGSLPLGRYAFIFAIFEIFRNASYQPSTLCYHVHRRPMIQEHVNVIHMYMHFIMRQLSRRGSGAFERANTSLFAFSSRIIYRANTHVCVRVYVCEHECVLASTRGCIAMSVHSLYARPAVAQRSEVSSVSRLLRGHTLLASPPELDIFFRTRCLVPSCECGSRFCRRWQVPSLLCRLHLFPAFYT
MHTYSIMYFKRMSKRAGFIRGLAAIICLAIVLSGLLWHSSKGFAEEPSPSSVMYPFAKTSPGYSMEDSDSWLFHFTSSQIMNHGDKIFIEFPSGSVCNVSPYNEIPYYDEGEGEGEGEGMSRFAIFVNGIPASATPCTGSKLEIQLAGVEKPSKDMTVFITAGAVLNPLTIQPTAIAISTNYDKKPVLIEPGSLSMTVSDSTLGAENVEYTFRFKAQNDINEERPLQLAVSYDYELLQSMGNANASNVLLYAESDSEQRKAKEISKLCTEICNFAFITPLHEIKRGDNVVLKFTGDAGVRNPIFYGANLYEGNEFEGGRIVYFEIGDYDYYYDDYDMPYEFYELTYYDAEITYTTDQTNQQAVDTAISLFDLTDHILKGSMALPSTASNDTTVTWTSSDNSTINITSGTGIVTRPSYGSGNKVVTLTATITKGDVSRQKTILVLVLEAEHVLSTNADLSSLTVGGAAISLIPGVTDYTQSVTNNTYSTIINAVYSPGASMKINGVKVASAADKEVGLSLGSNTFTIVVTAENGTSSKTYQLTIIFQTQPGSQTIIEQQLTVAINLLDPSSFLNGNVSSSQITGDLKLPATTSVENGYPIIWTSSANAFITPIGVVTRPSYTSASPFENVILTATATVTNTTYTSVPRQFSFTVLRAEIPPTQEIAVNASQPIVTLIGGTKIDFTGASFGPNARVTASEVTPLNTNGTGLVSAGKTLEFTLTGITIEPSNPVKVSIPTNANANRSKIGVFYYNPSTLSWEYQKTEIDSTGTASAKVTHFSTYGVFEANKVAAPVWESLFTNDTTKQVTLSTATAGAAIYYTLDGSDPTTISSLYNASNKPKVLSTQTLKAIAVKNGMITSDPVSIVGKARKTISNVLTSILNKADQNGDGQFDQTDVRALLTQVEALNGH
TALIIMTPDPKTAQEVTVAIEKKAMLEHGAMFSFAMTLCVQCDECAFPEPCRFPHLARPAPDGLGIDIEQTVSPLGFKVEFDSQGNLLPAWYSIVLLD
MSRHTSSAVFLPAARRRRSSRLTPCSWPSASLNVRLHTEPCRDRAPPLPWDDIALAPIHSLPVRSPQKHSEL
MSDQTRTRTTRLRAALAVAAVTGLGATALTVLPAAAAGEAVTVQYRQSATGSDQVEPWLKVVNTGTTGVPLSQVKVRYYFKADAGASYTYACSWAVKGCANITGTFGTLANPTATADRYLEVGFTAGAGTLAPGADTGDMQLRFHRSNWQPLNQSDDYSFGPAQTAYANWTKVTATVGGVPVWGTAPAGNGPTPTPT
MDFILDVGSHSLKLYKLGATVELSETLTWDPWGGDVEGHAPNTLLKDLLFRTQQRHPEHQITAFGTEAMRRDGELAGQVSASCGALGVPFRVISQDEEAARPGRAGPQQPGTGSAVCR
MPASNAALTVWQDVTPRPRAERRGAQAVANVRRAAHIHAFGVDGTDWALMARVYQRAVGAAGWWAL
MDAVYFVLGQAGREFEFHAGPPPDGSVRSLPLEALRQAIGRRRALLDQVMPRPELDTAAVTVAETRPGVPPGRRLRAVLAAADGQLAPSQLAARLGRSVFGLLLDVRLLAAAGHLVLPHRPLSEPPPAPSEPLGPVSDPHRPPPEPHRAPSEPPPPSAAAPSDRSPAVPSDPEVTMLLRIRAALEARL
MKFLLVILSIFLISCSDKQKNELSAEFIIAGIAILTNSNHVSVMQAEQEISLETERFGPYLVAKLKWQPNLIYQIRDGSRIIEVQSPLSPSPLKVTEIILDDLKQNPEGNFPDADVALSTDNSLVAVGTFYGFIQVFSLTENKLLWKKKIAEGMVKKCLFSADSKQLYVGEQSIESNIYAFNAVNGELIWQKNLSDDIGSAPPAKGDNPYFMYSYPGIFHMQLDRQDLIVTAVHSWRLDGKSMKKSKLYSFKPNGQINWQFPQKDALNANIKYFDISDSYLVFNIDGFDSREINGAVKENSMNLIDLRTGALLDSKSVPVFSPHFNSIFFWQNVSITKDESYAAMAALDGRIFMFPIKNRTFENPVVRSPDEAIKVNDIPLSAGIPYTETVSNRLLISLTETSIPYTYSSVNKVYEPPALHPMSGHLQAFNKSGELIWDYYDRSIYSAVSASTDSKWLMAVVDDKKINRQIGYFGFTLFEADSSDFLRKVYRYQTASPVFFRGAISGNGL
MEGSKRKSVRTCIGCRKRTDKDELIRIVFDGQDHVSLDPTKSMPGRGAYVCNDRGCFERLIKTKALGRAFHMAVPERCYEYLEEEIDKRFK
MIRSVSERTCIGCRRRLSAADLVRIAWSASDGCILIGAGHPGRGAWLCPTADCADAAKQGRAFGRSLRADIPHEAIEALLSDGLGGSICLPESGGKSGVVPSSCG
MSPQVVKSRHVPMRTCIGCGQKQEKKALLRFVLDPEESLELDLSQKKSGRGAYLCKQLSCANQAVRGKKLHRAFRKNLNLAVYEQLLGYFKSDE
RLEFIINNTIGVHPRAILEYDTMPQTLQKEIKRVAAGYSNPVEFFVHKLAEGVSTITAAFAPQP
MNTTVVRENNAATNLLLSGFTGEVDISSSQLLQSDEKNILSGQTAQLDEEATGISSLAVNTSQRGRGDKNRPFQCDLCGKGFKRRAHLQDHQVRHSKVKAFECDVCHARFGLKHVLQAHQRLHSGEKPFRCEFCGLSFTQHGTLIRHHLTHTGFKRFRCDLCNKRFACKRDMTSHAIRHLGERVYGCDTCGKKYTNPKTLASHKYYVHSAERRYVCDTCGLAFKMKGSLMNHKLLHSGEKRFACDVCDKRFARKKDMQTHRVRHSGLKLFACEVCGKTFSSKSERTVHSKTHTAERLYVCAVCGKDFNQKRNLTKHLQRHTGVKLFQCQQCDKRFAADRDRREHELTHTRDRPHACDICGKTFRQRSHLRGHRLTHSDLRPFVCTVCNKGFTMRWRLTSHQKTHLGGGGGGAGAGGGAGRGAGAGGGAGGQPLAAHNLPVT
MLRSPKCHRVLNVTNLRNHQVLIVANLQNHQVLIVANLRNHQVLIVANLRNHQVLIVANLRNHQVLIVANLQNHQVLIVANLRNHQVLIVANLRNHQVLIVANLRNHQVLIVANLQNHQVLIVANLRNHQVLIVANLRNHWVLNVTMEHCVRNRVRIWGLGHLSKELLENCRVMLGHRVNTKGDGKEDERISIFANDGVDPDSSDLSVDTLSLSWEPHQEVNKVIRSSHSPHSKKICTVKSGPEWLMNSHSKETPSSQTSDYKESVCTGTFSKRNNRTYPGEKHCFDCQQSFSSIGCLRKHNRLHSGEKPFQCTECDKKYTRSDQLKDHMVLHSGVRPYQCSECDKTFPTNSRLKTHLRIHNGERPFSCPQCNKSFTISSNLYQHLSVHSGAKPHQCSDCNGNFSTARALKKHRLLHTGIKPFCCSHCNKKYTRSDQLKIHMKTHVKSFYRSPQCQKSFETKSHLKKHLLIHSRDISLHCPVCDQKFSSVDDLNEHMPFHIREKLFHCLLCQERYSESDELKEHMITHEEHLGV
MNKHLKNHTGEKPHQCTVCRKRFKSGCALKEHLFYHHANSGPYECSLCQKFFRFPSYLISHLAQHGNTPPFRCPLCHNPQGDKRALYRHLKEHVSLKAVQPPYQTPRGPPPPAPFLCTECGRRFRKCERLLQHLWTHIRAGRGPGGRGQTTASLDVEQDGAFIMKHASQSEDPLRKFACSICPKAFSNRSRLTTHLRVHTGERPYQCTECQKAFKQLGALSLHLRLVHLKEKSFECAFCGKCFGAAERLAKHLRTHTGEKPFKCSYCPKAFAESSNRNVHLRTHTGERPYQCTVCQKTFAQEGALNLHIRSVHLKERSYKCVFCAKCFVHSSPLKVHLRTHTGEKPFKCSYCPKAFADPSAIKVHLRYHTGERPFKCTFCDKAFPVMHVLRTHMRTHTGEKPYKCEYCPTAFTAASSLAHHQTIHLEEKGFKCTVCQKEFRLVSKLNAHLKTHSGEKSHQCTVCKKRFRNGSNLKSHLFRQHADSGPNECSLCHKFFLFPCHLISHLAQHGSTPPFRCPLCHKPQGERRALYHHLKEHVPVKAEQQPHQVTAGTSSPAACHKPQGDSRALYHHLKEHVPSTPVDMPLTPD
MCKVEMLRAMLNARLSAAVEEIFGVVARTIAEYEEELSRTKEENERQRQLLDAVFRPQEEPHKTDNSEESLPLEQQEPEPPQDQGEEGEADPFLIKEETEREPHQLLELPFSRVIVKSEYDEDKSQSVESRGAEPPSSSSSQHVTTEGDGGRRGASQADCRLAPQSHSRSPDSDAEHPKSDMTCHPGNAHWKCSQCDKTFGTKYTLRVHMMIHSGDKPFMCSVCGKRFSQKAHLTTHTRIHTGDKPYSCSVCNKSFIDYSAMIKHTRVHTGEKPFACSVCNLSFSDRSNLGKHMRRHTGEKPFACSLCGKRFSIRGHLTTHTRTHTGEKPFTCAICNLNFSDRSGLVQHRRTHTGEKRFTCSICGKQFSQRGAFTKHIQIHSGEKPLSCSV
MDFPQYDFASLNETDIREEIIAPLLRHLGYRSGTENNVIREQPLTYPKSSLGRKKKTDPILRGRADYICNVKGQINWVIEAKAPSEALDNDAVEQSWTYANHPEIRAVYFCLSNGLDFQIFQTDRDPGAKPFFQCNYENMEESLDIIMNILSPEGILRDHPKYKVDKGLPIGPGLRSIVRITSGSINYINNTLGLKPLIGLTMAITEGSIERNENGKLETYIETQVPYQSLQKLNEKLGLHSLRLFSDHEVVSTNPEIPTVCSAITTHIIPKGEMALNLVTWEETPFLMNMSIESQTIATGYLEGNKFHGKFNALLTFQEIDLKVAMGGSFKVYLA
MEWMNREQEIMEKGIPIMEPRFKKNMEQAGKYQELHANEVMTGFLESFDKVFRWGIDLQKKDEKGKIDKIAVFFLRSSIRTKSYDVYIQIYDSNFYMDKQEVCGVWKPKFIMQYYDEDILFFERNISSEVIRVEKGELRNFEMRLASDYMKMVLVFLGLHLTELFQLTSFDELEKTDSFQVIFGELYGAYEVLFDAGEANGQAEGEI
MLSERHGAGIEPAVNDLRHTLHLLAAHRAGDGHCIHIRAVQLNIIRTVGRHLLELRDAADRMAMPARALPDIERRTPIAVTADGPILHILKPIAKTALANALRHPMNRVVVADQVILDRCHFNIPRFTGVVDQRGIAAPAERIAVLKLGGGEEKPPLIQILQHLLIGILAKDPGPECFFRHLAFAVHQLYKGQAVLAADLCVILAEGGSNMHHTGTIRQCDIAVTGYIPAFFLGLNKTEQRLIFLVFQVLAHKGCQNLRFLS
MMLYHKPPFRWILDVAEGKDIICIRLGGVHVVVVNSPQLGLQFLKKHDATFASRPLTMSSGHLGRGFVSVGVAPWGEQWKKMRRVVASELINPSRLRWQSSARDEEADHVVKYVYNLYKTSLAMIDIRPVARYYSGNVVRRMIFGVRHFGEGREYGGPGKEEVEYMETVFLVLSLIFALYAPDFMPSLRWLDVGGHEKMMKEAMSLIYKFHDPIIEERIERWRGGKETEVGEKKREAKDLLDVLITLEDNEGRPLLTVEEIKAQISVRC
MEKFVFGYEGKDLYQEQLSTYGLELDIYYNMDFLQHEAEMAGGNAEIFLLKDKDAIFLYPYIKKTLPEYLGNYQDITSPYGYCGPFCNDPHFFSLAESSLLDHLSSNQIVTEFVRYHYLYNKEQFFQQNIKNIHNRTIILLDGNSTWETIWMEQFSSTNRNLVRKLEKDGYCFEQVDYLQHIDGFIEMYHQTMSNAAASDYYYFDKKYFDSLHNRLTDHVTMYRVYKEDITYSYALFFEQSGFLTYFLSARNVLYSKVPASNLLLSKVSELAIEKGVTCINFGGGLTNEPTDPLFKFKSNFSKQMTAFHIGKRIHLPHAYEEIGKKYVERHGQEAFDRSRHILQYYWN
MQDKYSIINKLNIAVYFDLLEALNLDLDIYYYPEFLEIDANIQKGEYEIFIAQKNEDIFIYPYIKLGFEKAELNNFFDISSPYGYCGPYCSNPNFFVEAEILFNEFMSKNCVTEFVRYHFLYNENLKFSQGITNFQNRTIVTLDIDQSWEDIWTKEYSGTNRNVIRKLEKEGYNYFVTREKDDLNEFVEMYYLTMNNVGAGSFYFFDKKLIKELFDNLGGKIILTRVEKEGVSYCYSLFLISGNIATYYLSARNLEHSKVPATNFLLSKTVEWLQDKNINTINLGGGLTNDLNDPLFKFKSNFSKSKNDFYIGKRIFNHEIYKDIVNSWISVHGQEDYEKRKHILQFYR
MKTSLVILKNSVGGFPQLLDEVESILTPHFYSALNTDNINVVEALYVQYEELVVPLLKIEDNKVFIFYCSSQHSSYYNYIFDIMMDAQSRGAILIPSLDHLKSHENKFYQELYKARLTIPTPKSWLLGSIEDIAYLVRSGLDFPVIAKLPQGFGSKTVSKINSLEDLLDYSRTHLTPTVKPRKNIFKYKKSLKKYKDKYPTNTGSIVLQEFIPQQTHDWKVLVIGNKVFSLKRYTRDNDFRASGSGNFSGDELPSLELVDFAYNVKQKLKTPWVSMDIIESPRGYLIIEYQCIHFGPYTVTSSKQHYLLEDNSWRLVKAPAIYEEELATSLISTIRGESSELAVK
MRINETITQAQAPCDQSSGASRKSSLSKSLAHAEQTPTTLKQMRAQSTGHKQKCISKLLATPIKSINMQNPPVMHTMEYQGVLLHDTNFNNKQKAKDESFTALCIINNPGICAKTIETISSKLFELISNSNKSGGKSECKLLEFIEKDTGNIEQFGQVKNGISGILSALKSETNSITFIQKMLIIKSFGAFIIDHASKSPADYNFLPINENINALIEKNRPSALFEVRGRTEVISKEYREDIGILDKKEFDLLSQEEKNALIIPGLHKVRPIFRTFTHEIGEDKKRNIESIFVNRTFNNDAPLVASISGSTSCILVGADLLVPGMLTNEKKEIAIAAVGFLVGGGYHSATEVLDVAYPGLDLKQELNFRKLRQAALSAQ
MLKLFNQVSHELCQYENQHKRKMAIIYAFVSQIFFVTMFTCVGMLRKGYTTQEIVQGRQCIGFILNYLFCYLQKIPIYPKNTLELKLMIIRGVMGAIAMLLIFESFKLLNLSDAIVVANTNPIWTTFLAAIFLGENLSKKSVIFCLISFIGIVLIIKPAFIFGETTQSTQIEGRNQFLGGLIALVGAICISFIQIVVSKMQKQMKLNNAQVLMYSYFFTCIISGIVQLDTPNNEFKATPKYLCLISIIGVLGFIAQLFFSRSFTLEKASIISPLQYTEVILSFMIDIFFFNEQIFFVNVIGSLLVVLGSIAILI
MKNIKVIISKILHSPNIIVCFIGIFFILVSVIIGTGNTISIIFISIGTSILASGVISFINYFSKIREENYKHMLRYWGLSEIYKTRAEMNSESNKELKKAKTLEICAMGLKGYRDAQTPLIKSRVSKGLNIKILTLSPDSKYALEIDKTEGILEGSTKDSIKELIKWIDEIKKEQKYDGQIELRTYDHYPYDFYFSIDGNLYIGPYQNKTSQQTITYRFKKDSEGYKYYKNYFDILWQNSKKENTEKSEV
MKRPFAWLALAVAVITLVAGSLYYVRIKRFWGITQTDFSVFYHAGYQMDRGTSPYEYRSTHYTTDAEYLFKYAPPVGLAMIPMSRLAVQDAIRWWYALTGLALIVALWGVRRLVRPTLASPAHAALIYGLLLLTILRPYLATLRLGQIDVVLAACLIAFLAALAARRDWLAGWWLAIPILCKLVPLVWLVYLAAARRWRALAWTVIAMLGYLASPIIHLGLAGTGRAMRAWLGVLGTSGGNVEWLLRYKNQSVLSTVLRIIAGPHAETVTPSQWGIALGITGGLGILYGAWVWRAIRRARQAPDALDTLAAPSLVMIAMVIFSPHAWTATFIHLVLPYGILIAYLVTRGRRDRIGWGLLAGSFLLVSATAPDAGLGSVLSRTV
GEFFLVDEIANMGKPSDKYCIIDYSTIINNNFRQEYLLKPGLGASFVQENQETLVEQYINDYLLLKNNNSKNRY
MRREEDHASIVRYRGAGKRPIRGQTSASPPPWSDWRVSLITEESVYDALSHLSDNAYLQGHALAELVSEPGRHRGDSLRALLLDALSNLRPPDTTPPDDPAWRPWQALWLRFVEQRAPAQVQDAMGLSERQVRREQSRGITAMVGILRDLTTAPAAVAGAELGPEGAFRRAANAFDVLPTELDAREVLEGVAGMVERRYQDHQAALLLPSHSHPIHADRVTLRQVLSRVLGHLAPQAEGDAVAVRIEARADEVAYRFSLRSGRRPAMDPSLLLECSYLAEINLGRVELGANSVLCAFPAHRPALLLLIDDEPAALQLLRRCVQGRSIRVIAVDDPADAVAEAAERRPDAIVLDVLMPGRDGWEVLQQLKSTPETRAIPVIVCSVWRDPDLALTLGAAQFIRKPITRPQLLEALVRVLPNADAEGSRPGWS
MDETTLVDTQLAEQQQANPVSAQLLVEELLVEEISIDGMCGVY
MVSAALVLLTLSVLAVHGKEVSTDGPIIPPGCEGRTLCDIKPADYPQEEIDNYLINNPDFHKRLKREFLGKNLNPVLIEEGSVNGNCKTNVTLTAPYQQVNNPSKLPKVIVQSQYYQQKIQQVTCVTSSNVKKNENQCFGDLVQNNKRTQCIQKTRKHRLIVYDREKQKMEEINGIINVDCICDATDAYYLRQRTREDLLRRTKKRIQEAEERSPTDSGGPADTEEDCGALSGHSPNTKPYGLRRTCGHRGRLRSSKRAFSEHEALRTPATLRTPRKTASPKRAFSEQLRRRELVAELREQVAERRSG
MIGRKADIDTRCGGIEIPAGCTVTISPYITHRHPAFWGPDPDAFRPERHEQRSASRGAWLPFGVGPRMCLGLGYALAEMDIALSELGQRFTFHSHDAESASPRWRFTLGAPPMPVDIEPLGHRR
MFHGRPDDITYTNVTDLKYLDRFVKEVARFHPLAFTVTARRAIHSTNLKTSNGKLITIEKGVSILADSFTIQMDESIWGPDAKEFNPDRFLPENSENRHPMAWLPFGTGPRICPGKNLALHEAKAVLIFLLRKFKFQFCDETKTEIITNTITNFKELKMKVVPRL
MHQFTSTGKLDFETVIKSLDYLEQVTKETLRLYPPGLTFVTRQAKDDFAYKGTQFKAGTCFMVPLYQIQRDPRFWPEPLQFNPGRFAPENDAELIKAAYMPFGVGPRNCVGKSMAMLKLKLAMAKLVLKYRLELGPSQMGQMDINSRAMVSTPARGPWIIIRHISNRL
MAQYCRSSLQHRLCMEDCRVGPYTFRKGVCVVIDPWAVHHNPKIWGEDVEEFRPERLYRFRSMTNEQLRAFMPFGLGPRQCVGMRFALMEIKLTLCMLFTKYRLRKKNREDKVGPVMVVI
MSPSNHKTLSLSRCRLRNTDDASSSSSPFVALGVISYFSVFLMSLSNHKTLSLSRSPLRNTDVASSSSTPFAALGDINYVLGPLSDMPEWNARGTPPKGNPDVQAWINSTAALKCRFGDSASDLTAIPASPLGEIEIDENHIVMCVGITSHIDV
MARIRAFQPTDAVAIHLQQSQIGQMGAFEPVRNLRYGMELDLMGPAWTAVDDAGEILMCAGLGEVFPAVQVTAWALIGKLGVHQLSVMREMRRILDSQPFVRIEALARAAVPSEGQFLRLAGFRLVARLAKWGPLSEDYELYERVR
MRVEIRALLPLDVLALDRLPNVEGQFGIYEPIKNIAHGLELQAMGPAWTAVGEDGTILCCAGFGQVFADVQASAWALFSREFATSARAQAAVMRFMRDRIAEGPWRRIEALCRDAYPAEGRWLGRVGFSRVALLRAWGPHSEDYWLFERVN
MAKNIEDSYVAFALNSLLSEAHSSLPKANKESQKRPVSQDIPYVTTNSPHSKSDESINSHSTSASESTISLTDNIRYNRYLVNNAGSFYVHMQHILNSNSPLHQTWLTTDKNFSIKDYIIRQDGSSNKSGAFDASKNQLDDENIPDSYVGFFIYSPFSHLQFLFKTYLFSSIFTAEALAILHNLEYILTNSISKSVIFTNSKSVIEALLSINLAHSLNHIIFVIKQKLYEIKSVEFENSIVWILAHSGILGNETADYLTKKAISKGQISPKFFPHSDFYSISRKKYNDDTVKFLKNQGNQVKVKIPLAHMDHLDKTQTTSFGVAHYTHKESCF
MTLQNETDFFKALGEPLRLRIVALLRQGERCVCDLVAILGASQSTVSRHLSTLRKAGIVQARREGTWMHYSISPQLPSWAHSVLQGIDQLAENHPDLIADFQRLNTTSCSITQE
MAACLTPLQVFKCLAEDTRLTVVQLLAQMEELCVCELIWLLEQRAMPISQPKVSRHLAQLRDAGLLTSQRRGQWVHYRLAPDLPAWCHQILATLCQANAREVSRWVAWLETMPNRPVRCC
MRKAKTEGAEGTAMPYVNGSDLEKSLAVVRAIKHPLRQKMLYMLHGQGEMTVTDLYIKLRMEQSLTSQHLALLRRAGIVKTRREGKFIFYSINYEHLALMSDLFAQLAAMAEEA
MGDDAPHLPPDPPAAEDMQLTDVLQAMADPNRLQMLTVLGDDQWHSCGLDNWGLDLQKSTVSHHLRTLREAGLVEYRLRGRNKDARLRRDIVESRFPGLLDGVLNSA
MSDQTRLRLINLLLQGELCGCDIQHVLGLSQSNVSRHLNYLRRVGLVADRREGYRVFYTLADPRDLDRKRLFEYLRLAFESDSVFLSDLKSLRRAIRHGACTLSEPPPARRPASAARGRARI
MRARALKALANPVRLRIVNVLCEARAEMSVSEIAASVGELQPTVSKHLSILRDTGFVQSRRAGLAVLYSSASPCGAELLKCIDGVLAADVRARSEQVNAAVRDADGDGGETRA
MKQFITAAKAIADPTRIKIIKLLQAKELCVCEIQAALALSQPTISNHMKILEKAEMSTSRKERNWVIYRLTADSANLYSRQFLNNIREWLDHDPEIKQLRLKLQTIDRLTICKK
MIEKHSGFEKQAEILKALGHPVRLQIMHVLMEKHWCVCELAEELDVRQPYLSQQLAYLRRAGDCQLYEGRFKGAVRC
MTDCEKVAEILKALGHPTRVKIIKYLSDGEKCVKEIWQELGIPQPTVSQHINILKSAGIISFRKEGVKTCYKIEDPRAVEVIKLLSEEVK
MRNYAALNHIFRALGDPTRRHIIELLCDGDATVSFIAEPLPMSLAMVTRHVHALERRGLIRTHKIGRVRTCWLEPEALRLLEEWVRYQRSRWERHQRRV
MVRKAIAGQPSDQALQRLSEIFKTFGDPTRLRIINALRCCELCVCDLSYLLGMDSSAVSHQLRILRDRRIVRFQKRGKVAYYSLYDQHAAAILDEGLKHVNDG
MGPGRRQLKKARAAMHDATPDPHADAGSAQVFERAAEMFALLGTPLRLRILDLLCRGAMNVGELRAALGSAQPSVSQNLATLYRCGVLTRQRRGSQVFYGIAPAHGGLLCEAVRRMVDRGEGA
MGCCSLALIRQTLATAGPNLSSLGDGMSLGFSAIILAQLGNANSTIHITTEQGSTIASIVTLPIIISFALSSYLMNVYGRRLTNLILCAPFVLGWIIISLSTQVWSIYVASIVTLPIIISFALSSYLMNVYGRRFTNLILCISYLMNVYGRRLTNLILCVPFVLGWIIISLSTQVWSIYVGRFITGLGAILASSSGIVYIAEVTDPEYRGFFLALRSLAGCSGFFVTHLLGTFLHWKWAAAVCALVPLVSLVFVYFTPESPPWLASKGFTVEAEQASQWLRGGEADPQIKSELPVPHTHKLTIREWKKNILLRSRNTYQETIKLSTLDLGVHQRAVIIDALSGRNSNLLKYAAGARPIMRCILSLYSGLVLHMAKNMVVPRECPRAVTFYTVDLMSQFSSDVNEYTATIAIDLVRLVMSTVTCALVRIIGRRKLALFSCFGTSISLLGLGLVLKLPREQVSSFIPVILLVSHTIFVFTGLYQLPWIMIGELFPQVTREVGNGITTFAAYIMLFSCVKIAPLVFNTLGIADGFRLYGCIVACGFAFVYFFLPETKSKTLKEIEDSFKMNSMPSRPVDKIVGTL
MNNLSKFGVQKLNLTEIKKIQGGWRWFGSETDSHTGGCATTTYKSHYFFRNSNISQISQS
MLRDDEAFPMAGRIYSVNADGLVPEEDSILRETSYPNNDFDDVFGSAPPSPSFENPRGGNLEPSDVPRLKEKHETEGYRDGVSKGKAETVQAGFDEGYGLGAVLGLRIGKNLGLLEGIWRAVHAGEDGERLE
MAQEQKQPRKSSETEDATQAAPESDVAERKESLDDDVDAILDEIDDVLETNAEDFVKSFIQKGGQ
MYKFSNGDVYVGKFLEGKMEGKGSYTFFVDEGTVMEYIGEFKEDKKNRKRKLYIFKWK
YKHKMLSLVLYLLVLVCIIFCSLTVFILCVSKIVQVSNGFSLLDPEVSTGLRVRKWLLLGLAVANGMRCIASTVEFIIFSIKIVNNDEPTSSISYYDGFQEPIPFTIFICRVFPTVLFLACYGTMALYVSELYYSVRELKFSTIRTFWVAINLLFLVAFVQYLVISSNPRLLNIIGLVAVIIYSLWIAGFAYTLHAYFFHHNESSLSGPSVGQRKVFARLEVMVFVGLTALLSYAIDNALDIGGAFINRSFFLQSSVDLLTIFYSEVLCAWFYVSLLSNNLVVVEAKLQTMLMTMLQQQAPAQGQEQGQQQQSLGTGNTSNNKISNTSSSSGYGRGGIGASSSLLSSANSGGIKTTLQQQQQKQYKNYQSISMEESLP
MKKKVKAVPNYRRPLMLRGVQIVFISAVVIITLLISIWNAADLRSVLDRSTKQYLYDVTIQTTREISDTMNHRMEDLKAVADSAARLQEDAGIAKLPELLKRKADILEFSSLFVIGNEGKLVSSSQSRSLNEQEEKRLSRLPVVKEAFEGKAGASYLGGQEIIYTVPVTINQEIQEVAVGIRNKEKMQEMIASKSFSGNSLSCIIDSSGEVILSPTDVETFMQLDDIFKNDRDSEVVDDIYEMKADLKSGKNGMLEFTSIKQEELYLSYNSLNINDWFLLTLIPADIISEGLDRYVFRSFLILGFVAAACFVLLLNVYRLFHESRRKLERFAFMDEVTGGMNQAALRLKFTEIGPDIGCLITT
MTVLPRTAGRTRTALRLLPGYGRHLLLHPYRKGLPAAMGGRALEIAAYDLFVGLLLAGFTEATGRRTRRGTAQLLILVNRIAFLLDDEFERRVGLEPVHFDELARTSDIEQAIVNMRAHLDATCDPARRDRIRRALRRTVDKDYRRYATSIESRSSTPSVDELLEDAEVDCGVVMRQLAELIGLFQGRIAPQGALDDFHALGLACRFADDLRDWRHDHMTGGANILLSLVDRHPIESRRLARARESGVRMSEKQWSRRCPDAFSEFTRLYERHYAVIRSHSLRIAADLMMEPGRAGHRARTDGPTAARA
MKKLETLILSYCPKLCKIPEIQTSMDNLVELSLSRTGIEIVPSSIGQCCTNLVSLHLRDCLNLQSIEGNFHLLKHLQLLYLDCCFQLKNIPTEGLFGVECRLNVLSLSWDNLLRGAVNEFLGFPRFLRKLNLGGCNLVDEDISFIYWEELSNLQVLDLSANNFSRLPSSLSQLLHLKFVDLSNCNNLVELPDLPSSISVLIAHGCTSLKIGDFPTNHLKWLWKVTLSTSNCNGERALQSMLQGNAIEDYFISILFQECYVPIRGFALGRFKLQLPWNWYNEFSGFLVYVDKVRWASREVIVIKDVLGMENGDGVLEVSNKPDHDDDDDDDDDEERMTAEGMCYVSFGSLRNTSWWNSTHTTLSFSLQYNCYLKVELVPRRSQGDDDSTGIGRAKDATYLSNFWDEELVNRKTFKIIGDSKSSIKILWDTGIESDMFSPSPLFGIYRTLN
MRYMKPLNLFQDLLKIPAVERGRLLGLDVGDKYVGLAVSDFNNKVASPLSVLVRKKSNIGLMALDFQHLINELSLSGFIVGYPYDRRKNSPNATQVKVFVDDLTKTGKLDEVPYTFWEECFSTKNVEVLLKPFNFHPIETKTISDKFAAVGILQGYLDVVNRHTNTYSWDRISSFYWNCQKFNLYFRYVNLLPNMGSSMGSYKLNTSAISREIHGPNCYGGILRDERGCSIRGFMGHVRTSLAQSDAEILAVFKGIELIDSLRLRNATLETDSLFAFDIHEKPDLYTKSPKLRAKISECQTLIKKNGITLSLITSEENKCAHFLAKLAMDDVDDEHEDVLDPPPGIETLIMADISNFEKEQEPSNSSVGVGGLIQSYMPFMIPNLKLLSKSPNRSFVYKLFDMVSDPSTDAIILWSKSGTSFFIKDKEGLHNHVQERFDHNIKTFHKTLGEFGFQCIDKFLHEYANKNFQRDNKDRLKNIKSRRKLWLAKNNMQSDMEEEVKRLKFFQDSLKVNISIMKEKAQITKCLLDVKRCLESASMRIATKVNEKKRLEQDQVKYSIPKGIEKVAARKDGRRPWSDPMQVYVDIEKLQKDLSKLNVQILNLEQGQVFIENQLVAIEKNLPGTEIKQQEVEVSMNKLFNNSKAIPRKRSRKNANKKKHESGVKKVRANDSNDQRGKEDSTRNLESRESDSPVYYPKENDSSSATDLEHEDLMLFVGMLEDDLTCESDSPVYYPKENDICSATDLEHEDLMMFVGMLEDDLTFSW
MLRDEQAAQAVNSIFGNIRASEVHQQTGRTYYNAGEILPSGVSRILTAIGLLDEADVFLDVGAGVGNIIAHVALTTDARRCVGIEVRSDLVSLGQRCKVGSITRTQGNAGGTDYYINIDILSETSPLMFGAILQAVDTKQADTSALQLEVISSSRLHLP
MVRHVPIFHDTADIATFSRLSPKVRGVGSALFPATLAAAKSHGFKHINATIRADNVGGLAYYHKMGFRDYDRLPQVPLSDGTPIDRIKKRFSL
MSNNDRICTIKPHQATEYIIRLATPGDAQGIIDLLNPIIREGGTTAIGIEIDLDQQSAYLAKAGPRNIFFVAVNRLSGEIIGFQYVEDHHDLPKLCGDIGSFVKRGNGRQGIASAMNHLTFAKARSSGFVELNATIRADNISGLEFYEHSGFYTVSIKPQQLLDNGEPVDRINKRLAL
MAQQITKQTLIGEMLQMDMGIAAILMAAGMHCVGCPSSAMESLEEACMVHGMDADQVLKRINDYLANKDK
MITKDMGIIEIVNKYPVTADVFFKYGMHCLGCMAARFETLEQGALAHGIDLEAMLKDLNDAIKK
MSKFVVTEDTVLKDILDNVKNAEPVLLGFGMHCLYCPCSINETLKEACEVHEIDINLVLEKLNEGN
MQNGDHKRITDEVNHMHNQTGEITHIIEKVYERIQSDSCTEEDKQLLIDFLYRLRDAFYTNLHKNRQNPTTYPDDEKAKREILEEENKYDMFGKLTSLLWSNNLKPSYELHQEFAGSAVQKACKLSDIKVFRKYISYFDEEDYDKLK
MQPRHDTVEQKKNGNKFDDDYEKSSRSERVKFDGKFTEWGKVEEEEEEKEEEEEEEEEEEGGNGERLTSVMDSRLIFVTSNGQATQSLWFRRGSRAILGLDELCNAAKEATPQQTLTRREKYSFPDCERH
MSIKRISIAATILLSLTACGSSGGGSGNVTKPISKVQQTTRTDKAKAEQEAKARAEAEAKAKAEQEAKARAEAEAKAKAEQEAKARAEAEAKAKAEQEAREKAEAEARAKEEARIVQKMKDLIAFAKGKGLSDSDAKEFAEQNVDISNGKEQPALDNFLKEKVLAEAESLKGISNHSYPVDSLTSKTSMLSSSTSNRLTNEQRTHQVIYNQPYSAVLGNYSGFVSYNNSTGYIFDDNRDSSIQVKGLRTEEKALPLQGSATYSGKAFNGTIVGFSGSDEPIEGKLFSGSDEPIEGKLSYNVNFADKTGSGSITGLGNDITLERGTISGTGISANATQSYKWGEYSLGFYGKNAEEVSGKVSFDGKDVVGFGGTRGQIQK
MTCFMCHGTGQDPHPSLPNLKRKRVGRSGNNQSAPGQLLVLAAVGVGIWIYMKTTPTMRDGYVDDALGAVGAFFSFLFFYIILPLVGLFFVVVAWFSGGRSIGGTVRYVINTVIYALLGGVLWLGFIDLLELWGGIVTPQILVLIGGFIVVFIWTVAMVAWLSGSFGPFGRALDVFALGKARLRRHVICEVMPLTRYKGPQRMTAAHWNSGFGRLFFRRQIEMLALAARPKWPEEKRNAWVKTTLVKLVQASGVRPKLLRPLTVESAEFAAAELRADFFVARYHNERRLTFNEQRKTDFEALDRLVKGWDEAQVDGGTGQAYGVLMRRALWDGYLMQAPEEAPEETQETAQETTPETAQQDG
MAGKVPRILAVILGLFIVVIAVANVQMGFADFGGEKAKINWTTIATSDCSSALDGSFEDESEIEDCVGATGLFETPDLIFLAFGLLPLIVGLMPSKKKRGKRNRAAGQSKKLKDMERKYSSRLAIGVLMFSIGVLVFVSGESPSRRGVGSDDGSLPFIEGSIYLILGLRGISKGWTLRKQTLSFKKKFDEGGGEAADGHSMAMQERMFRDNQLGDPFKGKHKVKGIGNFRTVGEMRQSMNLDKWDDAFEAGMYDDEDDDGVGATCHYCSGQGCAQCNMTGSL
LPILTFFSWACWVWPRSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYVIVPLCYWRFNTFDARRFPIFSNQLFTAAGQKYDTTKVLTSDFDLNVAAYDSYGKLYLSPLFAISIGSGFLRFSATIVHVLLFHGADMWRQSRRAIGAAAKLDVHARLMQRYKQVPQWWFLVLLAGSIAVSLLMCFVWKEQVQLPWWGMLFAFALAFVVTLPIGVIQATTNQVWTQMCMVLLVLQCLRCTDHQPIHRLICLQQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDSIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGQHGLYRNLVWLFVVGAVLPVPVWLLSRAFPEKKWIALVNVPVISYGFAGMPPATPTNIASWLVTGTVFNFFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHDLKWWGTEVDHCPLATCPTAPGIVVKGCPVF
MSTALASSLAALRRTVPDVPEDIDDVLEHLNDPNWDYRPPSPTLSTESIDLDPKEKGFISSRHSGSDYETESNAESTYGKTAVTSTYGKTEQSHSHLWSSAASDLDDDSPYPEVRAAVSNVDDPSMPVNTFRAWFLGLVFAIAATSLNTFMSLRAQIWTFSPLVAQILVMPFGKFLAWALPTTQYRTFGYTWSLNPGPFNVKEHTLIVAMVSMCWQTVYMSSVYITQEVNYGQELSYSYKILTALSNQLIGMGIGGMFRSFLVWPSSMIYPGTLVSCSLMNTLHRTWGKRERKHISRHKLFAIVCVASALWYLIPGFVFTGVSIFSWACWIAPTNPTVNVVFGSLSGMGMGLLTFDWSMIILPSGANSLVSPWWAQINVYVTFVIVCWIIAPILYFKNVVFAQYMPISIVTPFDNTGMPYDVTQVMNNGTFDADLYHAYSPLFLSSTNVLAYTMCFAIFPATIVHTILWYSRDIRRQFSSSLSDNRDVHSRLMLAYPEVPMWWYGLLFALCFVAGCIGIEIFPTEFPIWALVVSLLITTALVIPLGIIRAVTNQWLAMTYLAEILGGYVTPGKPVAFMLFKSYLGAAQEISSTYLTVLKLGHYMKVPPRTMFAGTLASVFVTTFISQGIVDAVLNNNVDACTPLSPNGFTCADNQDFVSSAVIWGAIGSKRIFGPGGLYRSFLWIILVCSLLPVPFYLLARRYPYSRWRYVNIPAALSAAMFFPPCTGMQFTSWFVIGGVFQGFLRRRHFRWWMRYNYVLAAALDAGLAFGSLLVFVCFFVPKGGNLTFDWWGNTVWQNTNDAMGAPFKMPPVNQTFGPTTWA
MDKDYPTEKVEEKDFHDSDLYSSSIGGKDLEPASIHANDEEEDSPIEEVRSVVPNTDDPTLPVYTFRMWFLGIVFTGVLGFVNQFFWYRKNAMTISTLVVQLLSFPLGKLMEKTIPSSRFFNPGPFNMKEHVLITTMSNCASQTAYAIDILTIQKLFYLQDMGWGGGILLIWTTQFLGYGMAGVLRPYLVYPAAMVWPSNLSQISLFRSLHVVDKNWTGPSRFQWFLYMFAGMFVYYWLPGYFFTVLTFFSWACWISPNNVVLGQLTGGANGLGMLAISFDWETIVSFLQSPLIVPWWAIANVAVGFVIVAWIITPALYYTNVWDAKTFPILTQQLFTADGQPWNNSLVLTPEKFLDEAAYAQYGPMRMSTFFAITYGIGFAGVTSVLTHTFLFHGKEIMRQFRASREDNEDIHHKLMRVYPEVPGWWYYVIFVISFGVSFAVCYCFKVELPWWGMFFAVALAAIFVLPVGIIQAITNQQPGLNVLTEFLIGFALPGRPIANVTFKTYGYISMYNALVFVADLKLGHYTKIPPRAMFHVQIVGTALAGVINLGVARWLMETIPNICTPAGYPFTCSSAQTFYSASIIWGVIGPRRMFASDSPYSPTLYFFLIGFLLPIPFYLLSKRYPNSWFKYVHIPLIFNATGMMPPAVPVNFSMWCAVGFIFMFWLRRYRHDWWVKYNYITSAAFDSACAICTLIIFGVVFGSGYSPEWFGNGGVDVNGTPDNCPMAPANFYGECTTC
MLTSLSWICWIFPKSVLAQQLGSGLKGLGIGAIGLDWSSVSSYLGSPLASPWFATANVAAGFIFVMYVVTPICYWLDLFKAKTFPIFSSGMFTSTGQVYNISSIVDSNFHLDIDAYQRQGHLYLSTFFVMTYGVGFAALSATVMHVLLFHGREIWEQSKSSFQDKKMDIHTKLMSKYNEVPEWWFWCILVANITLTVFACEYYNEQLQLPWWGVILACVIAIFFTLPIGIITAITNQTPGLNVITEYIIGYLYPGYPVANMCFKVYGYISMNQAITFLQDFKLGHYMKIPPRTMFMAQIVGTLIAGFVYLGTAWWLMESIPDICNKTLSNTVWTCPSDRVFYDASVIWGLIAPRRIFGDLGLYGMVNWFFLFGAIAPVLVWLAARAFPKQEWIKLINMPVLIGATGMMPPATAVNYTTWIIVGFLSGFVVYRYRPDWWQRHNYVLSGALDAGLAFMAVLIYLCLGLENVTVNWWGNDLDGCPYASCPTARGIFKEGCPVVY
MTNQQVAQAWANGQAGASAHMHTDGRTIHSYELCIGEWRNNVPVVFNYTAREQVTVGGHAVPSEGFYRVTTSGHVRIARKVAGFAVK
MGLGGDESGVGTARGSGPPDDDMGGSRKLLAQWNPTSTDEERREFYQQRLELFARLFFWIFVLILVWVNVMYLTFPDSRPEQWMANNYVAGIGLAVLVLVWRGVLCRRKLSNKALVNWDFVILELIALVLGVTSFLTVDKPANVTSVYVWTLLLVFTRVCVIPSSGKRTLTLSALAFAPMLISMFVINLSEDMYMGVPPGAFLIGFVAYSGFAAAMAGLGSQVIYGLRLRVRAAQQLGQYTLLDQIGAGGMGTVYRAQHAMLRRPTAIKLLEPERAGGAEALARFEREVQLTSELTHPNTVVIFDYGRTPDGVFYYAMEYLDGIDLEQLVHRYGPQPAGRVVAILEQICGALDEAHNRGLVHRDIKPGNVIVCRRGGVPDVAKVLDFGLVKDLESDGISGSNLLAGTPAYLAPEAITDPSGMGPSGDLYAIGALAYFLLTGKMVFAASTVVEMCMHHMQTDPEPPSTRTSNPIPAELEALILECLAKKPNNRPTSARAIRERLMAFDTGDDWAEAEANQWWTDYAGHEPERVGASEPSSMLIDLRSRTPSSEPAPPNDTLLGI
MGLYSAFAYLGMAILPAVTGFIADGPGGFSAAFIITAIAALSVAITIGRCRCTHASTAI
MNFWGDLKVRTKMMTLVMISCLGLVLVGSIGMINLSRMNQEEQTLSDGITQTAVLQDLKNEFLTMRLDLVYLLSLKDTAKAKEKTEDFESRAAAVRKGVQFYEKCDIEPSERRKIQDFRALFEKYVDQGKILAEKAAAIQRAVSAEALDEAVSFATGQLAPLYQKPAKLVAELVDAHLKEGVDVRKASAADFQHTRRIMLAIILFVALGTFVGGFLITNSVVGPLKEVFATLRRVADGFLGARCTVVSKEKRVPSPLAGEGQGEGEVAYSELMAASPTLLPPPVKGGGMLPSRNCGMNLCKA
MQLDVNIQRILFNLIEERTENPNELSKELEQLLCIENRSLRNRQRGDTYLTLPEFYRLARHYKISLDDIAIRLENHSSDHTGLEVKLTDVSYLPLLSGEFMELKHYIENLRYEFEKLQNQGDHAWLKMTCAEVPLVHLMAFEELAYFKIYTYYYHIVKLDITFEEFLKKIQPLNLSEHFKAISEAYGSISSEEIWDGFTFEKLLRLLAESVFFGKFEKQKTLDLLLGQIDSLVDYIKTMVSKGEKMHGGTVELYYFESVMRESFVLTGSDDIPAEAMLKIFMIQSVGIKDPDFSTYLDLTFQALRGRSNPANKCSELVKTKLMKKLSKQVEDYRAKVMKM
MQRQRVISWGQNDQHYYPYGEMLKLFTTSLDVSVKIAGGTYDAGKTYGLLAYMHMLALKYAGARMTFVHRSLNRVYRNIVPTYIKFLGYTPPSRDDNPMGREVTRFGGERPEFFEYGNGTRIYMNGLDKPQNLLSDFFDAGFVNQAELLPFAAWDELTARVSERAGIMPVAYLFGDCNPSVPNHWIRQQAKEGKLKFYRMSFLDNPEIIEQGSPELSEFKIEFRNNPDPKLLKKIEHLFTRSGERRVEKLKNLEGLRFKRGFLGLWASGEDLVFEGFDPEVHILDKTIASIMPNWPRYLSVDWGYRDAASVIWWAHAPDDRLYAYKEIYKTGLIKPDLIQLITDNCDREEKKKIRYAAVDSADQDGVAQLELAGFRVNEPPKDRVAQIQSVQKRLKIDETGQPAIFFLRDRLVHPPDENLKEKYLPVEVTDEFLSLSYSEKRTGNPQKDDADTDGEKHGIDGTSYLVRTLQKGPRSIGSGRVIHGSVKMR
MNGTSPEQLQQQWEKQWQDQYIDNGKKCKYCQESLKRGQRAISRHLGQEGSNNMRSKGCPCKAINKVPDDVKNMAESFRAKDAHERRSRYAACNEKKRKRHGAANAVHESNNVAQQQHKRAGSPTAAEKSPHPLLFSPRTIMTNPQRFFQVAHFHPHLKPVILFINEIRAKVNRLHQRLLLRAPTNYNHQLSIKGELARMFLNFGKVLDEGRICNFYYVDQGLQYIDEIIESEVDTGGKANYIKAIFLWTGAGGRERNQELAYEVACKTYSAGHPNGTHGWALNIRFGLECQEKGKYEEAKKQYNEAIKAGNSSAACLLALLLWKQEGNESAARQQFEFARQRNNPLACAVLAMCTKGCSFAKSVEQAEEMFYPLLFCNPLGSTFQSNDATQTQDIKSFNDLFAECCESKLNW
MHFLVFTVKPTPFHHYKLSPTSPPLLSPKANCQLQLAKLKKENTPPYVYKQKFLEILANLPQATLAFTDGSKSSNGVGAAFTSNDRKYLCSLSPMCSNFSAELVAIHKCIHLNCPTAKKWGDTIALPLWWSQLQHVFFLSSVHPLLLL
MERLVGQYRVLIDNTSLQFVRYLYDKIDWNSRFIAILGARGVGKTTLLLQRIKMIHSYSEALYVSADDIYFTENKLFDLADTFYKNSGRFLYIDEIHKYPNWSKELKMMYDNLPQLQVVFTGSSILNVYKGSDDLSRRVIKYEMQGLSFREYLNMTQNVKIKKYSLDEILENKVELPDISHPLVQFKEYLKRGYYPFFSEPNYEI
MRHPHTEARPPQGAPPKQPQEDEADEARPIDLWSRLLGRGEDSASQRKRRTK
MPAARAGAWEADTPSRTSPSTSRAVARTSATSRPRQSSQRDPCRRRTSAYSDAARAFGQCLVKSFCSRLSIRRLHTRYQCPRASRSFLSLQGNSLLRGRRTVGPEARRVARSFLDSIRKQSISPQIAGGRRTSFVERSRPRWAQGPMLHTVTEKTGRAEG
MKTKLKLGLAMLMFGVVLAVFGSFAIRAHGHSKLTVRDAASETQSVAPPKASVSAVANVNASANASASSAK
MKICILAYWYEPMQAVGALRAESFYKYASDSDVDAFVVTVEPNTRYTTSVDKDCPNDAKIFRIPGFNANAYLRNLRGKPSKPVNSVNHSVQSASPIKKFLYYIYRQIICYPDTHFLWPFMAKKKLKEIIEKEKPDVILTTAFPVSSFILGKYIKKKFPEIKWVADYRDLWSEHQWVYRPWPLSALEKRLEKRTVKAADLILTTSSEFQKQLRKLFPGQEIPIIYNGYDEAVNDASCKTQKFTISYTGILYEEQKTDLFFSSLRELIDDKKIDSQNLQIDFYGKSSNDLFQNIDKYKLDSSVNIHGMVEREKVIKAQRESNILLVIRWDDGPLHVKVFEYLSARVPILAMVKAESEIAQLVNEAHAGQAAEDKNTIKEFILQEYVYWEENGTNRLIEKSDKVDEFSRSNQAKNLYNLLKEL
MTEIKSNVIKGKMIAGSFLIFLGLFFILDNYNILNYNVSHYLFRWETFLIAIGVILIISKSNISTGFLLVLFGGSFIALETFDYRFTKFIFNYWPILVILFGFYILYKKSENKKIEDLDEIKDDRINSVKNDIPNLYKTIIFSDESINITNPKFSFCQCFLLFSSLKLFFNEEQSNKSILMNNSVFLSSLTIRIPKGWRVIDNTTNLLGETKDARENIDKNSENQVTVLLKGTNFFGSIIIRDI
MAPAGSQRPFSPGEKRKRDEDSDINDRPQHVVKALSNDTGDRNKKQRVIGPTMPPNIVEDKSTSCSDNESDDNYGPPLPPTHTDTTQQTYKEEIQLNSTSEESQPTRRDDWMLHPPEHGDWSSRVDPTKIRNRKFNMGRSATAKPSLSGVAGTWTETADEKRKRLEAEVMGIKAPASSNVTGRSGEASEYDAAMLKRVQEYNEKKRGKTLYAQHQSRPSKEEEDDPSARPFDKDKDIRGPTRINHAQRRELLNRSSDFNTRFSGGKFL
VYRETSVNTTLVLTNFTFTNVSNALPNTGGVNTINIYQTQTAQSGYYNFNFSFLSSFVYKQSDFMYGSYHPKCDFRPETINNGLWFNSLSVSLAYGPLQGGCKQSVFSNRATCCYAYSYNGPRLCKGVYIGELQQYFECGLLVYVTKSDGSCIQTRNEPLVLTHHNYNNITLDRCVEYNIYGRSGQGFITNVTASAANYNYLADGGLAILDTSGAIDIFVVQSEYGLNYYKVNPCEDVNQQFVV
MASREPVSDAASVTAGDVTVTARGEVRAAAERMLGRLWIDRIAERFAAGDAASGERAVCGPAAPVDVPATPPAPGAVVVLGEGPSVRAAALLARRFGGASPIVVDGAEPGPLRRLADDPMRLREAHAVVTGGVRCEPARRAFTGLLRAAGVPAAEIAARVTVVAEPGSAAAAVAGREGHRLVAAPAPAVFGALSPYALVPAALAGADLTWALEEAATVSAALAAPDGNPGLALGAVLGGAARAGRGVVVLAASPGTPAGLAEWTAELFAGATRGRLLPVVQFGGMPLRPGPDRFLLSFGGRPHQDDATISGSPAARLAVLEYAAAVAAHLLGVEPPVAELPGAQAIGTVHGPFPEPPPAFTDGYGRDAVEVRTHDAAFAAAGALRPLLDEVARRAGDGHLALTAFLDADPVYGQGTRVRRLAGVLAARAARPVTVAWGCRYPAIGHDLSEKGVHVVLTGEAGRDVAVPGLPGIGLGPVRRAEAAPLGAGGARPVVRLHLRDREAGLHRLLECARSGT
MVLVGRCPCLTPCRHSLLALEMRQARTSMLHGSFPYSASSPFTSQAASSLLNTASQLIAPGRKSKDSACRLPLPGAISWLAVFRGQGTRSGPAGIPSPMPQAS
MNIRRFIYLFFVILGILSIVFMTQWFSYELFSASFYLLMITVLVLTVESLLPRTNVTIKDVLKVLTAIAMVLVVFITVSIWLISIITA
MERDLEINAYYQSKGWTVLRFWDFEVKGELGACLNIVLRHFKELMLFFKK
MIVSATPEDASRLNQLVNSAYRGDSSRQAGLPKPTCWMERVRMRTPLLPFFKHQERAC
SAKIRTYNFPQGRVTDHRIGLTLYRLPSIIDGDIAELIEALRRHDQEESLASAGAGAPAAE
MPQRRPINLQIPRQRFGFCRTTTVIVFGLVAAFSVSLLSSPVAAQARGKKGAKEEEDPALKPRPEILTTKDNIKLNSFYFPSKLGKEGAPVILVHEWKGQGAPYLKLCVSLREAGFAVLVIEYRGHGNSKKYTDRSGAEKEFNLSTMGRRDVEAIVRYDIEEAKQFLKEENNAGRLNLNALCMVGVEEGAILAGYWAARDWKIPSVGRMKQGQDVKAMVYVSPEKNLNGLSMNTPVTDANLVRLPTMIVAGKASSQGKESERMGSRLETVKKKLNRGAASGFSMLLPATNLSGPALINDESTVIPGIVDFLKENVRISKSENPWIERP
APIIMASWWPASKDRKLVPRYIKETYSGFVSDRIMQPRMEIYNAGSMSTEHNIFGLNRTMCFDDETTLHFIVDRDIKIEIYIDRSVRLVDRKFHLAASFNTSNEISILHPLLRASITNTYIQGVCPPMTEFNRFTGLFGIHSLNRDVPLIDSDEYAIDFTTVNKLKYNCMYTLDRVSMKTTRPVSFRDFYLNFSKYILKKNAEYFNSEEVINEFRERAREMVIEGNQIMYLRGYEIVLTPMGNIIINDLMAPATIEKVQKIEYNPTQLKLEVLGHQNLLYANFIGNICKIRKGGTSIYVRKGILIANAYKNKAALLPNGTLKVIGTSAIPHHLLYELCTNQARYVEPTVDE
MMNWRIATIGTALAVALAGCAAPAGSTAPPEGVSVAVYQTRTDVGPRRLELSIQNGSTEPLHVTGARLFSAQFTETAVWMKASTTIPAGATIDLPVLLPAPDCTAADPQPIVEFDYTREDGSTGTARTVADDRLDRLPALRAEDCLAKAVSDIVDLSITAPPRAGLINGVPVIEVELAAVPTGATGSVELSTLSSTTLFMAPDPLTGMATNEQPIGVTIAGTDDPSVVTLFLVPGRCDPHAIQEDKRGTIMPIDVKVGDLEGRIYVPSADAVRGALYQFVTNACS
MRHQTRVSTGGDWLRRPELWWLTLLVTVETVGLGGYLLLSNTDVQSLRYVLYPFVWINAGVVGVVHVTPRPTSRRVQVAAGLLAAGYFLVLAVLAGLVSVDFAGLVGATGHGHSHAHVHGLQVAMTTPGWGPRVGYAGSVLTVNLVPFRVIGYLALSYLVYAALCDLTGAVVSGVLGLGSCLSCTLPIVGSLSAGLAGGAAGFAALSTLSVDLSTAVFVGSVLLLTLRPTLGDAS
MTTRDFHIRRYNGLMKNNSLDRSFEAAVRKADRKRLRKGDRELNLFDFTQE
MSYSVNFAIFWILMRIYSCQDCTLPAETFGTWESPSLGTVTISGSTPSLTFTRTFTGQTVTVNEMKCHEISGSKYILISTIGVDTFSGNDQVFLWMCVEFIQASLYSYYFYLHSEVEAGFDGYRLYGGPSDTTLTADVCSSTPDMSEFHTMIKTGNEMDAVNAVECPDPLLGTFIYNITDSTGTYCGGVDTTTVNACDAATERTHVHVDNTGCTSKIPFHSTGGQLGCIVSIASTGTSYASLYNLDASPSVQFICVVITEETDSTYLSYIAGDCESGQTATTLLTGGATMILTKQVSCKLRQASQTLKFVNGCVVTFPPCLQSIN
MSSHGQSPASRGPTVPNATASFRLPSGSTTMQRRRERSRSKDVSTYWLGLSPALRSPNAGRTTPNAATQMMRRHIAARRGRQHARALALYAEGSRTPSLLCRPIDHANGSNALKSSAIESIGNALRMTKIRNTFGSSSNTSSHSNSKATSRTSSQTRQRANSEPGPHGTMEAVAVTKSQVP
MRRHIDPEWVTFVNSPQFPEYTSGHSVASRAASTVLTELLGTNAYIDDSHRDRGLPARSFDSFHHAANEAAQSRLYGGIHFPMGIENGKAQGDQVGALVLARLRTRK
MENETIRRASFSANGEYIFIQNNTGLLKVFKVSDGNSLITCNTTAPNILLFASQVMAKFTPDGEHLLIMKPGESWLFDLKKKDPIILKNKYAEGIINFDFFSGGDSVLAVDQFGYFLKWNINDDNITVLKQPDKFAFYYQAEFNFKQNMIITAAGDSTMSFWNMTNGLLKNSQKAGSIQKIEVSPNGFLFAVNELEKDVKIQKXXXY
MNWRWIGKQALLLLHGESLAEHGGAAGMRDEGLLDSALARSKNLAAYGSPDVADLAAAYGSGISQNHPFVDGNKRAAFLSVGLFLALNGYRLAATQAEATHAMLSLAAGELEEIEFAGWLRGHLVKRNA
MLAEEAGFFSKLLSLGEVLELHRRLIVTSGGLPGLRDLGLLEGSLSQPRLTFSGADLYPTLIEKAAVLCFSLIKNHPFVDGNKRIGHAAMEVMLMLNGYQLTASTEAAEATVLAVASGALGRQAWTDWVRQQTNILE
MNVYPFGLKHKGYNNVVSANGNSTANKFGYNGIEFEEALGLNLHEMDLRQYDPAIARWTGIDPVTHYEYSTYSAFDNNPIFWADPSGADSWTYIGNGMYRNERTNEETDDYQRAINETQSHFGENKKDPVINVYIFDRPNQPKDNYRKANYTALIFVEVDGYLYKYTGSSYPDSKRNEDETEHNTVAEGEHDFNNESGHKGGTQKGLNIVDSNGNRTAPGENPDGDDVMMQYVNVHTGYKTRRYSEGCPTICPTDYKGFTSHFNWKNGTKGSSEGSIFIYRGTHPDSLSKLNEVLTKYEAQNAAVQKIVEKRGYGYQKKNN
MNKSVNNNNGIKKNNNNNNNNNNNSNDNNKNNNDDNDDDDNSNDNLKIKIKKILVNTVKSYEINKNIDEITKLYQKDKDTVYSVLIDYLKLVVENGRVVNNDDSDDTTNSNQNKLLSFYARLSVSLSFSNTLLKRVSKWLKNNNDQLPFLFILPKIIKSILDLYKSSTSTSISTLTNSFINRLNDQRLHKYDCIRLVSLKALISLQRFEDERLEVTNLLNESIKLEIDSHRYYIEKNMLISINYLDKFLLNINNSNNNNSSKLTIDDRINILKRVSQFSLDDIGLQSRLLLVSDGIFDCSSPQHYKLVKNFIINTWLKELNLDIVKLISSLNVTENSKVCKKILYSLFNDGYYPDTNEYLNLEIESVDQHTPSSLFCWRHFIDFTRDNISLDGLDDILEEITPSAKEWLKLIDSCSNDNFILIQIVKILKNYSISTPHFIDSCVAKFEPFLFSLDIDISLKLQLMSLFKSFKWIDFNSFYLHIISDIEVPMDFSDEEKKLTFIRKYHALCLIENYYDHSYMTKSEIDFIGHLDYFLPCLGESTIFDLLLVKSTGLISHYNIFTTYIKSNQLHIQKDYRDLIYCGTIKLYYHGYNIFQMGFNELTIKYFQPDYLNVESHQTFDILANETEIKQKFLVSSMITTLEYFFCFKNHSNATSKSKIITSICDYYFSKAELLSQSKVSGHRNISIDILIHLLIIISTFERYGNTLCLCLNLKKWKLFDYFPLLKIVELISIAIRSTVKSKQSIKLLQQFKNIVEKQLQKQEQPSNRPTIDTQSLNNNVNNYKTYIASIKDNKFMIEIDKVLNDKLESNNNDKRIILYDNNNNDNNNNNFNNILNQFDQAVQKQLEYNIVQEEEDDDDQGKHVNNEDKKAEVVDVVDVENEEQEEYIYYELVDQSLGSSDEEEDVEYMYINNSDIEAVSEYDIEPTNPKRSRSVY
FFERPLSSNTVQAGGFTATYNDGVDYITIDEYLVKKPSVTELIPVKGNSMQDLGILDGDTVIVGNGIEKPNV
MIELLATYPDRAELHQEFPELEDEDIRQALIFASSYLDDRIIELPNRYEAVT
MFDFCVQRFIWENGQFTQSGIERVVASSGESAAEAVLECRVVDAGPIHFLAVRVWQYGKAKRTSDVRYFWTA
MTFCAFTAKPLNTRGSGNKSNHKLDTLQLQRTGVHLQTDDSHTETCKIFPKEVFSFLPDSGFKGYDDTVWIKLRIRKQSQSRDSSQINSNVNFTLQRVTVEKQAQKIGS
MFNNKNRAFYNAAAVMGLDKIDENKSIDYLKSRFESSGITIDKGTTKYLLSTVANIPYYIQFIAYEIWQALTLADRNKVSANDIDRAVENLLLLKSDYYWELTNKQTVNRKKILYALSQSVTELFSKQTADNFNLGPVSSTQKALEVFVEDGIIERKNEIYEFSDPFYSTFIANNL
MAGLNALNKPGEVSRNQRLVTFTRPPIIRARWRRIFVSWPEEATRSGTGARRCRPASRRRWTSSSPPSKSPPTPPNAPRSRRTPAPAASSRDRPGRWPRWSLSPKPNPPPTRRPMMTRLPCMTAFRTTPKNFRPLLSPASLALLSCSNSNVEMMRALATVVMSLEPEDQQRLLSAALAEPLIHQLASPFSPWSTWLMLGGRGAGKTFAGARWITWNALAYPSQALIGPTLHDVREVMIEGPSGLKAMGGPAYRPRWEASRRRLVWPNGAVAYAFSAEDPESLRGPQFHAAWADEFCAWPKPAETLAMLRFGLRLGEDPRLVVTTTPKPHRALKTLMAEPGVALTRAGTSANAGNLAPAFLRTLASLYGGTRLAAQELDGVVVETDGGLFRAEDLARCRAARPARLDRVVVAVDPPATATGDACGIVVVGRRDDRAFVLADETARGLSPAGWAGRAVAAARAWTADALVAEANQGGDMVRSVLAQADPPCRVKLVRASLGKRARAEPVAALYEQGRVLHCGAFVALEEELMALGSGDLEHSPDRADALVWAVSELMLGEVRRPRLRAL
MQGANPGAFHTDATLAQRIFFDQPLHGEPHHGFVRSMSQQKAA
MKGFDPKFVNLKDFILKVTHRIWEERGIDRIRDYYAENAPVKTPSSITYHVEDVVRFTLQMLQMFPDRELLGEDVIGSEDEPGTFYSSHRILSTMTHQGDGFLGPPTDAKIKTR
MTPTPRELIHDASRVQRAPLALGRAERERIATVWQLSRSVAAIAAAALFLTSSFVAQWPGARLGGLLAVLPVIDAAATILAGRRSLVRSVAVEILWVLSLLYATQLPAAPMMMAFAYLLILTVVVLPLRQALPTLAVATAAFLWVFFGVQEGPHPPPAPDLERVVGIMVATGSAVLTLSLATLVVRVANLAQAEIRYRLRLEEAVADVARRLLRGGDDDPLPDVLEVLRRATGASSAYVEENLVGEGAPTSRMLVEAIGPGVNPDPTGLWDGVPWSRFPDAFRDLSSGRPHVIVPERLDVEGRELYARSETETDLLLPIFVGESWVGTLGFAHVNRIEDWTDGDRDILLTAAELIGAHWERRRIDADLRRAVEDTRRSARFQRMLAELGNLLLTEGPVGEAVLRLLVDALPVDAGLILREAPGLPPEVVAAHGPSVDLLSDPAKLAAAIDGGDPPDGIGGIEVREVHGTAAWRGRLVLWAGRPFSLDEEARSALGTAADMLALYWARVTTLGDLERAVARLDARLRYEEAIATVARELLVSEDDDAVARALSSLLAATDADEAILLAPDGRAIEALDRSGALLDLRLCSAVSLDAVRAALAHRPFHVVHPPDEGCGRTRLYLAVPGPDELVLLLIDRRRRRVWAHEELLILETSAKMIGAHRHRRETLRSLEDLIASKDRLIASISHQIRTPLTSVLGFSQELAEPGDALGDDERRELSHIVAAEANRLAEVVDDLLVCARTDIGTLLLRTETVAVRPLVEEVVADRIGAGVPIDGPDFKIVADPTRLAQVIRHLVDNAQEHGGARLRIRLLPGGDRHRIEVMDDGPGMPEELLAKAFDRYVSYGGPSSQPGRLGIGLSVAVRLTEHMGGTLTFDRRDGWSVFTLTFPADDATP
MTKYKQFQNESMDSPRLSPNDVEAPHDRSEGTAQGNGHKINSTVLDAPDGKATRSILLRRFVEHLGFRLFSFLMILIDIIILIIDVSSSEKSEKGYDIVALCFVCFFLAELCLSGLFCIATCIKLGLKLGHKKESLCGLLHLR
MCVLHSLNGVNSRTKMPMAVPISTREPKRTVNQSVAKPLRRTVASESTNQIPRHTTRKLYEHVTKTCSWWYPKFTPPEYKWKPKSKIGNVNPNLVKIILFIIDSGRSKHMTGNLKLLINFVEKFLGLVKFGNDQIAPILGYGDLGNDILIGSRGTDLYSITLQDTSSPNPICLMAKATSSQAWLWHRRLSHLNFDTINLLSKNDIVIGLPKPKDETPEVLIDFLRLVQRGLHAQVRIVRTDKGTEFLNKTLHAYFASEGINHQTFVARTLNKTALSKDETVHSLRLLEQWVFNKRTRVIVETIHVNFDELPQMASDHVSSDPVPQSGTVTISNELDLLFSLMFDELLNGSTQFVSKSSAVTTADTPNQCQQQNTTTLNNQTTPEPTCQVLTQAPTVTSTENINQAETITENTQVEDDGFINIFCTSVQDRGETSSRHVDSSNMHSFYQ
MLNNSQGKKQEVEDHRRNVKFSKNKTSKTACDDNLNAKTSNVNFVCATCGKYVLNVEHDMCVLHYLNDVNSRTKMPMVVPVSTREPNRIINQSVATPLRKIVASESTNQKPRHTTRKLYEHVTKTCSWWSPMFTPPRYKWKHKSQIGNVNPNLVEKILFIIDSGCSKHMTGNLKLLTNFVEKFLGSVKFGNDQIAPILGYEDLVQGTVTKKRVYYVEGPNHNLFSVGQFCDVDLEVAFRKSTCYIRDLKGNDLLIAWLWHRRLSHLNFDTINLLSKNDIVIGLLKLKFVKDHLFSSCELGKAKRKSFQTKTTPSSKRRLQLLHMDLCGLMRVESINGRKYVLVIVNNYSRYTWTHFLRSKDETPEFLIDFLRLVQRGLHAQVRIVRTDKGTKFLNKTLHAYFASEGIHYQTSVARTPKQNSIVERQNHTLVEAARTMLSAAKVPLLSPDPQCQEYVPHAARTVTISNELDLLFSLMFDELLNGSTQVVSRSSVVPTADTPNQCQQQHTTPLNTQTTPAPTCQVPTQAPTVMSAENINQVETITKNAQVEDDEFINIFCTTVQDQGETSSRHLESDGKMCMFALTVSRNEPKNIKESMADSAWIKLMQEELHQFDRLDVWELVDRPLCKNVINMKWLWKNKRDKENTVIRNKSHLVAKGYAQKEGVDFEELFAPVARLEAVQLFIVYAAHKSCTIYQMDVKTTFLYGPLKEEVYVNQPDRFVDPYHPDKVYHLKKALYGLKQAPRAWYDELSNFLVSKRFYKGIQIHQSPRRIFINQAKYGQEILIKHRMTSCDSIGTPMATKHLDTDLSGTPVDQTKYRSMVEALMYLTASRPDIVHATCYCARY
MKCKHELNRSFIDSFCTKRFRNVEYKKHRENVLFDLELTKMPETQPQVERILRMREIRKEYYELENALRIILHDKRDAELLNYPNDVYINLEEELNNKIQNLILEMNTLRSEYDDPPTESSERKFIRICPSEDCRGFIDDDWKCGLCKQQFCKHCNEKKDENHVCDPKTVETISLINKDTKPCPTCGTMIHKIDGCAQMWCTSCNTAFNWKNGKIETGRIHNPHFFEFKKRSREHADIPCGGRPTFSELNDQNAPDELLDITILLHKIDRDIMHRYGDIYDEDNNHLRISYMLKNITEQEFKIELQKRDKFKDKTQDIRDILEMFTNSVGDFLRQWMIYKNLDILEDIYELTLYSNRVIHDIRKRYNSSTPSFIYLPGTLVRLDV
MELLAGMEVLFAHISEQIAHILMLLAGQEVLSAHISERIAKIYRVYRLWLTAYRPLLKKMIFIL
MTTENKRKDFLSLDAGFPDRVLKTSFYLSVITILASLSYMTVMVTVSFAVGCCISLILYKMLWWTVQHAVQNKRSEIKSFFLKVSLAKYGIVGVILLSVCLILEVQIVALALGLSMVLIVLIMKIVSRILVNFMNTSIKVSSQKIDGVSAKVSKKGV
MPQTHIRKKVPSGKKPTERNLRNLKPYTKRYNDIKSKLTSRTSTDLTKAEIKGRDKIAPLHCVKYYNSKQRKKL
MGTKVRVDQVSVDEMDDWNEQFSEEEEIGRSRLKYNGKRRRQIEDLMEERRLMKQISDVFDEHA
MVQALGAQSDAVIGTFGGRASEWIGHLGSVPVVELDPPGSPERAAVLLDPSRPSTR
MKQSLPHLPGLCHSPNLLLFGLRQSIPCSAINPIARGSLAGSQILAQSTEGKDPLASALEKYALAEKKVGEARLAQDSQIQLRFLTGWNTVDQFSLSSSSRFHDD
MATNTAIVDDRDSLIHYSPAWGQSGTPEEFDATTSVGTAASAASFTFVGTSITVYATVPGDTLPRNLAFLVDNSISGTFNSPHPVNNTHHFAVWSSPTLSNATHTLVITQETSAGVFLDYFLYNTTSTSATYFIDDRDPRITYTPAWSQNNSEAYFQQTSQQSTSAGDAFSFSFEGTSISVHAPVTDTASNISFAMDGGPPLFHVPPQTGLNLVIYDSGDLAPGNHTLVVAAENDHSVWVDYFLVTPSSSSSTGPSPASSSTPKAKSSTPIGEIVGPVLGVFSVVALIAGAALILRRRRRQRQRRRELLGFDPFETLTRSPSTVAMQDVPARTPFSNSASTSSTELLTKSSRVAPSSSLSPAAYSDVPPPNYSENSGTS
MRLKSEKTLSLFLVIINLIVIVKLLKDSFIIQNFDYGFIAFLIICGILIYLLLSEVLKGKRRKLIFIATILLISGVLAYKYFNVLVDEILYLKQNILSINNAALERSPIDFQVFKHLFAIVIPVLVVTGAVITKRWSKYPIALTSIIFIGFWYLIFYKKVESNLPYFIGVFVASIGVSEHIKRCKEYEGKELKINISKRVVIVTILILSISLPFIVKVLPQEFNGKSINGIFKSMKNQFAEQELTEADYISNAVRYRFSMRESGYSDSNYSLGGPIRISKREVFTVKADKPYYLRARVKDTYSGNSWTAPRENIREQLENTSKYGGGLNYLYEALEGYEGYTDLKAEEKEIVITPERNFKSGSCFTPNGAFKIEEVKDKVFYNDIPTFMSDNYIREPYVVKFVSYGNYDNYLEGIENENYFKYVYKEDYTLPMRTYGQTDLDYYGYLDSLVNTTDPDELKKYNKFKWSYINYMQVPETVGEEVYLLVESILNEAAKVKGSSGIEALSNQEKALAIRNYLKENYKYKTDVKAVDPNIDFVTQFLNVDKEGYCTYFASANTIMCRIAGIPARYAEGYNMSDKKNSKDEYVVTNEEAHAWTEVLVNPNRDIWGITDAVADANEEVTDVEEQVQIEENIPNKPEVSKPDMGVEKAEPVKSDFSLGLKIVLVFVGIIIFSIAVIGIIMGIFTLRRKRIISSSSIVPLYNYCLRRLGTIGIVKKDNQGDLEFSKSIGDEELRELMMKLAQGVYEEFYGGFSNNSIDKGEYFDYIENYIKGINKGHKYIVKKYCSFTINGGY
MNSSISNTTRIAVQNPLSCFSVTAYKIITGITTSLSLIGDCIFLAILVTFLRRPSLITHFTIHITTFCVINLLNGLAYWPLAIARPIATSEWLNSPFPCAVFQYMIWVLPIMTLLRFN
MDAPDGINWEEQVRNGGGFFTVGEMEDDPMMGFHIDLDDEIYGADHNRQQFFGDGRNSLVFNTPLIDEIRTSPSFARFFSGAVPITPDGGIQKSILRCGNGISPLDGSQVWVHYIGFAEGFPEPFDSTRKRKCLNSFILNGETLPCLDLSVRSMAFNEVSLFIADPDYAYGRCGCPPRIPPDSRVLFIIELITMEASFQQPIFLRDQPERQGLPFKNVFDEVDRLKNVGNNKFQESDYEGALRCYKIGAGILQEYHTLDPNHQSLKQNQLYKLLSNMAECFLRQEEPSQVIELFEEVKRRKIPFPDGKLLVRYAKALVAEEKHKQALEAIHEALEVEPGHPKILNLLQDIERRIQESERETDKFCNRLFRLYLNDLTETKTGDLKEKPSTKMQGSNPVSILLGGFFIATSLARPQEEKVYPARLQPELDSINVDHYLKNERLMNLQIKCVLYNGPCDVVGRWVKPRVAASFYGQCPLCTPKQELDVQKIIAYMQEKRPEEYKLAVSKFLRSSGIQVPSSTVATFSTTNTRSEESSEEAISTVPPTAQIIEVNNDESSFQ
MKLYRNIEMGKNMLSCNTAWTPEGINVGWIEFERDEQAMDYFGIIKKENLNIV
MLLASLLTAGWLAPAVYTHAQSFTLSTAVGDIQVPLIHVSPGGTLTPPGTPVAPPFTAPAIFAAPLPSGSGARALGAAGAFTAIADDATAASWNPAGLIQLERPEVSAVFRLKREDDHHSSADAAYRVGTDDHSSYALNYLSAVAPVRILERNAVVSMNLQEVFDFSHRFRAEFEQHTGSHSRRTRHAAASDTVQTHYALENGYIDLTEQLTTMQTTTVRQRQSTTMLGQVDFEQEGGVQALSPAFALELTPTLAVGAAVNVYLTGLTDGQSIRSHTFARYTGALEHRVSMRDERRTEGTWNYTGMYVSPTVQVPLDPESGEVPSHTATERDETVTRHAFEGFYEIDDRIDDFAGVNATFGALWTASSRLSFGLCLDLPWQASARQTKTIRSGVQVEGGPAESDQTVAARDVTFDFPLYWAAGAAWRWTDRLTSSLDVSQTLWSDYVYHAEGDPRRNPLDGSSYGEHPVDDCWAARTGLEYLWVLTKTEIPFRLGLSCEQRPSVGSPDTYGGVSLGSGISLGQGANKVILDVAYVFTWGRDVMGTLMPGQTGSLETDMNRHDLYLSCIYHF
MKFVLFSLCVAALSLLGAGRVCAKPVAVPTPGGTIFINVSHAGPTGQVAAPEAPQNAGFRPPTVFAAPLPTGSGARALGQAGSFTAVADDATAASWNPAGLTQLERTEASVVYRYSTRTDQHHSPQRDLLTDSDRYSNSELNYISAVYPFLLNGCNAVFSLNYQEAYDFTHAFTASFMGGSSWNTVSLQNQTFHKTTTNHYGDALWNVDVMTHVSTRVESRIDQLLNSSLLTDMEFIQSGTIDAISPAFAIEPTPRLSMGATVNFYTDGASRGNPIRSSLVAEYSGSSTSIAEITETRVSTADIDWSGVQIGGPPGRPTSNPISGSETTAPFLDTETYTQNGMYDARGSYREENRTESFYGVNATLGILWVATDRLTLGAAMDLPWTGRGEQTKRITDQITTVDSNGVQVAQSDYSETQRRDVEYTFPLYWSVGALWRWNDRFYSSMDVSRTHWSDFSYKAEGEERINPLNGASAASSAIDDCWSVRFGSEYLCVLSWTEIPLRGGLFWEQRPAIGSPDEYWGATLGSGISLGKEPGKLILDVAYSFECGENVMGSLLPGQGMTSDTIKHQLFVSAIWHF
CGYDAIPSDVGTDVLKQKFGPGKLNQVDAFVKGKYGSHGLTINVGTLHSLAQAFGEFNQMLKIREGYVKGMFKKRLPESPYPSKIKYLPWWNQEVNGWCLPVPIG
MNETPDDAPSAEEVGGGRDRRIRDALYFGVLLLVCAVAHVNLVTRGWNLPLLGPHDFRQTQTALTSYWAIREGFRLDYITPVCGPPWSIPLEFPLYQWIVALVNTSTGYPLDQAGRLVSLLFFYLALPPAFLLGRRVLKRTSLTLFFICLLLINPIHIFWGRTFLIETTVLCFGSYFLWFFVRALERRRLLDHVLAVLFGCLAALVKPTTYAVFLVAAFCFYTTHFVRDIWPRRSRRTVVAVLLQGAILTLISIAATWLWTNYCDGIKAAHPYTPLVSADMHAWNYGTLGQRLTIDNWTKIFFGYNGFIIAIAATLGLSFATFLAGGAVAVWLLIPGYRRIGLAALLTAAVGPMVFFNLFTVHSYYQMAITWCLCLFAVLPFAALMSKPRLRFVTQFGLVPIFLCGVIGGYVSTVYYTSQSRPMSGFGPFLGVMHSIEAATDQDQDAILVFALEGWNSKYAYYSRRKCFATADIPTLERLRDDTFKAMEKAMKEDGMRFRAVVTIGEARQLTPDVRAYLDGRFNSPGMTSVQTGPVLLFDAKPIDPAP
AIMADIRMDIGKNHRIAGPFYWTETVYSPLLLKESYNELKGPIRGWGRSHKARFLKAIGMDEEGFLALMDDLHVVERGSQALVFLDKAEETAGDKQRFYDAAMEAIENMATWQGPRRWIGWGSEWSWSRIKDKPTLGDGSTTPEEAWDWVKTRYDRSYKAVANEAMESAWWMTREITKVRDTIRDRLKIVGAEEAHELAENSEYGAIISIEHQERGKGDDLVDGQGPDQIKGRPHLRMQFYDLEEADIEHWEFDDTDDLPQAKHVVEALAFAKKFLKENPDKKLLIHCRSGIARSTAVALAILADTYGLGHEAQAVRDVLFLREGAAPNLLMVKYIDTLLQRNGKLYDAVIHDDDIMKNHAEAARNRTAGLDAWWAENPEKRPRGRRLEAPLGAAHAA
MVYTALLNCYAREKDVEKAEATFKKLTNTGVMRSPLVFNILMHLYFQTADSDNEGMDKILEKMESNGEVFPDWKAYCVAADGCLKAGIMEMAMTMLKKLEEKTKSVAFDTLLKSYARKGNKDELYRIWKPDEKRDKIYNKDKLAFDVG
MSSEKKALDFDVPGREDYFISFTSYHPHHRHCSSQERARRPGLAKESSGQLPSTVSAPLLSCSAFSIVPYRIVPGKVLALIPWSPLSLGETGPGWAVPEAESGGSLGHSIGVAQGPSQPPALQFQKFVYGLRSFVEKIPN
MIYCIYCFITETLLNVFNDPTVTSVLTITYKISEFFYFSLIAYLLLYNQSLLKVLKIGSVIYAIVVISCFMYYDLKVLYSIVAGFTAIFLFSYSILVMFDWISLTPLDPIYERPAFWILLGFLIYEAGNYFNFIIQDVYQYKAINLHSIFSLIRNIFFLTTIVIIHFKNKRLMHRLNQIKY
GRRRLATPYLQRGELVQLPGPALKTRFSYYLVHPAHQTLSPAAQAFRDWLKQEANTAAATVT
MYHSTGHEPEGSITPSSFYSKNSNYKNPLERKRLRALNPEPPMHEIAQANEVKTSPPKKHATKTRRIKTASKTRNNEKVLSAKKAGGNPVKKVPEKNAEKTIVIPLQCHTSNVQANDEPPAKKRRFFSAPLEMVPKATKRVVCHFKKSWSSGFGVRSTSDPNYSNPRRRAPKGSNEIVLIVPTNELEKQTFPCKNDATSSVERARLPTSKQNTTESPKNSVQDDKENKAAERVQSSPRKCPRPLVHPAPHISQTLDRYPTKPCKESNRNPASCSNEADHRAEEMEKQTPEKNPAKSNVSLSKARASSEENVAQTTDVHAEIEDQHPGVNLGISNTADDAQHTCFASSGAEAEGQTLFPVFCKRAWKDTSGARGTKVVQTRFQKKLLQAALDPLQLIIDAGQQKFGTCTCAECGMTYSAGDADDEVAHRQYHDKELGTVKFLGWKNERIVDVGLTADSRIVVVRPTDEKYMLEKVRDVQKRVERDLGQEDDVESGARCSHFLYVVQKRVVGYLSAVSIYEAYRVACPAGAASSEGMTYDPEPVAARCGVSRIWVAESHRRRKIATKLLNCLRKSFSYGDPVDVRQLAFSVPTAAGQRLAASYCKTEEFLIYW
MTGPLAITEGAFQEKTRQPIAILATPLVSQSGYAHGVRLQSCAIGQKLMALSMIFRADQPVTKSTSPASQVLAILADWTLAALSIEVRQHL
MMCNECRDVKERLKRQEDTIAQLVTIIAATNRRVSDMDQFLHEPLKEEVTPSPI
MLQSLTISIFTMAGRKGSTNLGLMVFMLMAMSRLFGTVDAEDHSSSLLRGNSFPKVGKMSFNEDWNSDAGTQTCTCNCYPARGAPPTAPTSQQSPVERKRSPPPAPYYQSPPSSPVYENPAPPAGSTTSPVTFASPPPPAPYGDGPGYGEPAPVEAAPQTFVPSPPPPPTY
MGRVAARRWDRLGRVVAARGRSSLSRPTGVRRRGAALLALGPLIVAHEVLPRHALRSVHRGTVRRL
MMLQEKEKPVGAASWKTALFVGVAMAACLPSPAAAQESAAQVADDAADEAVFDVVTVTARRRVEAITDTPLAITAFSKNVIETGALDDVGDLVALSPNVSFEPGGDFVSSNIAVRGVSRERSTEEPGVGIYRDGVYVGGPVTSLSDLYDLEQVEVLRGPQAGLYGRNAVGGAVNITTAKPVFENAANIILQVGNKERQELVGMGNYAFSDTLAGRLSFKAVNQDKGFARNVFLNQELDEKENVSARARVLWQPSDDLEVLITGLYRNDKGQTPPVFRVGDDTRQLSYNTETPYNSEESQVSSEINWRIGTGTLTNILSYRSIDVFQQDDTDFSSAYLQTSTRDITLDNFFGELRYASADDQRFRYLVGATVLKEDSFFDTDFLISVGVPGLGTYFPPDNGGLTGDNLDSVLFVLDNKQELLSFAAFAELTYDITDRLTLDTSLRYTRDERDVTFDQTTPGCTSCIYIVGRSLDYSVATDPVFENWSPAGTLSYDASDAVMVYATVSTGFKAGGINEGASQPQYLPFDSETSISFETGVKAQLGERAQLSLAAFSQTRKDALISVDESVIDPTFPAGVNGLGINAGKIESVGLEAELTARPLEGLDVNVAYGYLDATYESFVVPMSGGGEVDYSGNQVPRSFKQSFSINSIYRKPLNDTLNLFAYGSYSNSWDGFQNNANTIESELPEMVNLRLGVEGDSWSFSGFVNNLQDTRYITYQVGPYIQRAQGRTYGIRVEKSF
MSEGKGICNTLKAIRKRIAEANDIKYEPEECNAEGDCSGTCPKCEEEVKYIEQQLGVRRMLGKAVVVAGLGVSLTALSCSLFTDKRNLLRGRVPSHRPDTVEQNDTVLGEAPEVQAEIPVGNNEQ
MKYILYLFFLFPNFTYSQNTIISTDNYSGVLGVLVNPARSANTPYKLDINLFSNSSVFTNNVTNIDYAAIVKDVNVGFKDFELLSKSNNSNINSFLKDLGFGDFESYLYNFNATKNSFGYLNSTTLGPSFLLAINPRSSFSFTSALRVQGLAYNLNGYFLSKDNTIGSIDDFLDFQKNNLRGYGQTFSWGELGLSYATIIRHDYDKLWKFGLTLKFLKGIKTFSFAMNDFQSTLNYYIPNPLQSTLDVSGVITVQNSSNGANYGQALDFGFTFEKNRKHYHSLYKDKSNNIHLNTVAYQYKLSFSVTDLGFINFNNVRKKNNLVDFTLPNNTINYYSYLTIDKEQKHEKVSYILPTTIHLNFDYNLGKHFFMNTNLDYFPLNGKKLEYIKSISNFSFSTRFETLKFTCSSYFNYDSFGSLTTGLYLRTGYFFMNSSFILDSKRFNMNQDQISLGFKIPFYQ
MKELKKPNILNIDNIAVLGYFRSNPLIWNLATAKNVFMNE
MIILFVIYALSTILAPSIVXVSLGLSAALLTMNWLAYRRKSKXAYWFAPIIIGFASLFXLFEALSMLWIGSYFFAFLMIWACFSSVRRVMTHFHPGYRHAXHNTTEXIPDLPLEPGXMYAACPTCLAVLAIRPAMLHSSDRCPHCQSQLVSDELSAKYEQE
MGVVRNFFLNQEAQRTFAVGSGVHGNLQISRWGRGIHVQGNQERRDHRTPTDVHLYLDSEDLRGADPGEEGPGSSAEGNFGAKLSGSATPDSVSTRRSSGDGARRLGDCSVGVGEGTAVGDGAALALATSPSKAPPDGSICSLLYFFRAPQNGSQPSGGSEACRTSSACGEGCAPLSRFTGRHCWTSSVAARFVVSRGGCCEGFAGSVVTRSFRSIAFGTNHYDPGESRKCRTWRVSPDLDLR
MNSEAATWLIADLMWTVSRHVSPDAMLARAPFAPLSGAAPSLAEAAGHWPGAVQSPDLSALALPSGEELMRFGPLEAVGRGLAGFESAAPPDVGARAQALQAQLDPARLLEGLPDVEGRLDAFADGLQGRLSGLAAGLPDLSSLTDPSRLLEGLPDVEGRLDAFADGLQSRLSGLAAGLPDLSSLTDPSRLLEGLPDVEGRLDAFADGLQSRLSGLAGELPDLSSRIDPARWLGELPDVEGRLDAFADGLQDRLSGLAGELPDLSSLTDPSRWLGELPDVEGRLDAFADGLQGRLSGLAAELPDLSSLTDPSRWLKGLPDVEGRLDAFAGGLQDRLSGLAAELPDLSSRIDPSRWLGELPDVEGRLDTFTGMFEDKASGLLSRLPDLPSVIDPSRWLGKLPDVEGRFDAFTGMLEDKASGLLPDLPSITDPARWFDQLPDVASALDSLTAPLKEKLPGLGDFGTDALAFARDPLSALGSRAGIPEGMSARLDGLTAPFRQEAPLSADALRPLAEELGRIAREAAWNEHARRGWY
MLARIYSQRHLVISVGARSALGLAISVSTVASHKAEASVELFQLLMLQTVFSILISGAGFIKGITAGNARNFRSILTAYAGYAALLAVIAAILVHAVLPSSLTGTLTSAVWKIDLLLVGAVGASLTPLVQGFLVADGRPGQAYGPASVLSLVATALLLGLSGLSADLIVVIWCLSQIVIFVVTACLVAGAMTPDMADDGAPESFASLIGGTASIGVINGLNIFIVFSFREFWSEHSNAAIAASGFFALRVSDVVLQIMFNAIATQQLSVRAIVESRMTRLALGIMAALFLTLCTALAFAHDQTGYLAMGWIGLCIAIIIQSIVDILRIGSSYATIVILRTEPPHVYGLVAIVPTLAAALLAWSTFPLAREAVIYLFQISAAMVQIGLCYGYLRHKLGRQADPADGIRRS
MEQAPSDTFESLSEAVVALCGRIHGAEYRLIELIRRLEALRPWNRERPDCAHWLNVTCGMDLVTARENVRIARAG
MNWKNLTIFTVILALTGISAGCHYNGYYQARENLRKSADLRVSMTKDEVLEIMGEPVSNQEYCRPDIWFYYINPLWYDAMITQDECMPLVFEDGKLVGWGNEYYNQYYYGSHHHK
MTVDAATLAEIQDAVRGHDSLVVRAGGTKSPAQAAGTTIGLSKLRGITEYSPAECVLTAWAGTPLRDIEQALRAHAQYLPFDPPLVDAGATIGGTVATGLSGSGRYRYGGVRDFLIGARVVDGEGTVIRSGGKVVKNAAGFLLHHALVGSAGRFGVIAEVTFKVFPLPEARATLKVTCGSAAAAASTARSIEATRFDCEALDFDTHGTLWVRIAGRSAAVRSRLMRLHDVVGGARIEDDNPEWAEAREFTWAQGRSIVKSVDGPHTATPARYICAGAASWIACDDCLGGPIG
MLIGPENGLSQQEFTEMCTVMISFGELPKDIDIENRFQIHDKNKDGYVNSEELTEMMRTFLGKHDTVRIEGIAKADTKEDFDTKIIWSGASIVEFVGATTSRWLYGYKHI
MRSASDALVKSTLSFWSLKRLVSQTREASDICGLRTRSLLPPKLGMVELCAILVAADLNMDMVVDGESKPEVIYRLMMTHTVQPKRHLDSDSSAYAGSRLHASSSRVHTNTCFLPTLMFFSSNAHISRNSSTRVIYGAQMMHTYLRGQPAGLAALVDLSGPQGLRRFPAAI
MNCHYHPDQAATAACAICQRTLCPTCQHATEGGPLCPDCLSQAVQIYKRSLTPEYRSINPVASAWLALIPFLGAIYNGTYLRALSQFLGMALLILLFDAADLGPLGALGSILFYVYTIIDAYRVASRMKAGLLDGSTPDLMLTPRTKLFYGVGLVVLGLLFLLRNFELFDFDWLHRLWPLLLIGVGAYMVWKGIEKLKSGPAPAAAPAAAPRAPVEPPASTEGKQS
MTVPVRQIGGPSWETLVFEGIDDVGVEKVSAAFGTVGIAARGCKAQEKVRGHRLERVVRRRDEHLYRSPLSAAIMDRLTFGGNIIETGGRRWSRRRPSHLRFHAGARQGSGGGP
KAAGAPAPKGFELAAPNAGGAHCMAGPFESPWLPCVVAAALKAPRPGVLEAAPKAAAAGAAFGAALPNRGANALWPKESAAAGLAPPKLGAADWVEPAVGAEPKEKEGAVVGLEVVSEGLPLFRPNARLAAGATEAGAPKLSGFDTPVDAPKSAPLDAGAAAEGPDAVADAVDGAPKLVAGTGAPNVAAGAAAPKLVGTTCCCPRYLQNRHEGLDVCDAEARAGGAAVAKGARAAAERAARRAGAWGGRVATKGGSPLRSLKLRHHLLLPPRLRQQRTPSSRQQAARERQCQDNHCAPAKSSREGRRGCRCAKDSGGGRRGAKSRRGGRAKGSRCARAEGL
MKIYTSYFGNVRNLPEKIVPISIARSSRFWNGLKYLPLAPDKETLKMPLGEYTNRSIQSFPNSRLSKC
MRARYFAKRFAAKEAFVKAQGTGFSQGIIMKDIEIYSDVRGKPYIAVRKDFISKDYKIHLSLSDDGDYATAFVIICVYSSHR
MEVGIDLVEIDRIRKIQKNYPQFVDKVLGDQERLVYDPLKGQRQTEFLAGRFAAKEAYAKALGCGIGRLTLAEIQVLPGPTGKPYLASGPIIQEVSLSISHADHYATAVVYLDLDQKEIEERLHLYWQMKETE
MSKKNISPKNSLLYILLLLLLILTFSTSSQTVSAVEALESLNSIETGVFTSGELAYVSNGKDLGSETYELTKKESGEIVLTSNGVVTPPIPIPFVKPKIKFDQEITVGADFSPKSLRLNYNGPLGIGSDKIRATVRDGRIDAVLGGDNKQADLRATYSFFLGTVSSQALTSLILVSNNGLEEITEIRTGGTGPQSGDKDRLKVKLRLLRKEIQQLTVEENSQQVERYVLNDPETGVDKVIITTGGTFIAYLRVGGENPFYVYRTDLLGKDYEF
DESIIHNTLESLARLVNIDEIVIVDGSSVDSTPEIIEKFNDKLGKIVFLKVSKKSRAFQFHTGAEHATGDILWFLYPEMRPKQGSARKIKDIMKYREVVGGSFEMIYEANKPITRLLVKIQERASQNMFLFLENSIFVRREIYEEIGGFDLLPAFEMKQLQRKIKKRGSFIVLNGFPIVTSLEKLEKGLSLLKLLKLTACQLLCWIGLPISLCAKLFFKDAN
MLNDIYLDQRHAPFNTTYLQRMLGVIDNAIAQHPRTMAVRVDLRLPDDNCNRNSGLISRFIESLNAKIDARYRNKIKHGIRIYPCQLRYAWVREVGEINEKSHYHMVLFVNKDTFNGLGSYGEGERD
MTTKRELALIRELRKNSRQSLTKIGENISAPLTSLCKIARKLEKEKVIQKYATLLDYNKLGYFIRVQLSVQVEEREKFREFMMGHSNVNSLSRVANNSFFAELIFKYMLELENFLESLAEFNVKNKKVFYIIEELKQEEYNLPEGEND
MATNPLVEAMRHQNGEEPYAPASSNVTHGAAFGVYRRPETAGTRPGMMQPYAATGPRSFEPAKSLDAGSMRMNATSDPRSLTFGGATPQPANDYAGVGYRPPAPPSASSASTAAPPAVPAAPPQAPSADFSAVNDFSQAPAPAPAAAPAPVQPAESMAPSGAVTRDGNSYSGTNVSGDVSINGQAPRNGGGISPQSQQAARGLASYASEPPPVMGQNRVPLIQAAGIAHSGNDWASRNALRNAEVSASSIKNTQQWGGRGAENNPAVQKYQAMLATDQVLQQAAPGLQAEGMRQGNALVRAAMEQQGQNQRAGMQAGLTQQRLDMDRETQGYTNRTNRLVEAARNQVAQQQDPTKRRSLVQYMRDIEGGAPQSDPYLVVPGGQQVDPTSGRAYNTPSTVFNRQSGQFVQQPAQGGGQPPSRESLVRGQIYQTPRGQARWNGSAFDPV
MCQELVNNLRTGSDSEKVAAGKKLVDTDWLSSKSLAIGKAKTILQTVLPNGDAANWFRQTSKEDQEERAALRDHVISEERIGSVKGTFDATIIALGHLSLQYWDEKGLIDENDDVFRYTVAT
ADIVVRTASATLVLELKRVRGRHLKMFSEKAPRAEVEAHVVAADDANALVEQRLVGYAPGAKTVADVVRAAHEQVRGYVAEEVERGARQPVRGFVVVQVVKPVIVEEVT
MLHLLIKKIVYIEDASESDDDGKKRGKIKMDLWELPAIGPSKKNSATRFAERRAWRPGRQDGHGKLWRGKTGLY
MAKAPTCLLSRIRSLSGTPSNSPGEPRSPRDTAPVAPGEVVETAGGIYRFPGQRPSWWQAQESCMQRFGHLALGPPDGALTSRLPDTIWVAQRKTPLQRAPRRRARTTAALAFRERTPLPALGALTVCVHVQWDSASTHTAALXSLAARTLANALQLRAIAEXAVHVALVVLGHHAPFLAAFRRDGRWHHVCATREXRGRWALFADPRRRVGARGLGAGQPVPPGGILALGQDQDSLGGGFLVRDAFSGNLTDFHLWARALSPRQLHQARACAPPFPPLPRPPGSLLFRWDWGALDRRPLLLPPVRMRLLCPVPSEGCPTWDPGPSTQGSLPCLQPWSFLCCYGTETYHRLQEAQSDLFRPRPPASWASWGGPLGLAALLAVMYFLKRVTALGAGEPELLTGPXEELGQGVLSVASLVLEELPAGAWLSVNEVAGRPMALVASIQHLAPLPSTLLTSEQLRVLIQRRHPGLEVRSLHLREASTXDXVFTVPGRHLERPGHVXAPAAEVRRLLRKGLSGATAIHSWFSSSVFHDTPGEPGLRPQAPGSSEEVSRMHGFLHTHVESAIFSSELWDETEKVTTAVTFHLPHQAQVFLHRLSHRCPLATLSFQSYAGLDCSQVQNHHLPRVMVQKERNPLLVMLANPESSWATTGCTVAALCQESATCFCSPSTNFAVLLQVYGVQGEAQEWKRVGARAAAIKSVLVLLPVLGLTWRVSTLVHLSPAWACAAVGLNSFRPSPRTPTPAGTARLWEQESGTAPLRSSDSAFVSAE
MVGFLKIIIQDIARKIFSKIYFKIKKNFQTKFSENFSKYITYILHIYCGGFLDFYIQDRTIKIFSKKYLKLRKILGHFLEIFFLGFLGILWGFSSFFLLFFCFSTI
MTNIVKGIGTLVLLLTLLVPGIAAAHGKAKHVFGTITAFDTAEIQVLTKEGQTVSIGTVAETKYKNRGKEGEENIPKVGDRVAVDVTEKDGKFTATEVQFSSAAKSEKPVKE
MRTRWISLLVLLAMGVASCTGGQRPARTLNPSNEPASTDLLFLATGAGIQALDPSDGRIVFQADGVVPSPDWSVLVGSSHGAGMTTVRTLDPSTGQAQSANQVRGDLVTSAVSSDGLYAALVDPGATTFPAVPAGRAFTHVVVAGLSTDSSDQRFHLRGNFQPEAFSPDASRLFLIEYLPAMAPERYRVMSLDLVRDRLYPTYGRTKFPTGTMTGTRVMHVFAPAGDRLYTLYTNQPSAYSEADESGTESYSSKSGAYGSGSAQAGKWSDAFVHTLFLDSGQAICVNLPEAFGSGPVRAKTLAVSTDGTRLFAIDTDRKLLTELRTRGPRVETSTQVDFGPQSDGTAVAATAPDGTLYVGWGGSIVAIDGQALTVRERWDVDGQVTGLALGSDGTRLYVALGDRIMALDPSTGDQLATIPTPGVQGISFVGSAA
MVFEHADIAGDKGASNEGADSGRFRLAGEYIHLARADVEAMPDTRSDNTGGMPGPTESASKLSPEIEAMKTFYPVAGEHFDEYKSLIDKNDPKAYLDAEPTFRKLVDDSDKAFETQETSTKEDLELLGPGLPEFKREYLSANKALGEEWDKLPQQDRDRLTQTMQEKGIPLSQAA
MSTSFSLIAPFFFSVSLFPGLYYRLDIVGILWSYAQWSDSGSSGSGFLFLDTFTVVIAFLLSGFRFVFIIAIRKHQKGLVRQKVVWVSAVVSQIPMAILLVSSFFMTGLGGPIPILLIIGLIIDRKIGVEPPQTPWNTESAKKKNG
MVGSSCHYPDGLGERTAPQQSYTEGMPDEAEQGHRPASPSLEGVLEEIDPFLDVINAREWSECGRYLVLTNIGSTMQGRVRVDTLFRSAK
MALNFQHLPPTSCICAQRNGSTSIGTLSDFRALQSGGDTAADTSPRRLMNLPCYFSFPLLAQIEMSFCMSVRVIALLLVTKKPGYLRETSPTLISPLNSGIQTVIALGIILRHNWTLRH
ISYFDIKKANSVDVTKPGFSKPFLLADGEARHKGFEYTATGTLAEKWNLIGGFMHLNAKQKTTSAATNGKRPNGVPEWSANLGVEYKASDDFSVLMRGNYVGSSYVLDEKYGVPSYFTMDAGVNYKTSLNSTPVTLRAMCYNVTNKKYWAPSGNVLHSGGPRTFMLSAEFDI
MELFKSPTLDEYAPPGLSETDARLHFEQAAREHRDLETEKLRMKYLKKMKTIEGKIRTAEDRVARETDQYDAAKMSSLMSFGASVLGAFMGRKLASSRNVSKMSTAARGATNAAKQRGDVKRAEEALERYRIDMQDMNDRLEAELADLTRELDVENLELETLVIPPRKGDLKINDPVIVWTPWQMTEDGEATPLF
MDRVGQPVQXPVSAAGGCSRTPPPRRRPPREREVAVAVGQGSSNAEIARALGLSEATVKVHLGRIMAKTEAANRTQVAILVHDAGLA
MKQLQLKDYRGHIEKLIFSTALYKEIIIDLDITTESTYETIGYDDEDIPDIISFESFIESQDIQLLKKMVGINTTVVGYCPFCGKLESIKLECKKIDKYMLNNILNTNHINSEEQYDFEYNCAEKKQIERLKEFKQKYLDNENTFTIKAICTKKHIAKIIFYITDDYNLIKIGQYPSTINYDQTLKEYNKIINKNDIYEIRKAIGLKSHDVGVAAFVYLRRVFEKLIYAAYKEYDSDFTNDRVTKKKFKNLHMEEKIKKLDKYLPKHLKDNIHIYGILSKGIHELTEKECLRYFDDLYDAIIIILKEKKKLDDDKIFKKNNADNIKDIATKTLNKKNKS
MGFLIRLFLTGIVSFIAMMSGMGSGNIIPGAIIGFGAWGLFIWWVASKDSKKRKQREREQLLEEYLRRQLRK
MSLVPAFTALKAATTSTIHSKPTNSGPQMNQRLRITHKLVATAAIAAAVAATTACGDLPTTASDAASQSSAKVVSEPFEGRPSINDFCGQKPIQVALADGFGGNSLRKIMRRQFELEAAKCPNITKTFYTDANADPQKYASDISGLVAQGVNAIITLDDFGPAALPALRKATQAGVVVVPYKSNPGGTPGSDYEVFVSEDSDAVGKEWADWASEVTGGTGDVAMLGGTPGNALSTAFLDGYKKAAPQGLKLIQNDPITTNWTIAGMQQAVSGMLTQHPDTKLLLADYGATIPAIARAYQAAGKPLVPLAVTASSNEVGCAWKDLKAKNPGFELLSVDGTGNVPKIALRKAVAAAQGLKDPESPLVKLSVFIDTKNGKMPTCNPALPPDADLSADLTPEQMKAVFAR
VLDVAVTLAAGGTLAVATTAERNDLRALAEMIGRCGVRVASVVPSLLGVLDPDAVQGVRNWVLGAERLSAELAGRWSAGARVWNTYGPTEATVITTATATPLDAALEEAPPIGRPLLNARVYVLDQFLKPVPVGVTGEVYIAGAGLARGYIGRPDLSAERFVACPFAAPGGRMYRSGDLAKWTAEGDLVFAGRVDEQVKVRGFRVEPGEIEAVLSGHPAVAQAAVVIREDRPGDRRLVAYVVPTGELDPAALRRLAADRLPEYMIPAI
AAFLEEPACRGLRLRQVFCSGEELPAVLRDRFHARIEAELHNLYGPTEAAVDVSYWPAGPDDRSSPVPIGFPVWNTALYVLDERMRPVSPGVAGHLYLGGRQIARGYLGRPDLTAERFGPDPFRPGERLYRTGDLARWRPDGACEFLGRSDHQVKIRGLRIELGEIEAALQACLEVGQAVVLARPGKDGEPALVAYVTPAAGAMPEPAALRAGLARQLPDYMLPASFVVLASLPTNANGKLDRSALPAPELAPAVGRPPAGPAEERLARLFAEVLGREVGAEDDFFLAGGHSLLAVRLARRIRAEWQLEVGLGLVFEHPTIARLARQLQLMAAAPDARSGNGLGPCIRLGAGGGDGLPPLFCIHPAGGIAWCYGGLARALGGSRPVFGLQADGLDPAAAMPASLDEMADIYLARIRAIRPDGPIHLLGWSVGGIVAQAIAARLG
MEPVACHGIDESAMLGHCVHRLLEQSAQGHSDRTAVICRDKTLTYRQLHALANHLARVLAQRGVQRGHVVAVAVERSTHVVVALLAVLKAGAGFVPIDPGFPASRISQMMEDAAPRVVVASTSTAGVFGAWQDACLLVDEVLEDADIRGEALDVDTRPEDVVFVMYTSGSTGRPKGVEMGHGALANLLLSLQREPGCDQTDRLLAVSTISFDMAFLEIFLPLLCGGTVVMAEAQQTRDPKALVALMKRHGVTMMQATPAAWQMLLDSGWRGEPRLAKMLCTAEALPRRLADRLLDCGGELWNLYGPTETEIATVWPVSRGQDIVVGGAISNGRLYVLDDTMRPVAPGSSGELYIGGAGLARGYRNNAALTRSRFLDDPFQPGGRMYRTGDLARFVAPGKLSILGRMDGQIKVRGYRIESGEVEAAITDHGAVSGAVVVGRDGRLVAYVKTAIERGMERGMER
MTYGELDARANQVAWWLIDQGVGVEDRVAVLLPRSVELVVALLGISKAGAAWLPIDPDYPGDRIAFMLADGQPVLVLDAMPEVGAYSQAAPQIRLRSGNPAYLMYTSGSTGTPKGVVVTHGGAVNCVAYMVALVWDGHAPSRMLASTSISFDVSVFELFTGLCSGAGVEIVADILEVVNRPGLRCGVIATVPSAFVEVLNAAEKSGGALAAEVAVFGGEALDWSVVRRIGDAFSDLRVINGYGNTETFYSTAFVATGDSRARDSVPIGRPLWNTRVFVLDGWLRPVPVGVAGELYVGGVGVARGYWGRAGLTASRFVADPFALSGVGGRLYRTGDVVRWNTAGELVFVGRVDDQVKIRGFRIELGEVEAALVQHELVGAAVVVAREGQAGKRLVGYVTAVAGSAGVLDGAAVRGFVASRLPEFMVPSVVVVLDALPL
MIHTGQQRGTQRPDGHHDVATLAESTPTARMPVIPAAHAAYVIMTSGSTGESKPVVVSHANLLSYLDALAQRVDMTGQRCLVVGSPAYDLCYSTLWSALTRGGTLLLADEDTSVDAQALADLTSRQPADVLKIAPTHLDALLSTGTDVFLPHAQLIFGGEPLRWPTVRRVRAARPGLTIWNHYGPTESTVGATMYCIGDPDPFESRATVPIGSALNGPVRVDGDELLVGGPGVANGYLGLPAETAERFVWRSEADGARSRWYRTGDVVAEVADGCLEFVGRLDDQVKVRGHRVELGAVDTALRAVAGVRAAAACLLGDGEGSCLAAGLVLTENTTPQAVRTELASLLPSHLVPTTLVAMQRLPRAGSGKLDRIALAAAIGAASPQRPAGNATGAYPADPLDAMTQIWRDVLERDAVSPNDDLFDLGGHSLHAIKIAARIRKVFGRRVRIRDVFDSQTPQALLTHITELPEAARLS
MTGGEIINEPVIRKIFDHGPPERVIHMYGPTECTVFVISHKITAADIHNGHIPLGKPIGNFQLFIVDENLSPVPTDSEGELVIAGAGVCGGYCRNPLANIKSFTNLPHLPLKGTAVGFSRHAYRTGDIMRVNESGLYEFVSRRDRQIKIRGHRVELEGLENIFLSTDLASAAAVVKVEPKDADTGPILVAHIVPQCIHVDPETVARAFVSRAPHLPVPRVELLVELPLGKTGKCDRRKLEQLCIEKMRVVRESQMHSNGSIDSVETCLEHIWLEILGYPKDHLDFSDDFFHLGGTSLQVAHLIGRIRLLQPLKDLILYSL
MTDEKAQTTTSTLEPAPVSVHPSAKKSSTETRFDSCDPEKTLSLDLRTTKEEGRVDAQGHPILSGKTRRQTVVSNVQFASACWALFIIGWNDGTTGPLIPRMREEYEIGFTVVSLLFVVSFLGFIAGALVNIRLAHKFGFGIVIVIGAMLPVIGFSVQSAAPPFPAFAAVQFLNGLGTALQDAQVNSFIGSLTHHSATKMSIVQSFYGLGGLVAPFVSTRFAQMERWSFHYLITLGFTMVNLIFFSFAFQFKTLDECLADGGEPVKPMAKGSQVSIQEVMKTKTVHLLGFFILSYVGMGVTLGGWIVTFLIEVRNGGPSSGYILSGFYGGALWC
MMKYMTIIVVICLSFLFTVYCLKNYDQYLLDNNSDIDYDQLMSDEETMDYIISRVKRKGGKGGGGGRGSGKGGGGKGGKYKGWRIHGSGGGGRFGGIFLGRRYRYTSCHQFGNNTNDTNYCDKFDHCQWLTKVYVCYIILPVPIIAVHFDDFDRRVLFVATIITSFLIVSTYVILIVINLIDNLLNTIEWSYMLLE
MSLFMFGSMGMTPEHREKSSKGGSIPIRLRSRSSCSSSNTIHHFHQLCLEAEEMSRILVVEMEILIISLGMLYYFVSLQFAGLLGFLFGSLVILMNELLNE
MSESQADRVFRVVEKARAVAGKITDLRRVVQDSQVREAVTRTELTVALHESLAAREALAARLRVAAAAAYRTSRGVPAPVRRHGGLSRRFDRLLARLGAFGQAVVIARSGVWRGSGRPLHNLRHMAAYARRGPAPAVTPLAPFDQGRYLASHPDVAGGRTAPLVHYLLAGSLEGRAPHPLFDEGHYRRQNAGELAGSGVSALEHYVRRGAALGCSPHPAFDVGHYLAQGPTLAAGDDPVGHYMREGWMQGLSPHPLFDPAWYVRQAGRAARGIPPLVHYLTEG
MNRSKFLLPATRSTTFTLWSALPPELRFCIMLFVDFDTQETCIITNRETRLWTMAVRNSRRETVIKHIFNNHKIEQQTASIVFDAREDVAPVRRSCSAHIRLPVIC
MKGGAAQGDEDVKQKPDTGEAEETKVDRLPTAQCKAVSPGRSPLRRLSRFEYSNTLKDLVGDTTQPGSSLPAEEYGNGFGNDA
MWQDHLFPLLTLVKPQLKAFTGKIAPSLDGDFLLSLQICGPVKSWRYGVGQSYITRTSFGRCYDVMDKRMQPLVPVNYFIEELQAAQGNSSTATPNFMTTGEFAKIISVSEIEAWAIKNSEV
MKKKIRIYSDRGASLFSLKCLINELSLLKLDIDIISAKQVIEDDWQDTTSIFVMPGGRDLMYLRKLKSEGCAKIKEFVENGGSYLGICAGAYFGAETVEFEKGNALEVLGKRDLNFVKAKAIGPAYKETKFSYKNHEGSKAALVEYESKDFRCLSSIYFKGGCYFEGEE
MEGSSRKYRGKNVLVYSDSGTSKNSVRHTEATFTKILGHSYQIIKVDADLIKQGGWEQGCALLVVPGGRDQPYCGDLNGDGNRKIKEYVKKGGRYLGICAGAYYASASIEFEKGDPDMEVCGPRELAFYPGLCRGTILPGFKYNSEQGARAAACGKFAPSLRDLCDFPLPSTIKTYYNGGGYFVNPMEYSGIEVLCRLEEPDVRWKDSNGEEESDGPAAIVYCPVGDGHAILMSIHPEFDVSDKTIPIKDEILIQLRYFVNEQRELLRALLRKMGMTISMETKEQDLISSLATTCQISATSLIAATEN
MRVTLIDTINSSSLFCLSLIVMAGSSTKKTSVTAAQRLAMPSTASTPSDALVDQSPSIETAQIPPNNSSTADMPFVQPVTVIMASTGVAMLDSLTLSPVSTSLTPSTPSAGIVPSPIHLSTGDMLPFLPICLIKTHDRLLQSGSYLGDRSLKPTVDVVLDDSGVPLGGHDLANLSTVSVVSASDFWMTADGGYRGPSPFCSCLADVKPLLALETPDSTPYNVDFTETIRNLLQLQE
MKEKYANEDFLTRWLAGELSEEELAGFEASDVHRQLMAIDDAAKSLKGPEIDVEKALLAVNSKNQNVQKAPKVKRLWAFSAAAACIALLFASYTYFFGSKTYYTGIGEKETILLADGSTITLNANSSISYRRFNWEEDRAVDFDGEAFFDVSSGNDFTVKTSKGTVSVLGTQFNIKNRKDFKVQCYEGSIEFTPLDTNHPSMRLQKGVEISLMNGEIHQKQFSKNTPDWKNGFSSFSEQPLSEVLDELTIQYPIQFKIDAIDINRKFTGNFTHTHLENALKTTLEPMGIQYHVSTDKRIVTLSE
MKQSLNPYSIINTLPEYQNFLYHFDYVSVIVLMTIICFIPTLISTFKAVLYYYKNSAQNSTNTIDPYVFKSFVYMQVSNIVYTVFDFIINRIPSTSVVTSYFSTMESDSPVKYMVAGYHLFEYISQLFTVLFCLIRLLVFMD
MGGCSCDTKAFSSEMSGDIRAYDINTVDIYTLEGGGLTGARYPAWEWRTRAAVWGGPGSGRRWRRGIGGEGGGGGGDWGASRVGRWGSRVSPMYWASCKKMRSADS
MNFGDQPNKLQQKIVTFVIRQPFPACRETLTWRAADDRVDTPMPPRPRISPPETPLGGLKQVVGRQSRRVTAKDLTLGEVIQMGSGMNCVVLNGRYHVESGLLEPKTQTAGTREEIDRNWSAG
MELFSKKQTYTLKEFLNYLRSKESIELEKKAKILYRHKQYRRVAVFTIAILMECSVNALAAGNPFDSVGSRFWFYVKSFAKWACLICAGIDIIKSLNAGDSKNIGKVIFKYIVAYAAFSILPWFFKEIDTAFSSMK
MRRVASLPFFRGYCTGLGTLRCPRCWHAPLVSHFFPFGAAREYHEKTPEMLIKCSTTRSAPDLNLESVLSECASRMHLRLEHHEFGGLSLTTLRRLNHEKVLMVLGILREEVQKVAIAAHGAGKAAFELLAESNNEIIGDNDVEGQGMDQQDV
MLRCSHATALRTRFPSGAALRAPLSLQWANAPRSCSPLAPALKTAQTAVTSLTAQSQIRLLNYWSNLERSLRVKEEDTLGEEDGPRDEDAVADEDKRTHGGLTKHVEAQSTTWSKPRRPFTRKRQVGKEDAEIFGPWTPRKIRENDPLFQIASNKIQKIPSKAAEMELRWIRDRTMLTERVRRLLSQGDVLLAATLVRMAQRERLDCVVAWNQILAHCMKAGSPMAAWKFWNDMKKRGVLPSEFSYTIMLDGFKNSEPQPGFHPVTQALRLFQQLRLPSSKIKPNIIMANAMLSVCARHNHLDTIWEIAGELPEEGPGSPDDITYTIILNAIRGSLHQDLAHLWANDELKVHRRRLLALTEGKRVWTDVVYRWKQGQIEMKNQLASAMASLLLEGPMDRHMHEVFYLYHQTTGIPILSGKPSDAPSQRSKASRSKAVRSPEAVPFVNESGDYISLGKDIEPEELAEVEAEEQNGFKDIFNPVVSPDAQPYSLERGIPPDPPSTGPNYMPLTPGDLSLIMRAALLTQEWGTTGKGYWNHLTLDDVPYRVTPDEECYKAYLRILRISRSSRMAVDVIRSQIIPSGVVEGVYFHIAMGVCRRDRNNYMVFKHASELLSMMDKHLIIPDTRAVNGYFDLVQYLEATPEALLDLEDLDAIKRGRSKGLLRADISNFYSKQVRELQVALELLAIEKLQPIIASLKVALDEVIDGPPELFRQPGADQGPPVRDGSMGHTLITLLTRMRQLIDNVLKYSVPGQISEEARNELKKQSLALRKYSKMEIFERFRHRIIHPTKEQRRKYAAHLQAAVEARKRRDSRVDTAELSSEVSSSQLPPFKVPESQLPTSPSEHPSLQESQFEAPQSQVSQPQDTQPPETQAEEPQLEKSQLEEAKVENLQEILWEQILSPQPRAEEIAQSEMPQPRKAQSQNPLPQEVQVEELGSREQPQDSLSETAPPQEPHVEEALSRAPHSPEPQSDETQAKELRSDK
MSAPCRRQPGGSPISSGAVARRIPRRGNLGPSLFCARAVGGLRTYAADATTDATEDIAGEENDEAQLTPPPTHEKPEKSRGAPRKRDSLLHELAKEGTSPKFREDRKQEQREWSNFSLNEIKRAETAANKEVIWLTDRATLAERVEKVLQKRDALFAATLVRKAQRLGLGSTAAWNYLMEYCMRENEPQAAWRFYNEMKKRGRQPNTRTYTLMLSGLGRTASQLGFNNIKTALSIYESIAEANNGIEQSIVHANALLTACLRQGDLDTLWKVAADLPEVGPQAPDEKTYTIILKAVTYSLERDVKEIPSEDVDKIIERRHEAVIEAKKVWSEVVYLWKAGRIPMDTYLANAMAKILLQGTSDHDCHDVFKLYRQVCGTPVLVEEPRKPRAPTPPSPPPRSSSPPKPKRKQKKEEHLIAPDSDPDMNYVPFVGEDEEPLYKSREAEEELEEVMEQEPEEEVPVNFDHLFDPVFSEVAPAAEQATNAEETQPVAQDAEGTSSEETSSTAESTAETPQAVETNVVTSGREVKKPSFRTLVPFGNPELCYVLEACMLMTQGTPAARANWEYFTQSDNPHKIQPDKESCLWYLRILRQSHSSRLTIRLLQDQMIPAKLVDGRIFHIALSCCRRDRKNISIFRNANEILDLMHRNIVLPDPRALEGYLELVESLRRNPQRLTILQGLEPEREEPAASLEAWGRELQVKLQLLAVQALRPHIAKFHDAMQQADNDLRAQGRSSKNRKDVAVYGSSAVKIMLTARLMMDNLLTRSNASLISEEDRKLLEEGSHRLRVYSTPGASKKFHNITVFPTPKQLRAFENGGGRAVVGEIAKRGWRHDGE
MNISPLVQTPRMLRCTIIGLPQAAPYKADAGKMEARRQFLGPTSTPMVSGGSGYNSHGTLTMIDYGSIVPCNTGVSTHSLFPTACLSSLHRPNYGFLQKFQ
MNGFRYALDVVRATLMSRIQNLGLLYRRKFDVGVNFRAEPYEAKLDFDSSHSKTVEAFNEPQNVLDLGCGEGVVARALSEKGCQVSCVDQRDIPKVQRFAKHFEQQDLNTFKPSKSLRADAFDTILLLDIIEHLNNPEQFLDHLRDCYGSQTPRVIITTGNIGFIILRLAHLVGQFNYGPRGILDIGHKRLFNFKNLISLLEESGYVVSRCEGIPAPFPLVFKRGRISRLLIALNRIGISISKRLFSYQIYIEAHMTPSVPGLLHNMLEDPKQSSQEL
MDYLLSVVATLIRYAMSLYIYLLLYLNEGSVKKHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDEAALRFKGAKAKLNFPERVQGNTYLTTTAAASSAADPLLHNTFPGLQQYAQLLSSNDADFPHATSALYGYSSAADASLISPPPPQQQQQDFQASFDGGFPSSDFIDYARYYDDPSNPNC
MLKSGIGIEERKQLKKPAQASSRKGCMRGKGGPENASCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFETSHEAALAYDAAARKLYGSDAKLNLPELSIKSQSQCPPPSPPPPSSVTNNTQMENLQQIQNNYIDMATCSNFNNINNPEVSMASQQVGVGPIYTSDSIVSLPLDTNPKPVENEVEFRPSWATMNEGLPVFDDSIWAEAAMSLDFPHIAAETAIYASGNNLADVGVWDSLQTPWCM
MLKSGMGIDERKQLKKPAQASSRKGCMRGKGGPENASCKYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSLDAALAYDSAARKLYGVDAKLNLPELYVNSQSLASSVSTQVAQMQGNMQPPHTMIQPNSDMSTCYNMMNTNPPVSMASQQVGVGPIYSNINESIVSLPCLDTNTRPLETYDKPMENNDIELHPFWGTMNEEGWPVLDDSIYAEAAMSLDIPMIAETGFYQANGNLNLTDMTTWDSFNTPWCM
MVLGPIITHSLGLHALFWMIAVLATIGIALTLWVVPDSKHHVLNRESGMVKGCFSKVLVEPRLLKLNFGIMCLHILLMSTFVALPGQLAASGFPAAEHWKIYLVTMLISFVSVVPFIIYAEVKRKMKRVFVGCVALLLIAEIVLWGSGPAFLGADRRCAAFLPGVQPDGSPAAVADQ
MESRSCFIFGLLILVRLVTGLRQTISTPLMRRQVFEDFVLASSVTTTSLLIPNIALADDDDDDAVQVLKRRNSEVWLIGTAHVSARSAEQVAETIDAVSPDLIAIELDRKRISQVAASSEQTSETPKQQKPQLGAFAIGAALRSMYSSLDKMGFDSGAEFSVALDKASSGRIPVLLADQDVDTTLSRLAYAVRHTKPEEIAALDSSLNSVLSKNQGIRLLTEATSNYAGTKSGEGSRDILREAVELIKHRDTVRNVIQALKSDAPQLYSALVDERDATMANNLIQVLDSPVPPKRVVFVVGMAHEDGIARRLYESGFRDDDDRRNLVLRNYNKKKVRQRMPISDENSKKIEENKPEAVGAGRIILLNAKSS
MSTRADKAVIFSSSKTSKAFPKIITLSYLMLNLLGKSSVLPTTEKDTFFY
MAPRRAQSTMGDNNVPNYVPQAAEFREFQEEIRNEFRAFQETMIQTLMAHLPPPPPARGPEPREEDLPPPPPAPAAVRDYLPIVRELRAMHTPRFGGSLDPEAAEEWMTCITRDLEYVHCPLRYRALLASHHLSGQARHWWEKVVQEMPDGYRFTWEEFKEEFERKYYRRQNQECRLSDFLNLQQGNMTVREYEVEFVRMLKHSAHLVPLESQKITKFIVGLRPSLRWRVTLYEFRTLSHCIDQLEKAEEAEKEERASRARDRSPPRRHPYTRLSGKTGQTGQSSRVQDKGKVPVTQTRRAASVSGYATRPAVGAGVAPRVYALEAEQEIQEEKVHDDGVEADAIAGTLHLYGVSCYTLFDTGATHSFVSAAIVERIDVEKVKPMSNFTVRTPAGETLTVRGTLLSVPLDICGRQMPVDLIVVPIGVYDLILGMDWLTKYQAYIDCPQRTIRFQEDFGVVITATKEPEKRLEDTHVARKFSDVFPDELPGIPPKREVDFSIDVIPGTEPITKTPYRMAPTEMAELKKQMNELVENEFIRPSGAKLVLED
MPEKLSIFVVFLFFILICQFVHAKGGNGGSSAIKSNKLTISEKFDKMEIEIATIKQTMDQHFYITLVEVQKLQKQTEQLISDVLKLENINQLRPVNVGKARQVTSNDGGRKKNIAIGHSQQLGSSADSTKHNSKEFDTKSGDNQLRPVNIDMALQVKSNDGHKKNIAIGHSQQLGSSGDSTKHNSDEVDTKSGDNASPNNKSINSWDPIACNADLSIIDSDHLVVLHKGTFGMRSVFAKWTIQKRQEDGIFYYEVKIVEKIGSLFIGLANKSKMSVADWVGYAPGSYAYSDSGYFFGHKVNGKILPIDTLVTYGAVNDIIGCGVNLKTKKIIYTKNGQRLVETQLSTD
MIDEDDLGDLETMLENSKLDVEDQVRRAVNEDSDGTISGNMNLYHGMLSDSRSQVENHPRLLVYAHSPGFEAYETGMAQLTGRRPDDEITPLRKGKQTYRKDSEVNTDYIPLEVLPEEAMPIGAVYQGEEVPELILEHEELEMQKGRQVTFQVHPYDPGDITGANWQENIQNYDGDEVNFFGTGS
MGCVPCFGVRGDGDSGGSDSSGTLHGRNRTTGSGGWAFAGPKGGNMLPDDKEHDHAQFLEVDSEMKDGTPAFCICNAGPEISKGGTSAFCLASVSKHGAVARPVIS
MKSDSTYQQGVIDEAGYESVKDDPQSHKYENSQVTAASKDAETVVQVITDPDDYLAFVVTDKDEPQPNKYQNSQITAATHNALSVPSGISYENEDESQTGKDDHLPHKYENSQVIADAKDALAVPPAISYENDDESVTSKDDPQSHKYKNRQMISAAKDAVAVPHVISYENDDETGDSQTQADNQNDPAAVHGADTTNHYQQLSKETLEQEHTYTSLSAQDT
MPRIRPYAHPDRAAVADICVRTADAGADATGMFRDDLLWAAVFVLPYVDRHPNLAFVVDADDDRIVGYIVAAPDTEDF
MITYRPKSAIRDCARALGYDHAQIDSWTVGRPSTELLDGVPQKVVEIAQQMQDLPRHVEIHSGGMVLCDRPVIEVCHCSVGNKTRQNSIAVG
MENKNLLKCLETAKAFGSPVDQVKNFVEHGHIPFPWQWEFHSIARQADKSDGPVDIGVGGARGPGKSHAVLAQAGLDDCQRVRGLKGLFLRQTGIAAQESFDDLVEKVLRGRIEYKKTGSLLRFDNDSKILLGGFRVENDIDKYVGIEYDFIIVEELNQLTEEKYTKLRGSLRTSKPNWRPRMYTSFNPGGIGHSHVKSRYIMPNRNHEEVETRFVGATYKDNPCLNKEYIDYLEGLSGDLGKAWREGEWDLFAGQVFTDWRQDRHVINEFAIPPEWNRWISMDWGVNAPFCVLWFVEGYDKRMFAVRELYMNGVDFEKVMGVGLTPKKLAQTIQVINKKMGWEDYQYLVADPACWNHPEGGESIAETMMGTGLKMIAADNERILGLARVREALSQAPDGKPYLQFFRSCRKAIETIPALSYDERKRTMEDVNSDLEDHAYDSLRYFLMSRPSRTTTLPNPVTNLLSYDYKKKTSGFQEEGETVLEM
MLAKLTTYIPKILLFLIVLLVGIYFTLTLWFFWRGTDLTLEATVNSPSCNQSQWQVIAQSSLSPKELSTVIVPLQAGKHLYTLPLDTTFRTIRWQFCPEQTITLTSLKFIHHGQPIELSPTDAAHLLCIGCQSQNQKDGSVIFTPDPSKVTLQIGGIHQIVSLYYQAVYKYYYYLPQLILIMIILYCLVSVVPLTVSRLSFIIVSLIWFSTLVYFWPKLRQLFPAAKFQESQLIAAAHYLGYSLRADQIIMGILLLGFIVIAWVFKIRRRHL
MDSINDANSNVAKEGNSNATAKVPDLTEKAVPCPTTSMELTVNSNKTQISRIRAHTSVEDSAAGKTNSTSGQSETLPKQNTTNSSEGCESIDASTNSTSQSDDKTSKPDQDTKRSENGNKKRDRSKLRKGKWSIEEEEYTSRIIHYFSTGFISLPEGSTLRSYLADKLNCDPMRITKKYAGASCLGRRVYQFRDRVQPTMTEIQIAKAELDHLERRFRLRVEEGHSALSLPAHSDMLVSLSQQVDKLMLPNVAASATAPTVQSWGAPPSQGFSTLGAQQLAPAPIAQVPFSFQTSASGQWLLPNTPASAVSVSALPPFPVAAAPSSQDVSNAVASWAFAQVAASLVPALSQLANLQQQQQQQQLPQQPSLTHASPPPQSSCREPPITNSDLQPQDFAKKLQATYEQHLKSTIQSSNDSDRQSQVFAKQLQETYERHLLSLTQNEKSSENLHSKVQQVELLQYL
MDYQKRIEEYRKIGREIKEEYIDEKRKDLLCIEENNVLLFLKRIEEDECSTGDLKNLFLQNQEEDDYRPSLYVDFDKKLLYSMYIEPASYEDYVPVGWNAKYKSFLDIIPAEKRYWEKQN
MQCLPEAYKNIIVGLKWRGKFAWYVSLKDMWFLDETALEREYEQWCKRKGLPLNFAVSEDDERYGLCVLNEKNVNLFLPRIAKYAVSSDELREYMKLAMNIKSRDEVTLEYMPSLYIDFDKKILYSMYTEPASFEDYLPANWQGYYQDFLDFIEPIEQFWRENNKEIIDFRKGPDGK
MISGFSTKKILSIALFVDFVAIAAVIFRAILLDKGPYRYFDEGSLINWLSGIQLLIIA
MGIMAKDAFSNNDELIKFTELINKQFTIAGTSAAGIDAAMLQLTQAMSSGVLRGEELNSVFEQAPTIIQTIADYLDVPIGKIRDMAADGQITSTIVKNAMLASADEINAKFEAMPMTFAQVWTIAKNIALEAFTPVIQAIGSGAQWIYDNWSTIAPIFWGLASAALAYAVALGIQTAATWIADGAAKAFFTTLLTNPLFWIALAVGVVVAALYRMIQAVGGVKNAWEICKAALVVAWAALKVAFFATYNWIANLIDKLKLCWQRAGVAIAGYMGDMKVNVLTILQNMVNGAIDIINKFIGLLNKIPGVSIDAVEQVTFATTAKAENEAAKQARADALNKYESDIKAAQAQRDATYSAAKKELADATAALSKTYANAKAEAAQAKSDVGATDWNVDGTNDVGKVDSVGSVGKIDSDVNIADEDLKFLRDVAEMRYVQNFVTLTPTVAVEAQISEKVDVDEVVERIESKLEDEFTAAAEGVYN
MATIRTAIQIHDGMTPGLRSITNALNMTISSFEALQTASGNAIDTASIQAARTELNRAELAFNEIEQQIREANQAQQQFNNDIRNGQGAADGLLGKLKSVTMSIGAAFGAKKIIELTDSMTSTNARLDLMNDGLQTTEELQNMILESANASRVAYMDTAAAVSKLGIMAKDAFSSNAEIVAFTELMNKNFAIGGASIQEQTAAMYQLTQAMAAGKLQGDEFRSIMENAPLLAQSIAEYMGKSVGELKDMSAEGLITADIIKNAMFASADETNAKFAEMPMTIGQIGTVVGNTLLQTFEPVLQGIGRGAQWIYDNWSTLEPIFWGLTAAVGAYAVGLGIQTVATWIATGAAKAFFTTLMSNPLFWIALAVGVVIAAIYRWVQSVGGLEIAWKICMNGILTAWDWVKIGFFTGVYWVLDLWDKLKFGIMTASVGIQNFMGDMKANVLTILQNMVNGAIGIINNFINLLNKIPGVSISAIQEVTFGTTAQMENDAAKRAREADLQNYRNQLEAGMAARDAALERMKSDAWDAAAKRQAEISAAQAANAAKAAQDNDFLSIFNNAEAMKNLADTAANTAKMANSMEMSEETLEYMRDLAEQEVINRFTTAEIKIEMGGITNNVSKDTDLDGIIDYLAEGLYEAMQVAAEGVHL
MSEIRNILASVLDTKKRKSVSVFGSRATGKHRQYSDLDLWIESDPELTSRELQDLRERLEESDLPITVDVVTPATCLDAYRENILKTKMAWF
METNNVLFVEWILIIQLAFCILSVVRCNEICEIHATVIRRIENEGFHRDIHSLVEIVTGYFTLLDDCVMILEETMPSGLYVNPDQLADMRRFRQVQACPVGMVDIEASQKDSLPHSVYVYSSLNRTENLLSASLTLPFHVRYHSPTPHGGYSMVQLAAPSLLLHCQNDMQCVQGKRIHAPCRPCGSDLCQWVNLTYKTNSPSISLHIPVGNLSHYVLVIIVTLLVTCGGCVYILSTVVEAWPHTANVSKIGHTEEHSQQPSASSYWPHSCILSHD
MEETQTQIPDSVTALQQVHDVHVDESATLTIYSIDITIGAEHQETPPKPSTNVPFPRDRAFINRDVILHDMFEKGSASASKFALCGFGGVGKSQLAIEYCYQIRTECPGKWVFWIHASNSARFEQSFRDIADLVKLPGRNDPKKDIYKLLHNWLRDDCEEDWIIILDNVDDDQFLREVPTGQGEAGVITRNTPLLEYFPPSNSGSVIMTTRDRAVASRFTDESNIISVDVMPERDAVSLLKKKLMTQVNNADLVELAKCLGSLPLALDQAAAYINRRMRLPPYSVHQYLEEATEGKRMQFLDADSGSQRRDWESRKSVGTTLQNSFDHIKDKIPKAADMLTLMSFFDREGIPKAVIQQWYNSEDDFNPYQIYEEESSDDGSTKGSTCDTFEDSLLTLMDYFFITASEDGKTFQMHKLVQLAISEWTKLLGQTEKWKEIFVHLIDTHFPGGGTTNWAKCGFLLPHLRSVAKQQLSNRTSLKQQTIILHKAAFFMSEIGKFSQAEKIASIHFLQRLKLLGIDSLSTFSGLGDLATNIRGLVHLKDAEALYTEIINARNKILGRDDQRTLNIINNMAWSWKRQGRREDAIALLGQCVTLQREILGANHLDTLACSWTLVTWQTEHLKIGSSEDQYENISNSDSGIDCQ
MAYSDDVNFPQFPSDLACEAAGIDAATLKNWISRKEPAVFIAPNERVQIGERTSFRFTLRRVMQLAIVAELNNFGIGPSDAAWMAAEFTDQENDMQTRRAGELFEKNYTVLIVAGSHLCSLVNATAETSWRLLPTLSMAPSASSNIVVNINRIDMRVRVALGLPVWAREGVF
MQITGRVDRDTVNARPTMITYDASLPTTHAYLGNDMEDHSGRTVLDDDSFVTMPLMQMPGVILTPGQTIPFHIFNQRHIAMIRSVLGNNRTFGMLYDW
MNEDPGAGPQTAQQQPGPDESARRSGLRALWLGLGSLLGIFLFPPLALLAGIAAIVFGIRARRAGNPPGAIAGLITGAIGLVISAMVVAMLFILGDELSGYQKCLDRSNTRTDREACQKEYFPKFEDRLNLPRGSMDKYGPLMG
MCRPRHREGRTADPCPCGEVR
GVAKYLNSHGYVKKLRQNNTIPGFSRDFVKDVLDNPVYIGKIAYGRRRTEKKLGTRNEMHVVEQSEFPVYEGQHEAIISEEDWNLAQEKRRAISYRREKVNDPDHAHILSGILKCPCCGKSLYGNIAKAHSKDKKTRYYYYCKNTVTPTGHECTFRINIEQTEMNQMVANIISAMVKDPRFSEAIKEKIGTAVDTAAMEKQLEALQTQLRQALGTKSRLERQMDTLDVTDPYYDRKILDLQRRYDEQYGRIEEIEIQIDEVQSQIRNIRQEKISGDNIYRLLLAFDEVYHSATEAEQKEFMKAFIERIELFPEKRKDGCWIKNIVFNFPVPVNGEEVKELPLENETMLETVVLLSRA
MSEFFSFFESLSVIRGILGFILVFLVPGFAWTLVFFKQIDVLERIALSFGLSIAVVTLSILVLNKLIGIRISELNLLANYLSYDCRAYSNLLSQSTEK
MDIQVGTREDYGGYTLINKVPFRFSFTFLIHHGDEIKVLKNGFPSNVAPASSEVRTLRRTQNRQATSVPTAPPHSLLEQMHHSLVNLIAELGGFAILPLLGIFSKTFPQKMSRRRCSEERDCGRDGRWGSCDRVRDDRFPSLENHFSMGQMSRRRCREERDCGVEAKLGEVGEG
MTLSGSKTGGRPSLQDVRGRSTVRDTDLPRMCGASQNLWLAARAEGIGVGQYSAMGP
KKGDDSARAAGSPMAEDSGAILRHISSLKDMLDKVNEEIEQNIQRTRETESEIVKHSETEKDYLVKESELMKGVSVAEFELDGLMQVEGLSCCRPPHAIKLAPWHCHRAPRSVMPLSSSCHRPVHPVKLHMPSAHPAGVLSRFARHHVMDL
MFAAIGEWAENFFTDLVSAIVDIIATVLTVLLVVLLVVVALIAAVALLGALLLAPGLLLPLLLALGAVVVLIVAVRVLSDTAKETPTVEPYEPPRDAGSTEGVEGVFGEAAFVDDLSGADQTVVKIEKIVAVDGSVSWRIVLPSTQDWQALASTMSGEDFALFQAMQDSGKVNDVDSNMALVLFPSLRTQYERAVVEAMEQAGIRGGHDGEPVMLVGFSQGGILAGHLAANRSDAYNFEAIVVCGAPIDNMPIPGSTRVISVQHEGDPVPTLDFFTDPPVQDNWETITDTAPGNPSAVDEIHNAAQYGQTLEAHLQDLEDLDDLDQFFGGSGNYSEAEYYAWHE
MMNTSKKPAFNITPGTIITGKWQHHSYTILKTLGFGATGTVYLAQGKRGLVALKISEDHMAITSEVNVLRHFSKVQGCLGPSLLDVDDWDVRNIGKTLPFYVMEYLKGEDFLSYLKKHGNEWLIVLVLQLLADLEKLHKEGWIFGDLKPDNLIVVGPPPRVRWLDVGGTTKMGRSIKEFTEFYDRGYWNLGTRKAEPSYDLFAVAMVMINACYPQRFSKKDESRHLPQLIKAIQSAPLLKRYEKVIVKALQSRYHSAQDMRNELMEVANGRIDKRKPIRNEVAPSSITQTKAPSFRQKQRDIKRKEKYRGIFETMIIAILLIVAYFLYIAGHIM
GSNRDITERKKLQAEIKILRGLLPICASCKRIRDDQGNWTQMELYIKNHSEAEFSHGLCPECARKLYPEIFKK
MASSTTAPAPMTSPSHLTNIYDPLQSGDDQYHVEDGEGGVTSFEGASFTTDHVESEAEPTFTTLEQSILHSVENQVDYETNDQHPSSISPTPAQAPPPKPQTIVTRTGLTLRASGFQNYRWSLERFLIFVNVDCEIPQYIFESDTPTHPVWSRTVATANTSFAGLEDVEFTAVEILTFMPALYQVHGVIHRLISNGWLPSEIAHLINETWGTDEDAGVRADTVKHQGRASDKKIYGAPWLEYAENAGISPVCPRVTDFTPRHWYAHGGSFQSWEKGHATDPCLVDLAVGISPAKFPQGEDAKLLTEGIMFAEAHGLCIVKLSELRTLLKHKDWKAQVTPMAADADHTALNRSRARYRAAHPGRRCRKPTDA
MKKKYSCLFSLLSILFISACNKQLREEPHSILTPEFFATTQGFQRGLDAAYAGTRNLWGTQNLFTMTVIGTDEFYTGKDGNNDINKYNSNYNTSNGTVAAIWKECYTNINTCNGVIEYAPKITGLPDEQKGRNVAEAKFLRANFYFLLVQFWGDVTLNRNFQTAPVTSATRTPLAEVYMTLLSRT
MVDFPALSGVMEGIRRIAHVHARVAVAARKYRIDSLESLACQNFSAWAEWHWQSSSFILVAKDIIYSQIAGISTLQNIILDTMLRKFHVSVQKAQFQELLKRYGSLGSVFITKIIEHYRARSRHTAPAGGEKSFATTAAGKTELLSSMSALLRGYQC
MNARYSDIYEGNEPYLFISYSHRDGQCLETVKRILEDNSIRYWYDNGLHSGDDWNMVIARRLKDAAACLLLLSPNSAGSEYVKNELNFAINHGIPIHTLLVRPFVMPLDIEMMTGRIQMVEMQGAYEEKLIKALPPEVFAGRETASSEKDELSHPLFEPVELIADRQGTRTYLGRHKLLSYKCAIAEDVLKDDEVADIGDRLKTASRILHPLFPRIVDYTLSGRRLTVFREHLGGDFLDNWLEGNQLTEEEIVAIITDVVRGLEDLYGMGLAVRDFPRGSMVITGDRKLKMTRIYNPYYGFVKFREETKRYYFEKELQEISILLASLCLGKEPYMPIRIIEEKRFTKRFLLKINTVIQKCAKENGRPVYGSFAELLGDLGTPACTGKDKSFLKARAKKLCEYDKAREERTNSFVATDRQPQPVVGYGSLEEKFGFDGTVLLSEPSDEEKLDFSIMMCSTGQVLNFGKSEIIIGKDSRCDMVWSQPYVSRAHLKLRKNPDESYSVTDLGSTNGTYVIDVGLGEPDWVRVPSGEEKTVYKGAKIRVGSSEIQIL
MDAIVRYDIKVNNDNTITVTLDSEYAAGGIIHHMGYVISGTDKDGVYLEVRDRATSAGNDPWFPMDTPPGFEIGDCYGSSRPSACSEKPSLPLFATRTFTPSSTSTATLLNDPLWYAAKYGSAGSEELSTGETSPNYFLVTNASNLKQQLTSAFEAILVLGEPSTTAAAVSSGSLRTGTLAYTAGFRPEDWSGQLKAYELNTDGTVGSLVWDAESKLRATIQANLSRNIFTTKLVDDVQTAVEFEFDQLSATQQGALNKDLSNVADGLGAERVSWLHGNDSAHSSFRSREAPGGQRPLGSIINSDPQFMGKQNFGFSFLPVDASTSYKSYRNSTNYSGRPNVLFVGTNSGFVHAFNASDDTTDGGKELFAYIPKELIEPESTTGSAAKVNRLMDTEYVDKHQYMVDGTVAVTDAYFQAAGESAAKWRTIAVGSMGAGGKSIFALDVSDPENFSASDVLWEFQDVDLGYGVTHPQLGCIVSGTSCRWVAIFGNGYNSASNRAMLYVVDLKDGTLIEKLNTGIGSAASPNGMAPASISDWPSNDLAINHVFAGDLQGNVWRFDLSGNQNQWKNNIDKVFTATDPDGMAQPITSQIVIAAKPNASSTMMLLFGTGSYFRNTDGDADYQVQTLYGLEQTTSSSTTILKSQLLEQEITWVDSAGGYQSTSTNSVGATQVYKGWYLDLAVEGVSDGERVINKPTIIPGIRRDRVLFTSMAPATDPCIGGVEGRYTDLMIDTGDRNTSSVFDLNQDGKIDAGDIVDGEVVSRVTGSSHTGESATIVTDEAGRAFALEGGLEEDEDLTEILGTGQQFGRQSWQQLR
MNDNTDAIDELVSRYLDAEVTDDEAALVESDPELLARADAMRSAIEAVAAPVDIPMIDLDQRRTVALNATSTSTGITDLSTAGARRIERRNRFVAVAAAG
MNHERGSFTSKIGFLLAAVGAAAGLGNIWKFPYMAGQYGGSAFLLVYLISVVILGIPLLLAEISLGKETRTGIVGAFEAFSKKKRWKGVGYLGVFTSIVVLGFYTMVGGWSIFYMFAAIKGDFTGLTPDQFGQYFGSFISQSFQPIIFQIIFLLLTVFIAVRGVEKGIEKSNKILMPLLTLLLVILMFRSLTLPGATKGLDFLFNFDLSKLTPLMILAALGQAFFSLSLGMGGMLTYGSYLPKEMPIKKTVVQIALYDTIFSLLISLVIFPAIFSFNFEPAAGPPLVFITLPAIFTKIPFGTFFATLFFALVTVAALTSAINILEIALATFVDRKGYSRIKSGAILSILILIFGIPSSLSFGALGQVKLFGLSIFELMDFFASNISLPLGGILLALYVGFVWGMKKAMASVGFTPQDKLAKAWGISLQYIAPIIVFFVLLQVTGVFKALGIY
MANNRENWGSKLGVILAVAGSAVGLGNFLRFPVQAATNGGGAFIIPYLIAFVFLGIPLAWIEWTLGRYAGYHNYGTSPSTYHVIFQKKKKWAKYLGSLGLLPPIFIIFYYGFIQSWILAFAFYSATGTLMDVVAQGPEKMTEFFGNYIMLKTCVGGIPVAIIFFLITFIANMIVLSFGVRKGIERANKICMPILLILGLVLVVRVLTLPGIGKGLAFMWNPDFSQLTSPKVWMAAAGQVFFTMSLGMAIIFCYASYLKPKEDLVLSSLTASATNGFAEVIIGGTVVIPMAVLIAGANIEECAKLGTFGLGFQTMPYVFGTLPFGGVLQTVWFTMLFFAGITSAISIIQPLISFCEDDLKFTRKKSVTTVSTITFIGSLAAGTVDELDFWGGTYLIVFVGMIQAVLFSLVLGRRNAKKAQENGDLPAEVVVEPGENEAFATMNDGSLLKLPRFLRPIILYVCPIYLIVLLVSFTATDGLPFITLSNVDPSATVDFLGHTFPKIGFTWAFRGFLLVLFLLLNLAIAYAWRKGGPAEKGRSKSIKKMEIGNSDENMEG
MEAREHWSSRKAFILAAIGSAIGLGNIWRFPFKCYENGGGAFLVAYIIALLTAGIPLIIMELSMGHRYKLAAPLSFRKIGKKFEWLGWWAVFVGFMITTYYAVVMAWGLNYSVFSITQSWGEDTSGFFYNHFLGLTSSPLDFGNIQFPIIIALVISWMLIVAAIWKGAKTVSKVVYFTVFLPWLLLIIFVIRGVTLPGAIEGLTFYLQPHFEKLLDPSVWIAAYGQVFFSLSIGFGIMIAYASFLPKNSGIINSALIIALSDGATAFIGGFAVFGTLGYYAGIEGVAVETVLKGGPGLAFVTYPAIINMLPLSKIFGILFFLMLLTLAIDSAFSLVEAIASALRDKFGWNYKKSNILVAIVAFLIGIIFTTGAGLFWLDIV
MASLAAVWYQNALGWTLFYLGMSFSPDLTWSSCSNWWNTEDCVDGDYRYSGETLNTSLPGTENATLRAMDSLSNFSLFNTGAGEFWQYRALGVSEGVHDTGTIQWHLAVSLLGSWIVIFLSLVKGIKRIGKVVYVTVLLPYLLLAVLFIRTILLPGAVDGVVFYLSPDFSKLLEVQVWLEALLQIFYSISPGTGVVVNLSSYNKFNENCLRCCYLTRASTHSTLFSFAGPGLAFVAYTEGIMQLPFPDIWAVLFFLMLFTAALDSQFAILQNVLTVVFDQFPNKLGTHRGPVTAVICAVCFLFGLLFTTQAGVYYLQLCDWYLSVFSVLLFAILETIVFTWIYGE
MLRWSSFREKQHRQLIVRRSRELRVLEMADLPPATDNPPARKLSRGLGTAAEYQPLPAEKPVTLAVRESDSIEPMAVPGLSPRVESRVSALRRRSSQARDRWATKMEFLLAVIGYAVDLGNIWRFPSVCYKHGGGAFLIPYLVMLLVGGLPMFYMELALGQFHRSGCVSIWRKVCPLFKGIGYGICFICTFIACFYNAIISHAVYFVVSSIAFEVPWKTCNNSWNTPLCTDTLNATLGKSGERLTTPSEEFYFHRVLEIQKSTGFDDIGGVKPSMALCLAFVFLLVYFALWKGPKSSGKMVWVTATAPYIVLTILLIRGVTLPGAAKGIYYYLTPDFAKLTDPKVWSAAATQIFFSLGPGFGVLLALSSYNDFNNNCYRDAVVTSSINCLTSFFSGFVIFSTLGYMSELTNKEVSEVVGDHDASLIFIVYPQALATMSYSSAWSFIFFVMLITLGIDSTFAGIEALITGLCDESRLLARKREWFVGVVCVLYYFGSIPAISYGGQYVIPFLDEYGVSLSVLFIVTCEMVAVCWFYGIDRFSNDIKAMLGFYPGLYWRVCWMMCPIFISVRNFLSFGDVIAIVIDSYLCFSRNTGDENNNGSTSDAQGSRRSDGLPCRISGAPRSSFTCTATTVTLHCSFS
MFTEDDGEGEKEENVRGHWTNKTEYLLSMIGMAVGLGNIWRFPYLVFKNGGGAFLIPYFLMLVFLGIPIYLMEISLGQFCSQGSINIWRAVPLFQGVGIATGVVNMIVSIYYGVIIAYILYYFCASFHNPLPWTAFYDDGNCTSQPQVVVNVANMSVSNWTRKLNSCPTSNVSYTEQYWDEVVLQRSSGMNETGTIVWHLALGLLLSTFIVALVLVKGIKSSGKVVYFTATFPYLVLTILLIRGLTLEGAKDGIDFYIGSKSNMTKLGEVERLRKTCSPSEDWHPYMEVHRGKRYSKEHSWTGEDVSLSGERQASVTECGSGKPPEERHPLYGHGPAPSRLESRRSCSGVVLMTSTTPLDTTSTDVRLKRDPFTYHIEVDKGALVIWAFVQGAVLSNIGLPSHGSSSSSRA
IVRAYKILIPSVFILLIIACVRSLTLPSAFQGLNFLFSPNLARLADYEVWLNALSQSAWSTGAGWGLILTYAVYSHKKENPVLTSATLGLGNNLASLLAAVAVIPTVFSYFSTQDFSHQKVLEVMQADNQGLTFIWIPNLFSKIPAGSFFLALFFLALSFAAFSSLISMMELDTRILMDAGFSRKKAIALICVCAFLLGLPSAISMGFFTNQDWVWGLGLMVSGFFFTIAVIKYGPKKFRQNIISTPDSKVRLNKWFDILVTFLLPLQFLVMLGWWLWKSYSGNPDNWFNIASPNSVGTVLFQWTIALGLFILLNKIITKKMLSNKNDS
YMRKKEDISLNAALIGFGNNSVSLIAGITIFSTVFALSSVDAMSQVSQSGPANTGLTFIYLPLLFSKISSSEIINIFFASIFFLALFFAAITSLISMVEMATRTLIDFGLVRRRAIVIVASLGFIMGVPSALDMSFLLNQDWVWGVGLILSGAFISFSIIRFGVDKFRTEIINGYGSDVKIGKWYNYVISILVPIQVIVLILWWLISSVSWDAEWWNPFHIENAGTAIAQWALVLLIFILLNKKMSERIFRNGEEL
MAEQIKGQNEQWSSRWGLVFAAIGMAVGTGNIWRFPRVAATNGGGAFHIAYFFALILWAIPLLCAEAVWGKSARMGVIGSWKEMLGRKWTWVGGFIAWVCLAITFYYAVVIGWCIRYFLYAVSGVIKPGVDTEALWKAFINDPMAGLLFFAIAVGMSLFICLAGVQNGLERANKIMIPSIFILLIFLAIRANFLPNSWKGLEYLFTVRADDVFRAKTYLEAFTQVAWSTGAGWGLFLTYYVYTSKKEDILLNSITTAFADTTAASLAALCVIPTIFAFAPDPMAAVKSGNNGIAFIHLTRLFAEIPGGVIMAIAFFLALIMAALSSEISMMELGCRILADAGWDRKKAAWTIGIACLVCGAPSAMDNTFFENQDWVWGVGLLISGLLFSIGAMKVGVKKLWVEWIEPCSDVKAEWMWSLVKLFPVWFVIIFGWWLMQSISWYPGEWYKFLPISKYTYTPGTMFVQWAIAAAVFFLLNNWLADTMKYKLQPKD
MPSVGLISAAHQARPEPAAGVKSQIAKRRRQRPLLHWWVVQDDSLRSPFGPSP
MLINPEQIGQTSVNFLRQQRAYTNEYSAHVFTFIADECAFNSDSAEEIIAKVSVQFALLTGISEQQWQRLLQQIEISDNLDLDDPGVFFNC
MVHLVTILLILLQFLTTAADEHDSSATVVLKNVYVAKRRMAGPRKAKFSCVFCLEPPAE
MVRKLLYDPRGEAGRPMRVAGFMSGSGTNIRKILEKQKALEQEEGKSPYKLVLLFSDVEDPAKCKIREIATEYNLPYRINDIWTFYRSRGHTTKRDMNVRKEYDKETLEYLQENNIDCIALGGYMSIVTEILFEVFPTINVHPADLSIIDLSSGKRKYTGDHAVRDAIVGGEPEIRSSTHIATAEVDGGPLLLISKPVKVTLPPNITVADLAKSENNSLLSDLEDFHQNQLKEVGDWVIFPLSLIYLGKGLIEIDEKGLLYIDGQLCPHGLKL
MRESSSLLERMDTRFRGYDGKSQASESPDQVIDPEPTSPIIQLIEPLQAVPTKLLLGTRSNGEPVYWHYGHDKLDNRHMLIFGSSGSGKTYSIQCLLAEMAWQRLRSIIIDYTDGFLPQHIEPLFRQAAQPRNYFVISDQLPLNPFRRQKYILDPSQPPFEERPFNVATRIASIFTSVFTSMGDQQQSALTRVLETGITDNPQFSLEDLLAGLGKENSYGQTLSSKLEPLIRAKPFRQDNDSAWDTILNSPTHWVHILQLKGMARDIQRLVIEFVLWDLYDHACNTGSKHQPIPVVLDEVQNLDHRNDSPLDKMLREGRKFGLSLILATQTASRFSQEERDRLFMAGHKLFFKPADTEIASFAQLLSQSTGLPKNEWSEKLSRLEKGQCWSLGQVPTSSGSLQQKPVLITITPLEKRNLHNSAALNPVQLA
TRLQDVNLMKNRLRYLPDRFGDLVNLRSLELASNCLEWLPDTFGNLTNLERINLERNRLRRLPESLSRLRCRVLNLSGNALVALPHCISNMASLERLYANCNRMSYLPFDIGGSPSIVKLQLSTNRLLELPVSLTKMSQLKELWLDHNMLAALPFGLENLSSLEVLRLDNNPSMVLPSKDVCAAGAEEVRKWSAARSRGVHERREETLVLAFQSCLNQVEKLRLGKASHFR
MGFNRLVSCLCAAAIAFTAIPMTADAAAVPASKKELNLVFDPPKPKKFSPDSLPEIELTGGLLFQLIASEIALQRNELGAAYSTYMNVAEETGDPRLAERAVQIAQVAQAPREIMRSVLLWNKLAPGNRHAQEMLIRIGLHYQEYNKILLTTQSFLSQVEDPGKVILGLQSQLLIGKDRKKALTFFRKATEKFKRLPETKLGLARLEGLAGNQKAAEKFAKESYKQKPNPESVMTLVSVLLQKKSNAGQKEARQVLSQYLAKNPKDLRIRDSYAHLLLLSSDYNQLIELVKKYPNDYEFELSTAVSLLQNNHEAEAEVLLTKLAKLPQDDAENGTIPQKALLLLSEVSLENKKYEEALALADRVVGSMKPAALIQKANVYSHEDKHKEALEVLKQVDPGDNSAVAEEVAAVESRLIAELNGDTEALKTLEKYLQKYPESKSLFYEAAMIAERLDLISMAENYLKRALEIDPNFANAYNSLGYTLLERTNRLKEAGENIRKAYQLDPSNPYILDSMGWLSFKEKKYTEAIGYLNDALQLLESEDIMLHLAEAYWVSDQKDEARLVLKRAKELWPDSEDTEALIKRLGIKE
MYTHVSPSSSPPHIRIAPLWQLRQPQRGWCSALYDSTQRNPPKTRQNPLAQELYGHYIFACGEFSDFTRKLAWYREQLHTFAGEGSTVPPATPPFLTLLRYALLSSALQEKKQILHDQASWIQPLVIVKFDRVYEFQRPASSRMTCK
SSRWLKRGKARTNVTHNKKTLDATPWEDLRHRSTNVTAKTKQQSSSNKLSLKRDQAKKKNKQKKDYLNEDVNGFMEYLKQNSQTLHNGAVIATDSHEVREEIATALKNDRRREGRRLKRQETKKNTMVCFHCRRPGHGIADCPAALKSQDMGTGICYRCGSTEHEINKCRAKVDPALGGSCRLCGSVEHFKKDCPENQNSDRAITVGRWFNGMSADAEEILETPQPQKPKVAKVVNF
MDYDLAVRSVLTWAKHNENVRAVVLTGSAATHVAHPLSDRDIELYVRNTELLENDDSWWLDLGDVLAVERLENGEDQPTRLVYYVGGKLDFTLVDVADAAGEYDRPFQVLLDKDGLTASFSLRAHEPERPDQEQFDECCNWASAAALMAAKAIVRDEPWSAITRDADLKAELLRMIEWDHKIRYGTTRDVRYLGTRMRQWMDEDIQARLDRCWAPFGDVDGGGQALIATLALFQELANRIATATNLAPFHHTAVGEEVDRILTAIRASGANWW
MIEKMEEPTHDTTFYSPTFKRYETVTFYANLLGILKQYTTIVDQCPSTIRKLVWNYIFQLLGQPARACDLPFTPVVNSWLSEKSVDLMDIETYFAAFTQAQTDHPTLFPDFPSVSAAYSIPGISSPNTSVRISKQQFSNQLNQNQSNNQQQPLQQQQQIINQLQQQQQTQQQQNTNNNGNFNGNNNLFNLQQLQQQILQQQQQLLQQSLASNHSSIVAGNIGSTINRLQQQQQQVAAAAAQQQALLIQQQQAAAAAAAAAQQVAQQQAIAAAQAAAQQQLVNKIISAPPPAPSNDFKTPSVWSTDLASVFAQLSLLQHWSEKLDRLNPKQKEAIWSTCKNFTYFRNYDKSSFASTLQLWVKDLVPHLGHITVLFAAIGYLREFNIITHDFNYANSEQPVFNNYGNRSQHQQHQHQSSMGDEEYEDEDEDDSGAEYNNSPPQQDLSSSRSQSRSHSPISSPRQQTHQQQPQTQQTQQQQPKSNPIPISISNPIQQQVSSLSAATQHQLNLLKVQLQNTSSSNNFSSTNTTPSMQQQTNRISPSSSTISSPNAPFTDTLPILRSPLANKSNNNNNNNNGGGSNYISPVHTPSHTPLHLSPRAHSPTFSLLPGLGSGSKSPSSSASMSPSMALNLLSTVSSTVAAVEMLTEKKRKVDDFTSLNGNNLLPSISDEFKKLKETVGGFGNPHSRHGSAANSNHNSDDESDHQHNKQSYKEEESNFSFSPTKKVKSSPPPSSLNNNNNNNAVVENSSSILKLIS
MICFITAGAAVKESVLPREELFITTKAMTTGYRATKLGSTIPSLRPGWTTLT
IFFKYFENLPLIKYLYPMVKFIQMLNNKLGYKLSRDDAKKTTFRMFIESEGDKEAYNALSKSFNEFQVAYNFMINKVKRYQCHDLPKIKPQITDKLSIIYGLIEGKDEGIYLCAILEYLINIQNTFLGKIMSIPPESCDSLRFLQSPSWDDATSTIDDSPYFIRTMRVDHAIEDNFIIYEWNDEILQYSQRNLGIGKGQDIIYELQRIESELANILVQNKVHFEVGNEQLVLEPFPYHLE
LIYILDIVIKAPHCTHLRVTXCHILSWSQAKVHASLFLPVWRHPSQAGFTVAYRSQQVCQETFVLTADEGSFSGASYLGSAYNSSFVCSWIIRPXYSDIKLTFKRLNFGSTLGLVEVFDGASSNGLRLGEFQGETTPLPVFATSGSIFVRFSASVTALSDPRVITDGFEATYKESKICSGTTHLYSPSGEFTDGSPWSDSYXNNLECSWLIEPGYNNIRLSFSRFDLESGYDYLNVFDGRDSSAPRLGRFSGSSIP
MGFAPASLTPQTPSSPVKIHLQSLTRHRIKLIFSSFELESHQECSYDRVDFYDGGSPETPTIGRYCGSRIPPMVVTTGNHLFVSFRSDSSVQRKGFQAHYSTACGGILQAVPDTVKSIFSHAKYGSVSYDNRADCDWTIESSPEYRVFLSFVTFDVEEEKDCGYDYVEVFNGLDSSGLSFGKLCGKTKPINLSSTHDGLLVRFRSDDTVASKGFHIIYEAIEEDSLEI
MRDQKASLLAYEGVNNNENPEDALELFKISVYVALDDNLEDFFVPGETSDNLSAISSFIWRVPLVHQYATKSLAKTYHQLPLEARYAHLDWSQVDPQILLNDIQNVKGLQPADFCAILDSSWETSLENFAKRYSYVSSTRLDVSEQFPWRKLARWILRGVSLERLSMKTFENWEGNHLTALFSALFLIKRSPRMCERDTSEFLSMWLEDVQSSGKDLAKYGSQEKEIFMGDKLLQDRRLDVLFDYSFPKISWTGMRLVSFTYGPQPEDWKLVWGLEAEEYAGDFWYLVENPPLRIPGGWVEDD
MSDVNTLNLLGRTSILRHDTPDLNADDRDEFFAQVRISDHHRLSNDFRAGITLAGEAYHYVYLFAERSVENNWRRSIRLIPEFEWQPWHWLTLRQQMLLRANYTVEDFEIEGRQKNDQASREFAFQSDLRAALDREWSVETGVSRNELRIGRLLWKEFREVPIDTLITWEGYAMLTHQRPGGTRISAGLKVYRKIDFIPIINLKATVTGPAGQDVNISRIAPGRQTTNQWGPVVVVTLPLYHRNELYINGWWQFQSVGQRLFTEFPEDFRDAFRQAERRRSTRMYPNLEIRTRIFF
MESRPSRRAAAAVTKYIGDPICGLEQRIYASSDSGSSTTEPPSTESDVDHQEIEPEEEDEDEEDFDEPREEWGDGGEGYDDDGDDDGEEARDLREEEVVAGLAEESTRGESSGGDGDVRSPVTGAARQNKAKTKRPPGHSKTSNANQSGKGVNMPGLLPDYKFAKRKGTRVYDTGIEKGEHIRGVGEWGKEGGRIMRAKWLFGPSLKDLKPVLLTRDKWERQETLPSRRIWGMGESFYVQEGAMEKETSNFRTWYWGSTKSAFERGQAWKVLKMEEGEKYLENDGEKDLNLLMGPYIRPALYPMKHREFINASEPFEMKEDRRGWTFSLGARIQDAQFSPHKEWRTQYLAVAVQQKRPGAKNMKPFQNPKAPAFSRTKEYPTSIQIWTFPSTEEGDMDMEAKPQLEAVICTDWGMVKQFRWCPVAAADSVGPKEEDNNIHLGLLAVIFSDGVMRILDIWIPKQHPASPKTRYVYFSKAAFEIQTPDTIPTCLNWLSGTTIALGTAAGWLSIWTLTRPDSFAPKPTDSTGESAAAGDASRNQPMPWLHKQAADTYILDIKSGYPSRPHYLSVTSADGFSRLIDIRSPTQDTVTTCRARIFGLTQAWHEHTQAFLTPDENYLIRSNSIRRYYMNLHSMRMESQILACATSPWHPCVLIGGADGMCAAGNPLARMLNQKELPWQQIWFWHEWRRPVNELPLKIPKQRGEERGKRRERVWGNSMRDQSEALRGQEDGIDEDGDEDEDVLKPPQEALDKPLSRMTEGYQVTQVGMTFNGQTTEGHTESGKFLTIHEEKTGITCLAWNPNLRCGAWAVAGMADGLLRVEDLGL
MAGDNKSRCKVAPKQFAHKHADYIYAYALSNKELKSLAQTIQYHLSFFSPFKTIKLRSELGFLYAFLGTLTLKKCSEAFPEIHIDIINKISKLYVDKLLHLIPNRNYSDYQKRLNVWEKLFTNFEDSDDYEKSISVLAATFYEFLTDTPCDESKKLMLIMRFNGYMENFIIGFNDSMKDL
QKEQHSFMKPTAASLPRRSSQLTPETKAPKSADNEKFVQRLHDYEEMRLQRLEKLKREKEAAEAAEESHKPQLSKRSMNMSAERGKLSIEERAKVSLESSMQAKENLRKKMQSEEMKEVRSPQLSETSRKLAAGRSLKDLQKATQMSANAKVAQKEREKREKKQAEKVVKRRNVKNTYITERFIARSAMLEKQKQQKMEEQRRQKEMDEQREVTRRPEISDRAKALKRDRGSISSRLYGMGKIQELEKKLEAAKEMAALD
MFIYTRRSRESKALLYTSSSSSSCPSISAAAALFSLEEDRGRETWALGR
MGLGWWRGSGREGREQTLSARLARPRTSRIFRSRASNCHSVRESWAMISRVCAYPRGNSSLAYRLAYMDAGHAVDIVPSLPFLYLHLHLYFFLRRPANSRTEAMFRTNQVPSQYLPAYLRTWDPWLRLRLHQNPKYRAIVFRVIQIPKIPGTRRPTTLMPKRVQEGRRG
MNICNLCSVQSEGGAVANCTLSRKQGQGNETWLGSRSGQPRLSHLDGNLVNLGDSFDFMSHLRGGQSMCKIGSLRFTKSRSKVGKIEDQWTSLLGSLNATFLTNLS
MEDPEGRLEAKNEFTSSVFYGKQMTDACTSILGERIRKMRQWRMNLPINTCYLGSAMNLGALNVPEKHPLYRVLRQFAPSNAADDQWMYNDNLREEALRSWGRRTALGQTGWSLQGEKQEKYVSRQQQRRRVGRDVTVRENGAVALRWIDPDGLQVEITICINLSVVNTLGARRTRVELHFLEDGIGLQDEQQILQAPGLIPTQGVLPRNRFFTQVNSYKLE
MCDCRCPDKSEKIVENSPCLESQFCCNLKEIEQKCYLDFPDRPNFNEFLRFCPSHGNKSKPTINEEETCLSTCLQKCKSGSFQNFENGLPIPLDSIGECMKLFKTTESPYPPFPQGNPGSTTDPIHKRLGAMSLGTFIKRPRSPVFTETHSFRAQKDGEFIQPKPKNLNVGSHAYRYCQDLGLVDEIARLFESEIRHLTPEGYVQRPSWRNVSLTFLPNSLQYLHLKEFPGGKFFVCFIRYDAFSNDPDLHFGDQILEIIPLGAPSHADDRQSISYVINNSYFTLRVIPTPFYRKIILRAYDNILQETGVVNKWIIRKPELSKSWIDLGFVQHQRRIINVKKNSPAKLAGLKVNDVIIEVNKESVLEYSDSYIIRLIRNAIHESKNRSVVLGVVPSTIYDALMNDKNGKIYKIDRKAEMDVNAWKDNKIFGVKPA
MISGLSKLKWVVLFLLMPMASIAANSNGFVLQLKGPEVHTPSSPGRYGPVVKADTLWSVATATRPNNSLSLYKTMAAILALNPHAFLGGDINKMIDGSILKIPSAAEIQATDGSKLKRLLTKKANSTAANKPASSNSKTIPKNKPDRNKLTLLQGELSASNEHLLLSSETNRRLKLQLESIRMELAELKEQMVMDNQLKADLKALIEQQNAQISEQQVTIDEAKQQAALEAESQNNGWLIGGVSGLFSLLSLIWLALWLKNRHDQKHNIEDNAMFDTDNTVDELRDYLNADNYSSDPAVDSAMPKEAQVAEDKPNNEINTLAEPNFNLQMQQEATSFTEAPDNSSAEHIVTQSAAPIVADVIEQAPIMPELNVNDNDFSDIDLTLDDESTSFENDSVTPDLSWREELDEPSLIPEQPKNKTQTLDEDLAEVDSMLEQFKLSKAQAPDVDSNSLDDVDVMATSSSEAAPESPPVVDDEFVNMDDIESILAEAELEADVQAGAEEVSNNEPQVPAQNEAVAMDDIDSLLASAGADAPAKDTAADNDEQPQAHGQTVDEMLAELDGTANKAPEVAKPPASNDEPVDAIEAMLAEYSPNAFSEAPSEQAPIDVAAAQAEEFIDIDKLLNEASQQPASIADEPYDKVKLDVGLDEYSNTLLDSNTVVIDDETNRVSAQLDLARAYLEIEDKDGAKSILEPLAGAGDASQQAEVNTLLSRL
MKTSITKVLLSLTCVLGLTACGGLMKVQRAVEAKGYEVEKVGVSSASGQGRTLTVYTEDTLDSAQKQEVVETAKEVYPKAETVKVVKGDGSGVGSSSGSSESRPNTGPKSKPNQ
MEHAWLVTSALMHESNAVLDSPDNSADAAIPMHSGARAYANGETFESVKKMARCSLGVVN
MIDNRYNDEKELEAIGLIDQAEILADRGKG
MHSHDIVIVGGGLVGSATAYFLLAADPGALRVAVLEPDPSYANAPSARATGGIRQQFSTPENIQIGLFGAAFVKDVDRYLSIDG
MNDKQIVIIGGGLQGLATAFTLLNRGEDVLILERDEDIATAASFANAGMLTPSQSSPWNSPNDILQILKGIGKKDSPMSLNPLAIP
MTVSNTPRRAGPYRGDGSTVDYPFAFRIFDTADLRVYVADTNGNESELAQSDYEVNADIMR
MASKALIGFNAAAAVGAILLYLGGVRVVIDSNHGAPQQLVGMVQSMGVESVPSAGDAAVKGNNYTCTHSEMKPKFLSYDPIMVYIENFISPYETEHLKQLALAEYEPSVVQNYDDGAADKKVFVDSSSRKSETAWLRDGDPVVDCVKSRAAVFQGFAPNATMEQLAVLKYTAGGSFETHYDWHYATPRAVDRITSFFATLEASEDIEGGSTWFPMVLQPAWKDKGPWCKWLDCSYQRGLAVRPIPGNALFWVNFKKDGTGHRETAHGGQPVLKGSKIGLNIWTRGKFDGVSDDLGKCKLMRRDIEEQRSLRNPSLERGVRRATGRQNFWKQVGVRAEGALIGTDEADSNEMAQETQILREKAGDLGSESKGTEGQAPADIKFDDEKDWIITTESNGGEGPSSRDGEPPSEKPVVSSGIDGEPSQAVPPPYSTFSAWEKRMIVFAASMAAFFSPLTGQIYFPALNVIAQDFNVTATQINLTVTTYMVFQGITPMFFGGFADTAGRRPAFVISFVIYILANVGVAVCTKYSELLVLRCFQSAGIAATVALSNAVIADIVTSAERGNYVGLTVLPIVLAPSLGPVAGGLLAQYLGWRSIFWFLTIIAGITLILMLFFFPETCRKLVGDGSIRPHPIYRTLWQVINDKRRKRDAKRSGDEEALRAIQLATSKESRPKLKINFGNPFDSLTMLFEPLLGVLLGYSAIVFAGFYAIATVMPSQFARLYGFDDVKIGLMYLPMAGGSVASAFVVGPLINWNYRRHAKKLGMELTKGRQDDLTNFPVERARIEVGLPLLALATVVLFAWGWALQYGAPIAVPCILLFLMGVGMVGYTNASSVLIVDMYPMKAGAATAANNLTRCLVGAAATGSVAPMIDAIGVGWTFMIIGFLYMAGAPLLLLIMKNGIHWRGKIRAKEERKRVKAEEKKMKKSNQSAGQSADKVP
MKIWITIMATVASVVSPMTAHIYFPALDAIAEELNVTISLINLTLTSYMIFQGISPTIFGDFGDMAGRRPAFIVAFVIYLFANIGLAVQRDYAALLVLRCLQSAGSSGTLALSYAVVADITPTAERGKYMGFVSVGINIGPAIGPVIGGLLTQYLGWPSIFWFCAIFVAVWLIPWVATIPEMCRNVVGNGTIPPQSWNITLVEFLKRRKSGEKPEPGPKRKMRIPNPLGTLLITFDKQMSQILLIAAIIYCNFILVAATLSTLFTEIYNYNELEVGLCFLPYGLGCCLTVVLQGYVVDWNYRRIAKKLGVDPSHGRRNEIGDFPIESARIQPIYPSLLAGAAAVIGYGWALQAETSVAVPLVLIFAIGMLVPSTFSILNTLIVDLYPGSPATAAAANNLVRCLFGAGATAVVDYMIDAMGRGWCFTFLALLMVACLPWLRFIEKRGPRWRAEKLQRKNSTDSEREAESGAAETQ
MGLLLLYFVFCYGLLLLYGNFRKKRKLKKAGKPLEGARINWQRCRRIFFRACIVIVVTTSYMYLHLRFQWMGDNNANLKAKEYFIAGQTVNVYKSILTSVFHPELPFIKPLTSLQWLIYNKGVALLPENDGEAGVWQHLWFHYHFGKKDWMYFGVRKNRPSPKMIKILDQYWFCLESMATRPFADREMEDKYLESFVGLAFSYVLYDGFYSGEFLGSATRMAKMPEMTERYRLVVKWVNDLRLKWQDKNAPRIVHDNPKLMVLSQLTLLITLHNLILGEIHAGNFNCNNASIAQYIKLRQEFYAPDKGKPAYKRVPNLEERKRIYHIAINSGAGRDSKYIIEHYCGYKVAGKVDMTSAIEFAKAENITPEEHEEGRRRDSLFDEIPLLEGGTNGRE
MLLEMLSDTRVFSNVKFVNCVDPFHEIKDPIDSRSRGSGTDNTSSRGGTGRYGGRGGANQFSNNGMQMMGVELICCSGMYI
MLFSIYIAQQIYWFVSRLLFVSCLLAFGGCTELPKPVRVDGATNCKGKSTPLDRLSGISPVKLVFVDKDGRGVRLDETERKMDWLKSVKIAKTPAPSVYALETNQKVEGVAISVQKEVVDSAVSHVHPLYTLAYQNLLNRAERDEKDKSKIYVNRLLSFSFDEGERGLAQDSTKQRRKAIVSFVEETLLGPDYFSAMLLPFPLVARGSYFFAGIMSNGYIQAENDPIRYHYRSKDRFEMSKLKKTILEMKFDLSEKERPNFLGWIGSDGTSIFMRRSTSGEIGVVSMLPAIVMLATDIDSSIVAGQPDAFVDVESSLISFGADKAIPFFAVLHPKPSDVTRAMWDTYTNQLCQLSKLNGGVSWGNVFQLRANQLDAKDIKKRLDFAYHALKGHTTLDFKYNISGDSLRQGTRYVLEFYLSLEDEENGTQRTSSIPVRMEFQP
MSPSTPQATIRLIAPLASPQQELPVARVEVRNASGPMADTEVAIRHRFGMGDWLPLGTRRTSRDGLLVLPLQDFAPGSQIGFALMNPSGVAQEFVVRIPE
MEDYFGNYLSDAQWQIFESGWDSELQNVHETIFALGNGYVGSRAILEENPPGCRPGTFFSGIYEGTRSLVPELVNAPNPLDFRISVEGEKLGVKAMRVLKHKRILDMRKGILARHTIYSNARKKRFNYQSMRFFSMHDKNTAVMQVYLNPLDKDATFTITATVDTSIMNVGLITEGRKRHVTVIEAAQFGNINYLCTKSIEKGTLIAYANQIVIKKGKRTYSVPGRAFDLHLKKGESACITNYISCHVSGSSSMEKIKKNAKTSVARGVKKGFDKLYREHCRAWEKKWKRADIEIYGVPNIERAVRFNIYHLLIAVDEKCHDVSVGAKALTGEGYRGHIFWDTELFCLPFYLHTNPAVARNLLLYRYNRLDAARKIAKQKGYQGAMFPWESADTGEETTPSWYKDSSGRIQKVLTGGQECHITADIAYAVIHYFSVTDDTNFLLDYGLEIILETARFWASRVEYNSRKKRYEISKVIGPDEFHENVKNNAYTNLLVQWNLNTAAKFCRDFQKKYPANVKKLMSRINLLPSEIAKWKRIAAAIYIPVSKKTKIVEQFEGFFKKRKLPLTGLDHHSLPLYPGNIRNIGNTQYVKQSDVVMALFLLSEIFSLETKRKNYLYYEKRTLHKSSLSASIHAAVGAEVGEEYKACHYFEIAAYADLKNVYGNTNAGIHAASLGGVWQALIHGFAGLRIRKGMLCINPQLPSHWKGLKTSVKFRGFDISVVIGKEKINLYFSSKVKKDRLTVSVYGIAKTLLANRRYSFFPKHKKIVPCGLEEYY
MTVRFEGKTSRIVSEWFVSMHSRHFAAQQYKIIAVDYDDEITIKAGLDVDLINDGVERYRSLNQKHILPMEEKANGNSGYVVAITSQSNIKIACSYILNANKEGHWEPHISKGKASSMFTTHIKSNEELTMTKRVIICNSLTNDDPLNLVLSEGKNLKSYEELRELSETAWRNVWARVDIELEGDRLAQKIFRLNLFHLFVTASPHNALLDAGIPARGMHGEAYRGHIFWDELFILPVYNLSFPEISRSALMYRYRRLPAAREYARKHGNRGAMFPWQSGSSGREETQVVHLNPVSGIWGDDYSSLQRHVSLAIAYNIWEYYHCTLDQQFMKDYGAELFFDICMFWTGLCRKDAKDGKYHIRGVMGPDEFHEKYPNAEHGGLDDNAYTNLMTVWTLQKAYQIRNIIGEECFGEVAKKISLNEQEWERWEDIGHNLSLFINEEGIIAQFDGYFKLKELDWEYFKNKYGNVYRMDRLLKAEGLSPDEFKVAKQADVLMIFYNLLPEEVNQLLQEMGYVLPEDYLKKNFWYYLNRTSHGSTLSRVVHAKLAHLVGEEELAWQMFREALLSDYVDIQGGTTAEGIHAGVMAGTIWLALNLYAGLSLREDFPSFQPHLPEDWEKLCFNFSFRGIRYFAEMYKKKMILTVGAPHNLEVKVKVNGKEYILLPGITKTIEI
FRSVTGEKYGGATYWDTEAFALPMYLSLTDKSVSHNLLKYRHDQLDGAKINAQKIGLDGALYPMVTFTGVECHNEWEITFEEIHRNGAIAYAIYNYTNYTGDDSYLKTDGIEVLTEITRFWADRVHLSDRLDKYMIHGVTGPNEYDNNVSNNWYTNYIAAWTIRYTLENLDAEAKKRLGVTEYEIAKWEDIEHRMYYPFDEKWQIFVQHDTFLDKELRSTDTLKPEDMPINQNWSWDKILRSCFIKQADVLQGLYLFYDDFDFDTKQRNFEFYEPLTVHESSLSPAVHAVLASELGKYDKAVELYKRTARLDLDNINNDTEDGLHITSMAGSWLSIVQGFAGMRVTSGKLSFAPFLPNGWDNYRFKINFRDRLLEVKVEVGQVTVKLCHGEAINLEMYKKNYLLETAVIVEI
MADISRTLEIDPWRIVEIRVPVEHRKKHETIFSLGNGYMGLRGSFEEGKPDDLNSDRGAYVNGIYDYYPYVYNWQRPGYPARGHSMLNVADYSIIHLTIDGEDFSMDTGTVRDYSRILDMRTGVLTRNLEWTSPNGKTIRVEAERFISMASSHCAAIRFSVTPLNFTGTVVVTSAIDGNVKNCNWNTRALEVTGTGVQDGAMWLSHRTKESGFHLAYAAVHKVEGPVHRRDTLCEMENVKETVTFHPAQGQTCTVEKYVAVYDSRDYPIDKLADLAVEDAGSMSRIGMHAAKQAHADYWASFWHDADLQIEGDDAAQQGVRFALFQLAQSTGRDGKTNCGANGLTGEAYNGHVFWDSEMYIMPAFLYSRPEIARSMMSYRYHILPEARKRAQEMEHEGACFSWESISGEECGWIFEAATDQYHLQSDIAYGVIKYHEATLDDEWLFDKGAEIIFDTAKCMAHRGAFIPLKGNKFCINVVCGPDEYTPAVDNNSYTNCMCKFHLEYAYNLAQRMALEMPEKYSELAERLGIDQTEIDLWKRAADNMYIGYDEKLGITLQDDQFLYRDPVDVEELKKRGYILMNMHPLNLWRFQVAKQADVVLLMLTLPHWFSKELKKANFDYYEPKTIHDSSLSPSIHSIIASEIGYYDKAYEYFVRTARMDLDDVKGNTAGGCHTACMGGSWMSIVYGFGGLRVYDGKVHLNPYVPKDWRSYSFKILFRGARLKVDVNRTNVTLTLVEGERFDLELFGTAVTLTANTPVYEVQSHIGVQHGA
MNNLQTIRAALFDLDGVVCFTDHYHYLAWKEMADAQGWDFNETINHQLRGIPREASLEVILNHNGVTLDPQKKQELAHLKNERYKELLQQIDSDALYPGIVEFITALRERGVKIALGSSSKNAGAILDKLSLTVLFDAVVTGNDIEKPKPDPEIFLKGAELLGIHPFNCVVFEDAESGVEAGLQGGMKVVGVGTPATLPNAPTVVRDYQKDIDLDTLLQIGKPARISAHPWEVVEDTFVPGKAGYWESIFALSNGVLGLRGAIEENSPNATEQPGMFINGIYAFSSPKGYPNRPDGTPPKSQVMLNLCDWRPIDLFIGGEKVDVLSEHCSEHNRTLNMKTGILTRSFVWNGEEGAVRVTLRRLVSMTRRHNAAIELTLEALDRPLNVSIESRVFGKSITGQFGLDGTTLQNAEIDKDAATLQFKTLNSGLSYSASFSHSDSCSKNHAWTQNDADLCYQADLSLTPGTPYRLEKHAAFVSCMDEGDPAEDVRQASADGFETLAAEQTEYWRRVWEQCDVKIEGSVEDQQAIRYNIFQLHQNHPMDMQRSISATGVTGANYMGWIFWDTEMFMAPLFTYTAPERTRALLAFRYGQLDGARRIAKNLGRRGALWPWSTINGDESNADPYVSTAQYHIVADVAHALHRYWQATGDDDFLFAQGAEMLFETCRLIASIGCFVPNKGNRYCINTVCGPDEYNFPVNNNCYTNLMFKWQLEFAQSVLNRMAQHCPERLAELQKAIGLTEEETAEWKTIAEQMYVPTSETLGIYKQDDQYLDKYPVDMSTLPRNYEFKKDVYLLSLLQMQVSKQADVVQMLFTLSERFTPEQKKANYDFYEPRTTHASSLSPCVHGITAAEIGYTEEALNYWKQSVLMDLDDCKNNTSSGIHLACSGGAWMMLMFGFLGMRTEENRIQFSPRIPKEWDGIETSLIYQGTRFNIKVTPDQTDVTWTAGPALQIQIRDTQKNLSSSEKIFSLAH
MDLWTIEADQPTRELATQLTVSNGLIGVKDLTEIPQLQTGQPTDTAVYVNGFYEDDPIQYGEAQFGFPKQNQTMLPLPAPTRFDLWLDDVPVDLQQGRLVAQHYQLSMQTGQFTHTITWEEQATGRQLAITLTRFASLDTVGLLTQQLQVQPLNFEHGRLRVISGRHVQAQAKATDQLIEPAVTQLTPALRGLTYTAPHSEQMVTLVTHYDQPGQWVADGNWLGEFELTTQPISLTKTGWFQLGALTALSATATTQLTTSFAELAAAQTAVLTKFWAISDIQISGDSPAQLGLRFSQFGLLQASGTHQGNQGIAAKGLTGSGDNGHYLWDTEIYEAPFFYWNHPQLARQLLLGRYHQLAAAQAHAQALGLNGALFPWQTINGETASAHFSAATTAIHINADIAYFVIRYYQITHDATFMRDYGQELLVETSRFYLSYGNFDQEKGFVLNTVTGPDEYTALINNNAYTNYLVQFELRYLTDHFTQKDIGRFKVSQREWDHFKAAADQMYFETHGDLIAQDDSFLSKERLDLTRIPKDQFPLLQHYHPLMLYRLQVLQQADLLLAMVLLPEQFSTAQVKTNYDYYEPLTTPDSSLSPAIHAIAAARFGDNTDASAFFQRTINTDLKNEQGNTAAGLHLAAMGGSWIDFAWGLMGLTVDDEAATLRPQLPANWTSCTFKLRYRGISYTIEVTATTLVVTGAQGDEVTIDADQRLIRILAV
MRDICITAIDKKVISDLKYTHFVYEVELKKLKQPFFHTMYFLYLVARGEGEFVCDGKSYPIKAGTLVLVHPWTMHEIIEKEGVAYLYISFYGDRVSSLLDTVGASEPISVYNDMAHLLEYWMQSIRRVRKENAFFITDSVFMHTISYLICNGDNRGDQSCSLIEEYIRENLSNPGLSLGMVASVFFYSEKYFSHYFKEKFGVRFTEYVHEMRVDMALRLISEGERSVLRISEACGYENTYYFSKIFKKTVGKSPTACIREHRENS
MMPRVAADNAPLPRSTPVGPPATGGDDFGAILARLRSTLAGPPARQDGRNGGTAPPERTPAEAEAPDPSPGGQDPVDPLLPDPSPDDPPPAEGTAVDPSPDDGDPGAGPVDPAPAACSRLPGFEGLAILPDLPEPRTSADTTPQARPAPERPQSQPALPAAIVQLRLSLPMHRVPPAEPQPRAAPPAATPPGMQDIASPAGPPAPLPPSPGREEPVLRPTTGGGPVTAPAPDTPVTTVAPDPTAPLASGPERIAAPAAPGRPDGQAPRTVAL
MKLSPAKRVQRKKQMLIGVQRSLESGVYHDSVISNVGICCLTKKPDNILMWSHYAENHTGFVVEFTIDPNGQNLNMDNVEERLFGWDVIYNDTMPMVVAGTGTFDAVKDVFLTKSPEWKYESEYRVLGMNKGPGIHKFDQTTITKVIAGTKMSSDNYEELKLLVTELSEKINIKIPLVKAKMVSGQYRLDTA
MQSWFSDPVSKTANHISCVLKEEQLKDVGLIVLVGGFAESPCVKQRTQKSVPKIQPIFHGEVCLAVLNGAVMFGHKSDIILSRFIN
MDSSSNNPMNNAVGDSKPMNKTTSSAAVSTRSSSKKSRAGFVRHKPAEGAGGGRFYSYDFPKSKKNEERPVGFATVLEDIRDIEQMQDASSRRFSVIVSLRASDGTPHQKRISSMKGFKASEVLTEVENRYKGDLEAWIHSYLQFTYEGIVGNIVGIQMCTFIPTDSSKAQLPQATSSRRGFWGQFRKPRS
MTCLRLIGTKESALLVVEDNQEEVCTTWPLPSKYISLFISKTSLLREAKGTARGDKASVVCIVSRVVFTGSRGMKTLDIKDPFSHASKVSMARSGLMKTLSLLTAHLARGVERAKDRSLMGRGPAWL
MTILHTLALGVLAVVIGLAVGILGGGGAILAVPVFTFVAGFPASQAVGASFVVVGASSLFGVLLRLRSGSIRWMVGLTMAAAGALGAQAGQWLGDFVSDRATLIIFALVMLLSAVSMLRGSRPSRSSRRPSIPLVLALGLGIGVLTGFTGAGGGFIIVPALVALAGLPFAAASATSLLVITINSASGLLGYLGEQSLPWATVGSVVAIALAGTFIGTWIASRVDGAKLKVVFGALTLVIGLGVLISQLVGAG
MELVGALVIGAAMGLLGGGGSILTVPVFVYAAGLDPKVAVAASLPVVAMTSASAAVSHWRMGNVQVRTALIYGVTAAVGSFAGARLATFLSGATQLLLLAVVMLAAAVRMLAANNADIPHGEQLLRINLATLLPTALGVGLLTGVVGVGGGFLIVPTLTILCKLPMRLAVGTSLMIIAMNTASGAAGYAGTVPVPWDVVLVFSAVAIVGTLAASRWSTRLPQSALRKGFGVLLVCLSVLLLVQNRHEFSRWLA
MNELLLAALVGLVVGTVMGSLGGGGGIISVPALVYLLDQPPLEATTTSLVVVGVTAIVGALQYGRAGLVNVTDALAFGVLSIVGAVVGARMALVVDGNVLMALFAVLLVAVAWLMWSRQRGQQVEDDVARHWLQLRPFHLDRRRATQVALAATGIGWLTGFFGVGGGFAIVPALMLLLGLPMRRAVGTSLLVLAITSLVGLATRVTGPVELDWPLIIAFTVTAVLSSLAAGRLSARVSPGRLSRAFAVFLVLVAIYTAVNSIQGLLA
MVSNQTVANFIHFDTHESVVFEDCHNGEVKSVSVSGCQHGSKICTFNNGRRHSIGMNFIPEDNFRDIVANAQANLLGILTLHLPKKDVPVCDLVLPNCPLEAGKEYRFENPKLDVQVPWWVPHRIFKRMKLTAVLEDKYTKKTVACMRMLATVQF
MSVIARRGHGLDRDSYERERDALKEIHDKLDTINSKFEALNSNIAISQNVTSLLCKRVRELKKRCGVSEQYSRRECLELVGIPESVSHDLLEDKVLDIFSSINVNIQSVNVEPCHRIKLGRTIVKLSSRKDVQSILSNKKKLKDIDSTKFGFDGGTKLYINESLCGMYRGLWSRCKKLYNNGDISGFWTSNGTVKVKINEDGIVNSILHDTDLQELFPNINISELLD
MLLAGCASAPPSPVPTLMVSGCPAVVPCTLSRRPARAVTAIQLTDQDRVEGRVGQTVRARWT
MNANRKLPPPNKPSAQLTRDENDLVFRLLGTRCQTLSTAVVQVFTTEGPHHNTWRKKHCGVATFTKDNVRRSYYIQVYDVVAGRRVFEQELYNQFSYYASMPFFHQFEAEDSIIGLNFADEAEAKAFNQVISERLAAKQRKKEERRRLTEHQQHRLSVQSQQHHQNHTQPQHIQQQQQPSHTPVFTPVQVDKKKNTKKGNKNKNKLSKQEIGMPTDFKHITHVGFNPDTGFSQFNLDDKLQVFFNMVGVSQQQLSDIQTREFIYDFIERNGGVEKAMLETQRYSSLPRPPGSNQGAPTSSQSSLVSPVPPPLLSSPGPPPVPSNANLTSPPPPPPPAKSSAPPPLPPSSRAAPPPPPPRITNHHPPPPPPPSSAPPPPPPVHRAQMPPPPVQVVAGRKTTPLPPIPQPNSSVPPPPPPTSTIPSLVHKAAAPPPPPPPPPAPPAASNGPPPPPPPPLPPPAPGPGVHAGAPVAPVAPVAPSNDSRSALLEQIRMAPSLKLAIHSISTIHPFLIIHLSPPPPPSLPSPSSSSITTITILLLHLYLHHPPPPSLPSPSSSSITTFTILLLHHYHHHPPPPSLPSPSSSSITTITILLLHHYLHHPPPPSLPSPSSSSITTITILLLHHYHHHPPPSLPSPSSSSITTITILLHHYHHHPPPPSLPSPSSSSISTFTILLLHHYHHHPPPSLPSPSSSSISTITILLLHHYHHHPPPPPSLPLPSSSITTITILLHLYHHHPPSLNHPPLPLISPPFLLLPPICLLPLPH
MRVGVTLAVPGVQRNSSERNLPSHVSHAMKLILSLKSAKLMHVLASETAQIVGIGVNAQVDTELPRSLAKIVGNTYTFQLK
MSKDSLYEKQFQKVHQLLKDHGCKPTFSFYKDYKSPVILGGSSFYQTDKEKDLNHGPKEWREEVDANLDVCVPTKKAYSEREKIRFDIEWSQKACLYSMYVSLPTSARSISLSRNRYKTQEELMADVENWLVKVGCKRASKTKFKNPESKDYVSGYKQIELFA
KGVVDLGHFPGRRVRDGPRTRPRPAGADSRSDDRSVLGRVGRRERRVRPGGRRSAGAVGVGGGRGRGGREGAESRVAPGAEGLPRHREGIHEPVERVESAGFQLRRAVRR
MEEIRNSSSMETQDQEHEPLDPDAEVIALSPESIMAKHRFACEICKKGFPRKQNLQLHRRSHNLPWKLKQSAAGKPKRRRLYICPETTCARHHPSRALGDLTGIKKHFHRKHGEKVFRCERCGKKYATDCDWKAHSKTCGDGPKWEDPLGFFGPRRYWAVVLPLLGNGGFRCSVKPA
MKIEKIDYAKKLMKFDKFVEATKVLENILRESDDKLLLRNAIELLLVEIEFKQATINNDKVLNLINNFRNNGGEEEKIQIFEKIFKDKLMQKKENILEFSNEFKELYYFFDHKFLTNNLDEDLDGNNFEIIDCNLAEKIAHDQDIEGPYESWNDLRAGVTKQVYSLIYKEKIKMDLFEKEIDRLNVGLEKK
MADLIERLRAVEGGDDGTHWYRNPDGPEAAARIAELEAEVARLKAERDVARHAIGEDDALDAFGYLAEPKEPPMSTDREREALARLLYDRREGPVANQDFARQSRLWDECLFHADTVIAAGWMPRPKPGSAEWEAMVGKGARAIWDQPGSGCSWERLTHAVRGQCIADAAACLRAALNTGAPDAE
MAESYFSRFRRMQYGQVHKFGIMHLNHYANEAAYREDNRRMSNGEMFFDILMKCTSRDFGGYWQGNKRLMEHLVH
MKKLTGRIIAVVLSLVMVIGMVPIVKTTEVKAYEISGKWGEDVNYTYDYDSLTLKIFGNGEIPDFDNYHTWSYNIKYIEISEGITKIGDFAFNDCKQLEKVVIPGSMREIGGAAFLYCSSLKQITIPNSVTIIGGSAFFGCADLVDVMLPEDAIKNSSSVFTNCSNIKNVHFTSKSEQSVSLATKFNNVNNISFTYHPDTYVDTVVKPTKTEKGYIIHTCSICNDSYIDNYMDYNDYLEYNYIKGSCGTNATWIYAKDEGKLTINGSGKMDDYEPLIDSQSHIESTAPWNEYTDDIKTIEISSNITSIGDGAFCGVCNVKNISVPSKCTSIGEYAFWGCNSLNNVVIPTGVTTIGNSAFEECNSMTSIAVPNKVTKFGNRVFYDCTSLKTARLPENISNVGTGTFFNCYKLENISIPQKVKKISDGAFYGCSKLKAITIPDNVTSIGKLAFKGCSNLETVTIPISMMLIDTDAFSECKNLLNTYYAGTKNDWSFITVENGNTTLIGSLTFHTHTYVDTVKKSTCISNGYTLHKCSQCGNSYKDSYTEKSGHEYGTWKVVKQPTQKDTGIAERACKVCNKKETKILPKSNAVTLPSKVNFKAKAAKKKVSLKWNKVKEASGYVVYYKTSAKGKWKKLKTLGSSKTNFIKKKLKKGKTYFFTIKAYKKLNGKTLFGKGVIKKVKIK
MNPLGKGGFSGGNMGSRVLTVIVFLFSISISSMPEAAFAISQPAISASAADIFFGRLRVGDSSASEAVTITNLGKSDLVISDMAIRGIDASEFSQTNGCVNPLPQNGYCTVSVTFTPSLPFEKKSARIVITSNDPLHPTIKVLLHGRAASPEIRITPLGIDFPPARVSILPLPEIIAIRNWGQSDLVITGVELQGINASEFKQTNDCLNPLPMQNYCTVTIIAYPSMLPVRHEANLVITSNDPVNPVVKIPLSARYKDHGIFQFLGVESYYNTTGSLMVDNGFLYWAENSENAINKIALSDNTPTTVAKKIGVPTSIALHGQHLYWLDIQDGTRRLIKKTSLNDLSTEILAEGSTHYGGSIDLIVDDAHVYWVEGVLTYPPTQLIKRVSLSGGNATTMVTTQGAISAITADSNYIYWQEEDRNGKGNIWKISKDGGEPLALLSDSDSFLFSYGQASLSVSGSDLFFTDKYRILKVPVSGGVLSTLATADAGLIDRLVVDGTRVYWTEGNSFKSVSVNGDDLTLLADGLSSPSQLTMNGDNLCWWEVTGRHPVFTPMFFGMISCYSKALNAINVVAQDLKIPGFLVGDNNHIYWTEGSAFSDGWQRIARVSLADGAVSTFITGVYTELQLPEFTTEPVTVAGDYIYVGDGYAIKKFSVMGESGDIIAWTYCKIADIVTDGDYLYWIDRTGFLYKMSVNGGDITEFSNTPKGSPRGLLIKNGYLYWHDYDAINKVSVEGGASVALVTGLPPLSITFIVDDKNLYFNDPHYGGLKKKSIDGGKAITIGDGGDLAIDDEFLYVFNYRGYYGALSKMRKNGSIVSTAFTPYGGYGLIVDKSSLYWLGEYPGIWTKTPK
MQPVSSERLKQISWNAISSGGCRTVNFFNYASSFTHLLYLCLIYLMEITRSDKQLKTLYQNYLYYTYFNRSHYIQVASVSTCEGTCVTRKQGCRVATIKDQTSIQLFKIFPWPTKAAAYQTSTHETKESAPTRSSEESGHSSLAGQVATN
MTLSPTDAHDGGHRRHGTTEHAGDQAGTGPEAVVFVDSTGRRALLLRRAGIVFGAAVLVYAGLLGVAFMGGPSLAPSQLTPFDSVGTAQDPGGNSVQPAGSSPSARHTARQCRNHCGRKCRKHPAQCRKRLREKARERSGSSAGAGGAAPRPTASSSVGSR
MLQAQHEKEMALQKQLHEKELRMCEVRFKEELEKAQKGSGNVAASTPNVTIADVEDLSPAQFAARKVVNKKLPKYRGEPDRWPLFYSSYINSSKACGYSNIEN
MCIFVVSCVEIIFEVANLTTKKLNHGVIRKKKSAGFKSKPDKIIKLAKPSQKDVLQLGSYYRQGRFSDVLSFAEVLLQKFQPTDLVYNYYGLALEAQGDLKKAIQSYRKAILIDPNFADGHHNLALSLKSIGNIGAAIDGYAKAISINPEHFIAHNNLGNALCSIGKLEEAIKSYSKAIKVNPNFSEAYFNMGNVFRSLDLPKKAIKNYRIAVKKKPNFVEAHNYLGITLNLVGEIRAGIKELEQATKLKPDYAEAHNNLGKAFYYIGRIGDSLQKYNEALFYKGNYAEAYCNRATLFLYMGRDQDSIKDYKTALKIKPNYSEAYFGLGNAWNLIGEENKALVNYNKAIQFNPNHLSSKYMVASLTGKAVFSAPKEYVRDLFDNFAGKFDNSLIGKLNYKAPTQLMHMFANAFGQQIEKFSMAVDLGCGTGLAGKAFRKYARFMVGIDLSKNMIEKARKKKIYDELIVEDFSKVLGQRIRVFDLFICIDVFIYFGDLSEIFKLLRNRSRSLSVLAFSTEHSDKRSFTLSRFGRFSHSKNYIHSLIKEYGFELVSFRTENLRKEGKKWVKGDFYLARRTKNNFCIDSAMVA
MSADHLEQAKASFLQGLHTLEAGDWQAAEGHFERALELAPGRVSVMQNLGIARVRLKRYAEAEPLLRDTLAAEPEQLGAWLALAEAQLELAHFEAAASSFERCFALGEASATLRAQYAQCLARQGRIPDAIRAYQEALERDAKHTAALTELGSLYREAGQFEQAAACFQRALDNGADPEVVAYFLAAVTQQADVPTPPQQYVRQLFDQYAEEFDAHLVGQLGYQGHQLLVDRLPPEAGAHFSRALDLGCGTGLCGAHVRSRVDHLVGIDLAPAMVDKARQRAIYNALHVGDIHDFLAAAQEPYDLVLAADVFIYVGALEQVFGLLATRMRKGSWLAFTVEDAAPGQTVQLLPSLRYAHSPAYLQELAQRHGYDLVSSHEEAIRFDQQRPVHGRYVYLRRR
MHHGELFAKPVDGGIVRGRSSYQEILILDLGQPAQNLRKVGLAELGGSARTGRQFCQALDVFAGHGAILGKNPSGANMTGGIPDHF
MNNRSIGRLILIKNSIVLAEVFNNIDNYINTLDETRFVGEIGTYVSIYELGRTLIAEVLSAESSRHISSAPLAKPNASRVISLRLIGEITNNEFFFGVSKMPLLYSEVHIVTNEELDIMLDVKNSEINVSDGNTRVATFSLGKSVLFSEYDVKVDLDKFFGYHSAIFGNTGAGKSNSIARIIQNIFKVKLFSTWI
MAFTLPELPYAHDALAAKGMSRETLEYHHDLHHKAYVDNGNKLIAGTEWEGKTLEEIITGTYNATAVAQNGIFNNISQLWNHNQFWEWLSPETVAIPGELEKALTESFGSVAKFKEEFSAAGAAQFGSGWAWLVKDKDGSLKVTKTENGVNPLVFGQTALLGVDVWEHSYYIDFRNKRPAYLTNFLDNLVNWEKVASAL
MTEYKLPELQYAHNEFEPVISEEIMKLHHTVHHQNYVNGLNLHLKSLEEPECNIGELIILINKDVTIEPKKKEIILFNAGGHYNHSFYWKCMSKTPTKPNIPLTKQMERDFGSFDEFKKAFLASTLSVFKIGWQFLCYDPKNKKLAFYSTEQHGTPFEEGLLPILACDLWEHAWYLKYKTNKKEFLTKWMDLINWDNVSVFYDLAIKNKIVDFLSDGNVDLFFGSNNSEKSEF
MQQVSQPPHSEALWRMLTQQANAAYAQQHTLSAHAKYTEAMTNAEEMLQIFQETGVPLSAPLAFVISCHNLADCLETQKQTDQAAHFLRYACTKLTHLAQRPELPLQARLACVEQLRPAVNVLSEQENMFKLPELPYALDALAPYMSAETLEYHHGKHHKAYVDKLNELTASGAFDGLDLEEIIRKSAQDPSQKVLFNQAGQHWNHSFFWLSMKAKGGGAIPGALEKQLIADFGSLDAFKAEFKAACVSQFGSGWGWLVHDGEKLTITKTANADTPLAHGHTALLTCDVWEHAYYIDYRNMRPKYVEAFLSDLVDWERVAALYEQASSR
MSLQFPTALAHLPWLPCLPHQASGLTATASGLKAPIAHTTLESLARPLHSTAASLLVRPNASPAIATTTQYLRSGGASTSSAPSSSQTMKPPQPFNGDFSKVVTPLPYAHDGLAKKGMSKEQVTLHYEKHHKGYATKLTQLVAQDPKLKGLSLEELLMTQPLGPVFNSAAQIWNHNFYWHCLSPHGGGAPTGPLLEQIKAQWGSFEKFKEEFSAAATGHFGSGWAWLVKTPQRRLAIVTTKDAEIPSLRGLHPILVCDVWEHAYYVDFRNDRAAFIKCFWDLVHWDFANFNFILG
MSHQQPPLPYPLNQLEGFMSEEQMDYHYNKHHKSYFVNLNKLIEGTRDENKSLEEIIKASQQGPIFNNAAQAWNHILFWNCMSSEGGGEPTGVLMNKIKEAFGSFSAFKEQFIKAGATLFGSGWVFLASNSQGKLEIMALPNAENPIKYGKKAHLGVDVWEHSYYVDYRNKRPDYLEKFFSVIHWDFVASTL
MIKRLEGAMERNDLREKMLVLLEPASSIIQPSNSTESINLVVGYNGSPKSQTALDLTMWIAHQTRLVTQKEVIVQVVYVVDEKQIRHRSDIFNIAEF
MDNLTTSAVNDLRPHDNDINPSELAHGHLAAPHVVINMGGPSHLSRSATVPPQNKTAPIRDTFADSRRAASSFSDPSSNINQTCKLKLHQQIVIDDQQQSRYKLNVKMETRLRPDASPEAKATPVLSIHYFALYECSETKAQGLPTRVHKMHAHKTYAHKPYVWSIDIQHCENPNDPVRPLKICRYSISGDGTRVATLSATDDSLDLWDISTPEGSAPHGPKNLAEKQFQRFGGRTHTDPHSSIAVSWDACTSHYLRPQKDLR
MPGKVMRRLVATCLVGAVIASACGSESADRERNVDAVPSASTPATVTSSSPKYRDLSFTENFTGTTMRAVGTTAEWGTQSGKLTMAPAPTVREFKFTEQKLTYNPSASLSSVVTADYDGASEFLPWVGSNSVALLRSVVDTAGGRKKTIVALGDASKPQMMTQDDAALVDLIGDSQEELVVLGSVVPGTGRVSIQNLAQTLVSFDTYDDPSIAERLVVGDFSRDGFLDIVTVGKRVTLHRQLRPSGNTLSFERPISVDLSGVSELQSPIQNVAVGDVDNDGFSDLVLSGPG
MRSMARGKAPGDDGLPVEFFEATWEQVGPILVRLFNRVLEGGKLTEDMCRGVITLMYKKGDKLNVRNWRPITLLNVAYKILAKALSRRVGEVLPDLVKSDQGAFVKGRSISENILVAMGALEVISKERRQVLVAMLDLEKAYDRVNWSFVLATLEHVNFGSSFRRWVGMMYRSATATVTTNERKSREFSLTRSLRHGCPLAPLLFVVQMEVVMNAVRAAPLIKGFQLYEEEVRVGAIADDLLLISEATPESMGAAKDLVDQYSSLSEAKVNWDKSVYFLPSEFDIEDDWTMRRAPVQDSERYLGVQVSLNNNRPAQDSILVAKAEARVKSCKAAMRLSLMGRARVVTTSIFSLIWHIAAVILISRTTLQKLRSVASKYLWKLTALEEEGFICKVAWDKVAQSKRDGGLSIIDPERQNLALLGKWFLKISSRAELRNWLLIMQYIMQQEFHLARKEDVWLCLQMSAFLKRRPNSAIGASWCTTWKKLRPQLPATLESKAEILRQPLFENSLITDIGGREFEVSGSPTTFWRKWIERGVSRVQDLWDDHRKDWRTERELRQVLGRMQNVGGRRDAITEAISIEWREILSRKHPKRKEAWYKEEGIGAPSMEYLRLEELTEQGIWTATRWEVEKPDAGEGKLRQIDTEGNAGIDPLQSLTEVRVCSKPQSTHGRNFILIQGGAAISELRLDPLA
MVGSNGIRENDGIEQLYFIVKYILEGKDIPYYDLGKIYDNCNLYHGYKIGGIFDYILRTNKAREIFEFFEKIGILSLLLPDVHELSFIPQIKAKSVNAFDHTLRVVEVIPIDNIILRWAGLLHDTGKVKSYFELGNFYNHQNYSYDIAKKIINDLNIGGEDLILTIIKYHMYPLDYQRNPNWTDETIKRFIDNVDLDAAILITEFAYYDKKAENGKEEYLKPILELKERIINAH
MLAQAQEVFFLKATRDKMKDAIIAKLANQAADYFGDAFKQCQYKDTLPKEVFPVLAAKHCIMQANAEYHQSILAKQQKKFGEEIARLQVIHPYLYVWEIERKQI
MDNISMSDSVATLDFSPSHICNCLGLLREVTFLLERSSPGKLGKRSLFDCNERLKYWRLYTSRPLRRTVIPSPRYTWHAIVHLSGLARITPKLFAFCHFGGSFRPSTAVELPQPVPIEYLDHQFPAHAHFGSSLKCTLLEQTQRRPEFHLSSGFPGFPASLSQHTWIEKR
MKMNTLLLLSSLTVAVTLSSCVDYGGYGYGYGSSYSGRPSYYRGGYSSRPLVTYNSFNSYNTRPSYGRSYLSPSFGASFSSFGSNRGSSHHSSRGFSPAPVSSYSHHNSFGGSHSSHSGFSSGSRSAPSSFGGSSRSGWSGGSSGGFGGGSHSGSGGSHGGSHSGSSSSGGFHGGSGRSFSGGTITTASSHNGSNHHGR
MKYIIIGGITIIVTLLISKVYTVVNLMYFNKYPEQKIKIVQQKSIDTQIVKTGENLYMVYCTSCHGQDGKGNKGKAHDHTKRISKKSVIHAIENGAKNFISHYSSAMPSGLIDKHEINEIAQYVAKGFKGTKPKLWDRCASCHGENAQGIAYIAPNIKNYTDDLIMTILHDGKKGAIGTMPSFKGRLTMAQMKSIAMYIRELGKKYDKL
MKKLVSTLLCIFMAAFPLFAKEGFSLEDEKRYLKTLESIMKKIDKKHLTEMGRALYIRKCAFCHGKDGRGRNGFAADLTRRISQESAKYTIQNGGHNFKKSFPGSMPPMVPEPSRAEVIADYVAKGFPNGHPGKTIFVKAYCARCHGTDGRGIRFRAPNIRHFDTSTIAAVLRNGKKGVIGRMPAYTHFSASQVTMLSYFIMTLSERPAVKIRKITTDR
MAQPKYPRLKSRYHVEVLDSEHVFLMTEHGHYVLKGSIFIKMLPLLDGMHTPQDIVHALSGQASPMQVMYALSHLVRSGYLAEGVPETDKGAAAYWESLDQDALTSQARLTQARVSLGAYGDVSTNGVATALGQLGIDVAEGADFCVVLVNDYLHPDLRRINRDALKRDTPWMLAKPVGTTVWIGPIFVPNQTGCWECLFNRLHGNREIEAFLQRQLDRTDPFPVSIAQLPTTVAMADQLIATEVAKWLVHPEGQQLAGQIVTLDTDTLELQRHKLVRRPQCLACGEPIYQNPEHPKPIKLQSCIKAFTTDGGHRITTPEAMLERFDHHISGITGVISSLSPVIEVENIVYIYGSMHNFALNYSRWEDLRRSLRWRSGGKGLTRNQSRASALGESLERYSGIIQGYEYTEKASYNELADRAIHPNKAMLISDKQYAERDQINHLEYRFHYVPVPMDPDEQTDWTPVWSLTEQGFKLIPTAYCYYNYWPATRFRDAFCWADSNGNASGSTLEEAILQALMELVERDCVGVWWYNRLQRPMIDLESFGDPRFLAVRDHYASINRDIWLLDISSDLNIPVIAAISRQTDGPGDQILLGYGAHFDPKIAASRALTEMSELLGALSIEYEGEDPLEFDDPNMTNWMRTATIDEQFYLKPNPDLPVRRYQDFPKRWTADLRDDVQMCVDILREADLETFVLDQTRPDIGLNVVKCIVPGLCHFWARFAPGRLYDVPVNLGWLETATLEEDLNPIPMFF
MTSALSWSKGTHVKVIDDNTIAIITEDNENVLVRPCIGHIARLISQGQYHDFTNPNVQLLFAQKWIEYSSPSSTAALDKNLWQAQINNLLKDSNITVFYNDNLYSEALERHNKQHLLEGKDWLLIGNYGNTLQIGPWFSPTKHKGCWQCLKTRLEWNSPLRFWLHKSHNEQLSDTKTHYQLTPSQLSTVKQLIEKLQQSDTLTFYTLALNHADINQHQVIVRPQCHVCGNPDLFKLQQLAKFELSAESKKNFVDGGFRTVAPESTIAKVLKTLDQRSGIINYINNSPNQEQSTNEHFIYRCVFHKSPYIKENIKQTDFIQVTVGKGMSRSQSQASALAEAIERLSANYQGDEVCILEKASSLTTRHYLPTQLAPFSLAQFLKFKQAPDDHRYQLYSTEEFDINLRIHWSPAWSLTAKEHVYLPSNYCFDNTPFINETCTRYYQNGCAAGNTLEEALLQGILEIIERDAIAVWWYNQIPRAEVTISHLPDLVDKASQILGELWQYWVLDICNDFLIPTYAAIARNSETGEFSFGFGCHLDPDIALKRAMTELFQMLDIKDSTSAPFDFNDIKEHPFLFPSDVAINLSTENTSSNTSSLQSCIDSLLTRAQSLNLEVLFINTSRADFPLKAVKVIIPGMCHIFPFLAAERLYQVPKRLGMLDKIKNEGELNPLELLI
MLLWSSCSPTWFYSTEQSWLPSTFYFVSSVLLLPPSRLPLVPEINNKLNQ
MSLLITELALDVIRKFPTQRKYFYMDEAWSMLSDSMGEFVEGMYRTVRKNNGAMCIITQGIREIVDSKIGPAILANAATQIILNHTDVTEVAKLAGHLGFTEHEVELIRSIRRNDAEGYRELFIKQGDYAKVYLMEVSPAMDAILTSKPEERDYLRRLQKQFGGNIHAAINQYIETKRAKKLSIGKEEAA
MVTRFDEDIDKKDLKTLQDAKRANKLPDTLFDPLLPHHSPDDLNHQHSSATYDPAHHPATQEQPYFHPAQTTYPPPSFDSAHHYPAPYADHPPQPAAQFPYNDFYHHGSTSSGARHTETSSVLTNSLSPQINTSKLCIIN
MSFGSALLVAAVYAAYSGCFYVIDWLFANGHPQLASVAAVSALLVNWAAAAVATSGHDDREGKLLWLLFGAAIPFLGGLGLVCFGGGGSHRSTGLALMVGTLVPALMFVYLGSGALRRR
MSNLKFLRVHNICTQYVPKYLPNSLRYLEWSGYPAKSLPCFQPDELVELRLPYSKIELPWEGMKIFDKLKSFHMASSLDLIRTPDFSGAPNLEELVFARSSNLCELHPSIGKLKKLKFLDLEKCQELTSLPDKFEMEFLATLNLTGFSKVKKIPEFVGNMKVLQELLLKGTGITTLPSSIKCLTGLKILILQDCKQLVCLPNTICSLTSLNNLDLFGCSKFDKLPKDFGNIVSLKEVYLSGTAINELPSSFGFLIGLTSLDLTNCKDFVLLPSTICSMKSLFTINLSGCSKFANLPENLGNLEGLYNLSLEGTAIKVLPSSVGRLTALRHLNLSDCKNLLCFPSNICGLKMLEYFNLSGCSKLVNLPENLGNFESLYNLSLKGTSIEVLSSSAGRLTALRSLDIRDCKNLACLPDNIGCLFSLSELHLCGNNFFSLPESISQLSRIRMLNLDGCKRLRSLPDIPSQDCYINVNNCTSLERLPESPKNCPFWCRHFNFHVECVNCFNIQLCVKVLSGKLVGRLPYILPGKEIPNGFEKANIRDSSVAVSPSFHRCDYSVNMQLPWSGFDELRAILLCVVVVPCEHYNHPSMIEIKSIKVDGFNRDLGSKKWRYHFTESKYGKLESPHLWLPYLTSYGLKTPGWSIDENGFHEVEFTISTWKVEVETVGFRMLNMQDMKDSDDLKKIGKIVRDPVNEISFLPLYNLFVSFFFFLFFSFVFVFLHIY
MATLPDSIGGWAALKTLDLSFCGELASLPDSICDLAALTRFDLTGCVTLAALPDGIGRLRELTMLYLTGCWSLTSLPGSIGHLTSLMDFELDACTALTGLPDSVVNLAALTRLNLNFSTALATLPHRIGDLAALKALFLCECDNLERLPDSTGDLALLQVLKLADAGISSLPHTIGNLSSLTQLDLRYMRFTGLPDGICGLTALVSLDLTESALTALPEGIGALAALTHLILKCCPQLAALPDSIGDLAALVYLDAHGCGALTRLPDGMGRARTLRALLLGSCTRLAELPGSLCDLVALERLDLSACELLTHLPERMGELVRLTSLDLTGCSGLLSLPGGLRTLRRLPRIDVVGCADAVRLQAKTPLQDDDFDASK
MEPETKTIAVIGALGVDHIMIVPHFPKTGESIRASHSEKALNGKGANTALSTYRSCHYEESLGRGDGAIRVKMIGKAGDDTYGGYLIAKLLENDIDISGVESAGSALGMPFRFSIPTEQDREDVQRKESWGVQPQCEGVLLPASDLGIVKKQAAPALSLTSHYFKEQRSQNFWREQYQSDKATRMGFKSPWRGWPSQDPAPLVPLIAPWFLVAAWLAHVVAQHMRTPGRPSF
MRRITQIQLILCLITSLMVISLQISSLGSPDSSLILLGKGIWIWKIYELEKDKENPLRAIVEKLKNKKIDWVAVKCGDGRFYWPEDKKEYKKWLEEQGGFGKVINEFHNAGIKVLLWQYVYGKHGAGPPGAECGGTPEEEAEVAKKILAIEGIDGFIIDAESWYQGTAKDAEVYLIDIQNYMQANNLDIFIAYTTFWNPNQTYGDSTLQKEHYKVFGQYCDAVMPQVYPRRNLASWMERTEEEWGNLYKEWIKKGDGLALEERIKSVKPLIPLGRGWDIASDEIEKFCHIVYSHGHVGVSLWRYDLLNETCWNVYKDWWKAYEEEDPTLLVKSKGLQYLRTRQNPSNGSWQENVGITSMAALAFLNAGCKDGDSAVIRALQYILNKQNTDGSFGELPTYETSTAIWALVATHNPKYHDEIEAARQYLETIQW
MREILLTTSPLGFYPTGAQRTFIQESIDDLQLRISLFDEDIKRLQSTRANLQEAREIFLQNAVVEQAKSRRYLRALTRRSPKKPEDSKNAVYFTNLLDDNRVALRSLLKSRYRAHREISAYTSLISPVRNIPAEIWEQIFLYCLTNEDFILPRPNNAPLLLCQVSRSWRRIATSIPSLWCSLSIQMTRQLHRKTFLESWLSRSGNLPLSLEISWCPTATTYFYDYVLRYLIQFAHRWRRLRLSFRSSSYYGHEPNCSRVRGMLSARMPLLETLELDSSVPVVTLSIPPGKAPKLRVLRLLKVEQNPIHLSMPWWQITHFSSHHILGMPKMFYLLRRCPNIEVCEMRVESYPLSVPMETVLKLERLHTLLLTNSGGECLDALLASLELPALCDLYIRTELHSPQYDGEPWTVLGLQKLAARSGPGFDLKKFTVVGYKCSPKIIQDLQFSFPMVEFNFQGS
MQQNLGDIPGYLEFLEAIKDPSNPQHKMYSEWDLLNFDPSFFDIGACGGCI
MLRLFYRRQNKIYLDTEALLRMALDHQIASDELNFILDSHAGLRTDPLVEV
MSNLFNNDNSLVSLDLSSFDMCKVTNTGSMLGYTIGVIAGYARIQADADIFNAIESKPSTYSFVVLN
MRQVIEGVRLVQKMLADSLGKHGIVAFSAVGQPFDPNVHEALMQEDSDKPPGTVVSEMARGYKLHDRLVRPAAVVVARPRAATRPAQEAAASPTPAPSPKDEQ
EVRESEWMKGIMQIEQQLVGLVKRHGAEEITSPVGSPLDPNLHEAVAVGPGEREVVIAEYEKGYMLGDQLLRPSKVQVGDGTAAEGEGQS
MIEKQFKGMLSSNWGLSRIESVGKEFDPQIHEAMFMEDSDDVEVATVSEEFQSGYMLHDKVLRAPKVKVQKPTEA
MGLSKFAEAPVPFNPEIHEALTHETSSEVSEPTASKILQPGYKFKDRVIRPARVAVTDPEVSA
MFTVFPKTKTYFAHIDISPRSPHLLSHGKKIILAIARGARNIDSRPPHWRLSVRCMPTI
MFLARHTNKIPAPRLAPLDXIFEAMIFIAPIAKKNFNGPDIDCSGNRGVXFMAVLPLIAKRXFPSFLSFSSXLXXXQ
MINKHNKAILASAIVLSVISIGLSAWLIKVWVNNGNSYDNTPFLSGDMSAVFYILIALCITSPIRMWLWYIFGGNKVLFWIVTSMDIIVVLSTFLLPLILLVILSIALTTLG
MDQELARQLVELGHKGSSLEREEFETSKAAAEASRLASESQQKKLASIGKELKDNFLRALAEREEANRSGEMTSIIFIRVQNSHEQEISGYIDYSHQLKFEDFKPYFSRKKRLMPKPTEGKPTYIMTHA
MKQWLIRAGLSLACFAGVFSKVAFAENVNVIGVWNLVSVTAEEVQSHRIYPIAGTHPVGHIIYAPNGYMSVLFTSSGRSPIDRESSHRTEEAAQLFWTLTAYAGTYTVDDKTITHHVEVAGDPSLVGTDQIRHVRLAGDTLVLRTPPMPGPDRNEYEIEATWIRAK
MITIALPLVAAAALFPEVRSFWAVDGGALQPTQVEVHARLVREGRAVAVYQEEGYRFSALGPADEARQIENAVDVFDGTIYPREVALFGPCPDHDHNGKVILLVTRTAPDEGLFFPFDEMSEPDALRYGFHSNEGAVVGSIYFMTMMGLGNFHIKT
MPAITLKSSATDDQIKQVKDNITSSGGSIKSDFELVKGFTAEVPDGMVGTLSSHDLIENVEEDANVSTQR
MPNRPRLALNLRSKAISLNDAQNDSLRAAAAREQAEELFAKMQQAFDQAQADALQRTDLTDQQRAEGELAMRKALDSTQRMIDSLNQALKIADQAAAQGGWKAEEQP
MHFRYSLLGLWAVLSVTSCENESGVYLDHKKQEIRLNGERITVEEFTEFAVATTNSDVPVTILGEDGRETTSVGELQEGLRALPEVFLSYDGPESYFEPGDAGADSGDDSDPFADTKMNVEQGGAGQSATHSESNSEGGDKPQPESESRPR
MKVWELFILVVGKIIGLFFLKNDSTLSIKSFKNIHTLRSSKFTSKNISSGDNWKLRHMSAKCLLFIVIFMKARRMKRKEETKRGRKKNLENNPVFSKQGMITKILTLSYYGLFCSQTDNFTYL
MKKLVCDQCGNHNDPGAGVCALCKDPLDEEREAEQRRSAWTLIGMAAGITLLCVGLPGVLLYVALAFQTGLHGSEPIPVWMFGLGYLILWGVALPLARFYTPKESYDIGDRYGAKSWNPMTAMRQERDENHLLLGCALMPVQMVLTSWEQALAAFERQGRP
MTDLTHQVEVIPPKAKPHIIIASPIRQSPAILKLFLASLEHLETSAFEISYYFIDENDEPDASEQLRSFAVNHPETILVVKEAPSSYLRNETTHYWSHELIWKVATYKNEIITYAVQQNADGLFLIDSDILLHPRTLEQLYDSEAAIVSEIFWTRWQPEADPRPQVWLKDEYTQWEQALGEKPENEEILIRYERFIAMLKLPGLYKIGGLGACTLIRREALIRGVSFDPIYNLSFWGEDRHFCIRAAALGISLYVDTHYPAYHIYRNSDLPGGEQFLKDTFIKENSPAGASKPLRLSSTANGEANAEKEDGHFATIPDPQSESVPIKRNQNSSSTRNSRTSIIHTLPLLIRQHTMESDLLMALACLKSLSQSPEHHVIVYHQGELDRSELEKLLFRSGVTAEVLGDGHNIGIAQARQALFQHIFTHYPQTPYISEIHVDMLFPENWHVPLIHYLDEHDEPMICPGILTAAGELLPLKQKIPLPDNNQDLLALLAGLQHSGTQPGFVHPVIHRKEALESIGGYDLRYFTGKQGYEDDSLLVGYANYMGTRTGWRPKCLLSSWVYHATMAQRMSLQDKWADFDLNRKGLLRQYGGYGLEQLALLHDNPDFKVMLEHYLKA
MLILIDCKTSSILLILMKISLVLFLSLYCITCIAQRTITGTVTNNSGIPVLGATVLIKGTDNEVNTDFDGNYSIDIEEDDVLIFSSVGYETQEISAGKNNVINVSLVSGITGCYFWNDPTHRIKSMYGVNYKTLGIEFKTRWHQLNHLELNGRITTNLESNKEIQVETIKPVRINGIYIKPGLSFHQADFESNILHKYEFFITKDFGLGADPHQHIKISTGLINYSSSQRLYYEEVGYGIEIRKRVFRGGFFFTTGYTYWEGINEVELKGDYNIRYNWIVNVRYHHISNYEEVSLGISYNLRNW
MSKWVPNEYVEVERNPNYWDNTSTIINKVTYLGLSSQNAELIRYQAGEIDMTNRVQLEYYQKLIQESPEQIKAQALLGSYVYSFNTRQAPFDDVRVRQALSMAVNREILVEKVTGQGEPEAYSVTPNNIPDYTAPLSEFNSLDSEQRLTKAKALLEEAGYNENKPLKFTLTYNTSENHKKIAIAIASMWKPLGVKIELENMEWKAYVAAKGSGDYQLARSWAFGDYPEPSALLEAFTCDHTANESGYCNPDYDELLQQASKTEDQSKRFALYQHAESLLNDSAAVMPLYHYNHTRLVRNTLKGFPNNNPKGNIYAKDLYFVKQ
MNAPQPGFHPPYDIHLLRGQSIDLIVFLELDNTDVGTYLDNLDDDRSVSYRFFPSFIPSADLKINIKNDGTADRPSCLIELPKSAPIDSAFLINNFLVFVEVTDDTDPDDISIAQTAIRIHVHQAIQDVWVTPSPLTVYQEMDTARFGVYALFDDGVVAEISSLYTGDDDDDIDYSITNPIQLRWTSSTADLISADGDIIPTDDTDDHEVKLTMTYDTKTHTATGTVRMSDVLNAAQTTIKVREVANSESPGFARLMDVPNILFLPEGFTNADDFNRLVDNYVSDLISSKITAPFNWLKGSINFWSAFVPSRESGITHRATLDVRENARKAGDDGPSMQGTQPYNAEKPDDDDVMNWSFENLLYYTGYALKSDEELGDFHLRKRWENTTRLTDSQLNTLFPDLENNPDQPDMYDLVTLWKTFVDRRLPNAKDSAFGVMVNDYISFDRDDEYAAVDFDKRRVQRIFLDDFLEGLKDVHGNAIGSVFVMGTTSGDRGKDYANIVFLVPDTFGGREQNADGYLFTLTGDDGLVTLMGTLADIQVSAAPVKIPAKLSLLQKSVLTHELCHSFGLGDEYGETTPDDDYDDEVYTSSKVASWPFSKYRLPAYNTDDESNIQPKADFERPNPNKPNTTEIDAYRIKWRYHRTQKGSLVTSLAFAANKLTVTIKDARVTFAPGETVFLRKRRINRQVLRLKNIGHTKQADIINPETVPANYLSERATIKSINAADNKLTVTIKSAAQQELELVPGQTAFFKKGEQVRIRRERVSDPIQTIIRTPSTTGAPGDSIAVLLSPALKVESTGTGQVILSIPAGTLQTELTELHENEEILLYAAIAADGATAQYPYAELISRRILDYLNTNAYPLNANTNNEEIIDARNIQNSSLPKALVPCCSRRKKEIVALYSGGDEAHGEIYHPTAQCMMRSNSIVRKKKRQYVELCAVCRYTLINIISPAKFGKFDEDYMKRKIYPT
GRPGLVLDSAATASLYSQPLSPEMALVVSNEAHGSSPEWAGYGQRCRLPMRAGTDSLNVGVAAAVALYEMVRQHGLMTGAEGAQ
MEYVYHPKNSQLLSRLGAGSHATVYKMKFNDEMVAYKLFSKQTPEILKNAQKEAKFLSYMTKFPIMKNFTPNFIQFSEKPLYIIMEYIEGNTLNMNKLTDNEFNLLEQTFHQFISQLHTKFTLSIRDISPYNIIHSDDRFIFIDFEQLTELKKPYLTNYGTPKYAAPTEICDEKDDFLSAAIILSLRFGEVPWGNLRKLEHINQIKKQCILDCKKYFSFLTGRPRQWMFGFSGVLDEEQMEKCAYIDYRTEFSHLEGL
NSLTQMLPYRREFGSSSAASSGNLIIGDMNHARLVQYLPDVVNGHYQGAATHLITSESLGIGNNRLLYAPDGKTLYVGKTHLSWPGREGIKRITYTGTPYLQVEAMRLTPKGFTFQFNSKISVPADGSAYEIQSYRIGYHAGYGSKNYDLEDEPCAKVVADGKELIIELDKALKSNRVYDLRLPAEIKSALGYISSTRFWYTAHLIYE
MIVPIFLIVQSLVRQTEGILPPCEFLLTDPKGSFSSPNYPEKYPPQLSCVWVISAPENYYIEVIFEDFDIEPFDDCKTDVLEIKDGGNKNSPLIGILSKSIRNNGGQYCNTFKPPRVVTSTSNQLRLWFSTDSTIHHRGFTLKYIFLPEQDCGGVIESTHGEITSPRFPLHYPNKKSCEWKIRVPEGKYLYLKFISFEIETTCPIGLPCKCLDSVYIKDEKNKNTEVYCFSHPMPLVASSNEISVGFNSDLYGRLQGFKAIFTQSSTPKCGGDLFTNNGTIESPLYNPEAQTTYPSKLSCIWTIKASPQEYVVLHFLYFDVAESFWCLKDNVEIREGENENAPLVGYYCNSNKPNKVISSNNGSIFIRFKSDENDNVLYKGFQIHYQVVKQGCGNPLLKDEKTSNTVTASSLFKIAHEPHFLEAKYAIVGKPYAWCTKEIFSKDNEEYIQIDFKELTEINKIITEGYTMVGNYYFVTKYKMAYSMERNNFVHYVINEDENPGSSFTVFEGNKYFNVSKENTLSPSIVLKSLRIIPTEYVSGRRLHICMKVQVYGCDAIHLEDVLMKNDGRVKFNTSALKRTTWLIAPPVESLNSVYLFEALLNAECKSTNFFISTKSENSKLAYCDKKKIEYLLPGIRQLWVTLDVKVKPDSLQSISFSYQIEKLGSGGIIASSHGIINGNHQILNNFNATSIWLFKFEEGKRIVLDFSNIRLPQDGTKIVMKGGLKASSHVFREIFGSENRIAFVYTSHLMRMEYHLGRTNGTSTQHLGGTLTEGFTLKYTITNDKSAKL
MPPPSAELASPEAATDFLRNVGASLVSAAGLVRRANTADPLAYRMLRTGLWLHLSQPPAPGANARTSLPPFPPALRERLERMATHARWAELLEEAESALMQHRFALELQRQVANALAGLGATHASAREALMLELAALLRRMPGVVDLVASDGTPLTDAATKQWLQTEVVPRAAPATPAANAPRALRIALPPLPSETPTASIGAGLEEEARVLLEAGKPEEALQRLQSAVNAATTGRARFIARLSLARMCANSGNLLLARTLYELLDEECSARQLDAWDPALAAACLEGFLTCTFAQTNFTGRLEMDLHLRYRRLAQLDAPAALRVRVERLEATAESAPDTTAS
SLPAEAPPPPPPPPPPPPPPPKAAEAAIVQAPAATPVIVTPPPAPPPPPQVVVTSSPGLSLEAIVDEDSALTVLGRCAPLIARAGDALRATNPKRAAAYRVLRIGLWIDILDDPGAGSPNSTTGVPPPPDHVQRALPALTSQRAFADILPLVDEYAQSYPLWLDPHRYAANALDQLGDDYAEARRAVLVEMGVLMLRAPLLATLKFNDGTPFADAETQTWLSNDVAAAFGSGGAGAPARPRSYLDKPLSEARGLVANEQPVDAMVLLEKAAAAAPSALDRFRVRLAMAQINIDLSQFLVARAQLEALEHIVEHHRLTTWQPDLCAELYAALHTCYRALNERDDATPEARAKEVLCFERVCQLDAALALKLSLGV
MTTYSQIATLAAGVAGVSFLGYCLYFDRKRRCDPLFKQKLKERRLKNQKSADDDSSSSVLPDMKDQEAVQKFFLDEVSKGEECLSMQDYDNCVKHLTNAIVVCGQPQQLLQVFKQTLPPDVFQSLVQNIALYGAMQMQKMQPSPSQASAQVTEDNDGLD
MTRSRRGVVAILVGSVLLCPILYCIYFDYKRRHDPAFRKLLRRTGKHHRQREAKLQNLRFRRLIDSRISELELNRRATLPPVENSRFILDNLSIGENCASQGDYENSALAFYTALYVYPSPEELLNSVMADDNVRPVLQQMIKLRPFRPPAQRYHVSPTIL
EAPRLGGAPRRRPLPAGRAPRAGGSAPNSWDARGRMPYVRTVFCLLAALEACGAVAFLGYCVCFDRKRRGDPEAGNCGIQQRMKNYNFFCKKYEWENFGYPEELLKVFKHTLPPKVFEMLLCKIPLICQ
RFGPGPTYEAFALAPEAPVVKHLLAAADQIGLAATLVNNDGGMDANQIVAHGIPAITIGPGQRQVHTAEEWIHVPSFLQACDLMVAAATINAGQDV
MQKVYAGLKENWVEKADHLALRLNMDKGTVLGALSAFTQAGRVIYDINNGSYRIRELSRESLPLDELRFSNPREESANRFVLTNKVKVAVATREGKQILSGTVADGNKAYEPELVIDKDDRAVSGKCTCNFYSQNKMMQGPCEHMLALRMMVREKQKQ
QLFLAGQALSLIYTPPQSTFHEKSGLGLADASDDALLDAMVAHPRLIQRPFVVTAKGTRMARPTEAVDEIL
MKYLIDTHTHTLACNHAFSTLEENVAAAASKGIEILCFTEHGPKMPYAPPDWFYAELHRIPQIINGVRVLTGLEANITDDCGSTDIDNMPKNAIKFVIASLHKHTYQPSKENNVTQALLNLLDNPFIDMLGHMDTPFFSINYEAVIKKAAQTNTLIEFNNGSLHGVRKGGEANMFQMAKYCKKYDCLAAFGSDAHFSMDVGEFSTVAGILNELNFPEELLINDKPDLFFDILKTNGKHI
MVKEVGNIEFTDVVTGKLEKYFIIEIEEETKVQFESFMANHRYWVLEGRDITDFDEYMEPLNDPDYNLKRDFQKYRKQYNFLSPEAISNYRKQLGLNLREAALILAMSFSTLSNIENGLILQSYDQEIKLRDLENPFRIFDKVDKYRTLLEQRALKRNVDIDKLLKKLEDKKLNEEKILDHSSTMKISLDVGIDSVGTTRIDKNGAFTVSDAKAAYILNNEKKVTKGSAPLEKGGETVWENKKKSTLNW
MHGPPVRRTLHVRVSRETLCGSDRCATHGYGLALELSVGQGEATKIRWCQENGILLARPSLT
MENGPVDGDDGAGAGRGRGRGRGRGRARGGGGGGGRGRAAGGAGGFTNPVAAAIYAAAVARYADAADSPQPSQVPSDASIEAEAARASHRRAARIANGAHSGDDEGPPSPAQAPGAGRGAARGRGRGRGPGRGRGRGRAGRAQAQASSGSRGGSGDTSSSSSSSSSSDTSGSESSGDASSSEASDAPAMARPAPPTPPQAVPPRAERPRPEAGNGHRSGAANGQITSSSSSSSSSSGSSSDESGSDTSGSGDTSGSGDTKSGSRGGGDRDRGSGRGNSSSGGNRSSSSSDDSSSSSSGSGDSSSSGSNDSSSSSGDSSSSSGDSSSSDGSSDDTSSSGDTSSDSSSGGGGSGDEVGSGADSDANGGGSAGSPPPRPRAQEQQRAEAQQAEAHAQAQPQIDGGGSSSGSSSDDGSSSSESSSSGSDSDDSNSSSSSSSSSSGSSSESDSSSSSGDSSSSSGSSSISGSESSGSESSGSDHGGSREEVGSGAGIDADAVASADDASEPASPPPTQPLEEQQQQQLAAPVRAGLLQLAGLPALGSEDCWEQLPPNGVHTQIEASVIDDSEDARARGEGASQDYPAGPPWRGARLPPLGLRLGADGRRALGLEPAAAGRGEGAAAAAAAAAAAPPPAGGGARQPSQQEGGEDAPARDQEREREGEERKPRRRGVRWDAPDPEAEEPFPTGPLNRRGRDGRGDGTPPPGERPPARARGDGDGAAKRARRAN
MNNNTAADKPLRDLKWGVERRMEFIEFRLFWEGKINRIDLIDHFGVSTPQASADFNLYQELAPGNLLYDTRAKHYFPSEKFQARFLKPDSECYLANLHAMSSGIVTAQESWLSHVPPYDVLPSLRRTIHPELLQAILDAIRKKQALRIRYQSMQSPDPVLRWITPRALAHDGFRWHIRAFCHRSLMYKDYLFPRVIKVEAVKQDDQNQPPDHEWEQIIMVQLGPHPDLTETQKRAVELDFGMKDGKLEARVRVGFLYYFLNRWGLDPHQTVRKAKYQQIILLNSEEILQYYQRPRESVFEE
MEGAVTEEIGETVRWGVQRRLEFIDFRLFWDGRFNRRDLAETFGISAQQASSDIAQYTALAPGNLVYDQTLKAYQRAPTYEPKLMHASAERYLLQLVAIENRWMRQEDTWFDNTPPIENVSLLRKRTDARILLHLLDAINQRGQLEIQYKSITGSPEPRRVIAPHALFHAVGRWYVRAWSTEHSDFRDYNLFRIDQAGDITAAAIDHTLDFEWMHEIDLILAPNPALSESQQAALHAEYDMTEGRLVVTKRLSQTFYLMTEHNFDVAPDTLPPGKQQLVLLNRDEVVNARTTARKLSIDAIERASR
MQTSPDTLKWDLRQRLKLLECTLLLNGWVRTQALTESFGISRAQASKDFAVYQSLAPDNLVYDRSHKRYQAGTAFRPVLLSGRTSEMLDLLAALPGEDSPVVALAAAGPAVEILRPMERELDLDVFRAVSAAASNGCRVAVEYQSMRRPEPRRVTLSPHTLVYSGFRWHVRAYSHRHDEYRDFVLARMRGAELLGEEIGASREQDRDWIEMVELVVGVHPELSAAQRAVIAADYGMDNGRTVYPLRRAMVPYFLRLMQIEPEREHPDPKVQQIRLLNPEVLESARWG
SAPVPAPRAPAAAVETAAAVERPAQQQPSPQQVHEAVDKLNKSLKQSSSDLLFSVDSDTDKVIVKLVDQNTKEVIRQIPSPEALELAKSLDSAQGRLIKQVA
MTDEARPEDAAPADAKDPSLRKQQAITNLVHFFGRYWYLTLSVARLAREVRRLEGELEALADPGDEEPPVPANLDGVPLLVEVAISVDQTVLDDTADVFVRDSTSDPAATAITFGAALVLLRKSLARASTEEILREVGRYWSGVASLQFRAYALGHDKQVLGFRLAAQRAEVTLRKRLQG
MRRKTQISAIALTAAMLAAPPAFAQLNLGINLGGSSDNGGIGLDAGVNLGIGDTNVNVGAGVGVGGDSLVDAGVNVDAGSESGGGAQIGVDAEVLGEDNLVDVNAGVGTRSGGNGGTRGNIVGVGVDVLDDDSLLDADVTVGAGSGGSGGSAGSGSATPVLGVRIAALDQAARVDAVIDQINTPDLAEADLDALIDDRRVSILALAELFGDEDASEIRALVELGGPGREELIAAIEASVELSAILDRQGLSGENVVAISVNGDGSAELIVVDLDLDLAGTDNATGPLLDTDLAELDIDLLTDEELARIDLALLPNEQQRLDAIIRILGSSGGSGGGTSGGNIELIDVDALLGEESLAQLDAILGRDGDTEDVLITADLLDSLDDAGLSPEAVIGIDSPEGGPTRVFIDAGLGENGGLLGDLGDLASVDLTIGTGSIGGGTGGGGTDGNDGDGGDDGTGGNGDGGTGGNGGGGTGGNGGNDGGDTGGNNGGGTGNNDGGSGNGGGTGGNGTGGNGTGGTGNTGGNGTGGTGGTGSGGTGGASGPGTGAGSGAVAVNTQMPAALVEPDMVVAEVSCIAGLEALSSVSMPSPTDLRDVEDVSLVSISGCVQVLRQDELASLKAAVQLSGDLSAQVDEAGLSLEALVGGTLEGGILTLYFEEDMAASTAA
MAKALPPGWTVAGHYPGGRNTLNKEVSKMSINSLFIKVTSWILDFGCLFQIFIMEVTSMEYEAIDHFLALYRDLVEQKKAREIKKVRN
MKNIYLYLLPILTHLLTVSLSAQTASDTVFLLKDNNHKIYIEPNKNDVKYRSFVKESRDNKILDLPAKWVPLHVHKGNYYVYEPCDLGTNTSIYLSKNQIYFYGFELNNYKLNSKLKKVEDSHYGLSYIDLDKKIVSVDIYIIDKLKMVSIFKYQTQEEKISYQLMIDQNKINEFQMIVNECTDNKVPEFTFETVDYQKLIKEAK
MKLKMIFAALCIFFLHFGCSRQYDDELKIYFVEGPSPMKVCSIDINGNNREIIREFPGYDVTAPGITASTDGEFIAVSLYNTASLLYDLILMKTDGTDMRVLYSSTSSPVGFTPSFTYTGNEIAFRNVSDLKFINTNGDITATYSGSGTFSPLRPFCLTSDRYKVIMAVTQAGNTKIFMGDLLNNATYQVNSGNDPTYITFDPLDNDIYFLITNNLYTVKLPTSFPATVSGLPAPLASALTSISLSADGEYIAAISTGFNLMLYDSTGTLVRTLTSGGNVYASCFESRPR
MSSLSNPTDPHCQPLQTDWQNPQAAPGMHTVEGQHLNIGIGVFRRGQHSEVPQQPQGEEVATVLEGMFLVEAAGERYELSPGEGIIIPPNEPRRWTCQSERGALYRVITRLDQLPPVEESVS
MKLVRYENLSLFQTPIISIDLGGVSSDSLNTFCHLGLVKPIFHSSSTKELIPIAWGSSQLPKEMCFVLFNVSLHIGLSFRCADEKPYEPTYMVSQEKTKSLGIGFTPLEVTLKDTVESLREKNFVSF
MFGWCNARLRSDLETEVNCCQALQLSWTKKCVPKHFPRHPKPCYWNINNKANNFQHIKKVLRHGKIELKALLAKLLHNPRE
MESPAASGHRTRPRIGILPGDPNGVGPELLAKLLADLDDETKADVLVIGDAHVIEAGARTAGVAVSLPERTADAEAMAESEAAHLVMETIAPDNVTPGKATGAAGRSMLKTLEAAVDLAAAGMIDGVCFAPLNKSALHLGGMTEADEMQHIAAHLGVKGLARELNAVEGLWTTRVTSHVALKDVGGLINEEAILAAMRRLHDTISDVGVERPRLAVAALNPHAGDNGNFGREEIDIIAPAVERAKAEGFDVVGPWPPDSVFLLGKDGRVDGIVAMYHDQSQIAMKLLGFDRSLTLLGGLPVPVTSPAQGSAYDIVGKGVARVSALRFAFDLVVTLATRRIARARSA
MGEPAGIGPELIGRIWAARHIHKLPPLVYIGAIDALKQACPDIEIHEVDVVDDHALDTDALPAINIPLAAPNIPGTLNPDNGGAVISAIDKAVSLALSGDASAVVTAPIHKAALYQAGFTAPGHTEYLARLCGMPETASVMMLAAEDLRVVPVTVHIGLKEVAQTLTADQIIHVGMTTHRDLQSRFGIEKPRIAAAALNPHAGEDGAMGMEEATHITPAIWALKDHGIHVDGPLPADTLFHAEARKNYDTVICMYHDQALI
MVPEPASIPAPLAVTMGEPAGIGPDVLVSAWANRQQQPLPPFFVLGDPVFLRERANLLGHDLQTVSISTRQGIDPNDPRLPVLPLSGGFHARPGKPDPRDAKLVIEAIFTGVELALAGSASGIVTCPINKKELYAAGFSHPGHTEYLAELAECGTGKPCKPVMMLAGPDLRTIPVTIHVPLADVFGQLTSALIVECGLVAAAELRTRFGIDAPRLAIAGINPHAGEGGTMGHEDLEIILPAVETLKARGLDATGPLPADTMFHPAARANHDVAICMYHDQ
MPNVEPQRQASALTKDQPANQDRLVIGITLGDYNGIGPEVILKALQHNRMQRLCTPVIYGSMRVLNRYRNVLNLKDWNLNGIQHIGQASHKLTNVITCWSDQAGTQPGQPPQEIQPGKVTPEAGQAAFACLQRGVEDLKAGKLDALITAPINKYNIQSDDFTFPGHTEYLAEAAGAADSLMFLVSDYLRVGVVTGHIPLGSVKQAVTREKIQQKLSLMMQSLTLDFGIAKPKIAVLGLNPHAGEEGLLGNEENEIIKPLINDLRQKGQLVYGPFAADGFFGTRSYKKFDAVLAMYHDQGLIPFKTIAFDEGVNFTAGLPFIRTSPDHGTAYDIAGKGIADETSMVQAIYLACDVARKRKEHQEIEANKLQKQTIEK
MEQRHPEKRNDRNTDLTSDQNLKDRPERQESDKAEQDPGERNAIDSNPGERKPAEPNAGERGSRERNRGERQPTERTSGERQSVERASGERNPGERNPGERNPGERNSGNRQGNDRNQRDKDRNEGVADRHEPVDRVAPDRMAGEIAREGRRSADNGSSERQDRSAGERGPADRGTADRGTADRGTADRLVVGITLGDYNGIGPEVILKALQHNRMQRICTPVIYGSMRILNRYRNILNLKDWNLNGIQNISQASHKLTNVITCWNDQNHEIQPGKVTTEAGQAAFACLQRAVEDLKAGKLDALITAPINKQNIQSEEFTFPGHTEYLAHEFGVQDNLMFMVSETLRVGVVTGHIPLGRVRSSVTRERIVEKLNLMYQSLKLDFGIQKPKIALLGLNPHAGEEGLLGQEENEIIKPLIKDLREQGRLVYGPYPADGFFGTRSYRDFDGVLAMYHDQGLIPFKAIAFDEGVNFTAGLPIVRTSPDHGTAYDIAGKNQADETSMIQALYMACDVARRRKEHQDLEANALNPKQVVEK
MPQMKKNERPLGIVLIAKDDTPERILFKFPYVIDIPQAAKLSENSSESSEALNSPESQENIDDEMDFRPNGKCSLEICNKRIDPNEIKKDKKEERVPCFPVKMLAALLQPKEEVREVPFEIKVDNVRYAGVPWQVNKAGQCISIIFVLPGTCSNYIVEAFQSLSRKLVVAIHSEEMRCSFLKEQIHIIQPLLDKAESSDDESYNPFVDIEGQSKLARSLRDVYEEICEHGIVDVFINDCIQVGFCVEPNSLNGVVVTPNPSRDVEILMESLQPYHTILFFEDCAPSPDCNPFFIKFVEHHDIEKSIEEISFSSNMPLPQVKDVVRHCLLWARAKVIYPICSSNLYSTAQSEVITQRTQKAFKEQFPEVSLPRLLARFHPPCTLAEFLEEASLYTKIQIRKQMLIFLLRNNFLTQLHTYLFLMPPSTQVARFKNPVSDAEMVLLSNRIKTQLRQVKDSVYRDALTRISVDAQKIGLAESEFFWLLSTFLSLKQFLNGEFHIEAIMYNKKLDRSTLSRIFDMFSDVLAPFLCMDFVETKMR
MDGSRRTCKCPINDTQDGVCGCPQFWKLKHNHAQRNTNATPPSKARIEVLKTPSPSPTPTGPPKQICFFWYHGSCRRGERCTLAHESHITWPLSSPPGYVHYEPCKLPLCPLREALVACQQTGRKQQLSGQLDGATMSRANRAASVVVIESDYESDYTDTNTEEDEGELIMPYIDLTLSDDESESDDIHHDVNDAEENKENVEPLVDYEDLPPIDMTYTDHSAPTPIGLRFRDVESDDIYDDTNIAHGDHKDQEDIESLVDYKDLPPIDMTYVKPNSLVFPVDSTFSDESFHSAASILDTPANVLLLFEPSSRPLTGPYSDCSDSGNDDLVEEGAMKPATQTLDPNTHAPPALTPAPPTTEQTANLSSNMDYVDLSEYVPPVSPSSDAEEPLLSLSHMGTFKRKRTRTTLPNERLDDYKRTKRKPTPDRSPASVYPQPLQLSKAPACAPRGPRAQGGSALICFYWYHKGYCSPRPRRRNGQAIHCMYAHSLANPDGKVSLPPNVTDHKPDCSLPLCPVRLGHGNHNLNNVKNEPHTPPKLNQFTFAYNSSSSPRDELSAAQAAVDGHKFRRDSRGLPLPKLTGVSRSRFKTQMKLMEKWQVDNKVTPHNKAFATAEDRKEQRKREKQRRKQKKKESRMARETIIKYEDDVSGSVTPETTANPARRPSTKKMGSEIRRRASALFEADFANGGKTELFDPEAEQYKESFHPIDGFPDLDTSVDHRDEMEERQQRGKRAMDSVQKLRVTYTGTRRHPMIDSKLPTDDGRLEWDTDLVRRLFGEIA
RLTGYELLIIPTTHKSATHQWQTDLTGASVRHVQIHKTFTACAENSPILLGPYEILSVASQTAHGTNHRITLVMANGECFQLVFNEEFYHSGFVAEWVFVRLYATTCPTKLADCVPKFCWTEAAYRTS
MKPFSFFLLLSLLALIACNKEDDTPEPSPGNCIVQYTYNGVETTDVVIACTYLDGTLNVGATGVDDSQIQIDPITAPGTFSSLNNDPMVFVAIKLDDGTQVFGVDVTVKVEKLTATESSGTFSGEFADFAGGMYTITGGKFEAAY
MSSNEKIKNKKENDIPSNINNNNNINDINDIAFNKSKKRNQYIRRRYNNNNNNNNNDNKNDKSKKQFHKKYNNRNNYNNKKNSNNTINNNNNNNNVSPKQKKVFKEKNNKELDSSEINNNRNLDSKDSMKESVNNACTILLDNTTSTVKKTSSSDNSDLGKLEFQKNLIEALIANMDKLYKKDSGSFVYNNFNINIINNQTNEITNTETNNEVVTITENKQINNTTKTNNVKIEENINNSFTTINNYNTNYNVFNNPFFDYISHILNKGCVNKYQSIRILSKISKCSYITFCLSVNFCVNFLVKTFIITKWVFMQFKDFDKIFKGMIYFIIFVVISTYVYRNEYCWNFVKKFVKTLFHKDTTPIPEDFSKINEKIQSTFSAKEFIKILLRNSTKFISSLYNETEQIIIKQSMDIYNYASRMMNSTSPVIKNTTYQMFSAFNSTIVGSIPFIQKSSDKIQSSFNSIFSTIKKNEKVNNMAHSLKDTVLNTTPKIVSTGNEVYQSVNNFVNSKILNNPNINNFVNKIKNDENINNIVNKVRSDENINNVINKIKNDRNVNNFINKMKNILNNPSSIPKNTEITENIKAIKNVKEMSDNIMETSSIVHNDKNKAMKEYINETAKNIIKNILNKK
MQSGLVIVWVWAIGALLVGLVAAAVGVCFMIKAHKYSVMQEKIVKLVEKRTREKYNAIATLPPKEFGDFLSSMFAKMLEVKSASQVSDADPKADELLYTEATGALIDFLGPETVAAIDYYYGINYIYRWTKSSYALLHKRGITPKIIAKEIQYETAAKNMI
MEEKCRTCAEHSTKMYHMNDKIGAYDRTIREMVLTLVPELKGRLQDTDVVCILCRRLLHQCLKFIEKCFQSDEILLSASKVMKQTDSFKKQVDGGFKKDQHKTVADIDSLDNVKYNEIFDKTYQDESIGNVNDKSLRKTNAAQKNVSTTVNNILAVEKQLEKLFAEESDELSDHDYSKGEYLAINKLDAPPSSIVGAHNRILSVNLPKNGPELFIASLATIDVNVFGCSLNTEPSFENKRILLVGDYGDGVKCEFCEKIFISQEYLEKHTVRHEEEKQAMERRNQLRKRKRELGRQRFKKQQEERKKNMVMKSRKTSYMCEQCGKTFTQHATYYGHKKTHKDMTYICHICGMILKRAGSLRVHVSVVHGEKKYRCTLCPKVFRAELYLKKHISVSHMKLHRVHCEECGKTCGSVSALKCHRAVVHRKEVKCFCPICGLGLYRNDYLKRHMRRHMEAGKEGNDTGERKKTDWKEPIPCKICGKVVVRQNMYNHLKNHRAERKFKCSVCGMTFKFKNNAERHERLHQKDSGKFKCHVCWKSFKDQSDYDEHMTHHNKTYTCPVCNEGFGRKFALKSHLYDVHPEVATSLLDTFDLRRPYKKRYQRNTENMLVKEEEEPGEYVPEHDQTVDGTDDPLQNVNNDEDSAEVYEYLENEEHADNVNFDSTFQGVADSEDRLSMEEQYLEPAYEEEGEETRAVKLLIAGDENHIENEEVVEGTFEEYVIENENGERYIVKNGQYLEEEGEVC
AKNRPRLDLEDPHITDDEVKMWTGWRRSELSVMQKSISGLMKDSKNRSTELALAMFWIKLRTNLTYDQIGMLMNYKSPVDDYRKRVAETCSSVQENLLAHFVPKSTYSSHKKRHLVKMLSIVLPDGYVVDAIGPFAGNANDASITESILQLNDSLQRWTDYGDILLVDRGFRDCIGSLEEAGFEVRMPAFLPTKQRQLPTADANASRLVTKNRVVEYIGVSIDDDKDLKSSLTSTNINPK
MPDSARDEKTFRPERERELVVLMGIPLDNLSMDETVDRLEEFVESGRPHYVATANVNFVVAAHRDEEFMEVVRMADLITADGMPLIWASSKLGLPLKERVTGSDLVPRLIELAARRNWGIFFLGGAPGVGEEAARRLHESYPDLRIGHYSPEFTPLLEMDQETVFREVQSFRPQFLFVSLGAGKAEKWLRMNSAQIGVPVAIGVGATIDFLAGRVSRAPEWMKKTGLEWFYRFLQEPRRMFGRYFRDFWAFARLYRAERRSFLERQKTADSTRSEMRMQEDGSGKICIVDGRFDSMTADGIAHNAEGELEKGNGVALDLSGVTFMDSRGLGVLVALEKMARKNNVPFAIGAASEDAMRVIRLGRLEDFLPLHESMAAARKLVMAGREDSLLELGREGEATRVRLLGRLDADSFQAMKKKLLGAVDEAERGVHRVELDLSHVDFMDSTGLTAIILMHKACVQHGIELDIVALSEPVARLFALTKMEAYLNLTGAKAS
MNSLSSVSLVHAQEDHYNVDNMLSLPTSVLGNSLSKLISTTDGPTSENIDVTSSTTDGPTSENIDVTSSTTDNSTSENIDVTSSGKETRKLTVFGEATATYDVSTKAVVVTGGNMTSTSWGSEIDKTEVTSVSFQQGVNFNGQVSGMFAQMPKLEKVTGLEYLSTEKITDMSEMFRGTPLLNDVNFSGVNTSNVTNMRSMFESANIKNLNLSNFDTSNVTDMSGMFAGTNIDNLNLSNFDTSNVTDMSKMFLSSNIEDLDLSGVDTSNVTDMTGMFAYASIKNLNLSNFDTSNVIDMSGMFMYINNIESLDVSSFDTSSVTDMTTMFIGTKLKRLTIGDNFQFIGETANLDIPYFQDGTPSNYWIREDEKSSGYTPADFMNQYGSGELIAGTYVAGYRLFGEADGFYDEKEQSLIIVGGSVTDCKDWAGIDSSKIKSITFKNKVPVETISLNSMFKGLTSLASLDLSGLDTSKVIDMASMFANMPSLTSLNLSGLDTSKVIDMASMFANMPSLTSLNLSGFDTHNVKTMTYMFSNASSLTSLDLADFNTTNLVDMAYMFAGLKLHHLILGEYFRFKGELSKLGVPYSSTGIPSDKWCRADGKSSGYSPADFIKNYGTGDLTAGEYVAEKRIFGEASYTYNELEGKLVITGGAISNA
MTVDYGQQLSIKNAVGKRVYQLWDDGIINCDVHDNRKKVFAAIWRDFKGAFAFYIYCNILQKEISRKLFLT
MEELVRVGSGADPFSAAVRSTRMAMVITDPRQHDNPIVFANDAFSKLTGFAREEILGRNCRFLQGEETDPDDVARIRRGIEERATIELDLRNHRKDGSTFWNRLLVSPVFDGDELIFFFASQYDVTLEREGLVDLQRGNEALEAKVRRRTRDLSESEERLRFVLHAGRIGTWTLDLGSNAFAASDVLKESVGRGPGEALTFADLQGAIFPEDMDRMRVALAASQSTGSDYEIEYRVVARNGDIRWLHMRGQPSFESDGGVVGMSGICLDITERKRAELALAESEARFRTMSDHAPVMIWTTDASGYCTYLNRQWHEFTGQSEGESQGYGWLEATHPDDKAMAEEVFVTANASAAAFRIEYRLRTADGSYRWAIDAAAPRFAEDGRFLGYVGSVIDIDDRKRMEAEINASNVALKASNDRFRGAVEANSGVIWTNDADGQMRGEQPGWAELTGQTFDEYQGYGWSTAVHPEDVDPSIEAWNMAVRERTPFVFEHRIRRYDGVYRQFSVRAIPVFEPTGDIREWVGVHTDVTDHNEAEEHRRLLTRELTHRVKNTMATVQAIVGQTLRSTKSVENATRFINARIQALSDAHNVLTRENWAGASLAEVVDQALSPFQANGGGRLHTKGPPVRLRPRVALAISMALHELATNAVKYGALSNDDGIVRLSWDIEDDDPSADGHLWLSWEELGGPPVAEPTRRGFGSRMIERALSAELGGVAAIEYRPSGIVFKATAPLSRIAEVDDEDEAPSLDH
MSATPSFLAGGGEAGALMRGLDWAATPLGPAEAWPVALKTLVGVMLGSQQPMLIVWGEAHVTLYNDGYAPMCGARHPRALGRPFGEVWHDIWDQVEPILSRAYAGEATHMDDITFTMHRNGYPEETHFAFGYTPVRIEDGTVAGMFCACSETTAAVRAGRQMQAERERFARLFEQAPSFVAVLDGPDHVFAFANAAYRGLVAHRDVLGKPVRAALPEIEGQGFFELLDEVFATGRTHTAHGAPVTLLRVPGGVPERRFLDFVYQPMRDAGGTITGVFVDGSDVTERITGNAALAESEARFRTMADDAPVMMWVTDPDGACHYLNRRWYEFTGQTEGQALGLGWLDAVHPDDRGWSGETFLRANARREGFGLEYRLRRADGVYRWAIDTASPRFAADGSFLGYIGSVVDIEERRAAELALAESEERLRVAVENAEVGFWDVDPVARTLFWPARVKAMFGISPDVPVSMDDFYAGLHPEDRPKTSAAYAAAADPDRRALYDVEYRTVGKEDGLVRWVAAKGRGVFDADGRCLRVLGTAIDITARKATEERLLETTRRLDAVLDNATQAIFMMDERQHCAYMNRAAERLTGYTLEETRGQALHDVVHHTRPDGSPYPLHECPIDQAFPENNQEQGQEIFVHRDGSFYPVAFTASPIRDEAGAPIGTVIEARNIEGELRAKAQLEAFNASLEQQVAARTAELMRTEEALRQSQKMEAVGQLTGGLAHDFN
MTPLQILLIESNSEDRLSLQKALRDAGFVVSEALTAAEGIEAAQHNNPGCILLATELQDKEGLAVLEQLVPDPTAPRIAVVMLVSAADDLKGPLAIKSGAQDYLTKSCLEPRQLRRVIQNAAARVELAVQRLGAEQELAVSEACYRSIVEDQTEMISRFHADGTLTFVNAAYARVFGGTPSQLIGSSLYKLVPDVERAFIRNAIARLTPRAPVLIHRHRVFAADGAIRWQEWSNQLLPRREGRPDEYQGTGRDITARKAAEDALRESEARFRGIFENAAVGMARVALDGTWLEVNGRLCEITGYTRNELLGKTFQDITHPGDLATDLDNLRKLLAEEIQRYSMDKRYIRKDGGIIWIALTVTIQRDASGVPLYFISIVRDMTARKQAEEALRSSEERFRTITTTAQEGIWAVDREGKTLFINPRMAELLGVRTGDMIGVSMTEFCFPEDADEARARIAANLIGQRTEFEFRFRHQNGSQLHVLAATAPLNGPSGEVIGALGGFLDLADRKAAEEHQRFLMRELSHRSKNLLAIIQAIASQTARSAVSLPDFRDRFSQRLLGIAASHDVLIQQNWEGAPLADLILQQVDPFAGAGDSRLRLEGPNVSVSPSAAQALGLALHELATNSVKYGALSQPEGHVKVTWDYERGGEEKPRLRLHWQERGGPLVAPPAHKGFGHAVIEHMVAHSLNAEVILDFDPEGLSWKFAMPATYLANSDPSKAQSQS
MGNKAEAPGQISARLLDEVEQLRTSLESSLEENARLMEDRDRLSRRVTQLSQDLQAARSAPPPAPPSLPSVEQGQAKEELRVAFEELQVLTEELEVANSSLQQANHELDSRVEERTRQIQEINDTLRATEDSLRTIADLVPDLLWRSDATGHANWFNQRWCDYTGWDEEELKEEGWANALHPLDRASSLAAWAQAMAAGTVYQEQHRIRDAQGDYRWFLIRAEPMRDANGTILAWFAAATDMHEQRTAMEALQRSELRFRTLIEGMPQLVWRAVDSGQWTWSSPQWSAFTGQSEKASRSLGWLEMLHPDDRDHAMAAWTRAQQTGSLEIEGRIFNAQDRRYRHFRTRGVPVRSSESSLLEWLGTSTDVDDIVQLQAHQEVLVGELQHRTRNLMAVVQSVTARTLKGSHSLDHFAQCIGDRFDALARVQGLLSRRKGGLRVTFDALLREELSAHVPLDADGRGEHVTLTGPAGISLKSATVQTLALALHELATNAVKYGALSQPAGRLEVRWDIRRPAPGECRLFVDWRERGVSELPAPGAEPKGGGYGRELIERALPYQLNAQTSYAVETDGVHCTIDVRVPSEDSEGGVR
MQIDPLLVGGLAVAFTGFKLRTVVFDLDATDVWSHATKWLVPAVLLAVAAAQGLTPVDLGWRVGSPLRFLGRVAVGFVVLVGASILLSPVFDRIGGGNEDLEAGMAELADTTLAGKVFIGTTAGTTEEVAFNGYALERLAALTGSPPLAGTVTATAFVLGHWSDQWSPSAVLRIAHPAVVVTGLYLYWRSLPVLIAVHALNDIVGLVFAPEVEDDAAAPSAATQ
MRGAFLIVSLLTLSLGVGADPVLNGFDSAEEPEVVTLTPIVERVVESPQEGQVYLYGIGLEASSDFYGATFIPFGVVPDSQYIYQQLNFICQAYGYGVVPEVRFTPELLNLDDRFAIIGSGDPLRVRVRIYSEDYPARDFRRVKNLVCLIDSENEQ
MSKREEFGKRQAERMASEQRVRSRGIFLLKLSSRSIHHWVYHEREGLGLSPSITAQSDYFNPALLRLTCFECPAPLTLAARAPAPQRSPAVGAVGAQQRANASRSPPAPSPAPRLALARELTWPSETPYMGMV
MKNFLINILLCTLIAILISWGLREGFDRFSAIKLVDYMVFTGLVMLGLSMMIGFSNGLYHEDHQSSMTFRALITRDHHEDNPEDHHNRISSAVILFISGVLFLLASLMGYFWGWW
MDNLAGNTANTSNPVDLRALPYRTRLVAEKIGLNATYILFKTFAHRTLYIPPTLLRSDLATKVGKEAATALIELWPNTSLTFPKVDRMFQQWRNYEIILDINDGELPIVEICIKYDLTRQRIDQIKKDHKRNQVASKATSNQNLTLDLLL
MAESPANKTAENKPRVVQTLDYCTRSALFDISAGMERYEQFLHWAIEGYRDFHFDLAKEIRVKEIDMLPYKAIDFPDDYVDWVKVGIRCGDIVKTFTHEENMPWIWDQKECEKQAHEVCNLSDATLDNTAGGWYFYNTYNSRGQDQGRLFGLGIKDNGLGYFSISREFKQFQFSIKVASGSKIYLEYIADGIKPCAVTVVNIYAAKLIKLYVHWMRIKFNRSSPKWRIDQAEKYYWDEFDRVGERLLDLTYEDVLQASRDGYMLAPYQ
MPRLALADLYLCHSVLAPDAGIEAYLTQIDAWLGRGRWRKKGDATWSKGGPARHRPPRRRAPVGRRADRDIPPGFRSVDVVILSDEFEVSRTVRQLPWKSNRALELRGPAAVCPCARSVNVPGGRLAGALCRHPQGPSVRRLHRGRLTRLRLRRVGLVRAGGRGRLRWRDG
MAVGFAWKNKAKDGDDKFKRWRWGSRDDVSIARGGPIYVPNLVGPLTRVPDFESALFYELEDLKDELCLHAISPSNDDNICMIDELKIYSDEDLVEMALKETLNESCFAKVDEVLKIKQKQDQDKATVSCIHLMLVTALDGELQLRCGQRLEKPCDVLGDMEQINGLGFAIPILDNASNKGIEKSTVHSQKPIPDALNKGLIV
LEKGHPPVTAGSIVVPYGHAVGNEKWRGSEISQRLQGECWRWGEGQSASQSTSARVAGLTGMCLGHSCVSLWYPGSLLSHLPPPRAARRRPEPPAAGTAGQRGSQGWPPLSGFY
MGKLKYLLIVLFILVFLKGFNQDDTDKSCFDSIAEKIINNRYEDAYSQINGFLLKKKTTFQKIELYYYPPLDNFLNNSIYKNKIFNNILGMYYKEYPCEDSLIANNCIKMYINDQKYRRFKTWYYKNLPEKIDSIKKEWDKADIRNKIIASDYLDKYKVDELSKLGKFCVYSLFMVVQHSPYEIQKKYFPIFNYLVKKEMLSASTLALMEDRILRKEGKLQKYGTQVYCNNITNICIPQPHISIDSMNFYRMKVGLSNICIYWRENFNIDCDSLIQDNK
MPKRFSCRRFLSLSAALCLIAFLFMAELQGMTAHRVAEPWDKLVHASVYGLLSGFLWWGMGTSPKFWRLLVLMAMLSMVHEWFQSYLPGRTSSAWDWLADVTGCLTVLCVLILAKRKPDHAQEQLYRSP
MNLLTPRFSALFSARPWLRRTLIGVTMAGLSLSGLAACGHNPGSFGGDGAAMTPANMAQHRDRMVERVTSQLSLDATQKKHLVALIDTVHEQRQAMMGAPGAASSASGPAAGPRAELQALITGPRFDAQAAQALANRKADAMKTASPKVIAAFATFYDSLKPEQQQKVRDFLNSRGPGSRQGHGQGHGHGGWGY
MSNAFNNCDRTTFLRRLHRELPELFGWVQWSYHKPAELRFGNRKLTSSTGVQQGDPLGPLLFSLVILDLVDEIGPLNDINLKLWYLDDGNFVGHRCKVASLLEQMKSKGSRYGLQLNMSKCEIFWPSGNQSFPEFPSDVERVVDTKGGADFLGSPLWGSEVFFNTSLSTRIDKIWESQQRLQDIEDPQVELLLLRSCLSLCKLNHILRTVPPDKVLGQLQSFDINLRKTLESIVDCSLSESSWQQATLPIRLGGLGLREASRCHPAAYLSSCNSSRHLASYLLSMSSQWNMSYSDEGQAIVFPGESTAQDFLQLPLPDNNHKIDSTSQHQLQLRLDSALWSSLKESASIRDRARLNTISGQHTGAWLRAIPNPNLGLSMPKREFSVALRIWLGIPIFPSPNSKRCPCGNIIDKFGDHLLGCNQGQSLTTKRHDALCEVVYNALLTDDSRCRREARCSSSNQTRPGDVYHPDFERGLPAYFDLSVRSSLQPSFLTQAASHPGAASDAGEMEKDERHHLNVSSTGSLFHPLVVETLGLWTAASLQVLKIIARRASFKHNVSISQSVCHFHQQLSTRLWCSNAKMILARCSLDGTASPLWDL
MPSLQQVLPKTDYPNYLQPLLDVAVIAFKLNTGSPCDGHSAMFESWPGTEEHVRRWFVLDNGKAVAIEEAPDQSPAFVVIDYFNEVNEGQPRLNL
MRKRVILTSLIVLAAFLIYRSCKVVPLSSIKASFDPKAYAQTVVWPKLQSQLADLKKADAYEVLETFDINPEEAHEKFAKTVGVSNYRYYIVEGSGQIVSVDEDGILVQVRPDSERPEFYITSRVFGNTIVMATGIIKMEDFDRIMDFNLVSTALNQIVRDEVALPLINSLKGANVQGAVIKFLGLFNVLKDDPIKYPINVIPLRLELSQGGY
MAEAVEESELDRAPMVRLQFLDAARQRPELAGLFSPFRASVPQIFAEVDRDKALRQGVDISSVYTTLQAFMGGSYVNDFNRFGRLFRVYVQSEADYRRRPEDIGDIWVRSKTTNDMGPAGNARHHHRQADRASPTASTCCARSSSTGCQAAVT
MHEQQVQQQQMRRPQLQQRQQIQRHQQLQHQPRCTSERLNTSTCSSSSSSSSDSSSSSHSSSSNSDSSGSKLTAVLLVLATSRPKRRPLMRLDFPQLEWPTKATCSSSSSNNSSSSSREGRK
MEATALSLGKSVLNGALSYAKSALAEEVALQLGVCRDQLFITNELEMMQAFLMSAHDEGDSNMVVKVWVKQVRDVAYDVEDSLQEFAVRLEKQSWWRIHRTLLDRRRVAKQMKELRATVEDVSQRNMRYNLIKGPGSRPPTTDGQFAVASTAMSGIDEARRQRDRAKFDLIRLMYKMEIDLRVISVWGKCIGDLRETSIIRRAYEDPEVHKKFKCRAWVAGLMRPFNSTEFLKGVLEQFYVNFLQEVGIEEKAALGAQVLKIMGTIDEGDFAHEVKKYLNERSYLIVLNDVHSIGEWDQIKTCFPDNKKEVCSWFPHSKLELQAYA
MKKIIILFIALIQSVNGMQHVQPSSDITFRVTLFRKSLTSFFEAHTFCPQCKKTYNVRNKSDTNALLYVQACLALHMLNKHNINIF
MTRSRLSVALLLLLLLGCSHEENSEWQEGRRTVLVYLAGDNSLSEEVVAKRAALVQAWCPERKGALLIFTDHKGGVPVLERVSALNGVLFSDTLRVYANDNSASAELLSEVIADMQLLAPARSYGLWLFSHGTGWLPAGFFENPYGMVVFTTPQVCLEAYRRPAVSTRSFARDGNREMEIADLKAALPNGLFDFIVFESCFMGNVEAIYALRNKAPWMLVSPTEIISPGFAPIYDKVLPELYEAKPDLQAVAELFYRYFDAQEGVYRGAAITLVNTAGMASLVHVCRPVLQRVARESIMASTGRPFARDRFLSSKPLFSLGSILSQDSLFLADSLLPIDQLFPLDPLLFSGPLLPLDRLDKHLFFDFRHYFSLISDPSEMDAIDAALNQVVACYYHTPKMISVSLENTSGLSVYGEQPDLKTLNSLYRQTEWWTDLIGR
MTTRTARRSAESQPEPDQASGAPSSTRTHPMLSALATGLLGALLVLFLGIGVAAIVVPAATGSLALTVRTSSMEPTLPAGTLIVVRPTELADLVPGKVLTYQLKSGEPTLVTHRITQRMLLADGSPVFTTKGDANPQPDLAPVKPVQVKGTVWYAIPYLGWVATLLTGEARTVVVSIVVGGLLLYAAWMFTSAVRDRFTKRNTAVHDGEQRDAHESERSASQDGKRDPVDRPDQHTDDRSDAEADGDQARTGTDEQRGFDRQHGRRRQRHPRLG
MDDEKQVERYLCRCVRRIGGRAYKWTSPGCRGVPDRLVFFPGGGIVPVELKAPGRKGNLSKSQQLQIKRLAAVGTKVYVLSTQEEVDKFMQKHITAYGLPE
MTLAISEPRAHLPVRRLDRLSRRELEVLELIAEGFSNAAIAAELYVAGKTVETVCSNIFRKLELHPSDHVNRRVLAVLVLLRETAS
MLAADTRGMGYLLKDRVARVEEFLSALERVHTRDTVFDPEVVRRMPSRSRHTDELALLTDRERAVPALMAQGHTNTAIAQQLFVSRSAVEKYANAVSDELRLPRDSGTNRRVLAVLRLLGS
MKRLAIVEDQTAVAALVRNVVANPTVPVNHAPAFLTHREREILQSVAESHRTREIATKLGIIAKTVGNHRSNLMRKLNLHDVASVTRDALEVGFSEQKKPV
MKRLIYSLLALLIVVAMGCQKGPYPYITKTQAKQIDSLVHPGITGAAFIYKSNIYYVADFTKPVVQVTTDGSAARFVKISHDHTKFAYLDANNAILIVDHKGTLITKLTQYTSAKSFDWSADDKTLYILNSSTMAYYGPALKLPAFAYPGIVGGSNTDILSASVSINGDFVYVVHSFNFNNGDTYELVMVPAATGKAVAYSNPDMYGYKMNYVGFAGTSADLVVGYTDPNGYTDALVTTELFSNMNTYPDASYPVTNSASPVYNSSLDYLVATYVDPDTNNAIEPAAIYLGTPPVFIDANTPHTIVLNKYSIGSGILYSDWK
MNPDSYWTTVQCGRLSFLQDGAQYFPAFVEAVRQARHSVYIAGWDVDSRVALIGRDTDQPLRLGTFLDEVAMSHPGLNIYLLVWNFSLLFAAEREPAPIISLGWKRHERVHYHADGDHPFGASHHQKFVVVDDKLAFLGGMDLAVRRWDRPQHNPEDPERVDPQGEPFNPYHDVHSVLDGGAGKALGGYFRTRWLRATGVELEQVPDEVRESAYDPWPRSVTPLCRDVNVHLARTEPRHKELPEVREVESMILETIRRAKRHLYIENQYLSSHIVKKALAERLQEKECPEILIVMPEKSGSWLARNSMDALRAKILQDLREADVDSKLLIRYPACPKGLRTYIHAKLMIADDELVTMGSANLANRSMGMDTELNLAIDAVEAHNKDDVRQCIAEFRASLLREYLGMSVEAVQAFWSENPSFTALVENLGDNGYSLEPVVIDEDELRSMELLNGEERLVDPEQPVDLGRLFEIFLAEQRTSDTKKFKGLILKAIALALLIVGGVVLWKFTGLGQDFSPDTLAQWGAQLSGKIWALPVIVAVYSAAGVVIAPVTVLVVATGLVFPPYLAAIYSLAGCLANAAITYGIGQALGRNFVRKFAGRSINELSRRLARSGILTMAVVRNLPLAPYSVVNMVAGASHIGFWDYMVGTCLGMLPGVIALTVFSGSIVRAFMNPTWWRILIPLAVIGAFILFVRYLRNRYSNGDSGEESARAHGARREEQGR
MTSLPSSSDNDLPDTFYKEGRNCWQKGRADKAAILIDCAAYYRALYHALSNAEHSVFILGWDIDGRIELLRGKEAEGLEVPPRLFDLIRWKAEENPDLKIYLNRWNYSIFYMKERDPFSGLKWDWQFPDNVYFCSDYKVPFLGCHHQKIITVDDKIAFCGGMDIALGRWDKRQHHVHMPERTDPPGAFQPSGQHDYNPYHDIQMVLAGKDMRIFSELVRQRWKRGSGYDAIPIREKGEHESASLPKAVKKDFSDIEFAVSRTMPKTHKTERVQEILQGYIDEISRAEKFIYIENQYATCEDIARALNKRLKEKPDLHVLVVSCHKPRGIIERKAMWTGRVKFTDIAGKDVPASRFAITYPICGENGDTTTIHIHSKIMIVDDKILRVGSTNLNRRSMGFDTECDVSLKANNKATRSRIADIRSDLIREHTGREIEDIEKIINGGESLDILLEHQEHSRQHLNRANDEEFRHEKFAHFAQKIGDTATPPIISNFPAKQVIFTAVILGLLVFALWSLFGPTIAELFNKDKLTALVESAKGSVWGPFMIIGIYVLSGIVFFPIMALNLITAIVFGPLWGLIYGLLGSLASAAFSYGIGRVIGQKTVNFFHSATEKVRQYADRGGILGMTLIRMVPIAPYTLVNLAFGITGVSFLSYMISTGFGLLPGIFAKAMLGGAIGELFENPEPKVIFYTVASILLWIGIIWATHRFYNHYKHKIKS
MKTVDYRSSAETDTSATGSIVQPGRNAWRSSRCDKAAFLIDGAEYYRRLEQVLYKARHSIFIVGWDFNPHIRLQPEVPGSPTLGQILRQRVDSQPDLIVRILVWGMGPVYSGKSLKIFRKTGWNDHPRIIMEFDFRHPIRASHHQKMVCVDDTVAFLGGIDLTARRWDDRNHSIPNKLRCSPDGTCYGPVHDAQSIVSGDAARLVGDACRKRWKWATKQELAPGQPSSDDLWPTDLAPVLTDCPVALALTEPLKWNGRRGRREAIQLTHDALRAARNHIYLESQYLASFAVARTIMDRLAEADGPEIIILVTQESHGFLEKLMMGHNRTRLIRRMKRHDRYNRLRVYYSVTQDEEGKETEIIVHAKLVIIDDRFIRIGSSNLNNRSEGLDTESDLAFEPEKAEDRKAVLDLRHDLLAEHLGSTPEAVASLVEETGSLIRTIERLNNQRRGLRHFNVDVLHGETDSLIGTSLIDPRRPFWPFRQLKVGTRWALSRLMRSFT
MNITLKEVQAVSEIANLIYDFLPANPHPYADQRISFPGCANKVGIPRNYWNKGSKRPAITMLLKNTLEYSRGKFCSLIVEIVQTAIFYRLNKGNPIRREEIESLNILIAEVGFKIPELWNEDLLARNHKLDLRSH
MFIQSEKFVENHQGKLGDIAVYRQESNPTTWRLCKMNLAIRGIDSNLGGEHADTFHKDLHKSLKADYILANPPFNISDWGGNRLLDDARWNFGIPPEGNANYAWIQHMISKLTPSGSAGFVLSNGSMSTGPA
MSDVPWERCLTGEAGVHADTDPAKRFVKRFVKRQELFPRLPHARTDRPRSGRGRVSSVLEQAVLPSRIHTGQRGLPVPRPGLSTG
MIAVQVGAAACNGVTYIHPGVLNPCKRLGGPHLGCHPNQKSSPTQANSYNRG
MFSGGKKRVRELEDALAKAEKEAQEANARANEATERAKRAESDLLSVKEAAASDLLAATSKENARADQWEAEYNNIRAQYEADAKAWDERFTSMGNAEAERASSQEAMAQELDSLKAQLQEFEATEAARGGLEQSLVDLQQELAFSKDRVLELEKAAKKAAEEKEKAVKAATEAALKRSGLAEANAAKGQRMSETTSRGRRAKSVSSPLRDDLDSSPSGLLEAGSPASPSRRRSLSPQSAPPVDSRLISSVTEPAMISPPARSPVPSPPPPSLIPGSPSPPPPLPQDQPQLRESKLPSSPPVLESKSLPTLAEVMSPKRSKLKVDTSDEARGLRTEVAVLRAKLEDREKEVTNLQTKLEEKLQELVTQGEKSYQLYEKLEKLTSREDKSRDELTAIRTLLLQEIAGEGVEVDRFANVSVQDLIRLSRAQHSHLGQSSGGDDESDEMGGSQFYVQDGDGAAPPNGADRGLKEDMKDDDRRAERAAAAASANSDMISRLQIELQVLRQNNKRLTAKIDSIESIERTGQAAIESMDTLKRRTDDLVRRLRLEKDLRGKTNGELDKANERIQALSKHIEKVMIHLKHEAAAKNIALTEVKNYKKEATVLRQRNASLQRKAKSRDRVTQELKEGTRILEDQLRLMDEKYVQLRTKLDWTRATSNRETKRIQQEANKLRASFALAFSQVGSLDGSSLNLSMLGGTKMSGGNNPDSEDNEDADSDDSDDEVKSHDESDDGDVSGEDNDEEAKKEGQTSREEEDAGIVSSKAGSKSKKEAKFPDIEIAPRGASSGNGGDVLPAIESILPSTAKEIQNSADSVSQRNPFAATSQ
MKKGLDSIKTNVKNLEENIKLKEKSGDNIVNIDFSFFYFPSISLKYFNNCFKDEESYDKFMVNFYHKILNYLKDKTYNQLESNGKHTHNIKDAKQVRTINKILDEYTKKFTFLPCINIEMRQEFYQIASLAGARIIGTRYGKTFYILFFDPYHLIYPNAKYNVDKTSYKGENVFYINDDIKIFCLEHLLENERCVDCEVMDNLLKK
MIVYRYLIRLYLQIFIFSIIALLTIFIIVNMIENLDEFLDNNATIYIIVKYYLNFTPEVLRLVTPISVLVGILFSIGRLSTLNEITALKSGGFSLYQLILPYIIFNIILSFGLLYFNGWIVPRANEKRFVIERKYLKKEIETRSVYNFFFRDNPTRNVSFQFYDPDEFRGQDIFIEDFSSAMKPRIVKRIEAKSFRWDEKSRSWILYDVIVRNLEDGPVRLETYDSLELKFRIEHRQLMSLQRKVEEMTFDELREYLDLLSKGGKDVRRQMIKYYAGYAFPFSCLILVFFAVPFASIKRRGGIALQIASAMTFSFLYLFFTEIGQILVYTTTLHPAIGGWIANLVFLIFGLIILFKTRT
RSSIERERSEVNWSRERSGMEKYEPVREIGSGNFGVAKLMRNRETRELVAMKFIERGYRVRTPSI
MLLLQSLLLPLAAVLVVGAQEKAGGAFIIELDEKTTTLDAFTESARGINYTVRRTFNTSGVFTGISVDISDGSDLEAVKSSFSAIPGVIGVADIVQYELPPIKSSSIASPEDLSALTKRGEFSSIARREETTEDLGFTLRMGGVDKAHAAGFKGKGVKIAFVDTGIYYKHPALGGGFGPGYKVAGGYSFITDAGVLAESSDPYSDCSQSDHATHVAGMDPLELPDGFPVTGVAPEASLYAYRIFGCSGTGGERPGGSSDLVLAAMLKAMDDGVDVISMSLSLPEGMVGSLPTYDPVASAAQQIKDAGIAIVVAMGNDASQSQNADNL
MNPRAKLTTAQAATYLHKSQRQMERMRADNTGPVWFKAGDAINSPCLYELADLDMWVKTQKGKVE
MAMTYSGFSVDEMILFLQHNVLDIKLLMLDNDSKWRITVLSKGGGTFHQRGTLFNVVKAAFEPYLESAKAERAEMRAELDKLIPAFRSVPEPLFSYMCRRCEQIVSSVDADGECDRCNGKEKRSNW
MPLATATSFTRAFIPAWLVTYILASVSHTQMVLLSLAELGVSIDLSTLASSTLHDIVGLLPIYGSLIAAALFVSLSVTGFMTARFSARRVLVPVATGVAMLVMLLLMEHLLQFHLIAGTRSVLGLALQIIAGACGGALFLRIFRPSVHR
MFSSEERTLLRQHATAAIAAEFGQGGPLPPDAPPALRKPAGAFVSLHLRGELRGCIGTFEARTPLIDTVRAMAVQAAFHDPRFEPLDEREFPSIELELSVLSPRRQVTSTEDIEVGRHGLWISRHGRGGVLLPQVAVEHGWNRLTFLQETCRKAGLPREAWKDPDTVIEVFEAEVF
HISTMEPVASQEMCAFCFDVLSAELEGAPQPTPRDFPADSECPLFVTFNTLDGGGVRLRGCIGTLSPTPLSSLADYTHRSAFQDRRFEPISARELSSLQCAVSLLVKYEDCRDAFDWEIGTHGILIEFHDASGRRYSATFLPEVAKEQGWDHRQTLQSLVRKAGYERKLTDAQLAGISTTRYQSSKMAMTYEEFLALRRGGGSGRSSGSALSAAADDAAGSRGGGR
MHGDSGAIFARDKVTNAMLAIDLYNGSVEGIVKALAWEKRHERIPKVTSRLSGTPRLLALSF
MKKIGMSISHDTILRLIRKLPQYTITIDDSVKNIGIDDFAFKKRKKYCTLICAMDKRMILDILPSRNKEAVADWLKKYPQISLVSRDGSISYSSAITETLPNALQLSDNYHLVKNLLDNINRYVKRKYPKDLIISKSKQEIVDAVIEDEDNIKTAIRDEKIKAK
MAKLDGTEREHVVALFKAHSKLKHDGGVDFVLKTFKKKISTTTISAIIRPKDRSEGKDQFPEIEDKVAEVVEAHAQRVGKSIDELIQVQDPILSNDVLAKIVNVYLRDIKSAERVSPSGWAKKSKGYTEATPTPDEDAVTTSTPAEDVEAINVPRTDENCDNPPRSQDDDEHDKGDREVSTQCFEQDFTFSPRSDLQDDQSDSAAQQATWIEPRDSDTKAKMRRLLRMVCNLE
SVALSVSSAFGASSVVALSVSSVFGASSVALSVSSAFGASSVSTLSVPSAFGASSVVACSVVSAFSSVDACSVVFAFSSVDACSVVSLVTSTCSGISDSFEFAEDGADPKVAPI
MIPKKGNFAAVFSQNQMYQFTGEGVFSKKTNFSLGLLLQSGLEIKIGKYINIDDLSISMQGIAYHIKKKKFGLGLHLTTYKHNMDDYYEGKAKETGISIHKRFKKKDLKPFIYYSRILLKPKPDMVEPYSLKHKEEYLSFGIMTEIKHFVLGTYITTQIEDLMNLNKESGQLSITLGALLY
MNRINNIVLVHGFWADGSSYNQITAQLLAEGYAAIAVQNPLTSLADDIAATKRVLNRIEGQCILVGHSWGGTVITEAGNDEKVSGLVYIAALAPDAGESMVDLFSEYENPSQYFQEQEGFIWISQEGIEKILANDLPAEKAALIYATQTPASASLLTTKTTTPAWRNKPNWYIVSSQDQAVPPELQFNLAERMGAKTVVLASGHVPTISHASEVLEVIREASNLG
MLIDALGQWPAWTWLAIVAAAIFAAVAHGAIGFGFPLLSTPLVALVTDVRTAVLTTLLPNLVLNVISIVRGPNWAATLRRYWPVAAYVLLGTIAGSWVLSFADLRVLKLMLAALIVAHLLLTRLRGINWSRLQQFPRLSPLVFGSLGGFFAGTVNVTVPPLLIYFSSLELAPVVMTQALNLSFLVGRSAQAVALVSSGQLGPAMLMLSLPLSLISVLALVVGFGLQRRIPAQTFARLVRVVLWAMAVTLVGQVAFGYGS
MKQLMFHDDPPFWFETLRTLGLAAYGGSDVGEVIATASRVTPGDYDGWHDAWLSTAERNAWRPRHAEPDPALGLRPRPLRHEDLEQPRVPGRGRPLQLQGRERREPPAPCWSAR
NADEWLDMREIIEEDFGLMKVGECETLWMKILGWSKVEGEEGEYDFGWVDEMMEGLGGEGGDGIVGTGRGGRGGWV
YDATPFLEEHPGGADSILIVGGQDATEDFNAIHSAKAKAMLKDYYLGELVASPTTTSSSSSSSTTAAPGGEAVHVNGVNGKAANGNGVHANGDVASTSGSDSESDLVTLNPREKVTLTLTERVEVSHNTRIFRFALPSPRHRLGLPTGRHLFVYAKTAAGESVARAYTPISCDLDTGRLDLLIKVYRGGVHPSYPGGGRMSQALDALPLGGTIAVKGPIGHFVYEGRGKYVQHGKHHGTATHLSMLAGGTGITPMYQVIKAVLRDGEDTTAISLLYANQGEDDILLRQELDALAAAHPGRFKVHYVLSKPPAGWAFTAGHISEALMRTHLFPASPTTLGLMCGPPGLLDNVCTPGLTAMGYDKEHQVSF
MSDGGNTWLSLPRKVCYMNHREFLDPNHKWRSDKRRFNGDVETGKCPQMLSGREVEVLLDGYVNNFGKGKKTKGTLKKEVEIPLIRLGEFLRGICTKVIELDDVKRLQQEIIEILCQLEMAIAKRNTEARSQVTDTHTAGPVSFAQICNKLVLERPQVDVDADQDQDQDPDQDPKEAQPPRLLSEADIFIATHKRDEKRTYKLPTDAVQKKIVMFR
MMIDAPNPVHTALDDPEAHVRRCLARLPFADVDVELTAAACALPGRTAGEALAVLADAGLLERHGRHPVRGPVYGLRGAVRTPPRTHADERDQLDQLDRLDAEGPDPAAGEPLRRALDWLLAATTAAAHLLDRGYRDLDRDYHYPPADAPAFTTVSEALAWLDAQHTNLMAAVRATHAAGLHRSTWQLVHAMRPCWTTFHHRPGWLEAHELAYDAAQVCGHAPAQREILATWGAALRNAGRHAQAAVAFTRVIAAARTAGDGLGAARALYELGGTHLDANRPRQSVQFLRRARRLLRTLGDARGVALCSICLGQVALVHGRPTEAITLLDEARAALVGEHHAFDAARALAWLGRAHAHKGDYATAQYDLRLAHDEFVAVGSSRWIARVREMLGDIAREQHRLEDATRFHAQALEMYGRSSPCDAKRLRRHLDGLKAPTGSPDRDRDRV
MSGKEFFDAAADILTVYIPVINAVKVIVDEICKIYENAECNKELCAVMVDRVKVAEYSMDKIVRSIEKKKEDFRDKSYYLAFERFKNNLTQIKEYCRNVSKLKGYKRFLLATDVKSKFEQLRDEFDRCMADLNFAIDVSNAIDRKEESQRVDTSLNEVKRSLEQLDNKLDENLKQVNQVDCKLDESFTLVRDKIDAVIDYMQSTKQGDAKKIDPSELDDPMKPIIRGKVIKKSYKSFIEVACKPIDGQNENEMAILGKLGLSPQILKFYGHSTVNNSPVMVLEWAELGNLRELYEKHDIPWTRKIKIAKNILLGLLFLRTVNVFHHDVRCENVFVLSDLSVKLGNFGCAREVDGNSRNLANLATYIVRWMAPELIKKYISDNKNQKVYTLHCEMFSFGMLLWELCYEKMPYADWNIKQISDHVLAGKRERILKGKFRNPDDREIQLEFIKIIQDGNFIKIFFYFTYFY
MLVSDGTLLGAFAWSSREREREKLEERNGGRGICEWERVEQPNDPFESIINDTRRREIEAIIAGFIQEFREVMQTAPIQQDSLRDFCSICAAYKVEYGCLYSDVDGRGGVRQLLHLLNVLYTLLMNMSGADSHDDENFDAFLSLLSQNCSELCSRADGSSSQQDMLRCPEIGIRRLIESHVAREKIFEEDPVLCRHLDDHFDGKHQALQENHPFPAVIRWKKVQKPPETLKSRRKSRQKCDRRKTVGKKSKSRRKHGRRKAAGKFRRKSVIFL
MSKKCLLVICGINSRVEIIPLLNTFHFKTIPTMEIIEQLLSAHDDFSRESQNNDDVDRIKQQYFKRLSDVLASWQVTDVRTVPGVMYQVRCGHDEFDQSIEYQINWFFDCAETIIHNHRHSFDSYCLEGEYIEKLWEIVDDVDGAITYQFQRKSNATFDLRKMIPGTLCHIKTRHHFPGNILHVDTSQFHSISSLAHRTIVVLKLKGLENVISFSLVDGLLDMEKECGLAFSEHCPDPHHSSFTHLKNVYQLNDPNYAGRVTVPVLFDLKTQNIFNTYANYPQVDLYPLLFRAQIDSMNEDIFIKLNSAVYQAGFAKNQRIYNAAFIDIFEILDKLEFILNKQCYLINSENITDLIFEHGQH
MAVTAAVPLLGLLAQLTPAQADTPRQPAAAEPTGSRTVDIQLTGLTPEAPEAGDTVTVTGTLTNRSKQTITEAHVALRVGQLLGSRSAIDAADERSGYTPGLDGNEIADKYDHKVDKLKPKEKESFSLSVPVKDLQLGADGVYQIGVSFDGRTSSVPWQEQVLGIKRTYLPWQPEPTARQSRTTVLWPLISTAHVGARTVGGGDDDQNNVFENDDLAKEILPGGRLDRMVSLGKSLDVTWVIDPDLVNTVADMANGYRLAAKDGKTVAGSKENKDAATNWLNGLGKALKGHSVIALPYGDPDLASIAHNGAKIPTTLARLKTATDVGRITLSIPDTDLDLKVSTEYAWPVDGAIDRSIVDVATSAGATKVITRNDSLSEPDSLLYTPSAARPIGGGITAVVTDTRLSTAFEGSMDSPQSATLAVQRFLAQSLALTRQDEQKQRTVVIAPQRTPTTAQAEAMATAVRALQGGRWSLSQDLDKAAKTKADPQANTRVPARSAYPKALRKQELPTAAFTSLHTTQKGLDSFQEILQRPDRVITPFGLAMDRAVATSWRGRAGQAATYRTDVRGYLDSLVRQVRLIPKTDAKLSGHSATIPVTVQNNLLQDAHHLKVRLTSTKPTRLKIGERPWEERTIKIGAGHSQTVKFDTTINANGPVQVKAQLVTDDNRPYGQPVEFSVDATEVTSTVMLIIAGGVLLLVLAGLRMYTQRKRRAREDGTGGGDTAPGPQGPGDGGPAPTNEDAPQGPATDAPAPPAENPPQDSPAGPDTGSENIERSGTGERVDR
IAGIIRVRLRRTPSIGSCREVRVRDSSRRGSISISLTRCMLHVSRFQDSQSK
RNIRGQEFGGVYCAVLKV
MPNFSSFNPNPDNLRTLIFGQDQTQTARAVATDTEGRILNIIMDGTITSISGVTISGGTVSVGTISNLLNGTITSVMGATITAGTLTNLLNGTITSVMGATITAGTLSNLLDGTITNVMGATITAGTLTNLLNGTLTNLLNGTITSVMGATITAGTLTNLLNGTITSVMGATITAGTLTNLLNGTITSVMGATITAGTLTNLLNGTITSVMGATITAGTLSSVTSISQKSFVEQSNSSLPTADAYTALNAVTTSVLGTYSFFVYNRGPGTNKADARVEISADGTNWYTDVDTSTGIAVGGVDVLVPQRFLKYTRLAYKSAAAGNATTVDVFFNGQGT
MWRVKFSRLALLPACLVLASCSLLGPRPNGEILTLAQQAEADAAALGGAPAAGLRAEQAEQLYGEITRLCGTDEVGAPPQSCAVERGAGEAAGVANVAALAHAAPAAGAQAAGAVPAESVDLVVAQAIDITATEPVDLLAPEVTDKADLAAARDMLAHEYALDYALGVALAFSDDATGARIDELRAAAGQRRFALVRLLHPTGEVPAAAPGYELPAGEQPTDAASAAALVDSLDSALVSHWRETAAAATSAPWREAAIAFAAHAQRV
MSEIRIALSKRKLIFAFIASIIFVAIGIKFILKPESYVSFSHRNFELIRIVGYASLIFFGLCLIYIIFKAFDKKPGLIVNENGITDNSNFTSFGLIEWSEIIGIRTEQVMSTKFILIDVLSPEKFIQNSPKFKATLMKSNLKMYGTPLSITSNSLSYNFNELEKLLKTEFDKYRNTPNR
MIKEILEKIMEISGVKGVEAITKDGLVIEKLFYENETSDVLGAMVAKINREMEMSIGRSTDTLPIFSTIYTNKHEVLFISKEKFIIVVLAEKNVNIGALIIQIKSVASQIQSQI
MEIQEAVKKIVPTVDNESSLDQSNVYLKFCSLFSCGKYDCYCCMGKRPCYGSWDECRAACPTCNPQCPP
MTQRHPGSPGERNLVERFLGPDAAAGGLFALLGVSADELSDEMIIGALGNRLTQVDQHAQGRTPEADEVRLALHAAAAQLLDHAARRQADGSPVRVPKDKSSRQNRTLRLEQDAIITLARYGGWNRRSLRRLSMLALARGAQPGEIVDVLAHLAGRRRAPNNTPQAARRHASAQGIAAPIHEPIATALSSQTDSETEGKPVAVIVGAIATGLTLLVALALVLVALTTGKRPTEPVAEPETAEKTPISAADLPMAGGEALFPWQGTPETPTEGSTAEPERPLPAFDRLSDALAALDSAAAGLAIDPAEAVRIFDGAFAGVGAQWCNLTPGQQRAAQHDVVEFVYRAVQSPALTTQVIEAIARGGQPLIQPDWAATPEAVLPATWSVGILARLAHERDIGAVASQTIEERLREFVGSSIIVSGGFDQGVQAALWAMLPAMVARSEETPDKEAAERWEQWTAAARFGNNDAQGALLAALEWVVVSPEEPSENEAVRQAIEALTLALDWDEGSPTRAWLIRMFADTRVSDADLHALTTTLARRSRVPGVDVTMALPVRANTDTREALRERYAAVWEIDTAGPTLDDLAADWLNAAREAGKAAANDTTIVDRLASAAALSRISEAARLRHAGRLDDAGIVIDEYDRPIEIELTTWTQRQRPDRLNTNPGMSRWALSYLSAQRDFAKRLELLAEVGRLHITHATDAEVLVAEAVRGSPAKARAAARSALLAQQPTAILTLAMLELAPRMPRTPQNAELVAALTSTPTLPIDAPDWRVRTRRILVQTALEQLAAEGEQGVIDQLAGVLGESYAARATGRASGEGSAAIPDLSPDQSASLLRLHWEQLASQAGLGPEALEVEGILSRHASRLGLAEGPVQTFAAEQIAAFELMSIAVASERLDRASAVRSIRDRVRLERQQAADIIEQIVIVERAFVELWALRLGQETPWN
MTPRDHQSVPQADPVSRFLGPDAAFNGPFGVLGLPVADVSEQQVLDALHRVMERIDAHPESRTPPADEARLAAHAAAANLLDHEVRRELLRRLHADGPAGRAPSPAPPSTASTPAAGSPPTILQADLLRCIAVAGGWNTRAMRRFFMIAHERGFRTDEALWAMMLLQRPAPLATPTAPPPPSDRSADRAEPIGFGTAPKRNDRQAEAAPVENAGMSPVAAVLLTIAVFVVLAAGGWAVWTLSLKPVSPQVPTPHVTRSPAAPPPSPPDEPEPEAVGRHLDNAPAIIHEFEVANDAAAIDPEAATDTFRTAIDALSTRWTAFSPVDRLAAREQIIGFIYRIAGRSDTIDRAMSVIEAGATAADPTADPDSIRRAVWSVGMLVRLRREQNLPGSIMRRIDSTLLELGGTGLAPSASTFRAGAVAALRSIAAALSRPPLTDESRAGWAEWPGIVHAAAEGDGALEESLLINALEGLLHQREAGGALDEVVSGIVAAMNWRPGSAARVWLIRAFDDRSIDADDLHVVTLALATVSKAEGVDHSMVLPRGASEYDRRRLRDVYNERWKIADASDRSDTAAAFTAAAREALLHEPSPGRDDAEKLASVVRYARLNAAGESLWRARIDTASVLLDTLDDPIDAVLGSDTRSDTSALFTPDTGTWAETYLAEGSHIPRRLALLDKLSARQGRLGPMEAEVLVTEALRGSPRQVRDKAAGVAELFMDQPTIVNAVLEALPLMPRTQRNAKIVELAAGVPLPTVESPDWPVRARRAVVERL
WGGSHSWLHLFPGLPNYGKVFRGVQNAKLIFSCSPEALRRSALARAAPPGALGALPWPQTQRWRVLFPAWRRFSAALLPLLDELFGRRLGLGPEYRNRLLRVQMNRMPRGADIARHQDRGFYATTAH
MDVARCVSRYVWLRSTVAHIKLSDSHWTSRRYVLTRFCGERGCLECIVADLARTSVLEALCQLLKQPKLSWPNLDESNVLLCMGDGIHGVTSRELYRVDDEHGLEMIVRCDELKALRILAALEAFSEKNESEQCPADVSALPWAIFAILAQRSLSSALWTTEHSMGAEDVEDLGLLPKPWWSRWSKRLEQCDGHHDPHKHGSWPQEMLERRVEDCIRVSRRKAGISVMDANEKTTLDHMLRSVLR
MIVSDNLLFNQATLSDALRAQLVSVTKEVDGLSKDQFLVNSDEQIIEHVYSKMVIAPLVIYRDQMSLTEPQENRTERRDPFGDLIRLPVIRTDLTIPYTGESDLWKLQPSTFTFNPPRGDYSSQRGNDQTGTLRFKMEFTQGEYTSDAINHEVERNLKSIDDYLGWIKHDIESHNPQLKNEIRRQVAQRRERLGTIQSALKTLNIPIQTREGAPGLSQLPLQRKIIKPLPSQTNHPPEYTISNEDYENILKIIRHEGCSYERTPEPFSKHDEEELRDILMAHLNGHYHGLANGEVFRKKGKTDICIEFENRAAFVAECKLWKGDQKLLEAVNQLLGYLTWRDSKTSLIVFDKDVAGFTKIQEKIPEVLKQHPNFVRDEKGKYSGEWQMTFRFSEDPDRMVIVHVFLFNLYVTEKE
HVLVINGIYEFPFFRDRSSFVGKVLGGWAISAVSQFQTGTPIYIATGDDFAGVGTGSGSQFWNINGDPSLSRGERRFSENNSDQNFWFLPRNSDGSRTFAEPTRGTFSTQQVRDNGLYQPGFQNHNLGLFKNFAITETQGIQFRFEAFNWVNHPNLGGSQGGGVNTDPRSASFGRVQGKGGERNLQFALRYTF
MDLNSAILTPSAGNEPSYLPTPYYRKELNRGVASYSLNHQFNAYYSQVLPFGNGQRFGSGAVGVVDKLIGGWQWNSSVRIAGGFPFTPLTGSNTSGTGDPSNSDVPNWNPDFKGKVIVGKPDQWFDPKAFALPLQGTFGNVSRGALRGPGLFMLDTSFLKRLRISEGLNLQFRAEAFNVLNHPNLSYPNEVVFQGAEYSPLGGVITATSTTSRQIQFALKLQF
MPDVPPPGAPTRRAPQPLLQNGDFEDPGGLEGWRTRGGEARAEESTPGSSVAVLTSRTASTKWIYQVAPVTPGAWYKAGAWLQPRDDAELGLVRVAWYASSDGSGRQLATVDSATVPGDAARLVGVTTGAVQAPPTARSAQVRLMLRPRSSALATLVADDAYFEPTSPPAAEPTKSPSPPATPEASPTATATPSPGPAATPAATPSPTPAAIPIATPSPTPAAAPAAAPSPEPAATPAATPSPTPAATPVASIPEPTASAPAQPSASPTPQATAVSVPRAVGATTPLATPVATPLSAAVARPAGETLPRLTAFHIPLRITALLPDPVEPGPDARFEWVEVTNVGRSPVRIRGLELRDNAAVLALPDIELPAGSSIVVAGEAADVGDAIAVRVDGGLFNGLANAGDRLVLLTTEGAVVDSLSYGDDASGFGPPLAAPSSGEQLRRRFAANGALVGVQIGSQGHEGASVTASVTATPATATRDADASPAPTVGAEAGAVGAPESTATQPAVAQDAPEGSGEGVNGTAWVALASVALGALAGVGAFRVRELMGA
MDIVTLAILAFIAIVGIGMLGRLLGHLIGATAIIVAIINDLVDIGIIVIVPELGWLADLAVFFLILLAYRNAGALISLLDLVPSYGFLPFHTLSLLVSWKMRQRKEETIYVVKK
MNKLNEKVQKINTKEDFLEFVKLLVNDLSTNQWENDNLADYLEGINSWVDDMDGYFENVRDYDALEKIIN
MYQSNRYSVPLGTYEKNKEVFIEVTDEKRVIREETNGDVIANHPISMEKGKLIQDTQHVRDRSKGISAFIALVSEEFDNKAIAADFLEKIHQNYPRYIRD
MLGLQSKPLIGLCMWILCLAVRGGFAVPTSSEFTYVGFGSLPITTDADSNGVVADTIMLIPVGTETSGDSLATTYLYSEVLQLATTSTGTSTTATLTIPESVFGTLVASASGFVLSATLYDIDSQGDNIGDEISCHYTNSVNGECVEVNTLFTATTTGRAITGTLQESAPTSSGSGSGSHNGAIAGVNLNGRMLAMIVTFTTVGAGFFTTLF
MDPLILLLLAGLVEITATATTDAPFSSASKAVVTPLPFNSASPRKDGTSLPPNSASAPIHVTSLPPNSASAPIHMTSLPPNSASAPIHMTSLPPNTATPPASTMSPSVTVTPSTSTTTSPTTTTTPTTTTTPTTTTTPTTTTTPTTTTTTTTTTATTTTTTTAPSTDPPSSGEGTLSLVFSLNRTYTSDLADSSSAGYQTLANTVVTELNKAGNKLYGPLYKRALVNSFSNGSVIVDSTAVFVNQSSVPSSLNATALFSIELDNSTTLDLVAGSFVASSSSITLPSMGSLAVIALTLLTVTQMLFDF
MNTIHTNIHSTQENRIDNECCTIRSITIGLTFVIGMTFLHQWGNFQKSSILIFSISVVIVAYPLGYLWSLIIPNSKKFTLKEHGLILVMANVAYMHNSVYIHSTMTTLKAFDGKHIHFGYYFFFVLSIQFLGFGATGILRPFLVWPCELIWPQNLPL
MSVGRCPTIGRAKRFDCDTGDSGLGPGTYNLKSFTELMLSRKVGKDRPYYLSTRTRELFSPPKGVCPDPCKYPIVLPKFGEDLQKPEKRKHGVFGIMEQYPAIPTERIYLSTLSQCSRPVTSPGPGWYNVAAMTAKCRLGSGKQAPFLSTAPRISKRTEMMLNKNYNPIGPGRYNIPEVWLNKSKYSHRSSFLSGTKRYLHSPERDKFYQ
MTYTLGMDTDEEFFTDTYVPAEHVNLTQIREAAGLTPYELGKAWGYRGGPEIASTVRQMERRKDFLVSRLAAFIHAAGGSAELVVSVNGQELKFNLV
MNEPTEKQCSSCRQTKPLDEFYSAATGKYGRKSICKTCTLDKQRGTSKVQRSGPPPVDLKAIRNAAGMSLWDMARALGMTARSGDVNVSQIEKRKDWKLSSLLNYFRAAGAEAELVVTVRGKTLRFNLLGVDT
MEVTEVEPPYNLPAFGARPTVPSKHSNAAAAVQSVLLLSALHSCRKSDGRSFLNRWASTFGRLQDSALFSRFRSLYPNSNLTRYPDSTTCSPTADKMQLFALYLSMLALAVTVSTSPTPNGPRIHGVKQRADNVVRAPAPIPTIAMIDPATEALHKRDDTKQYVFGGFKTKKKPGEYTTMEAPFNETLGSTAHVNSHISWSNPGTEDRPPEYVCFFYESKGKYDPSDGHAEYVESIPVPKIQVNETDFETSKYKYITWGCQWHPKNTDYQAKGHTGHPPAKNC
MQTHEWVNFMSMLHSVTASLDVWTMVSALTSVYTCVHKEYVYLKGHVLWVPEPCNEGMKDMGFIFAAVSIFAGYNLITCFTQINLVSHYGKCHKERVVYVISALVAFNTSIIIIVGMQHLSMLAEYANHRIYDSIAFIYYIVVCLTGIFAASVRKYPFIVGLILAFFVLIDLVISFLFSAIAFSQLIAPKHGDYGHDGRLCRLFGDDEINEQQIGDLILFAILRMIQVFVNVILLVCISLIRSENRARKQTEGSYGKRAQNGDPLGRSDKVLDLMEEPFVQAPATSTMFKRSTLPRSRVRNV
MAKGEIVVNVKLEINEDTEQAIRRIVREEIAARKEHVRGPEVIVPMTNLNCGGIVNKEQMTVVGDSQNNDLIITKEGIFLNGSKIKDVFDYQVKSSAGYPTELTLKMYVSSSKILIDRRCNYTNGNLGQSIQ
MKNKQKRHQVGLVCLGLWLLSLGVSAAQAAAGVSLDPSTNLYSARQMGLAGAAIGFADDANGIFANPAGLTKITFPQLSGSARNIILGEAQYTLLAWAMPTTWGTVGLGYAALDTTGSYPTKPDPANSRIIIDTSREAMSYNNNVLALSYSRDINKNLAIGSNLKLFSQSLTGDIASSARATGLDLGFIFRPLPWLSTGGNFQNLVEGTAAWENGASDKIGGLYKLGCKVNVLGPTAEAWRPYQQKLSVGFDLDLPHNSLAANNYHLGIEYLPLQNIVLRTGYSQDGFTVGLGLISGGFRFDYAFAQKATIPGDTPHYFTLSYIGERVKKVYYQLKDKEPDVNFLSPEDRAITQDPLITLKARAIANRVTEKKTVWTVTALAATKEVQEIKVPENLTAVYLNGEKIDRVGSIEIDSNLTLGRNVFSLVGYASSETQGRQIIAETKVGSDEIKVLRFEPFDDLSMSHWALRPIALSVTLGLVKGYPDKTFRPEKGITRAELVTLLVRTMPVDFDSLLEFADFTDVPSKHWASKYIAYGTEENLVAGYPDGKFKPEKVLTRAEGVTVLARYATLSTEAKAAPTFSDLKPDFWANKYISAAAQAGLLQYLAGKDFEPNTKFTRAEACEILYLTPEIQKQVNSYWETRQPKPAPATTITPTSEAAPSLTNEAISLPTTIESPSQISSQETEVTSSKGQ
LDRFDEKENVSNCIQLKTSVIKGIKNQLIDQFHEHIEILTVNGELLFFRQREGIFYPTLRLLHKYPFILPHQQVDKGAIKFVLSGANIMCPGLTSPGAKLYPAAVDTVVAIMAEGKQHALCVGVMKMSAEDIEKVNKGIGIENIHYLNDGLWHMKTYK
MFKKFSLQESLSGQNSVKSSVVRGIRSKLSEQFPTMEPFMDDILPKKANLIQIKCKDHFTFYAIDNEILFFQHFNDPITPTLRLLHRYPDILPRVQVDRGAIKFVLSGANIMCPGLTSKGAWLPDQNIPEGTIVAVMAEGKEHALAVGVMKMSSDDIKSINKNIGVDLIQYLGDPLWKTRLD
MKLHREQRQSESTELADRNYELLRDSFEAALNETPAIEGSQRILGITTEDGRIMKLLIKPAAWEETMANFSLLVQSLNGKIRSIQLTGVVPHTETNAQSKYNISLDLKPEALAAVSTAVDRLKAERVRTSGEFQTSEV
GQCTTSCGPGYQMRAVKCVVGSYGAVMDDAECNAATRPTDTQDCEVAPCSGSHPVPPLTKVPSHQGHRTQWRFGSWTQCSASCGKGTRMRYVSCRDNQGGVAEESACAHLTKPPAREVCTVVACGQWKVLEWTACSVTCGQGKTTREVLCVSFSDQEVSANECDPDDRPATEQDCAMSQCPSRPSESRPLPSSPNTITRSNLARGHSHQWRTGPWGACSSTCAGGFQRRVVVCQDQNGYPANSCEDRNRPTEQRSCESGPCPQWVYGNWGECTKPCGGGVKTRLVVCQRPNGERFNDLSCEIHDKPPDREQCNTQPCPSSPHWSTDPWSSVRS
MSVLDPLIGWLLAKNIKRFSLERAVAGTKLPRKPVLRALDLLTREGYLEQIDERWERVDLRVGGKPRRQPRWKVVKSPGERKKTRALKTTKRAKIWKIIRAKRYFTKADLVITSGATEDNVDEYVRLLKNAGYVRKTGKDGRRDVFMLVRDQLEHPPLQREVGHV
MAAHIENALKIMKDTSDINDNRTGKKQEGCTEPKNSDDVDLINFEQMVIKKKNPYLDLKEFELKYYNPKNTRQNLEPGSNFEEDPSLCESFEDVPNVEITNRGLSGFAIPKKLLTDKIKTANNIKLENPPNDSAIKITSKMSKKQSDYPNRDLQLLPTLENDIRQFEESQVIYL
MSRARAALETPDDLSADDREALIEALAAAEDSLRLHPLPWAIDIHVAHIDHREGVNLYAAVSRETLMREIAEFCREYWSEIAHDRDPDTLDDEDIARIYFELHPDEYLQTDRVAIDAPPAALVTGEQS
MKFHVFFLTITIQKMRLSEAGIVQEQQYKKIMDDI
MPIKYLLLVSCITLFLSCEGGKHCCCEDGIREAEVKYYNPSTKNLAEYTLDVQIDSCYITKIIFPAGGQLDETHIEPTEIDETGNARIIDDKDRLWDVQIIPEEDIPDPYGR
MEKNDSRISSTASFTYRSSNVVASYSPLNGRATTIRRPSLYTQLINAQINKDIANNNTHNEVASRSSDKDNKSEDAITPKVTDDDASSPTATKLIRLSTPPPNEDIKFGTPTDFSCTVSPNPIKSKKSFFKRWRTTKKKSKRQPELETDDKKLPEPYEQETVRSIGTLKRLFSSRKSKKHVQNDILTTFINTPPCTPIDKRLEQTDPKGNNSLLHTPVEIVANELVTPQRVLGDGLRSCLSNPYHESTVYTAEFLLKKGLIDKNDEQYSTLISELRKKEEFLQSQSSIKCSSTVRSKSNRDDCSSLRSSKRGSLKRALSLRNLFHHSKDQEPESGKENEEDVVSEDVNIVASNPFLESPTEPKVEEEIVMRDPFPNFRFQLLDLKNPITDSKPIPSSKSHRKANLYPAHLQISAFYQVESSSHETQMEILYHNILPSRILTYSKVAPPVYEARSKSTSPSSLDVNPFVSPPVDDTMVMGKDGKDVNTATDENDLDAQKRSSSTITKRVTCSSGKVKKSKYFSSLWNVWHDDTQFTKLICKVWSDSQLNRLCEQWVQYLDGYTGDQLHFKHRVLVFKYGVSTKIRKKIIGHCNHADDDGDDSVFHAGESKGESKKVANPNCTDTVDGFDHDVSVISKSKCSTVMSTVGSFTVVGPLRIRIVAPFRMRRDLWCSLLNIICVDRLVSTGCPLSTFLLGISWMKNPDCFYEDGDYFVDFFINQFKVEVHDGSNGFQEVVNHVRNLMKELMINVEDDLKPLFVHCVVNELDSGVDHNVLVV
MIALTTLRRYNVIELILEKYIKKSIKTHSHSVHNILCISLCQILYLNTPAYAVVNIAIGLTKNQNKGKFLKNLVNAILRRISLEKELLIKEYNNPVLILPKWIKKRWLLQYGEVLLKQICSAQIIEPPLDLTVKENPIEWAKKLNGTVTKSGSVRLQNFSYIPDIEGYDTGDWWVQDAAATIPVKILGDIKNKNIIDMCSAPGGKTAGLINNGAIVTALDINKDRIKILDENLKRLKFTATIICNDGLTFKPKNLADVILLDPPCTSTGVIRRHPDILHRVNIKNLEYCMNVQKNLLKSSIKMLKDGGIIIYCTCSLETEEGEEQIDKFISKNKNVRRRKIDPMHLDGFNTSLTPKGDIRILPNMLENGGNDGFFISMIEKIDA
MCFAPALAASRRPAMACFSCLFPYLGICPFKLPKTRYQLYYAADPAEFSMPDRKTPSTPTRLDKPLLRLETSGRASKPQPSDNSENRASKVPPSRQPASKNDANRPRRNTADAAGKGKAKSFDKSSGKAGQEPSRKPAAKKPDAAAKKPTPPSLASRRIVYDLLVAVDEGVQLDKALSSNPDLPKLEDRDRRFVRLLATTSLRHRGQLEKVLAPLVSRKPFGAQANANFVLLMGAAQILLLKTGAHAAVDSTVELMRQTGFDRLCGLANAVMRRLTREGEALFDATSHMDNLPGWLQQSWRHYWGVEKATAIAGLAMLPPPLDISVKADAEGWAAKLEARVIDHHTLRREFDGDPSLLAGFEDGAWWVQDAAAALPARLLGEITDKDVIDLCAAPGGKTAQLIAAGAKVTAIDNSRKRLDRLRRNLKRLKLPATLVLADGAAYTPEIPVDAVLVDAPCSATGTVRRRPDILNQREAEDIVALQQIQWNLATTALGWLRAGGRMVYATCSLQPEEGEDIIDAVMDAAEGRFAIDPVTPEEAGIFARSITDQGYVRILPSDYEDIGGVDGFFIARLISLG
MGIKRKLVRHYSVDLSESVSASSWAQKLVSGHANKRLARLLIAWKLEQQQQENSAALKSQWRMFHHQIERGNPRRTFTGMAFIEG
MRLKPRPPTPSGTRRLARRHPAALLALFSCSTGGALAALALPAALGHTVDGLIDGGPVPWSGLLLCAVLTLAETGFDAGAAVLGTSTTARLTAHLRTRTTARVLAAEPRDALAVPTGDLTARLTARTADAAAAPVTAA
MYVAEFLWYSAVVGYPTPLPSHRKGATPIAKQDLRINEFDVGVDELFSGAWIAVEWNDRRPHRLPVEKRGAAQSHLPRAAEHRGLGTRWGECMAHEYQHPARFHRRSAR
MITGLLAASDCRNGNCPTVWATDRDTVLVQGYVERSRRAHAITVRVPGPILDGAAASMLCGTPPTIPSQAGPLSEWAIEPDGNDFLVTGPGDTPDAEACNAPDGEAVVELPAVALTRLPATREEAVA
MHPSTSHTPPNDPTDPINHDDPESQPIDPLLSFTKPARLTSVDLLRGLTVFSMILVNTSNPGSFSFLQHTAWTGMTFADTIFPTFLFIVGVSIPLALNPERHLSGLDMRSWRTKTTRRILVRGLKLWCIGFVLLNLVPYIGMRWFVSPEEAFLRIPGVLQRIAVCYVVVALVHVQVLGREDGAVEEMRGISRQIWCASMIVFPSGLLVVYLILTYTLTGCTERDSFLDPPECSAEAYLDTIVFTRSHNYNLGAFDPEGMNPVHVHETIPPHTHTHTRNYPPHFQAPSPPSLQF
MADAKRFVALDVFRGMTIALMILVNTPGSWAYVYAPLRHAKWHGLTPTDWVFPFFLFIVGSAMAFSLNKYSGKEKWRKLAERTATLFLLGLALNAFPFVRQDWDFSNLRVMGVLQRIGLCYGLAGVILHFWSQRLLRVHLVLLGGYTLCLLLGGSDPFSLEHNMVGRLDLWVFGSGHVWHGNGVPFDPEGLLSTLPSVSTVLMGFQFGRAIQGGSFQKDWLKFGLLCFCGGWLLHVWLPVNKQLWTPSYVLVTGGLAILFLQALIWLIDQRGYRRFFWLFEIFGTNSIFVFVASGLWVKILLRCSFNLNGETVNGYRYLFETAFFPFWSPLNASFLFALMHVTLWWLILFELNRRSIHIKI
MSTLQGTTSTPRLRSLDCFRGVVIALMFFVNLSWDRSAFPDWFGHAGWNGGKQGVWLADFVFPWFLFIVGVAIPFSMNSGRGRELTTGQRILAACRRCLVLYLLGCVIGAARGAYNPAKPLTWSWLISWDILQHIAFAYLIAVVVMHFPVKARAAFVAAVLIAKWVWMMWVPVPGVGEVVWTQEQSTYRWLNGEIGWFGGFQNVLPGACTVLMGCFAGEVLRQGEWIAAKRAHLLAVGGAVLLLVALVWQLHFPLSKDYYTSTYALLMAGTGAMLLALMYLVVDVRGEGKASWLTLAFEPLGLNAIAIYFGIEFLWATVLGKWQVLYPNGMSGALIGGVLAWSQDRLGNIAGAWVFVIGYMIFWWGVARWMQVKKVFFKV
KRIIRRSLLLFGLGLLLSGFPYYDLDSIRIPGVLQRIAICYLVASLIFIHASTKMQALWTLALMLVYWACMEWLPVPGIGSGSYAKGENFSAWLDNLLLDSHMWSQTGTWDPEGVFSTLPAISTTLLGVLTGQFLRKNTPALKKTGLLLGAGCIAIVIGTAWNLYLPINKNLWTSSYAMFMSGMAMVSLAISYYVIDVRGWQKWSLPFRVYGMNAITVFVLAGIVGRLLYVIKWPAGSGFITLKEWLFNTFFQPWFSPLNASLAYALCFVFISYLAMYYLYKKQIFIKV
MKTLSLLVVSLILMLGMELKAQNEEACQKAMETAIDQFDQVKDAADLQVCKNSFERIAASYPERWLPVYYAAYLNTELVYWEMKSEQNTQRLEAAEKYLKQLEGLEEADRSEGATLWG
MITATPNRRLTPTPILLMLLTLLHQPQTGHADTTATLSVQKSAPLTIMVEELYPDSTGPVSAGSRSVEMSWLDADDSIGSLELTIDAYTSSINERSIKDLWYTDSFSTTNGKKPVFRAEYSINSLPFSEKEQTVEIANTLGTSKISVTFKPPAIDVIKTSSGFSKTYRLQAGTAALSFSTNETTASGSYPVTITTEVTPDNFSIQ
MPLLMVRPVPLVRLRAALVGAAEGCRAGADADPEGWAGVVAEALGVVAAAAGADADGAGAEAVREGAVLEGAALPGLVPADASVDGSQAVSSRAEPAVIAETKTAAAERRRRACADMDGFPPEAVVAVVVPAGSLVPSPGATSRASQPDDPAG
MNSKIYNAFLVVGLVLATGQYDSANASKDSVSITINCPKLLLEDSSHFPSICVDEMRPDEMDVSEQYFSGIEKYNLNNRRSREVEHPHKHI
MTLKSYRAQPASPIQEAHFIAYVDLKPIGTISQNAPLSLSGWASQQNTGSILIGILKAALKGWWDQQRLYGKLVFSSIKAG
MSEEFLSQEEIDALLGGGESEEKKEEQPIETDEARPFDFSEVEHIKKGGLPGLDMIFERWIKLFREEVRKIIHDIGMVSKDNMYISRFNPFMMKIPLPASFSIFTMRPLKENALLIIDSRLVFTVISVLFGGPAQPFKVEGREFTKLETKIVNDFVEVILKTFEEVWESLYPISIELVSIELNPSLAKIVSPNEKIIVVECSLDIGGYEAPFFFVFPQGMFMPIKDIVYSQSPLTEDPVWKDNLTEKILKLKLKLTLELLRKKFKIKDILAWEEGTEIQLHVSKDNEFPLYVEDSKKFLAKLGKVENKYAALITQKIINNDIKEVRDGGKEDS
MLTRITHAAIAFALLVVIYQGYVLLAVPFLEPVSGTQDRAVQDGITPPRQAPHKYRDLLAAYFPPGHWALANPPKTFEDGRVMIILDNYRTSDTGLLRINKCALLFFPNSYTLGDVAPRDAVILEAPHGAVLQLDEGVRPGLGGIGRVQHGKLLGDITVRSDMREPGSQDDLLLTTRDVDLREDLIRTDAEVDVQLGPHRGHGRVMEIRLVEVESSESAVKRVSSGSIESLEILHDVQARLVPGNTKLSAGSPSQTPAPPVQVNCQGRFCFHFAHSKAFFEDQVKVEQIHPTGERDLLLCHTLSLFLTKGSFAKKASQNELRPGSIQALGTVAQPVELDAQSQQATARCEKMWLELQSRRITLDGNDEVMLSYQGSEIHAPMIRYQAPALNASHRIGTLQASGNGWISVRGDRVGNRSAGGGKNTSSEPMEMRWTKELRLYRIGGKPVLSVRGRPRLELLGLGRLWAANDLDVYLRESAIDGSEANLLPAAVVPERIVASGEIDVDSSQLSGKVNQLDVRIQYASSHLDLGSPDRGRLQSSNLRNPSASGRSRAYHIDGKRLQMLLTVRDQQPEVTRIDVEGDVHFSETASIRATPEEPLIVRASQLHIENADSPSAEISLHGKPATVTAAGISIRAEKLNLNRGTSRAWIESPGELVIPVDQDFSGKALATKQMMTIRWQGGMELDQDRVIFRQGVEVEAGEGWLKTKQLTTHFSVPIRFDGAASQQRAQLAQLQCSGGADAQFSQRDATGLTSVQTITLEESLVVNQQTGLIHGQGGGRLESVHLSKGNHFANQTPQNHNRGQQLQFLQVDFVRGIEGNLNRRHVAVLGDVEAVYGPVDSWDEKLEKSVRGMPELGTTWISCQKLGVAENPLARLQQRSGIGPLEFLAEGDVIVEGRVGERGSFTATSEKATYDQLKTMFLLEGTGRLPATLTHEQFVGAPPSETAARKIIYIHKSGEVKVEGIVRGGWKQIDMGQKPRSPQVR
MSDKVGGYAGPKPGGYRLEDPGTGGLRGYTGTKPGGDKAKAIVQGIKPGG
NEISFTDDFEGHNVVINGKIFAGPMLPQNGKPTEKPTSPVPRWGVSVTTPEDGEVWVTGSIVAGTGMNGAELRPAGTGGQVKLGGFLGPDAKVTVASTAVVQAGDGGRGVSDQTGKHVSGSTSCLVPTKGPHFIVPNRSTLVLTGTDGGDGGALRIWSRDISVQSDQVTPGAGGNGGNVQSLYGRIKAPDGTTGESGWNIDATSGSGGAGGGIDLRYSKTYSGGTLRPGRGGDAGSVNVAAGDGAPHCDGGQTEVHLGSPGAAGTDSTDTGTKLPPKGKPKPGSITLEGGGNGGPSTDAKHGGGNGGGVLIKDPSGAVGTFRVERYGDGGVGFDGCKVKPVTAGTDGGNGAELGPAGLPFTATDSFNGENAGDGNPPGARPGGHQRPEPIGKFVNSFGSGSFGKQCPGTLATPPKTSTTPATNTSYTCGGPETKILDTSNGFAVEDDGTAPSFSTNGKAYCVVQISTYHWNDGDGEPPGTIALESGKGTLGKWPATGSVGEPDPPTYPNGVPNANWTVTFSTSTKPVVIDGTYKCVDSDPSTWSQNEEPPSKGQGFCKIWVVPAKPAG
MNQLREMQSYARPAARASIAGPATITDLAARRRSENVLETVSLVFGGVHYGTVPLNVPYTSGKPLMLFIVPSRSAPETADDLWSGPVDCLITDDPALARKDETAAV
MTTLDRKLLRDFRRLWAQALAIALVLACGVAILLTTFGTFVSLEETRSAYYERNRFADVFADANRAPLWLMQDIRQIEGVRAAEERISQMAVLDVPQRVETVTARILTLPSTGTSQLNQPVLQAGRLPSPDASGEVAVNAPFAEANKLQPDDTFRANLNGFRRELTLTGTVLSPEFIYTIGPGALMPDNETFGVLWMPETAVAAAFDMDGAFNNVTLKLNRGANTRDVIDRLDDLLDPYGGLGAYGRDIQLSNSFIDSELEQLKTSAMILPPIFYAISAFLVAMVMSRIIALERSEIGLLKAVGYSDVEICVHYLLLAVLIAMAGTLIGWGAGTWLALALARLYAEFFDFPYVRCHQGLIKGVNPDNPRHPYDWP
MVGLWPRSFGSWLAARPLLAKSARELWVMRSQVAAIALVVAAGIATYLMSIATYVSLDQTRSHFYAKQGFADVFADLVRAPQSLAARLAEIPGVATLETRVVGGGQLQLAGFADPIRCWMVSLPQQGSGSLNRLYLRQGRLPDPGARDEIVVAEAFASAHQLRPGDALEAIIRGKAQTLRIVGVGISPEFIYQIQAGAAFPDFKRFAVLWMRREALASALELEQAFNQLSLRLADPLHDEAPVIAALDSELRRFGARGAYPRAEQLSHKFLSVEFDQL
MKAIDIKLWRELWAMRMQALAIIMVIVGGVSIFIMSLSTLDSLFETRENYYRNHHFADVFASLKRAPLSLVKRIQEIPGVDKVETRVLAYVNLDVEDFKDPVSGHLLSLNANSAGLLNQIYLRKGHLLEAGRDNEVLLSEEFARAHDLQPGDKLAATINGRRKVLNVVGHVLSPEYIYQIAPGAMFPDYYRYGVLWMARKPLASAYDMDGAFNNVTLTLSKEGNEQDVIDQLDELLKPYGGIGAYARKDSCLIVF
MSGEFDGIGGLLAGSEAERSPEQWAQQLEAEVMRDYDEWMAGERLAAAEERARETRLYWSGRPDPITRQILRAYGLRAADVGLVQRSAFSSGYRRRQRARVKRRRR
AVNLIDIYVIVKSKKKKNKNLKIQLKSGEKKTLGFTLTFRF
MDIRQQFMAGGGGSGRGDRTPSEAAALISAAVAPTLDARADGTVVGLTKAGLPPSPPPSAPPPPHPLLLGPRVGLIEWLDLGPGRRFVKEHLGAVGHPVSMYTRGIGPIAHLMSRSLLSRHFSPKAYVNDP
MSDKPFTPENASPAASAPETRVAMIATLIVILIGMAGFVGWLVHGDKLFWSMLQAGLAWCL
MTVAEISPDIFAARDLDADNAAMMQRIERFRDVEASAAPGLIIPPGQQDGDIDTFIPEVHAADFDIERLRDALREHGSLIVRGLFPAASMQGLIPAIDRVLDACERNSHGDTPQQSAYFNPPQNLITIMPNKGKELGNTRNFHRDSGSAMCVEAPSVAEALLAFYERHGLKSLMREYLREPPCLTAKKWVLRRSKLPVAEAGWHQDGAFMGTDINSINMWLPLNECGGETGAPGMDVLPRRLHNIASAEGAQFDWSVSSNQVAGQSGGSVLLRPVFQAGDAFFFDHFFLHRTQYGIAFDKLRYAVETWFFGESSFPKNQIPLAW
MRKAKWVAAAAGVVLLVSGCGSDGGSKESGANADKGGANAAEAGGGDLDADAVRGEIEKAATAAGLAESSDAEPVEEVLRDCMVSWYADPSKIESGEKAYDDAIATLNEAGWEEQQSQIEDGMKLRMLSKGGWNVNTSYSGEFDLPETIYFVATDTGEACEKKFMEEMEEMEGWETESP
MSQLPLATILQYGWLALHTTTHDMVFLAYVVLNFFYALGVGLNEFHRKYGSEWLNLTVGDFSQLTALMCLSQIAFQFYLYPYVNFSRLESSRTRPPRGRFSHLAMFRLGTLLFILHSISTSLKQVIAILMIMQGLFGGAMGVSHECVTVISDPRNHVLSLVVPVGGGGSWRRRRYYPWWCLSVNLFPTHACWRSATPPFSASHRPLRNSALPILASRCRCSCFVSSFRICVHVIEC
MTTKEIIELLKEKETDFLKTKTFSQLPGIYAFFYIGNDFPLLGDSVSKHQIIYIGKTESSQEKRDSKTHFTTGKTGNSTVRKSIGSILCSQENLTPIPRNESDYEAGRFSHFKFDEPSEKIIT
MTLRPLPMALMLLLAAAGPGSAADPEPDAAGATPRVSCDLLDVRTDAPRTAPPAAWAPPQGGGPAIRRIGGPGTVPAGNGGFLKVGRGPGQVMPAALELGPRSVSVPPGTTALVEIAIDHLNRIVTPFQNPVVHTVSPASTSVNGRVVYVATATEEPVALYIGDGPSSDLALSLTLAPRAIPPREIRLTVPGYRGSGNAIAGTAPETVGPPTVLPGLPSGLGGNQPYVETIADLLRAMAQRRLPAGYQVQKGGGLKARCAPGLKITNTQLTQGLTASVLTVGVRNGGAGTIPANASACAVDKHSVAAVGAWPLKTLAPGQETEIFLVLHAGAVAADPLGKPQ
MKNKDTVRISVRLSEEEKEKLERSAEICGLSQSEFVRQLCKGKTQKPQPTKEFWALLEALYGVHKGFKECAKYEPSALNICKEIECLILDLQEVE
MLTLTDREKISRGLAAGWRLCDIAEVLGRDRSVISREVARHGGREDYRAGPAEEAARAARG
MQEGKYKHLTNTEREEISRCLANKQALAEIAKQLGWATSTISREIYRSSGQTGYRAFGARKRAQTAASSRRTGKSKMAKHKRLRDYILQS
MIVDDGRPFPQVIKSKGNVVSIKVDKGVVPLERANGETTTQGLDGLSERCAPYKKDGAHFAKWHHVLKIGKHTPSALAIIENCVNVLAHYASICQQNGIVLIYCQYVTEKVWLLYKALSDHDIYLEGTLLKSNMVTPGHVCIQKFSHEEIAMATVTMLHCTVPPTVPGITFLSGGQSKEVSINLNAINKCPLLKPWGLTFSYTLADSHACQGKYTPSGQAGAAASESLLIFNHA
MAHRFPALTQEQKKELSEIAQSIVANGKGILAADESVGTMGNRLQRIKVENTEENRRQFREILFSVDSSINQSIGGVILFHETLYQKDSQGKLFRNILKEKGIVVGIKLDQGGAPLAGTNKETTIQGLDGLSERCAQYKKDGVDFGKWRAVLRIADQCPSSLAIQENANALARYASICQQNGLVPIVEPEVIPDGDHDLEHCQYVTEKVLAAVYKALNDHHVYLEGTLLKPNMVTAGHACTKKYTPEQVAMATVTALHRTVPAAVPGICFLSGGMSEEDATLNLNAINLCPLPKPWKLSFSYGRALQASALAAWGGKAANKEATQEAFMKRAMANCQAAKGQYVHTGSSGAASTQSLFTACYTY
MQNKPEELESNIAEVCRKSAIENKELNLIIAKAEKTILELHGYDASHLDSAGQISIAERNETFTNAYINRMSLSQVELDYLKKKEAERRNNAHTEITPITANAGHKFGQNSHFLPLASNCWTEKAVQRVHNRLWKLDTFNYAAPISVEAYMALAGLTEADIDNCRTTARNGTIHFPPTRGGLDADFPPIASLEKGRCPRKPLLHQKGILKFPADLSELKKLWNAGRPYLKCTCLSCEGNFIWFDHMIWYVIGNLDKVDPHAPSNKIRMLEFQALLQTSWRKPIMVQISFMFMREYMIKIVHLITQNRTITAEEILNYEDFRNVPFVHKFTAAILPGAEGLMAQPANRHSCPDFEGNSLRRCRQMRLGTASVPISSLTPTTPSATNPPLQHAHSGNPTAEPCITAPTSAQPNIIAPSQATHTHATVTPQVHTSTTPTTQQHEPNRTPQPLTTTTTPAANHNTPTAATTHSAQIPHTSNTPILNRNATPVPKIPLVNNTDRTRVYVFATAQLTSNAFATTAAQLGTRHPLTVANAAVRIDTSALHSAQANRNVFNHNSTPHGAIAGPSLTEAERLARRREQLLSIAMNPLPEPKRAKTRRGRKTQRLTVIQEGVQTRRQIRRKIAQYDGQQPDIQDVLMAQQQLQTIVLAQQQKHTLEQACATSSRRLISRPGQSEGNQVWAPHAEQIMQQWTLPGATTVLPSTRQEIAENQDVIQISSSDVNDEERRQPPITIISPSPGTPIRPVSAIDALRMNYGASSAESSLLHTSSENTTDLTESQSSEEFWKRVCRRLNPDYHCSFANITRG
VKKIEGENINEQHENLGQALSALPLATVARKKSLSSSLSVKNLDPRLLMMDEIKKLRQNSSHTPVGTLHYSLDEENDPDQSKDQIRNKKGYIRNTSTNLSNDDLLTFNRRECRSVLEDQLQDNTEEHPEEIDGGAYQKKGREEKKVKKALRHIVRPSMVAAIEREREFEKDRSRMRI
XMKFIMDGWHQRCPDDHRYTPCESLERLVTSGKVGRKCGEGFYRYTAANKQ
MLVDEQRGRFTSLEGNLENIGKSYVDDHFDVLSKWFDESESI
MRVLLVNISLDAKLGGGTAERTRHLALHLAKAGSDCEAIAMTGNSWQRDFDELGVKSYITGRIGHRFPIPLVNPWRAWRAVRKANVLHIMGYWNLLSVAMGLLALIGRRPYILCPAGEFASVASPRPIMKVFHLLLGRWLIKAASGFIAITDLEQELIAQVAGVPVASIPVIGNAVAEPGAVQQASNVPLPDEPFILFMGRLAPVKGPDFLIQAYLDTPAAQRYPLVMAGPDFGMQQELQALVNASVLAGRIHFIGFLDEAQRTQVYRQAMMLVIPSRSEAMSLVALEAGVVGLPVLLTDTCGFDQVEQVQGGLVVPASAAGIAQGLERMLADPAELKAKGGRLKAFILEHYTWSATVQVMLRRFSRLLNTGSMTE
MEQEDKPHLRSLDKQLRDILIRDFPQFNDKHKLIPYYTKIENEQNKRKQIEFWDSVIKLVLREYKKSALFNLQEMYNIFTLDKFIPLGFKLVVKELTMIKDGHFTKREYFSKDDEYQIAEIIQKQKESIKKSGVKNLESSQKQENQKNNIQQQTQNQKDGFFYNILQKIKRFQVNLNFLNQFTTQNSDQINASNRDFILKNQPKKFSINPNYDANLVYFPLLEQYFGIFVQKTEELFQNKYQNICGENQFLKQLGKQKELQNISSKDLEYMIDLIVLDGQIERISLDEENVQAQTFFKTEDFVLIYNLQLNKSLDLEREQEKLIQKWKNEQIIRDLERKEKALNKIKNEQENKQEKLDLELKDLIAEGKRDKAKRQFPLLKIIKDRVNVLYDKIGVFNKMIGELKMHLHDSEAVDLMKVANKVLQDNKVNIEDLQNIQLDMQDRQADQEQSDKFWQDIGYNENNMNENDLKEFDDYYKQQSEENIQGIKKIKRRKEK
MTLDFRRLERMQVRAQWPTWWRRPCNMNRAATSAIVARMDGKQKESFLSKSPDNFFLFKEFWECRLFILVSTSPRKHPYFPHPNIISFHNSSAVHGDDSPPPGEAGTRPANTRSATGALTRARAATSCSVTLGALRYAAGLTFDACVRVRANLNGRRRRRARPRSLLGVRGPALCWGCAAPLFVGGARPALCWGCAAPLFVGVPEASGTHTELRVAKISNTDFLMRLARQYFPSQHGCLALMGVEGKRPDILLLLLFLTQFPTPPLARAMLHENAQGWVNCHGLGHLEC
MDPVEIGGSQFVMSLRNFTLLRLLRQGDFRFGTAFQIIRFFLRHFGRQLPLQMREINLPE
MNVYTYQIAKWRKVRQKHIFPLDTTIKSGEELLAPTWNMVMGHKAGAITDEQYEDAYMAILSERYAAFPDYFEWLTELDKVALGCYCPAGKFCHRHILVKFLKEITEVNYLGEIE
METMTGQISRDRSYRINDAGLILMDTSVRSAPPIYNIFAPTWDMVRGVKDGYLPYTDIAYTEADYTRDYRKMMINSWRSRQRQWREIMERKGVYVISCYCPEGCFCHRYLLEGFFKEISEKYNLPYDYYGEFK
MFLRCLRAELQKCRRSPVWLAFVLLPVFPAILGTGNYLGNIEVLDDAWYSLWSQHTLFSSIFFLPALLGVFCAWQWRLEHTAHNWNSFLTAPVPAADLYAAKLVLAAGISLLAQVCIGVLFLISGKIVGISSPLPPELPDWILCGTLGAFRSARYNFFSVW
MNKLLIVFGLVILFVTPLYAKQSIEEEEEEKQCDSHVACYDQREPQAWCILKRNQSWTNKGCFCDEKRHLCVMERMNGGKLEYAYCAPAKNWKCSYD
MRSQGGNGRRRLTLAPSWTTSSKGLAASLSPAKSSSEECERACFRLGRLVEAAAASATRPRAVHGSSAAFDQCLLLVAHVITVLMNFLSRS
MYRLLISLDETKTLRKAAEILYISQPAVTQRLKAIEAAFGVEIFIRTKKQLITTTEGSMIIEHARDMLKRERLFFDKIQAHIGEVNGTISIGCSSLIGQTLLPEVLSLYNSQFPNVEIQVQVGSTEQIKAHHRDYHVMITRGNKVMNLSNTHLFNDEHYFIYPKDRKEDVTKLPFIEFQADPIYINQIKQWYNDHLGHDYHATITVDQVATCKEMLLSGVGVTIL
MATLSKSNQAYLYGSNIDQINDAINRTQTFLKNSQYAEGYWWGELECNNTMESEYIFLVHFLGVVDSIKVRKVANRILSKQLADGSWGQYFGSPGDLSTSIECYFALKLSGYNPESSELIKNKEFIWKGGIPKARVFTKIWLALLNHGNGLIFLS
MAILFFVFGTFVCQAQDNFFEKYEDMDGVTVVSISPEMLQLMYAMKTDEDGLDLAEMEITGMQILSTERKDIRDQMKADFSKMIDMTDYKEIMRIKDDESNVIFYTLQKGDIINKMIMLSEEQDECTIIILSGNFTMQVTMQVAKSMSGIN
MRRRPDPVLIQATIAAALSFAHIHDIAEAAGQDGWKAWAYPVSVDLLLVVAWKRIRARRDVTGWAWFSVAMVASVSANVLTSGVVDLEHPADALRVIVAGWPAVAFLGGSLLVHGRREEHTQKSHTDAGEPESNPADGEPTEPASGPQRPVLVSYREASETLDVAPETVRAWAMSGKIRKHAGPTANSVRVDLRECQSVKSRRLTAGV
AGGLAQVLLSALLYAPGMLLFALAKRQHGQPVFTPLERLIAAAVLLVSAGAVWGLWQGSLSLR
MHDKNSTREIEVYGESSLPKKPMGIGCSMSSEWNELLKLENFEPHLFTDALSASTTHIRKLVKPCSHNRKDKTPVFGFKHFKGSLYSVAFSMMLEPNAIDGRSGRERRHGKHYRVEKLQLSSRKWERPKSVLKNVIVDSESTNFGRCVVTREKEKKDMFFGKKG
MNKPLKVQITKPRFSKMYMGIWGVNHLYRNNPLVAAWWSAALPGFGHMSMGMYLKGAIFLTGEILMNFFGKINLALFYTFNLQWDKVHEVINYDYALVYASIWVYSIWDSYRLAVEVNKLEWLESKQNVRHFEHDVIRSIDMNFLDKRNPWVGLAWSCAFTGLGHVYCHKLLFGFILTSWAFIISLNTHLPTIIIYTFIGQVENIPQLVNYQWLLFFPSVYLFGVYHGYNSVIVHNQVFKEEQAYFLRVNFGSNKLDII
MGLTIWVDDWQMQCCGKTFRQGDAVSWTLLEVDPEDYADVVGDERAAGIDFREEHHGQDEGHAPTRLQVLAISEVHCRYATPAGSSTNVLAPVPGSAVLVSVEQGNGWAKAQPGVSFAGYLVTARPAPDMPETAGRRSRRSRRGSQESR
MASALRRGLRGWPAGALLAVSALLAVLGLGALDARAQTDGVTVSTAALTLTELGGATAEATYTVALDTDPTADVTITVASDDTAVTVDTDPDTTGDQTTLTFTHGSSGDWATAQTVTVRAANDGDTDSETATISHTAAAASGPYGGIAVASVSVAVTDAGAGFVLSETMASVAENGGTASYTVVLDSEPTHSVSVAVAAGTGAEVDGPDSSMAFTASETLTFTTSNWSSAQTVNVRGTNDDVDNPNDRRTVSITNNPSSSDTKYNA
FTTSDWNTAQSVTISATEDSDITDESTSISVSAAGGGYDDATGTVSVNVTDDDAGSLILPSNAVALTEGDTTTFDMQLSMLPTANVTVTLAQPSNTDVKLDTDTNESGEQNTLTFTASNWNVAQTVTLSAAEDSDTDNESTSISVSAVGGGYGDATGSVSVDVTDDDAGSLTLPSNAVPVGEGSTTTFEVQLSGQPTADVTVTLVQPSNTDVTVDTDTTDTGDQNTLTFTTTDWSTAQSVTVRAAEDGDTTDDSATISVSAAGGGYGDATGTVSIDVTDDDVGTLTLPSSAIAITEGNTSTFDVQLSALPTGTVT
LRYINNALGSFLKGYQSNLNKKTLTKMRYVHRKLHVQRKFKAAGWLDAMVLKLIYCFIL
MFSDVDDPRQRPARDITTALMRRWRLRSMVASGLGYPDLGFEALELTSLRLPLAQTYSALEVSVPDTYW
MVKKIYYACKNPECKHKFVMIRTFSHTTRESDLTRIQREEAEAANSTEAEE
MATVINLRKSVETIRLSDDPDAKEYRIVFTDASLRKMGKAFEELKEAIDGYEDDKEKALEAARGFVIATAGQECWDDAVAYVDVNGDGEQACFLQLVPLMLSIGELVMERIGIESAERIRRYTAEAAHGAAFKLV
MSAVISTGGNTVSKGSSHNRKLVQIIFNDSSLKCRLPNGMEFPV
MEVLDMADARVRRPMVLLALVAGGLVVAGAAAWAYWSAPATVGSAGRSTAATINAGVAPAALKNSASVVTVSWSAVTLSNGTPVDGYRIKRYDSGTLAAQTLTTGCTGTQTTLTCTELSVPQGSWRYSVIPVLGTNWVGAESGLSNTVNLSGPAITLAQSYYRGPFPATATGTLSGFGANEAISYKLDGTTTLTGTPTVVGATGTAPVNGLTLPAMTQGTHTITVTGVNGSVASTTIIVDSVAPTVSATLSQNPNGAGYITTSPVQVTLSATDAVSGVAAIRYTTDGTDPSVSGTASTCTGPFSVASTVTVRYFATDAAGNASAVASRSVPIDATPPGSTVTLSSAVNAVQSGTNVYYRGSTAGSFTLTTTVSDSNSGPASGRTSALAGTTAGWAHTPSTVSTPAGGPYVSSAFSWTAGTTSTPTETVAAADVAGNTTATTLTYTSDSTPPAGGSISYTSGYLTSPSVPVTWVAGSDSGSGVAASSGLLQRAAAPMTAGVCGAYGVFSTIATPSTSPYADTTVASNTCYQYRYRISDALGNQATYSSAQVAKSPRYYTCAAAALDDTAIEYYKLNDPAGATSAADSSGFNRTGTFVGALTADPAGACSAGVTLDGATGYVTTPLQQNNPMPYAEEAWFRTTSTRGGLITGYAQNQTGSSTLYDRTVFLTNDGRISFQTATNVLIGIGYDTYLTTTARYNDGSWHHVIATQSSAGMALYLDGTLFQSNTEGGSYVTNGYWRMGYMGDLSAKTNGPASSFFAGQLSNVAFYNKLLTATQVMDHYIAGVVSSAGAVTAPAGSPAAAIQSLNRFINKPPPPPPGHGPPPPRRGAPAGAEPPPAGSPAAAIQSLNRTRPTTTPSLTPSPAPFATTTSQKAANTAPRQSLNSAPQPSTTQPDPAPATTQRQETTTPAAPQKPPVTAIPATATPSAPQQAQAPPAPTPAPPSAPVHDDNRQDDQPSRPALTIL
MKLQFRFLVPFLLMGWCLCSCSTITQVPSLTPEEIEQEEEVQFELTKDRFIAGTQRIADASWPLITRNLELCEGYTDFQIGVWMARKIIEDNAIDAMVWGVAKDSPAALAGLQPRDMIVEVDENIVKNSTTARSQLNQALRQFSTEGRTDPIELFVIRWESESSFTPLSFSIQPVEACRSEIYLSGSLAFNAYASGRRIGVYTGLLNFLERETDLQYILAHELAHNVYGHVRKARARSIFGGLLDGVLLTVGIWTDGLFTRLGLRTSSKRFENEADYISMYLLANAGIDLDGIEDVWRRVSAQVGLKESGTHPSRPVRFLRMAKARQEIIEKIERGEPLTPELKRKR
MRVLLIMLAACLALAGVLTARLASGWKQARPAATAGMPNGAYRGAEVGAISESSANTAAPTRSETRWAENDRQPGDRRATPAAPPASAMSDQALQAALADAIARSDLEQAVDFATEQAVRRPDDAAAGFERAALLMRCRRFADADAVLRRVLELDPAHDRARFDLAIACQVRGQLGEARDLWNRFLAAHPDDAEARAHRGEALLDLHDWGAAAADFAWLARRDPADAAAALNLSLALEQLGRGAEAIAVLTQRIERRPSDVRLMNRLATLEWSDSGGDQHSAAARRAAEWCRRSLALVPGQPAIVELLGQIVPK
MGALGHASFAPETGLFTAMALTSGYGPDNHEAAVAAGLLADEEEGTGLTVLGDSAYGTGDLRAQLQADGHTLVIKPPPVRQAVPGGFTIDDFHIDQAAGTTICPAGPSRPQPPPTDQPRTHPHRRHLAPHPGHRIAKRGRPAHGRTAPQQDF
MGGEQVAELPGEFTGLHEENARDVADLGGELVDADLGDGRSERRGDDDPGSITGGVVKADRKLLHRGATLNAGIHGLLLPGPVDRPWEQGYASILDGLLHSLSYGVYMKHCVRVAYL
MSSDENQLRDHAFDGIQEYDNNLPLWWQAIFYLSIVFAVIYIAWYHFGPGQIGVERLEAQLAEAQEQRLANMEELDEDILRGFLSDRSRVDNGRRLYAVHNCAQCHQADGLGSIGPSLRDDYWSWGSDMLDIYTTIRDGRN
MDKKENVEIVKDELTGDKILAGHDYDGIQELDNALPKWWVWLFFITIVFSVIYFLSLFVFHTMDTQDEEYNKEMLAASELLKSTQTAVPQGSNLSLLTDDASLAAGKVIYDKSCLVCHLSKGEGLIGPNLTDQYWMYGGSIEDVIDIIVVGVPSKGMISWKDQLSPKQIQEVSSFILSLQGTNPPNAKEPQGELYNPE
MLVISIPASAQEQTVEAVTAAPASSGDMMMNIVIAVFILAALVMLIVSFVLLRTFKILAKELTNPTAYVAQEPVVKLEFEDWLTLKKSKPSIFTKLLSLRPIEEEKDIVMEHQFDGISELDNPTPPWFMWLFYASIAFGVGYMLNYHVFKWGKLQDEEYMVEMEEAKVSKAEYLATAANLIDENTVKVDKEASTISLGKAVFTSNCVACHGDKGQGSVGPNLTDEYWLHGGKVNNVFKTIKYGIPEKGMISWEKTLTPKQIAEVANYILSLKGTNPAGAKASQGDKEV
MYMSMRAMRHLGFVGLAMAVYWLAGLWLPFASIYLHGDGEQAAQTSLKHQMETWPRLHFLTRTDRIAVALQKNPWVDRVSVYKSFDGSLRVRLNYKRPIMRAITGRYLIDSRGHSLATHVSDDLLRLPVFSGEPSVLRQAHRLWVQLGIWQSRLLVISHDGFSGWELLFDNKVTVRLGTKKLSERLLLFLKVADHWSLDRAVEEQVFDMRYNNSFTHKKLSDKQALSD
MKLSRRETIVLLVLVVCFIVINIVNYIKREQRKAHHVLYIEELTVQISVNAASTEELETLPGIGPSLASRIIEYRHIHGGFKTLDDLKNVKGIGEKLFAKIQPYLKL
MTICYWWYLGGHRGGLVEIERASPFEAKFLVDINRADWPEIIQLPGLGEILAQRILADRHDNGPFFDVDDLVRVNGIGVKTLEKIRPYLLPIPKDSDWAELEFESNEKLQ
MTLQMFNQGDEALKLAQAILEKVPDDVDLLQQTALYYSDQQQLDKAIPLLEKLAKLQPKYRLQAAQGLVPLYFKNKQEDKALEIVNQILGENPTDVNMFYMMGNLLQQNGKYDEAKKAYEKVAQIDPKQKPNTRMSLAGLAKMSGKQEEALRLYRDILLGEQPNQQSIFNVRRRANIYSLAVSNQNQGGAYYGHIRS
LLFMFFMQIFFKKSFWLRWGPAHPQLSCPLVPPRQALPRSLPTASTPPSPRAGRHDFFRDDPQWIRKPDPPSTDRSLRSAEDAGSAPDGSFAPRMQIYPDSALPPAHTNCRHT
IGQGALLIEYCSCRSTRLLCLRYYCVCRLIDWSWNISDGISFVAFSNTGAMIKALLMLQYQLIKGLCLLEVAVGRPDSCVNNTLVCAGSLLGVGLFPRAYRSKPCPIHLE
MSRVTTKRMDLSELGGDEMDRLMATLSGEAVASILSLQPAVFVAGTVAKLSVPDGAAMLLAFSMGQRSDVLAAMPPELRESMLDYLNANDRIGGGETGNCVCPRCGLEGPIDLFSGDLEDMAAALGQMDPAEAAKMIEALDEKTAAKLLLAMDLPEEGVLAAAVLGQVAALTCQALRHVMRAEDEAKTAIIYGLLDPTRLSSYKSKKLTDLENFDPNFLEETSKRSGKAAKHRPLSVEFTPPPAWLEYLGASNLKHLLKIWAKGGKLKITVPKCRGMIAKMYEMKISVDKANVRAEKLTLPLVDFVCIFFDNNFGLKKIAQQNLVKFIFSVREIYAEGVDPLVCTFVRLCGLFHPLPACALNFLLGSADLAAGGLSGGGQEFAKPQNFWAQWVGGKGINFPLKKAKEIASLAFIHMEQATIDTVVLPAVVEAVQANTTGKEGHDDVPVGKLSLGMFLECLVLVQVRLNFETEPETLDALTANASDAGNRNLTFDDWRAALKKDIKCHRPPNEGAVAFMYARGHALEHHVTKKLSKAIQSGASIMQDLGEDPNTVSVSVRSLASSFNWNYGVICEAGVRADGTSLVYEARSKAEGKGDTRAVKKKKQ
AYLDVLRGHAELIVPVSGDSAQWQSQWLDSLEASRTVLLSDTQRAELAVGNAQQWSQRALAGLYGAFGGPRLGAWQDDPFGLFSQWVQDRTKERPVGPRDGQL
MLGCWPDFRSLLRSCSAAQWRYLAQSKYSRGHWVRCASNQSRSETFPGYRHCLMVLPPLRLVASQWLNVDPLARPHETRISLQGCGVSICIPRVPQSSGPAIGSTRAEAGPSCHCVLELSPNLSCTAIRSRLAEWNIQGSHFSNAGHATVMRCFIGRHTRKRTGGI
MIKSIVVIVSLLFVQSSVASEMADYKCYVKTSEGEALALYKWKIKDSKRMAASLVGQRIDGERLKYAFIKSVEQCKQSHESFTIGRAQQLDKITAR
MERKVCHATGLPCPMSGRHRQPLPSPSSGHLFDCRSRQPPPSKGAFGTPYLCDPPRAPTSPLRTLSHHHPLQKKTPVGGGMIALRDTKNRADGMLTFRADEWPAFLTGVKHGAFGTLYLLRPSPCCLPAVAPPELFSPHGRLALNAGCPR
MIKWKEEILIDAPIEDVWSLFADRNIQTIMPKVEEHTLIEKQEHEVGAKHRQSYREGKRVETYIVETLAYENEEDKKHKQISFVLGKAFEISLSFTLLKEGENQTKFIYEGQNKGVNFVGRAMLKLGNEKNNKKVVDEFLHRVEQEAVRNKSSLNH
MSPSQMYWGNTMLIRCSYFNLSNSSAPPLASASLLSPRLNRTPKVPTPESKRGSVPTPGSGKGGASLNTPSSASTTLHPNGNGASLSSLGVDPPRPPRDGFEWACVCPSIPEHDHFYSTIDKTETHHALHSLANVGAWFKDESGTSKLKRKLFGKAPWHRKESGDSFSSVASSVREVLRGSTPPSTPSSESPLPCALFVYASWFQRLISVATVGKKYPIAQFPGGEATRVKTPPLGEYTADGRPRSFFTPMAPPHVDGSDQVSLATSSSRQLGHRRSLIPQQLEWWEHFPQKPSRQELEDNEIRFEFQVPEHLPSSPMCPTNTRHSSGSTGVCVYHRRKRTTSGLKDVIFVEDY
MIAGVPMLVWPLYPEQRKNRVFPEKELWLATALEGYDHEGEVVDAGEVEKKVRWLMESDGRSVLQERMLAAMRRAKEALVGRDAHQAGGGLDGRPCRLG
MNIIEIKDLLVAKYGDNEYLEKYLEIVSSDDDVEYTEKHHILPKSIWPQFKDFKEHPYNCVNLSAYNHFLAHYYFSMATHACWHAVKMMANISKKCSFKNCTAEELHEIASLYESCKGNFLHSEETKSKISAASKIALNSTEVKAKISAANKGKKLSAEHKVKIGAALSSAKKGKPLSEKNKASIIKAMRKAEHWQYYDELFQLWIKNNMPKCGRFRTIAVKNNYPDVHYGGMVKQFARDIESNPVQHPVKSNVVQLKVPTSARPTINQNTLDFLSAA
MLKFFFTIIIAFMAMSVSANVIITGTRVIYPSNQKNVSVQLTNAGTNPSLVQAWIDNGDPKASPPKPKDQNQNYLQISLRSRIKLFFRPVELTISPSDAYSQVKWRGQGKQLFVDNPTPYYITYPEVKIGTAETLSANMIAPFSQATFQLNKPIPAKATTVTWTVINDYGATPSGTSAMQY
MKKLLLTSTVIIFGFSIYKVTSSTDFVEENAVEDAEKVNDVTDVTYQSVDPDHEWIIEFDKGINETLLNTESLYVKDDKNNLVAVSIQRDNNTTVRILPPEEGYDQETVYTLNINKNLDLENLGKYNPQEAHKRNFKVEDNT
MATSQFAVASSHIQKIQPDILGFGITDFDDQLQ
MRQKTLQSTSAPEADTVTDRLVIASRYSECLRRLSRTAERVRHADLATVLMEVARCIDRMRDDIAVNDDGTEVLRRAARLIGTVERLVDREAKTSFLH
MHRKHLQEIPDLSSNVATSFTWGWDSSKTSELLSGMGVSALEKEEPDSENIPQELLSNLGHPESPPRKRLKSKGSDKDFVIVRRPKLNRENFPDLSTLVRRCPNLVHLDLSDSVMLKNDCFQEFFQLNYLQHLSLSRCYDIIPETLLELGEIPTLKTLQVFGIVPDGTLQLLKEALPHLQINCSHFTTIARPTIGNKKNQEIWGIKCRLTLQKPSCL
MAMIPVKDLSYLLAVCNKLKKLSLENCPLDEDCCSYIAQNNDLKVLNMAMCSGLTPEGLYEISISCVKLREWNLAWTNLGSMYFGAFVDFIPKSLTRLNLSGQRESLFDQALITVIKRCSNLIELDISDCMMLSRVSFEELIKNCPKLEHLHMSRASNIPTECLKLLKDMKNFKNLEIFKTLPEESLASLREYLPNVKINDNLFSTIARPTVGIRRTSIWGLRVRD
DLFAQLADEVILGIFKLLPRDTLITCAQVCKHWLSLVYDESLWLTVDMSKAKLQHGLLGRILLRGTRVMRIASSRVCSPLLDENLGILPGVAPLSPSMAACNSLFRLTYLDATLCTFEDSTLLTLLLHSRRLRCLSLDSSNLSLSILRAIGDCHDLEVLNLAMCTGITLQGVKALVNGCKRLTHLNLAWTHLSKESIIQVVQNLPLLRQLNLSGSRETMTDEAVLHLISNCIHLTHLDLSDCILITARSLLAIIQETKIEHLALSRCYNIPPQAFSLCVELKSLAKLDVYGLLNGDGVEILKRQLPDTFINSCLFSTIARPVDSKYRGTIWGIRCQR
MNRILMMVSLNKILYDPLSYLHSQRLQLNYHLISTAICRSAVNDCIFDIFKLTDTKININTQINFWICHWSYLLQIAYLIGCHENREELMWRGRLLNIPVWARDFLKIVPIYTPKSPSLPLAKDIHSIILKTGYSGLIGYIKELPEPLRQRFSLSFPDCIDSVDSQFTVNPFILMVISQYVKANKTTLPPEICR
MQAAHTFFQKYKPQAVENLSIADHAGFYKPYPANIKALMGYSQIAF
MNIHSKRLWAGLAGGALCLVAFVIAPVSAGMGMGAGNGCAAGGSGMGDQIRDRTCDPICDQIRDQNRDQTCDPGCDQPMENRLAVLEEQGVDVTDVRTAFASGDRETIRGHAGRAAWRVRAGKSFELLSGWVGPSSGGPDSAFPVALSPE
MPDTKVPPGLNIQVAEAGGYTMPRTADGLIYTPETIPYKTFFQMEDDAQIKAVLTVIKAPLLNTNWKIASKDPAISKFVQETLEPVWGELIFSLLNAINFGFQAFEEVWKEEKGAWKYKTFVDVHPDTITLKVDPNFDFDGFQQFSTKGLVTVPKEKALIFTVDKRFRNWYGRSRLRSAYFYWFIDKHTYDFENIYLERYAQPIPLGRAPTGRTPTSATASEDNISLLLSKLTQMKNSGAIAISSDTDPKTKLPLWSVEFLEAMRRGGDFIARHRQLDVMKSRAIFAPDLVFAAPTGGASYALAKEHADIFIGAEEAILNEVKTFVDYQVIPDLVSYNFGQNAARVSWEFETISRAVKDNLTRIVMLMVKDDKIELDQEWLESSVGMKFNKAFVKPPTPSSRKEEYVPVLKTDKEKERRMQY
MHEVFFRIVLFTTAALPAMSSPIYSSQTLYIMIPTGCSSNCINSPGGNSLTYNFTNANNGQAASVTGSSFYATNGFDLGARTSSTLNNASAGQYDVLGQIAAHDTISIAGVSPGVHNIQFTYHLDGSFAFPNPAVGGEQLQLRGRLTSGLNGPFLAGQQGARAFDSSGQALTGTPEPATLSMGFLGLMVGFARKVRRILKP
MKKYLLLLPIALAILTFSYGTYHQAIKLIDLKEISTNLKEQIVLKDIMRELFIERRAIIDARDKNIVLQNDIVKKIRDKVNEKIEHLTNKELATSMVEQLANAREFIKQGNIKYSAVFINFDKTLNNKILQNYSTFAKSDSISIQDKTYILSTTSIHNHIAKMIDNRNFILDALQNKTAPNKRIISDWLDMENLAEISRQALLNDEINAKILVITEKNYAKDLFEEFESARQNFVAKEQVIIEKDIIMLYRLKIYLTSLLTKISDVIDENLLSSNEENINNTKLLLFLFTVLSLLFMYKFSIVYKDMLRYQKIKEATNEAKHRFNIKSDDDSHADIINILSDITQELQDEKSYVEGLNRLKNNYMTHIAKKNKLLLKENSQALAFLKKELKDVECIKAINLIEENFSATHLIIENLSKIANFKQENLVCETSTFCPQSTFKKALEANIYEIEKRHINYATYIDPSIKNEVEGDESKIIAIISGFIFGATAQCNAYSKLYVEIKPADFTKSSDVSQILVSVKNDAKPMSEAKIATILDTDKNRLLTANYSDFWLSMANLYLKMIGLELNIKSIPNVGNEFFFTLRLRIKNVRESLYDKFTKNVAFIEDNNKEYNDFFKRTMDGLGIKFKSFVNDTKLLAGHDYDIVFTRNEHEVSSALKNLIKIKDPLTPLGILSFMQTSSQLKSVKFSLSKPQILLIEDNGISVKFAEFAFRNYNINLTIAHNFELLSTQSENEFTIIFTSINLTNTNVAETIKRIKDENPNFKTPIIAMISNTSKFLSQQTIEMFDSTIKKPMAGKELEQILTKYIDNIQSFKKQGNLEKTNKHILLYKKTPLENKIFAGALKEFSEYLTVANSIDEFEKELKEKPFGAVLIDENAAGFEMDKVILDVDEARIRYSVDSRLFIFSEISKELGGLKSYVRTLSPQINKTKLSSIIKNLQRDVESSEYLERERERES
MRPAARPGAAARLWGRSGPAAHTTAEHWLGRPLDDTPSIEGLVLRYLAAFGPATAADVQKWSGLSRLGPVLTGLADRLVRLRDDRGRTLWDLPDAPRPDEAEPAPVRLLAPFDNLLLSHADRTRVLPEAHRPRVMTVNGIVLGTVLVDGVVAGTWRTEQGAVRVTPFAPLSRSAAAGIEEEAGRVLAFSGAPDGAVLVDPAG
MRQGPDEHASLDTYVLRYLAAYGPASVKDMQKWSGLSRLGAVFTRLGERLRRYRDEHGTVLHDLAETGLPDADMDVPVRFLPEFDNILLSHAERERILPPEYRREVFTVNGIIRPTILVDGFVAGRWRIDRGKGPAVLTVEPFAPLPGTGSPDSPTRANAWSASPRPTPGATRSASRAEAAEGITALADGFPVTRQPAVRSVADPAPRLRARLARAVRPRDMTHSALGRGTRPRARWEREQWEWCRWGRKAGTAERRRAGRTRPVPARSDPRGAAAHMGRTGRTDPAP
MKIGAVLTREQVISARYVHHGLLTPFPDPLVAAQRLAGVQAQILPAAGLALWNRVAGFSADQLEHLLYHERSLVRLWGQRGTLHLYAMTDWPLVYGMQAGQATYWEQEAQRDGWAVDEYEAFIARVGDLLYEHETLGRSDLRRLLPAIDQRHLSGWGGVFAILTRRGLACHAAPRGGEARMTHRLRWRPDLVWEPPDTDTANAEMLRRYLAVFGPVRLVDMTCWRGRTQAEMKRWLALIGEEVVRVSVDGQPAWWLQSYLDRLADLPTPADLPPHLLGRFDPLLLGTRDKSWLIDADVYNRVWRPAGHIEATMLVHGRIAGTWRYDWRGSSIVVTLRPFKPLPPSERIRIESLAAGVAEHFAAPLGDCRWEAVA
MATWSSVRVPNIRAALAGMKDLRRFADDRGRELIDLARAPRPAAEVVAPPRFLARFDAAILGHAAPERTRVLPAAFRKQVIFSAEVWPTFLIDGFVAGRWTIAVRPKEAVLELKPFEPLARADRAALVDEGEKLVRFYAPDSKTHAVRG
MAEASEGMEEFCDNFFKIKLTEKEFQSPAFERLLENGTLHRNEIEKIREEDEFDEREDLSDTKAGFSDDDEAQGGVDGHETDLRLRDYQFELAEEAVKGYNTVVCAPTGSGKTRVATYVIQKHLEKEEATNAVKKVVFLARTVPLVKQQYDNLQRHLGNKYSILKVSGGEEISLKLHMIIDTYDIIVMTPQILLNHLNFDLIPSLEILSLVVFDECHHTNKKETYNILMQAYHKTRMENGGEKMPQILGLTASLGVGNAQTIDGAYENIVKVCGNLDVRKISTVSKHVSELQKHTPVPTEENVNLQRRGLDNFVENMNSYMTKIEKRIKRLAEGLSCGGVHEELNMLPPDKKLQAYRQRIVLVRQAVETCKPQEDRIKDKGLLISLTRRLEVCSEALDLYDLADTQEVQKFLLKKIMDVPDENLRELPEMDPASASPNKNLQNLEKVLQEHLVEKSQSSRCIIFVSTRALAKALTGWMNRLNPSVRHLKAAVFSGTSASKDKDGTSKIEQNLLLKSFREGEVNVLVATTVAEEGLDITECSLVIMYNHVGNEVSRIQRMGRCRQFNGVSVLMAMPEDRPRDYLNREKAVLMNNALKDIGQKRQEFERKVKQFIEMELEPDIKALSSTSDVTQVPERILEFKCKICHRLAVKSCDLRLIHKMHRVVVNGDFLDNIELLYQRPKNVSELRFIAKVFCKEKECDNSIGTLLIYKYTPFVVLCRENLHCPSLKSNWKWKDASEKGCKCADIQPHDFKEYLFGNKDKWYEPPSVGATKQRRPPPAGPSVVPAPEADNRQVEPAIQIGQASNAEDTNCENGGIEGLGDLDEAQRILADAPPLDILSLTRQNVLSDFALHQTSFESDMSTLKISK
MAAACRANINPELISLTNRRIRCPIGRSESSSWFAFQLSPRGDSHDLHKWLSNPGRGSLAACSPMALRGGEGYVAGRGRALGKPTGDRELTGSAVNGDRFLWRTLNEVPRQMVAAHSARARVGRPASDVKSDVDGGGTWGMRGGDGGDGGDGGDGGDGGDGGDGYSGGGGGGGGGGGGGGCNSRGSGGGDGEGGGGSKCPLNPLPWVLLFLAAEAAAAAAVAMLSRPSTPLPPPSPPSPPPPPLLPPPPPPPPPLHASPLPLKSSLLLTPHSSARLSAHHSRRHPTPFIFFPLPPLTVPVAAAVAAASLVFVLFKRLRRHHPQEAEDHRHMNGDV
METWLSEVQRVRIPRPKFGSGNSVRRSNSTVQHVRSEVHARQVQDVTKATEELRQAIQDKL
MRTLQPLFEPTTLQIDSPQARLFLTFPVTEADDADMLRCMTAVAATKHLPMVNPNMTLEEMVARRESQAASGVYKVFKINNLRTGAFIGYCGFYRMDIGNFAYDCGLSILEEFHRSGYATEALFLVLDHGFIQLGFNRTTFVTNSKNVGMRGWLENVCGATLEGTFREAWRDEKVDGWVDCVMYSILRSEWEGGMRDRLLTKVNKYLVAN
MNFWKTSLITACAFLGIGSTVLYTSCEKDACLDLKCKNGGSCTDGICRCPSGYEGSECQTAVATKFIGYYYGDTKCGLTPPYYDSAHVYLEKSPNMIGVVRFNNLADTFILKLNADGESASGTTGDQPYVYATIDNGKKLTLKVTENVNGTARDCNFTGNKGQ
MELFNKLRTGYFHLLRGNYRIEKRDKIILIALFIALVLISIFAKGFYSLIPLVIFISTIIIEAAMAVTLGKKLNFSITADGNGEKGQPFVFNIAVKNESVLPAGVLSIEIYIENLINGENLIVEQKIIVPPKKTKIVSCPIKSIYAGCFKAEVRKCRVSGLLDMIRRNSSLNFEGSLNYNVLPHITEMKLSQDTIAGYDMESFKYSQNKKGNDPGEIFGIREYVNGDSVKSIHWKLSGKMDKLVIREMGLPIENNILILLDKKIKSSEQAGNSVNHAANIDRLTDLFFSLSLAIAKQGIKHDIGWYDCKNKSFVIKNVDNSEAVWDAGIETLRTPHCSNMLSTVFEFLQSGCEKNYSNYLIVGDSFEDTERLMEYGTVTEFAS
MGVSRDVLDDAISLSQGASVGDNIFHMPIPPHSLPIPAPFISAPVHSFPFQHVPLQQIPMHQLPIPIQPQHQIHPFHFVHQQHNPFQQQQQHQNQNQQQSPKKHARKRRQTKQQQQQDEDQAERRRLLAAYNSYTNPNPPIVAPMYPAIGSFSSSTTYPIFPRRPSYSNSTPPPPAIPPPNVPKPPVETSVEPETIDEVKNVETDATKKDDAVKKEDETKQDGLSLLEKLRASLRASKLTVKESQPTTLRRAQMHRERQLDVENRRDEVMEDGEITIKTGGQSGSEKNRSGSGSDMDISDDEKALKVGAEVEKKNESDSSMDTDSSSEDESGSASDSSDSSDDSEEDPAAKAKNWRERIFATQKTQLNGSSASLDASAVVLETPSIELSPQPTPAVSVAPSTVSETSPPPVSAPSAVVVLAPATTAVPKPSARPRPSASDLNLRPPPMQQHRPTGPSKKFIPHKSYHNFVIEVSDSSSDDEPAPPPGPTRQQLLEEKIREMKERIAEKEREKREKMGKESVSETGTPVVVHSSIPSFNLPAVESKPVFPQHLPLLLQKRQHPQHHQPAQLHTSILKSCQKPHNHHNPSFPLQNYPRNQYLHKGNFSNPPSPSAKRP
MDMFSLDMIISDPAAEASRAGKKQLRGVQNPCPSARARPRHKSLNIKDKISEWEGKKEVPTPAPSRRADGQEDYLPSSTVERRSSDGVRTQVTEAKNGMRPGTESTEKERNKGAVNVGGQDPEPGQDLSQPEREVDPSWGRGREPRLGKLRFQNDPLSVLKQVKKLEQALKDGSAGLDPQLPGTCYSPHCPPDKAEAGSTLPENLGGGSGSEVSQRVHPSDLEGREPTPELVEDRKGSCRRPWDRSLENVYRGSEGSPTKPFINPLPKPRRTFKHAGEGDKDGKPGIGFRKEKRNLPPLPSLPPPPLPSSPPPSSVNRRLWTGRQKSSADHRKSYEFEDLLQSSSESSRVDWYAQTKLGLTRTLSEENVYEDILDPPMKENPYEDIELHGRCLGKKCVLNFPASPTSSIPDTLTKQSLSKPAFFRQNSERRNFKLLDTRKLSRDGTGSPSKISPPSTPSSPDDIFFNLGDPQNGRKKRKIPKLVLRINAIYEVRRGKKRVKRLSQSMESNSGKVTDENSESDSDTEEKLKAHSQRLVNVKSRLKQAPRYPSLARELIEYQERQLFEYFVVVSLHKKQAGAAYVPELTQQFPLKLERSFKFMREAEDQLKAIPQFCFPDAKDWVPVQQFTSETFSFVLTGEDGSRRFGYCRRLLPGGKGKRLPEVYCIVSRLGCFSLFSRILDEVEKRRGISPALVQPLMRSVMEAPFPALGKTILVKNFLPGSGTEVIELCRPLDSRLEHVDFESLFSSLSVRHLVCVFASLLLERRVIFIADKLSILSKCCHAMVALIYPFAWQHTYIPVLPPAMVDIVCSPTPFLIGLLSSSLPLLRELPLEEVLVVDLVNSRFLRQMDDEDSILPRKLQVALEHILEQRNELACEQDEGPLDGRHGPESSPLNEVVSEAFVRFFVEIVGHYSLFLTSGEREERTLQREAFRKAVSSKSLRHFLEVFMETQMFRGFIQERELRRQDAKGLFEVRAQEYLETLPSGEHSGVNKFLKGLGNKMKFLHKK
MAFGFTHLPACIDAVAYKGAADYMNCMEKFMLKRFEEEAVEFYQNTIFELEKLGISIEPMTLSHLAFRTQSTLDYLRMREYLESHAIANVENYWNGRPISKILLKEPLRLSYKTKVQLIELIPPPHQRVYPLGFEHLGIVLGEELESFANKHQSVMTGRQFQSDVCRPYYIRFDSYHHVKFYQYSLQHVCELEGKSFEHFERVEASVYRWHSSPYPNTHGYSSLERYLD
MPTFTASTTSTQLPLLGVTLAELAARLLEDRPRTPEYMRAEYLDQVMSPWNFDFSSNIALPSRALCDLVADTPIEPDGDIIQAIIHAGVQAVDDPDVIAGVDLNEVCVYGPFSVAGLEEPILLAMYSQPLPVSTLPEDVSRAAASAASAFQPSEIEIRSARQAIWDAGRDQNCKLVHLCPICEKTYTRKSSLKDHLRTHANKCEYVCDGPNGCGARRNTKHYLLSHQKHCHHGAHVVTSQEHEH
MPGFAGRVYGALTPGQVVSLRQTSVFFGDVGERLCYY
MRRNLFKHILWILILAECFPLLAIAGSQQKEQRYKIAVCDWMILKRQKIGSFQLVHELNGDGVELDMGGLGKREMFDNKLREPHFQQLFRETAQKYQLEISSIAMSGFYGQSFLERDNYKDLVQDCLYAMKVMNVKVAFLPLGGIKAGWEKIPALRTEVVKRLKEVGDMAASEGVVIGIETQLDAKGDVKLLKEINYNRGADGHGLKLPTVNITIQNSIFSEALDTYNHAFGATIGGHNSMFCRNLFASNISRNSSVGMDGDFNFVNNVVFNWWNRSVDGGDHNSFYNMINNYFKPGPITPIGKPISYRILKPEAGRDKNRPLSFGKAYVNGNIIHGNAKVTKDNWDGGVQLKEEVDAAKFLPLIKSDEAFKMPPVTVMDTKKAYTFVLDNVGANFPKRDAVDARVIKTVQTGKAIYAKDAPEFVSPYVKRRLPADSYKQGIITDIRQVGGLPEYKGEAVVDSDGDGMPDAWETANGLNPNDPADANMDCNGDGYTNIEKYINGIDTRKKVDWTDLKNNYDTLSKRRSLL
MTEQQCRNQIQQILDRSCPELRIDVIGSHAQRYIQHCMGYIQYNFSIDIEEWEKVKWPEHIVRMRLLDTLRDLRDLVDDAIKGVE
MRIPNFFGIEDLKVAIVQNITTDEDYGLPVRNTNLSFYNADSLLFTFDEEFLHDEKISVLQDPMQMADINGDGLLDIKLIFRHWGSGRLNLFTRVYYLFQTPDHTFDAFYVQYLMLNGSNDAEYDIDCDGNFEIVTMSYDLDCLIFRTFNYLDGKIVNVDEKFDYPLVSGIDFNGSEIQNIKPVQEVDVKELKPVEFIKMN
MFSPIFSVTSDNLEIGRIQGRQMLTLLPQGGSVLYIQGPSETDACKLRTAGMYEVKPESIQIKTIKGNWTEASAYKAVTSWLRLSTSQQAQIDLIAAQNDAMAAGAKKAFQEFSLEGEGRGRWMNIPFIGVDGVPSTGQAWVKAKTLTATVIATAHRRHGSRDAGEECSHWN
MKKRIYLSLLAIGFACMAVTMILYSILVWHSLQGQAEEELKNTMTVLTAGIKQVDRPLAYLQETGHAEKGIIRITWIGPDGSVLYESDYDRAAMENHLERPEVQKALRTGSGSSVRDSHTLSKMLYYQARRLDDGSVLRLSLERDSLYAHFVSLLPLLCLLLLCMSLCCIVASRKLTASLLTPLRRTALIMEHIGSPDSIRGKVPPVYSELRPLVEKILEQSQTIENTIQTLEKQRNIIRLMLENLQEGVILTDISYKVLVINQCALSVLNLRRDLAVTGLSLPSLFTEPDWQAIRGNDISGVAQEQRLSKDDLLYQLTVQPVYKNKEVYGILFILDDITERERREQLRREFTSNVSHELKTPLTSIRGFSEILSAGMFKNKEDAQHFGSRIHEQAERLLAMIEEIIHLSRIEERRKVQECQPVYLEGIVQDVVGFMEPVLHEKQVTVHCALEKVPVWGETGRLREVVMNLIDNAVKYNRPGGHVYVTVRSEEHRVLLIVRDTGIGIPEDKQKRVFERFYRADASRSQAISGSGLGLAIVKHIVEQHQGKISLQSKEWEGTTITVSFPLYHTN
MSLCIPVITQASVVELVRGVAMPGYSAFSTILERVTSMSSECGSRWEPFLIEINKISEHQNGQRAWFREKIEASINFTNFRSSVIAPTNPKHYPRSYCHHACHH
MNFEEWASYKNGKSYLFITPEKHGFMEVDMPAIIFFCSSFLNSPASGRVCYKPGECFLSSLQPLSALCEFRNNIVTFGRKIYILKYD
MLLHHRILSPRLILPIVSFSAPPPRYLQSDHPLLLLLASERRNPASLLTRSHSLSTVAQLAVSVPLEVDAPILPQVADEKDGEPQVQIPLDKLFVPPGVDLAEAASTASGRVLRGSNIVLGPYARDAQVATAEFVKSSTKTEECPTDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGRRYAAAPHEARANWDEFTKNYFLNRESLVSVFLLIDASIPAKKIDLEYASWLGQNQV
GMYGDTLNRRLHWDVRERYRDGAGGDKAGSALYLDYRGTYGEMTGNYNYSSHQQLSGAGLKGQLLVTGDGVTSGQPQDDTLALVEAPGVSGVPVGGWPGVRTDFRGYTTLGYLRAYQKNDISIDPSSIPDDAALSQTAVSVVPTKGAVVRARFSTSVGKRVLLTLTREDGKPVPFGSVATVEGSENSTGITGDGGVVYLTGVPEDGKVTVRWGRGQSLHCSAGIQLPEKPGPAGLYVSQAQCR
MAQRTLKFDALSFAVFASIASLLTSNSALMLTTLIVMGSATSLSASASKVPPVMDGPDSTITS
MNPQLTQLSGLRFQIDYPDFSFENQYLDEKTIVWKSINGPSMTGKDAGEEVTEQATVINLAVNQFLVSWVEESGLGVTQHLNFSSGQIHSLIRDDKNIIAETGKLTILN
MGTRIQTSTSVYKVAGNLSLTIDVHVPEGYNAESGTALIHFHGGYLVIGEKTTFPPNWLINACHRRGWAYATPSYRLMPEANGLEILQDAVDATNWIRDNFARSNRLIVAGSSAGGYLALATAAHPQSPPVTAVLPIYGMLDPAGPRYVKPGQPLASPVDNLESVIEQIYAVRANGTSIDGYAFPQDIATDRRYIWIKALHEAAIYPDVLTDIRGLAQQINERGADAIPEEFRQLFPASFGLHRDFPPTALLHGDSDVAVDVELSRDVAKKLESLGVKVLLEIVKGRGHGFDVIEAPANIDIITNSTGELNGSLSRVFKFLEEAVG
MNRQWKSGKILRALKAYTKILCFDYNTGKFVKKFPAIYAAVEELKVPQGSIIRVLSGKRKSTHGYYFEYETVKEE
MEPRQDFQTLFFCIVYTKPTHFSFFTASYNYVTGQECFQRTRGFLKKTNKLKGKNRGSPKLSSTGGWGGKMGSDSVLYISCQEGNPKDDISFIFPLERPAFAFSSSFCKMASPSLNPMSSFIHIDKDNHTHHVDRTDHRFAVNL
MQKRNATFLSVCLAACFTTASAAGAVVYSENFDDAAFFDSVFIPAGEVYAHNDRIGWANTGIGYIKDAAGWTFANGAYLAMQEGTADHALWLNEIGPGATKTIDGLTVGQQYEISFLQWGDDFTNSSFTGNLDVDGTTILSYDYTVKAFGTADPVTRTAHFTATRTTATLIFGASSGGASPIIDGLRVSSVPLPPALPLFAAAMVGLRRRNKKAQAKA
MAEAGDRPKSAELAVALDEGTRRALERQAQRADCSAEDLAAALIALMLHQFALVAPDARRAARRARQ
MKNMYNKGPFRSYEWGKIPPYLKKYGNKKWRRTEKATIEDQLNETIKFFKSRKKINLG
MLWAAAFLLLGFAEEFAYRGYSQAALTDGMGFWPAAVLLSAIFGAVLYFFKPMENWMDGLSVELFGLFWCFTL
MELSFFNSKKKSNVFLKFSKVADIEKGGFTNLEINDLEFKESKIIVKRSTNLVSDVLYFTRDNQYETKSSNSFSFFGNDSIIVEPYISDGQYSNSICEMKFDLIELVTFKDGFYTYDLKQKIVVETSSRKYIYKVKKIYLITNMEVAYLIYPNGMGRIYKK
MNVLVTGSNRGLGLAVTTSHMGSIGDIDMPGSLYYRSSKAALNATMQGLTAELEPAGIGVLLLHPGGGTTRMGPREGLTPADSVHGLRRQVAAFDLAQTGRFVRFVRFVRFDGTAMLW
MTDGEVRRRARWWRACAAAAVVISGAAACGSAPADQPAPGAGGPSGSTGAATVEARQVDACALLSTDEVTQVIGATGDRAPRAGDSGDGGSSCVWENPDTYHSITIDIGRPGTAVGGTLPAESAYGQTEPGPDGIRFASGNIAEFAVRDRACEIQVVTKVTDDTDQSTAIRLIGLVRDRA
MEEEEAPILRLEILQGPGEGKTLDFKPGSAVRIGRVVKGNTLPIKDPGISSKHLSILNESGEWILRDLDSSNGTVLDTSHIPPNTPFALHHDSTIKIGEFTSIHVIFLPSQQQQQQHALPPRRNPTRRGRTDPAPALVPALSQPVKPRGRRRGLGVKDGDKSQVQSVDVNEASVVDVESECVNPPARVTRKSKRGGSEAKGSSVDNLILAEKVEEKKDTRDPSLVVVSDSGDDNFDNPVVEEPKKARGTRNSKNTRGVTAKVENVEKKTKMGVVGKRELDKEGEDGNGGKEACDGKEKGNSNEDDRNWPDLNKMTLGEWLDFLEVHLPKQIRDETEEMFDSMTQTAEGLREYIAKMQQNAKDKMTLER
MRSGFTRPTTGPWSYFKDWRRTDFRDEDDGNSALARAPPGAKSIVTQTNNAMLSRLHYRHWLHFNSSSPVALSSRRDWKALNYV
MKTTILWSLLAGCCFNSHAAINWQQASLTYLYGTEYRIGDPKRHVLTLEHAANTSWGDSFLFIDHSVSSRKDHLSYGEWSPRFSLGKNTSWQLAGGLLKDVLLASTIEQGERFTNFLYGVGLDLAIPGMKFTQLNLYRRQNDIGANNYQATLAWALPLQFGAQAVLYDGFIDYSSAHGEKATSVNFTSQLKFPIQHWLGVQDQVYLGTEISLWRNKYHIPNSAAVKSNEVNVNFLLKWLF
MTLFKKTNFLKGLALSAGLLGASVAQAADWSKTSVLLLDGSGYKSVPSNTEYDTQVLTLEHVDGWKYGSNFFFVDVTDPNSSDSSFYGEFSPSFSLGKMTGKDLSFGFVKDVSLTGTWELGDVTNAKLFGLGFDLDVPGVPVAKVNFYQRIGESDFYSGETSEAFQVTLVWMAPFELGPTKWVFEGYFDYATAENNVGKKENITSSPRLFIDAGALWGAPNQLYAGVEWSYWMNKYGSDIDESVPQAAIKWTF
MLVHLIPIVWRVLNNFWQIGFVVFICAIWPVAAFAKDDGLIQWHSTNVQLLRGSGYALGSSQREIITLQHAHGWTLGDNMVFYDATLSGQDYFEWHSRLSLKKIRKAPVKIGPVKDILLASTLEVPEKGSARYLFGVGADWTIPGFKFFATNFYLRDNPDLAGHTWATTFAWKKTFAVRGQPFLFDGFVDMAGSEGTRSGYQLAAPTLLADIGTHLGQADKLFLGIEYQHWNNKFGLKDVDERVAQLQLRWVLD
MGSRDRDRVPPPSVHKEWDLRFGKNDAKKGWEELCRCAPGNTRRAFEDIRSEPCPEPQRQRQHRLRGRLSTYRINGEPHPLWCYEVTGGGRVFYCVVEAKRLVWLIHAGPGHPKVTD
MYTFVLIARMQEYIASAIVLSRTPSSNSDSIFTLYTKELGKVRAKARSVRKITSKLAAHLTVSTLATVRLVGGNSGFQIVDALKEKTVQYPPPTLSLLAELLPEQDANTQLWSLLANTSPLSWKEVLTLIGWDPTHANCASCGKSNPRFFLVRQTCFLCTQCVRRHHIDPSNTYDAIHLQKTKVEVS
MAHKDIVTVRVTGCSTQRGGPYQYPVIDLNSSSGFPASEAKQELVKTALEQCAVKGEEEREEMIGADVANTLSRVLDHLASHQEFNEYIPVVLGCCISAMARNLPAKPVKTSLLPGVYALMRHCSDEGTASVQASLDANTRTHFQSLVEDFKKQKCFL
MSRVAKSPVNLPKGVEVKIDGQKVAVKGGKGSLEHEVHELVAVSLEDGVVSVKPHDDSQKGWALAGTTRALLNNMVTGVADGFERKLQLLGVGYRAQAQGKVLNLTLGFSHPVAYQLPEGITVETPSQTEIVIKGIDKQLVGQVAANVRAFRPPEPYKGKGVRYADEQVRRKEAKKK
PDTLIFSCQRPAGAASPSGEGAFTDISPPCQRSTKNAKKTENSAIKQQFHSLSEPKNQKKNEK
MSLPVTILSGYLGAGKTTLVNALLRQADGRRIAVMVNEFGDLPIDADLIEAEGDDLIALAGGCVCCSYGDDLMAALARIATLDPAPDHVVLEASGVALPGAIASSLTLVQGIKPSGVVVLADAQQIDEQLANEYIGDTVVRQLRAADLVVVSKGDLVTSQRAAAVASLVTEHAGRAMLIQAAQGAVPIELILSPKAPMPARSDQAHGDATGLTRRTDRPAGRIDARAYARDLAERTEVIRAKGHVDTDAGLCTVQIVGSQWAVTPAPSGADVGVVVITLSP
MSFNAQRFYPQIFLLTGFLGSGKTSLILDHIRDPGTANTGVIVNEVGQIDVDGALITTGRTGFPVATLDNGCVCCSLNSDLPNAILELLAEREAAGGQPFERIIIETSGVSKPSPILRSLLNTGMPLRTTVLSTYDCEQGPDMTARFEEAVAQLAAAQTIVVSKVDRADDLASSRASQAAAYLNPLAAIVNERDRTQRARLAFAGIQMPLRSSFSIVARQEPNNSKHTRMNVFLIKFEEPKWDQLSEWIENLVGYCGDRLLRTKGFVRTEGRRVLIQGVGGSFDPPREIADGLEREDGLVIITRDLAREDFSTIEPPLKLQVHGL
MGKKANVIILAGFLGSGKTTLLKQLLAYEKEHNHKTAVLMNEIGKVSIDSDSVPEDVPLKELLNGCICCSLSDQLEKQLWGLCKENELDTLIIEATGVAHPIDVLDACLSPYLIDDLQISGIISIMDAPRWLNRESMNVQARMLMLEQVKHADYIVWNKTSGLTEKEKQLLMNDSTRLNEGIPSVLTDFSKVEISQLFSLTVKKRSEHQSVSADKLKVKTFVYSFKGPVNKELFENWLRHVPSSIYRIKGYIQFKNQIHLFQYSYGLPTYEKELMKMPLRLVFIGEDLNPDQLTRGLNEVESESN
MLKHIPTHLVTGPLGAGKTSLIRHLLTQRPQQERWAVLVNEFGQIGLDAALLHTADAGITLTELPGGCLCCVNGAPLQVALVQLLRKAKPQRLFIEPSGLGHPATLLKQLTQAPWQEVLAVQPAVMVVDAQRLANGECLPEAQREALPKVGLLILNKSESLSDRKRQELLASLPNIQWEWTQQGRLPFNRLPGSAYLAGQLALETSPIPTPSQTTMPALLLPGKPLRQIHEADGRFAVGWRFHHSWQFDRQAFQKWLDRLPALLRAKAILHSDEQWWSFNQADTQGKWRVSEWRKDSRVELIFDAAVDAAALESDLTNCTQ
MAFKKINAWFHLWLGLASGIIVFILSITGCVLVFKQEIKSVSSPWLHAKKATEGDEFLPPSVLYKSVQKTLPGKEVESIWYYGENRTAQLTIHESDSIVYVNPYTANVVAMVDHEDLFHFFEDGHYYFWLPEKIGHQVVGWGTFIFFLLLISGLILWWPKKWTKKTREQSFKIKWQAKFKRVNYDLHNVLGFYSIIIAIIMAFTALMMSFTWFNKGVYWMAGGENKPRIQALSDTTSNPQSNMLTQVDKAWRKGINEIAEHERQDILMHFPEKASDPIYVCTDMYRGTWRDVYLDQHTLAELPASNKRLRDEDLASWIRRSNYGLHVGAFAGLTTKIIYFFASLICASLPVTGFYVWWGKRKKATKKNKLVPILS
MANTRKTILTLHRWLGLGSGLVVFIVSITGCLYAFQEEIFDAVHQDVLYVAQPQQQALPMSVLYEKAQEALGPEYPITAAITHKDPVKTWSFEALKEDHEALTYFGQHVYFQTAYLNPYTGEVAGVMDSKYEFFELVKYLHWSLWLSTEVGQPIVGVSTLIFLVMLISGLVLWWPGSKSKKAFKQRFTVKWGAKFKRLNYDLHNVPGFYISMISAIVALTGLVMAFRWVLALVYFMASGGETAETPPVKLEAPVVQTASTPLDEVFTSSRSLYPEAATIGLFGAAQDSLVLAYVKVQDDVYYESHNLLYERATGKPLTAQHHEDRNAGEKLLYMNYDIHVGAILGMPGKILAFLASLVCSSLPVTGFLIWYGKRGKQKKHPRVAAPARVSA
MILQKNKICYSLEKNENISEDCVTEIKICKNNTFFKMLLALIDARNNNKVKNINFNYEDILELLTPKRIIENLKQTRKEINYLYNKYEELDDKKS
MDFDWAVVRTVVRQVRTPDPLWWSRLASFPGPSLLLKGGPSSHVSQDRIDEMAMVLPNARVALVDVGHRIHSEHPDSFAEVVVPFLSA
MKTRTIEFCRNIVTKFILSIKRFPEAILMAAAVSVAIFLVHMKPYYDRETEEMILRTVMVLALGVPVFLSIKVFFERVPALKKGIRSAIYIAASVGLVLYYKGIYLIFPGAYTSPLSDDVHIHGKKGHCLWGNREQVLCYTCWALGDRCDALFYLCEKA
MVTQLLGVVQLVLCGVVAGVFVSVALSAVPAFSALPPDRYVEVHRLLGRGYHPAMPVIVNVATGLDLVLALLSTSAARSALFVTGLVLLIGTQCVSHLRNVPINRTLAALDVTRLPDNWEDPRTRWRGWHYLRTALALCAVTVNAVALVAPV
MALLSSAPAWPTEPGFVSRALDVAAHLADEAGDVLSATAGRATRPGGSNSPFDGGSNSPFDGGSNSPFDGGTDSPFDWVRDTHAVLDRHTRRVLAAEFPGIPVLGPDSRPDDPGATPYRWLVTPLDGEANYVAGLPWYAYSLALMDPSGPVVGVVTAPGGGTTYAAARGRGVRTQEGPVRPHRCRDTEGAIVCVEPDPECPWPGLATFVDRAGAARASARVLGSAALSVTQVALGRAAAAILPRYREAEVAGALALAVEAAAVVVEDAIGLPDGALLLCAPGVVGEVLSWLRD
MMALVWLIDIVVIGVKILGGRIKVNPVLRFGLWVAVSCAVGAGIALLGALVVLLQHYLENLPE
MNPEGSPLNESRENFQLLKQKCESSLNFAFRQKMEELSHEDLVNADSPLLKPFTKMGQLEDVLKPIIEKLNSARNAEDIDEIYKLIERIDEI
MFYATHEKDESLQSMLDETHKKDESLQSMLDETHKKDESLLDETHEKDESLQSMLDEAWRT
MHSDLAGRLALVIGGTGDIGRAAAAQLAQRGARVVLTYANDEVAAKEAVAELAPHGQADLIRSDATDPAAVARLFEDVVTRHGRPSIVVHTPGQVRKKWLTRIDDADFDWLVAVNLRSVFLTLRAAAEHVLDGGRIIVVSTTLAAKMPGPFAVYAATKAAGDVLVKSLSQELGPRRITVNSVAPGPVDNDFFRGEETPESIVEAAGFSPQGRLGTAVDVAAVIGFLAGDTAQWVSGQIIRANGGMY
MKGETTMQVAVEGPDPEPVSLVRRALDLIGQPAGSGDMTGQVDAACLAVAVNAALGAPRSAADIDRSRFEAHAAELVSRGSGRLILVSDIGPGSRRGGGFHAEAAELLGWWEQLVVDAARHGVSACHIQVGYSPEAGHALTGIQAQHVLRYQPLRRGTRAEDLASAFDLLLAPAGGYLVGETLRVDGGAHLGCFPAIRGDGSPGPSRAEVPVTGSPGLLQGQVALVTGASSGIGRAISLELAARGADVVLAARRVDKLDEVAHEIVSGGSQAWTLETDLSDPAAAASLVDRAWAAAGGPVHRLACSAGQLGLAEPGGEGVRRTTMQVNFFSSATVTENMVTRWVDRGLPGSAVAVSSVSSTLAPVALLENYGPSKAALSQHHRALAVSVGRCGIRTNTVCPGIIQTDMGDVAEEMHRRGWLSRIPLGRVGTPAEVAAVVGYLLASGSEMVTGTAPRIDGGFGLGWVAGLQDVRALCAGETS
MTRSLHGSVVLVTAGTSGLGLCVAEKLIQAGASVVINYANNEERGHDAFVHLNELASKCFSPDTGPRCLKIKADVTNKAGIQELVNQTVLSMGRIDAVVSNAGWTRFANFHDIDDNVDEEVWDRCYAANVKSHMFLCHAVKKYLEEAKGAFVMTTSVAGVKPSGSSIAYSVTKAAQIHLAKTLATVMAPSIRVNSVSPSFMETNWIANMPQSKIDDAREKTLLKQIAKVEDVAEQIILLIKSESVTGSNVVIDAGFSI
MLRLIFIVRVLWWIIMFGLAMEKLRVLLVIVTIIILLLVKIVEILGYKKWLWMLLGWTMRVKTNMLINIRVTLCKLEKVFPCGFLDVMEHLSIHLVDEALLGGPVQCRWMYPWERKIGKCKRSVKQNNRFEGSICKAYLVAETSHFCSYYFEKHVPCLRHRTIRHSEGKNDPLAPPLSIFNQPGKGSKTTMRRFLTDMERKSATTHVLLNCPKVQSYYNLFLSLYGYDAVYSHFSEWFKSSVNDPNNNMTNQFFKDIAWGARPIG
MADVFDKPDFRRASQERLTSIGGAGVSSGGGGATTSVKGIAAASAASGSATVTGASSGSVFGFFLKKLNIGM
MSGLSNYGFEVQINGEHLCNAGIDTDRHVVTCILTSLRRLNESDGLDLTVSGLNSVTDENLKWVDKELKEGDTITIKVITTDFEPARQIKQKPSKEKMR
MKPIDLKMNAAIDSQRLNISFDGIQKAIAQPRCLKFVEQPTVP
MRVLSNLAVKKLCFCSLLFPSAGRKWNIAGTNVRSEPLSFVKSAGGAPGTRALVPGALIIKKIIRSGFIQL
MKRRVPSEKGGFAPNSGSALPPSRAGRGLIVNSRVTDWGWGNASSVWAKTPFFGGELMQTAVPAGAEAILRLFCCDKTLRSSSLRSGCWNWCMFCDAF
MRPTNYLLLEREHNSIKGQYAIDPSISIPQSLLPLLPFGTNESDRSNVMLKSTYNSIDVDIALLNPRHPMGKPTTLSLDSTHGSVKLQLRTTPADPLRRAPFNVTITATHGSATIWLPADFQGLVSIYTRHGSVKISNKFLEHVTLQHEVEHTRRLFVGDLTALSEEDSNFRDEVHVSAEHGVVRVRFLDEEDQHKKGFFVRMFGR
MLAVQELFLISLDLYHVFRTFLVKFLYVCLSEEPCAVVFNAELDFRWSYTNTTCGQIIALFDPTFTTISLTIAACIDFVTLLKIRKYNKVTIRSYLIIYLQ
MDECAVMDEYAVMDEYAVMDEYAVMDEYAVMDEYAVMDEYAVKEAARSSSGRQID
MKSEHFTDETLQEYLLKEIQDDNITSHLTVCSSCWKKIEEYQYLIDNVREIKAETFSFDVTTVVMEKIKNAETLKEKNKNTVLYMILSSVTLIALYLLYPYIKIIFTQFKLFSTMANVFMLVSVLGIVIFLLNDLFRQYKQKEILLTQ
MLFLRALPVSQRNMRFWPTTPTSFRVTMDEYPFQMHKVCMTLFGLMGANLGVDPEKLCSIYQDGVQGIGMNYYPPWQQADKVIGLTPQVTCLIAPHSDGGLTLLVQVSKVEGLQIKKNNRCVLT
MVGEEMSRELGGSIPVANVQALASSNSDMRKLMVDDDEIVGKSWVAEEVIEKMKAVVQEFFKLPLEEKNAYARLPNSVEGYGQSYIFGQGRKLDWGDIFMLRSLPASERNMRFWPENPSSLRATLNKYSLELQKVSSCLVKLMARNLGNNPKQLTDMFENGGQTVRMNYYPACVNGSNAMGITPHSDATGLTLLLQVNEVQGL
MGASVIDHESIPVLDMQNLLSLQETMESYSSEMKLICMVLFRMMEKAPQVVDIKGIRELFEDGLESMRMNYYPPCPLGLAILLQLSEVDELQIRKEEVSVKPLPDSFIVNVGNVSQTMEFNGPSITGQY
MIFFRMVQIFRVLVKESILHVGNLKSVSSERSCQDQVELNNPTQYPAFKMFKEAYFEFKPIGHGCLCFR
MHKGKSGFTSLYILMILSSLLFLMLAVIEGASGYALRSHAESACTLAGESVLSEFNKELWERYGIFALSAHNERLTRLATYYISENMDAGGQALLKIERLDCSADTQNYPALDAERFAQQVRHTASHLIAGEVLNGMTNLLPFEHLSDTLLWFDEIKAGMDGEIRRAEEALEPPGSTEPDADGGSASSGENQQDRRKVRTLLKRYRESQSPGEESAQWGKSIEPGLASRVLPSSLLEIPSRMTALSRIAAPKAEDAAEVEYIVRMCSHATNIASHSALDLEVEYILFGSAGDSENATLMRSNLFALRSALNLSHIYGDSVKKSEVAAMAAVVSAFIPMPLAEFLIACIWSGLEAACDVDALFSGQTVPFVKGHGDWRTGLDGSTLHWDSQPADDSAAGKFGKYPDYLRVFLWMQPSTSRRVRLMDVMQLNVASVSGETFRFVDYAYGFDLQAEFEKKIRLPGYALSGLRKGTVKQTYAYR
MTQRVYEVNHVGGNLQYAMDNARRVSELVKRHKLEMMSYWRFIKFEYNVFEEEIAKAFAEEIGFFFEALPGVGDPKVSDQRRFNNQFYIAESDRGRSLPSPEMAGQTCRLMMDQVAIDSAGDVFLCCAMPNVPALKIGAYLEMPEHEVLRARLQHNFCRSCTNPRRVATEEDRERIREAGLELSVGSSGLPMFPR
MVDTTGKLVREVKVGREPEWLVGYFAQLDIRVERIGLEAGPLSRWLHTALVAAGHDMVLLENRQVKAAFSVMTVKTDGRGA
MAAASAKPQGEMTVDYYAGIDVSLECSSVCVVDGLGKIVREAKVPREPESLIAWFAALEVPLARIGLEAGPLSQWLFAGLQASGWQWSFSRCAACATPSRRCL
MADPPTPPHTPSGSSKGTSNVNQGNVDNRNIPNNGGVVTGNVNGFNTIVGTLNLTVDSAAELTNNIQTFTARVATAAERLKDVETRIRATAQLLGSIRDLVIARAAKEDINIDREFRVQSSDGTGTGSGIPSNEGTTGGNAAGGGTRGGIQPGQSPGGSANDPGPTVLERPCFRDLVNATKSAADRFERINTKIQEAFNTIEPMRRGRPKIELSDDDRTKFFLTERDIDDMERHIESHKLEVHIALTAFEATMDDKRSEAIQLVVLEMFKEIKRIGEYFFNRSRGPPETTRDPIGPRPHYRDPRFEPYHYQQPYQYNQYQQPYQYIRPFVFPDLSRLTSLYLGWTFTKGRPPYVHINTTSTQPSWRWAVCSQMALSTEELFLKVLAQTTRRSKLRRSIQDDCKDLLKTSVQRLLVDNLLREQNENLAMQHPALELKLAGLSCKTRRTGFFSHETKSIDIILKTEWAPGLPPHDSWPPGGGGGPPPPPPPGFDAYGPGRPLGGGGGGGGGGGWGGKGGGGSGPGHPPGGGDGGTFYQHGPSGTRPSSSKKHPKRVHHPPPHTAPSKSAPEIVSREPRMYVVRKKTKGKAAASDSESSRRVFIRDKNGNLTVHPEHHRPTSSHTDHIEGPSISAQFDHGPPLPPLLRPYESFYDSQVRMEYVPGISHQTRAHPPRPVAETVYSASSSSYSSDSEHREYYRMPPPPPATSMQVSVQGMTREQEKKVIEEIFAEWEDGVSIQPTRRPNTAHQDSRHKLDKEHESRMSRSSAVVPQIGPTRRMMMEVEHEAQKQEIIEEKIRERHRLGEPSYLHTREPRPRRTRSRSYERAPGRRRPGVKIGLDGGGDPYPAYGMDWQFADEERYRRPFAEPGYDVPGPSRRYGAPGPEVRAAGERQYMHIHGAEPRVYVPAPSERRREYGSVPYVEPSDLVVEDSMSERVRVMSPRRRERRRERSRVVLEPGPEPSSEERGRRRIERRSPGGSLYDSDEREMASTYSD
MRKLISIVVFTAVTLLSGCSSQAIYNDLQRNFQAQCYEKYIGSALQECIERYNTSYHTFKQRSEEVLGKDSDPSLTEFEKRRAEEAKDEAAGQKQGTI
MEKFGFIVHPLTAKDFSRKFPVAKNWSDGFVDGIMKS
MNLSVENLAQVFAVLKPDLSVQQVVNEPSLYADLDKNYAGFKDHVLIAVHDFTQDWPTWECHPAGDEIVVLLAGHCEFILKTDSGDQSLLLDQQGSYVVVPKGIWHTAKIATSAKVLFITPGENTQNAEQPESR
GRITFGVWGRRAASRLQVLTTIVLGLGGIIDTLALYWLLFAIFIQRGPIVPQEEELSDPPERLRTASAALLLLPLLTLLP
MRRPTTVSETAQLLLKEIGRETLLEYFSKRLQSGKDLISRPLLVMAFGQLAGTESKPILSQIASDIKESPTVRVAAIKGYGGIVKQLSSTADQSESTRFLIGLLNDDLSEVRSAAAIQLVELQSLDSISLLRDLNEDNKLDYLAREEVQKFLASVVSPFDGLLPPLRERSVVGRNNELQALFNAFKNSQTVNLVGIGGIGKTTLANQFVYQSNYDVKVWRSCRNSFDLAFLATDILKTLADTLGTTHKDLIKEHSGDPRFKIEEAETKIIQLLRDRSTLIVLDDYETLRETDAVDDFIRRLVTHLPQLNCLIVGRSASKALSESVIRLQGLSYEASIDLLRETSLNKQIELDAENEQKLIRLAEGNPLFLNWFASQISLGLPIDENAISQDAISTIIGKSLNTLDLSDRRALEIFSQFDEPIVYDDPEVNEILVQEQIGSLSHALQQLNRMSFVDITSEKKLTIHSLIREYIRHQTDRRLTLRINSALAKYYQKRKNYLQAATHFVRAEMSTEAVFLISEHSTDIVNQGHVSTALGFLQSEIIQNELDVKAKMLFLATIGDFSLFAGRYEHAFKAYEQASNISQDISDNSSYLSLINKMGQVRVQLGEYAEAKRYFSEALQIAQREDNFTTYVSLVINLGNLYTNLGDFEQAEVNYQEGLRVSRQLGNARQVSMLLMSLGSIKEIRGEFSNAEAILRESLELAKQIDDKQIIIKILGTLGNLAVKSGKVIVASEYLQEGLLLSKILSDKVNTADLLLKLGDLSLVSNDFISAMNLTSEALSVAKEIGYPALVIVSLNQLGTIKLQEKKPFEARKIFQEAVRLAEELEHQEMMAESYFGLSRASVDLRLYPEALEQARKSLKIFESLGHYRSQDVREWIKRIPTTSGK
MAKQKLIQLFLIDGTADGPVKASILGWLGRVYSIPVQELRRPDINSRPDLNTHAIYFLVGTDNETDEPLIYVGQAGPRKSAPSLARVFEHLKNDERNEKVDPEEMEEIRSLEERAWFNRAIYLCTSDNSWGPTELNYLENAFYKLVKQVGTFQIGNKSEPPAGNVVEEHIPVLDDYIQNTRLILKSLGIDAFETPLGDASASNTSKSTITNDVRDTDPIFEIGSPNTFHGEARQNADKFVVLKGAVLSETIVPSAPRSVARNREIHAHAIQGNRLIKDIPFSSPTAAAAFLSGNSVSGPKAWKVKGRNISLAEWVDTDSPRPAEESANLAQVTEEVAEVVAATVTPVEEESHAVAVQPDQT
MQPPLQAFFHRESGFLLLHQGIFNPRHIQHQDHGIKRTPASYQVIRVWKNFYKLKRK
MDVQRWRGQLRLVAACVAVAASVSYGIHLWFDKGRQAALKYLLDMHQRLRRELDELRGQVDQMGTIPSQGAFDGTSGQASRNVNNTRPGPTKIIRGSCCEDDGTTQADGVKSDDEEFFEFSEV
MPEFPGYTGEAKEAIPVIKVKAVTHRTQPIWRTTVGPGEEHVNMAGIPTEASILDMVERAMPGKLLNVFAHSAGGGKLLAVLQFKKSSPADEGRQRQAALLAFSAFPELKHVILVDEDVDIFDSDDVLWAMQPATRVMSIP
KTRHNVLWECPDQVCAKIPLKNLEEVMLESIEKNTQRGNPALEAARATPPPQQNFSTIKTPLAGKVIAGDVNLAQQPKEKKSTLQRV
SFEEALTLLQNSVHPINAANLNMVLNVCKRANQRDAALRLFNDLCARNNHAEQAFQPDIKSYRKVLNLCAKLKPSLPEKALEIIDCIETDDQFRSRELDIHCVTLAISAC
MAFWGRGGGVSFGLIRIKDLIGLGARLKEKGTLGKLKKPCCSDLSVVYQIGRIWEMSHQSRGISQFINLTLFSKFVSWESHRDGSISMHNLDFRQICIECELQIRSWNMLCHIMSSC
MLAVIALGGNAFAMEGARVGDPREQIERVKLAAQDVADLIMLGLDVVVTHGNGPQVGLLAERLSDHLSLDMMGAATEGWMGYLLANALDNEFRQRGINRQPIVIITRTLIHENDPSFQNPTKYIGPIYDKPTSERLSRERNWVFKEDPRGGFRRVVPSPEPLSIIELNAIKLLLNNGYIPICVGGGGVPIVIKDNELEGVEAVIDKDIASQLLATLLKADMLIILTDVDYVYINYKKQMLSHC
MAKIVVALGGNALGKSPQEQLELVKNTAKSLVGLITKGHEIVISHGNGPQVGSINLGLNYAAEHDQGPAFPFAECGAMSQAYIGYQLQESLQNELHSMGIDKQVVTLVTQVEVDEGDPAFNSPSKPIGLFYTKEEANRIQQEKGYQFVEDAGRGYRRVVPSPQPISIIELESIKTLVENDTLVIAAGGGGIPVIREQHDSFKGIDAVIDKDKTSALLGADIHCDQLIILTAIDYVYINYHTDQQQALKTTNIDTLKTYIEEEQFAKGSMLPKIESAISFIENNPNGSVLITSLNQLDAALEGKIGTLITK
MTPSGDDMLVGILLIGNVSGTFKQTLGQLITTEQLTTDISQTYLKYALKDEFSDALLSLYEAFQTGADTQKITEQILKNGHTSGTDTIAGVALAIKEEFSMGKRVVIALGGNAILQPNQEATFENQLKNVEDSCAKIAEITEAGHKVIVTHGNGPQVGNILRQNEEAKAYVPALPIDACSAESQGFIGYMMEQSLKNELARKKLPTNVITLLTQTEVSASDPAFQSPTKPIGVFYTREEAVELAAAKGWEMAEDAGRGYRRVVPSPQPQKIHGVEAIKQLVATDTVVISTGGGGIPVVQNEEGDLKGVEAVIDKDRSALRLSEQVEADVFMILTDVTNVYLHFGEPNQQKLEGVPVKEAKEYMTEGHFADGSMGPKMEAAIAFAESGKEAIICSLDAAVEALAGRAGTRILPEKSTVNA
MSEMPEDKNTAPVKTQAQKVKSALLGGAGAIGLVGAFCSVATFPFWQESVVASFQGAQIDRVQKLATEAGAQAMVLCASNDVAAQTYDVLSNLYENRVIMRFAPYSASGLADTLAEMTEKNIAVRAPTNQSALAWRTHAVFVKGGNGVDDTLVVGPLTVINDLAVEFMDQVLAQSETMQPGAALVLDSEYVQGEHVERIVPMAAGAAAYVPPAANGNPFTAAVPLNLPKAGCRPF
MENRGPDEKYTVRGVILRCTIGLLIGIGFILPVILSPLRFKPSEIATALVSFLLFTFGWFSGLPAFIKRDIGPDALSRRMLKGYIAVMCTGAILIILVGILFHCLDTSLIALSAYAILCIIANFVLPRFYKGSSSGKRLSRAPSENA
MIDGFENAGFNKKSATETAENSTIYQVPTKHGNVNVRAMEGNSKNTRRSVFTDGKIIKAAVKLVVESLKVLIQNYREL
MHHRIRLATAILGCAVSATALADFRAEFATVQGAGDTALTRIEVGAGHMRMDMGRNILLVDAASGRILVLMPNKQQYMDMGKMAQSLNAMLANVPPQMREMMKQRMAAHGGGVSVRYAPTGQTATIDGYPCAIYQVTIGTTHRSDACLADLSAAGIDAADQATVRKVFDDLRAMAQTASAGMVNSSINQLPAGTFPVQMTRYEDGKASAVTQIKNVSHAGVAAADFEVPAGYTEKQMPAMGMRH
MRVEYRELTARAGRLRDMLQRYADGTLDFELTCPITLLSRQLDVMDEYALILRRRANIEHISLGYQRIDMAARDTR
MVSRHSNINKYNGYTWEIEINNLHRLFIYGSDVESFVIEFKEWKLFSFKTHFSFVSNDNIFEVVSISFDRIYNFFLQGGKVLWLYQSMENKKIQAISEINSIIASDSCFCINKIREDGLYICSDSSDNENSKPNSYDIVLKFLENKCTFLNFSTPYFISKKDIDLINRSLTINSKPNVHVSEFKCIDYNVSMFFCDDNIEETGIEFVGVIKSDFLILDMIYCDYDYSTKGLKKTIQYSKLIFNFVSYNELETKSNSLILGIDDFVGRWQDEDSFFSLSKINLPELNSSTLLMMAHSQDGRHVVGYATFKDRCMKIHYYQSTKDNITQKINNTTELQILSYSKGGFRYKIVGEETEFYAKKERE
MAAAPRELFAEHALRPCTLVLAYDYAVTGAGLPVRRHHVVVDIDGQAVHSVRWYVGLGDAVLEMTLTFDEPWFGAELWAVCDRVASDITSAEM
MLNNNTARIASLNARSFLKEAQSQQQSQFTRNLRSHALNIDILALQEVSQTHTSSLTNQDISHLHRLFPNSSSCFTKHCALICLSPSFTLSNTYVTIDQRCIISTICTSHGALCRIVVLYAFAERARRPEFFSSLLDLPCFIDNSEPCLIIGDFNTHLHTTLDPGLRPWGQSLLDHFHNCMYPELTDMLSPPHAAPTFHRGDTHTTVDYIFCSHDLRSRISNAQQWYMSRAWTDHEMLTMDLRVHDMDTGPGCWRFNPVLLDDEPQFDLLLQSTVNGYFDQLDEDLCEVDPQMCWDNLKAVLKETAIDYSFRQSLTIKQQLRTLQRRRHGILQQHGHRDPRLPPLEKEIDTIQERITKQLLLRSAIRWHESGERNNKYFFNVLKQRQAATTIHHLRDPQTRELFTTMGDIMQHARHFYMDIYSPTEIDQPAVDHLLSSIPSSVRVTEAQGNHLIRPFTLDELVGAIDHSPLGRSPGMDGLPFELFKHMQTWEPVLRLLLSVMNQALLQHSYPRSWMSTRMVLLYKKGDPQLLANWRPLSLINSDAKLFTKLLANRLQPIMHHLINPFQTGFLAKRLISDNGWVAQNLMHHVRRPCPSSSSVGVLLDQEKAYDRVHPDYLKQVMTRFGFPPPFIDSFLHLFFSTSISISINGWLSSPVSQLRGLRQGDPISPLFNIAFEPFIRTLISDPFLLGSPLTNPNTNKKWNQRIHFRTNLSSSHVPPFKVLAYADDLLVFLQSPTEWHILLKHLKTYNLASNSKINLNKTVVFPLSGEPNPSWQIMLATMNTSWHDRTSPNALT
MSETMRNTKIMTYDDRLAALATETGGSVPVAEIGRVVAGLMQSFESETQAIGQAQAIDTDTAQELADLLAYVRQTQEDIAAIQPAELTGEHIPHANDQLRSVVRATEEATGVFLDAAEELENLAEELGGGEAERLRSITTRIYEASNFQDITGQRIAKVVTTLVVIEERLSRLVRIAGEAARAAATAPAEPEPEDLLNGPQLPDVAATQDDIDRLFASL
MQLAQKCDSNFTRSKSFQDFLEKEYGMKLSSYPKVTPVRFGSSAAEFVALFEVLPKMIAYCKQFPEDSKLQELLESFRNYHIAFFLFFCFSLFLISVFEFDFS
MKDKNGVELQAPEGKFRLVQVDTFDGESWVYADYDTLSEAKYECVRKGDTMLKAYLYDDQGNCIDEAGSY
MKQVTPVPSVNGNSSTVGHAPRRDYVNVNYDGPRNPWPQWAWKPEKEQEIVSFMRQCKALRKIDPEADRRWHAESEHFASWRDAFERQNRWWDADWRLRDKMFARGPAPGEWRAPRWDKWLRVGMKLDEAMIGQYWLCRVKAELAGAELRAADLEASDRDAARNWLEQCQWFEKFLTECWSAECERGELDQGDGRRQPNHSDLLQWKRHRELERIAERLGPYPKITQE
MQTIENRMYVQIRTPHSPLMHPTIRLPEVSSAIVVPQKVRNAGKKVGSLNGLRAYNDHRSSESRDQSLSMSVASFSHKCGLYPYKLKD
MEDQAKVCNSTIPKVQWARRSRKQNNSKQLKKRLDLKNSKWSEELNGVLWAFQTTPHTATHETPFSLSYGIEAVIPPEIEVPSTRRGICPDNVEINEAMLLKHLDELEERRERAAVRIQNYQQTAVRYHD
ANVDSRLVANQVSGTFVAKETDMIRYLEKFRNNPFKDWCEKLCIYQHFASVKHPQTNGLVERANRSLGEGIKARLDAGNKNWMKELSHVLWAHRTIIKSSNGDTPFSLTYGTEAVIPAEMGMPTLRTAEVDLVQKNEALKINLDLLDEQIEQAVIREAKSKAKMERYYNSKVRSTSF
MILKGLIGLFVTGFTAYVFAAMLFIPDRSSKFYHR
MSIRALIILLLCGALIGMLCGCTTQEKEAIDTKEQVGNKVEMENYKVVKDMWGRDVKIKNNVERVALIDFTGTYIKVLKIWDMDDRVVAVDHSQKKNEFLRVICPRIENIVDVGSSKEINYETLASTKPDVVIIRAFVTNKEREDRYKDMIQKLDEMNIPVVILLHPTSFDKPDVKTMWEEIRILGQIFNKEKEADDLINYLNNYVKLISDRTKDIPEDKRPRVLLFATPDYMLGPGTIQSYFLEEIVHGKNVLKEGRWLKTSPEQVLKLNPDALIILGHAGYVSPEEVYEGKDTGFNWKLVQDINAIKNRKVGSLGITEWRATIEFPIGLLREAKTLYPERFTDIDPDKEEIKLYKELYKLDDNTLQEAIKAQRYRGNPH
MLRLVVEWMCASGVSGIDGSSRGGDGEGEKGSAEQEGTWGEETGRGVFWAEHEGGGKEEETEDN
RVGQPEKVAHLHPRQFGSLNHAGRTASSISMGPDPSVAFFELAMLRILSWVVRKSFYNTFMSKGIFFASLDKLGTSRDDLRFASTLGPGAALCAPHAPVIPPD
MRLTQAVLVIADISGYTDFIRKREIALIHAEQVIADLLTAVVEGSAHPLTLNKFEGDALLLHAEAETERELTAVALDVHQQAQRFFAAFHAQRQQLQRARSNCDCDACANISGLSLKVFVHLGEIAIRQWRQYTELAGEPVILIHRLLKNSVPSREYLLLSERFCQQCGLDVGNRLDVEVEGLETARVYWMPPPDLGLESRTAGFRIATGATSPAPASDSRPSLSGPGLPARLLLSLGVLMFGLVVPIFEINASHVYNPEWPGHARLHEVWQLATNCGLALTCLWLIWRSGQLRMAALLSGLVTGGFLLAYALSASYGGSMTLSDGSEKRLLGINLGVLAFTVAIAASLLALFLENRRARSTI
ETMPKQVVALFSGQKGLSPIPFLGCFYDKIQLT
MSNIALAKEELMIIQSVSNSKKTFVIRKGKAEGILVNQESLFTSDKFSLSARVIEVTRDYSLWQLSDLRATVPFQKGETVNYTNTIENLYTEIPLLRYDPKELAKEAREKNKIAELRPEKWLLRGNLSYTLAESVTDIAGDLESSRSGINLEAQYLWSLTPKMSIGAGLRYDQENTSITD
MPSNLPEYFVLLGNEEQCCTVPQADVLCDESVEVGDEVQFFWTRKYELLGEVCFFSADFDECDKVAKQLTNDLKERQRNRSSLSSTPPVRRSKIAFLKSLKSYRKAVNVIKPQFEDKKVRIAIYLFFQHILLYMHAELEKNGNKQARPSAKRSCQLYFTAPATPFGRGRACLACRRPTISVLRFTEVHHQIIM
MSGDACFATSLKVRLPSSRNPAAKRTRVAWKCSISRRDFIAGSGALALTLLTPNRPAEARGLILLPLKSPLENRYYFMRAGESTYDALGVIKSNPVEKLSVENGLTEKGHYQAVYAADKLQKNNIGPFGDREFWIWTGTEAKASETAEILMTRLNMRRENVVPEYSFLD
MTVVMYMYRHYSYIGLVHAQYHLERRRSIPALERRRAWDILRKTYTLEIAKLSGFSPIITTASLHNADKLKELGAKRGLDITAPGGYLVILLPGTVDPVKKASQLRHVILHLGATGPGLEESKRVWSTLTGLVEKGVIKPTRVQTLSGGLAGIVEGCDLLKNDKISATQLIVRPQETP
MLGCDMELCSARVVMRLGRPGDVPAIVRFYEDNRSHFAQWEPVRNPDFYTEEHWIERLDRQLCDFDNGMAYPTFLFARDRPDRVIGSVNFSNFIRGVKQSCTLGHSIAEEEEGKGLMREILPVAFDYVFGDLALHRIEANYLPHNVRSGKLLRHLGFVV
MADSMDLIERAAARLKKDKPQTLADMVATFAPKPEQPSAPSEDHVATGPEIVTLDARRMAAAGLLDWSDDGSAVIEELRVIKRRLVQKAFAAGADPRQRLILVASTKPKEGKTFVSTNLALSMSVEEDYGVLLIEADTKRRTLERNLGVDDRPGLIDLIADPRAKVSDLVLKTNIPKLSILPAGRTHEQTAELFASRRMRDVVDELSRLYRDRIIIFDGPPCLASSDPQTLSALVGQVLYVIEAGTTQQNEIEAGLGLLSCPDISLLLNKSEPSLTRGFGNYAFY
MYRISQLMSTPVASKCSSGSERRYTGELSPTCVFPSFACDFLDGDTSFKCCSVDLLTASHFACRRSPRLLSNGYYTWTEDSFLYDKDGNITLSPPQTSVLYKENLVRIFRKKKRVHRSFSNLFSLRTSKSWLHGNIFGDVDSSLSEDTWLEGVRRLDMEHCSETGGDSDCSLTDAWESEQPNVAPSSSHAMSQIPRQNSHDGALQSHVMASEHFPGNILDRAKASLWQDVSFPAILLAVFLIICACARWFLEGILVSVFTCSLVVILAYTVKPLFLGLASYVKTTTYAQFAKI
DPNGLSYMVAPSEDVYLLMLDTNKYGMLNMPTGSGIIRQETLYWIREATQIARDNNAQIVTVMHHNLIKHSERLYQGYVINNSEEVVPVFDELDLSIVLSGHMHAQSIKSTEQANNTIYDIVTSSMSIYPMNVGVLEYSKEVGFSYHLESLDVQSWAESNSTDPNLLNFNEYAKEMNFIQNYNRAVSSLIEEEYVIDESLVLSDEVIQRLKDANFNGVIRQIKNELLVLHGFKLESEIEVQSTDTRITSVEIMNQK
MANSNIANSNTVTVSSGEPAYTLFATVMAHHKHQEARQSPPNFPSTKTGTDPIADLSHSENVQQKAVDSSDCRQNSEK
KIVREMGYSYTDQVPEFAGISLGEKLLEPTALYTGVLNTLLESELGSAVHAMSHITGGGIAANIARVLPQGVALDVHRSTWAP
MGFFTKAANRIEKGLSRAFHSSDPQPKQTVKGRPVTLTLSDKQEAFGAIDIAEIKDALENEDFAQLQSLYFFMMRDIKIASSVLMRKQPLLSLPYRIETDNEEFAEFIKNHVDIDALLNSLTHAIYYGVSLVDVDYRVIEQKLAPSFRHISSRYLYADKEDGKLKPTIDHLYIKQGSDKLYLSNLQPERRIFHKHPIDIGEITDFSLASKLVWYFALKHITIAHNMQYFDAVATPPLVMKTDSDEEEAVEMLYTMKSQSVAAIDKEDSISYLTLTQGSKAEFLSFINYIDSQITTLILGNTLSTGDGKTGSYSQSKVHENRQKELKSFDARLIGQTITDYLNELEKMNYGTPKGVRFVFDLTEKKDLKELSEVVKNLSGAGYEIAPEEIESQFGFKVKLKQTETQSTPSLDTNARQVEANALNKVHNNQEVNNQTQPIYEDELDSQVPGTQAEEEALVETIYNLLANAKTYDEAYQLLLEQYTDVDLSVLEASLFKAIGNSQILADAEVQLEEIDTDA
EYFFKKKLDFFDLKNKTKPYDFKVDGTPWWLSGVRIQPCHCCGSGYCHGTGSVPALGTSLCHRHSQNKQTNKKQTKKP
MPRDEGNHPWPLRPNLLLLLADDMGWGDWPNANTPLRHLDALAAAGTRFTAFYTPSCICSPARGALLTGRMAIRWGGAGATWHGTAFGAGANGGLPASEHTIGTELRSLGYATAMAGKWHLGQAAGHTPRDHGFDRFLGIPFSTDMGRLAAGSGAGVAEV
GGVRFTQWTSASAVCSPSRAALLTGRYPVRTGAYGFFPVFTPDGSGGLPQSEVTIAEMLKELGYETGFVGKWHLGINAHNQTDGSHLPEHHGFKFVGTNLPHSLEGFCDPKVFSVEKMSEFCFLYDNSTLIQQPIDLKTLTRKLVRDAKTFVESNKDESFFLLFSFPQTHIALYCDDEFCGKSKRG
MPRLARAVAEGLLFTDAHSAASTCTPSRAALLTGREPRRTKVQGVLRPSGCGGLAPSEVTVAEALRGAGYATAYVGKWHLGA
MAQITRRDFTKLAVTGGACAVYNTALPQPVTAKPQDFPGRPNVILIMTDDQGYGELGCHGNTKIKTPSLDRFYEESIRLRRFFVSPTCSPTRAALLTGRHEFKCGISHTILGRSLLKEDEVTIAEVLREAGYRTGIFGKWHLGDNYPCRPMDRGFEECLYHGGGGITQTPDYWGNS
MKKELLNSLGIIFSAKTFIIVSPAICNSISPPNVVLIIADDMGWKQLGCYGSRFYESPEIDRLMSQGMRFTDAYSSAPVSSPTRAALMTGKYPARLHLTDYVQAPDPADKPVWVKSRQKFLPLEEKTIGEIYQENGYRTALFGKWHLSLGKSGPSTIPYNPDKQGFEESFLTFKPSRDLP
MRPNILFIMSDDHAAHAMSCYGSRINRTPHLDRIAHGGMRFDNCFCTNSICAPSRATILTGQHTHINGVRTLGDRFDNRRTHVAKLLQQADYQTAVFGKWHLGEGKQHEPTGFDEWCVLPGQGKYHDPDFIDPEGSKSFIGYATDLITDMSLDWLKRRDKSKPFML
MDEKISRRNLIKRSIRVGLAAGGLAVVGAAGYKLFSGKSIDDLYGPYPDNAKLKPLKLSNPSAPKPNVIIVYCDDLGYGDLGCYGNRVIRTPNIDGLARDGMRFTDYYSCNAVCAPSRAGLLTGRYPFRTGVIGNPYPAENPLLRKIEREIAYQFTP
MRKLYSKKSRQRLNSFLPNRLRRLFLFGALCSGTFFFTQAAEYSRPNVIFILIDDMGFGDLSCTGNTDVETQHIDRLAREGSLFKQFYVASPICSPSRVAFTTGQYPARHLINSFLAGRKRNRERGMRDFLDPAAPAIARAFRTGGYVTAHYGKWHMGGGRDVDDAPQPSAYGFDEHFVNAEGMGPRVDRKIDPKYTWTRTYVDKSIDFIDRNKREPFYLQLWLNDVHDRHVPSDAFLKRHERHADRPFTHRFYAVLD
MAEAPDWGSVDEAPTPSRPKPAASKKPNRPNVVTLLVDDLGYRDIGCYGGPVKTPVLDKLAAKGVRFTDFHSGAPVCSPSRATFLTGRNHIRAGVYSVLSEQRHRMHLLRSETTLAEVLKDEGYGTAHFGKWHLGMPVNNRDNPTPAEHGFDYWFGLVNGAHPSHKDPTNFLRNGKPVGPMKGYSCQIVV
MNRLVVMALKCPEAAKFLAMSRATFYDIQNPKSPRFDPTFPTTAKVRLGARSVAWLASDLQAWLESRRVGAQPTGRADQ
MNVRRHGGEPRFEACAAAQVHPVAHAAAPCSGERLLSVRDVLALVRLSRSTWYELVRTGQAPRPLRVGARAVRWRASDLQAWLDRLPR
MTSIRILTWNSTGESPAKAISLGNQAVNPGGYLGHPFIDVYLIQEAQQAPGGDISNYLTGLAGYTVHHIQENLGGGGKGYICATRDLSVTVTTPLTLWNYAADPLFMLWPGRNALQASSWTPPARTPAYTLVTVGAINILLITWHAPLGVSTLPIIVGSMTGGALIDAYLAFDHSQLLSNPMVAVGVVPDFIVIAGDLNAKPAALGKNYFGYTPLDDFDGVSNNLDHILATSPAHMHFHFSQDYSYGTASVHDIFCARLHW
MADTTKGQASPNVHFFHITPPGARNSKCRVKEKQDTLWFTITREFLLPTAVEKDKIKARYENGVLIINVPKSLKSKAHQVNIDINQSNNLIKIEIDEVDFPTGNGDEKAKITEILFSGQEKDKLKDTFQDRKTYQMTFDSSKVEDDRHPTMIQNTASAGGFSASKAPDKLPNKLVLETDKLRIVYNSHNDTIKVSEQGSANTGSWNSTASSGDGSNVKKESSEGTENEPQSTSNTSNTNQADSGNSGGNSEEDQNTFSNNTDTSDSDQNTNKTPPPQPAPSQPTQEEINNSSEKLKQATQNGNKDELSESIKKNQELEDKGASKSPENEQAEKAAREKLAQQDKKKYCQTIFEATENKLKNNGINVDQLDAESKSEFEKLKNKEITEKSKVDEAEKKIVKNVYEKAAAKKLTNLENKANEAIKNKNEQKMKEVKQELTQLINNSNYQSKKTAAEALQKKLEAALKQNTSTDKNDDIP
MTAQRDLIDCDNENDLFGGVDQQLWSRRPHRKNVRTFDWTHDCKATVAGLRGLTVWHRHQDQLLLGEDHVSKGEENKDTFWEFSDATAETPTAAPEASEAAPPVRRCPVRNRRAPDRYTPT
MILGLTETIHQIRNTLLREIGELTDEEFTFAPGNNQWSIGQVSHHLYLSEISFTTAIRKALESGDRNIQEKQLEVVLNRSVKVEAPKYTRPSDEPLTIYSITSMLGHSRERLVIQLQCAEDEESFMRKGAVHPLFGLLSIKQWVQVAGFHELRHIEQIRAVKEQLKART
MFADTQAIGATRRSTIIIDFSMRITDRSKALLAQISQGRDMTRRDKLELAAWLSVPAVVA
MESFLNSSLQIQIQPKQNFHFRFESELKNTHGFITGRVASVTNGRNCSVRDIYPKIQMRLPDSANLNDNGKVRQFFVLCSLHSYNEEKIAEKKPLHLSPHLLLPKSKRKIDYAFVFEKMKYCQHDVAGRYWELVGHVIVRSGKKNNKYGETLRAKQLKYESLGLPLPFDKLQGDLDLNEITQSAGLIVCLGFTIFERIDDETYCLYKETIYSDPIYHGDDLKIHDICNFGGSTSGGQVVFMRIKLQSGYCYTVRVFLDVAGKTVWESYVTPMDTFLNSSIKFELPPYTGPDFSEDSIEVSMEVVVNRSTFKSRPVKFTYLKSDNSDSNGRAARKKTRLSSTIVPTPSKSSDSLSDSEQLNANASNNGAATNENPIRQEGDLVPWIQWGKSPMEADLSMIFEHFQLYNSV
MKKKKGECNVSKTILMTSGYSFEGRRISEYFGVYSGECALGTGFLSSLGAGFADFFGTNSTMYSDKLKRAKDYAIGQLRDQVIAIGGDAIIGLDIDYVSFSADIMGVIASGTAVKLENLSSGTQDDTENKFDISIYNTMPNFRPSFLYASPASYGESVLSLGLFHLKECTVKAVLADVEISDIFQRTTVLKDVAFIGFKAENARNLLSDPVTCDLSPDLIRLIYGIKLTIKRYITADGLVVVSESEITYTSAEEEKTDSMKFGEEILKVVDSLGSAKEIYEHLVAYNEAHRGSVDPELLEIVQKDMKFERLYGNHKDTTITEIKNYFAQCEENERI
MVNTRPHPAAQYPSSAPADAGSEGTAEPSWPLTTWRGWHRFATTNPPAPPQPDDPPRSLEERLAYHSAFVTIRTPPSASSPPRSAP
MCVNLELTDEEVRTVNASPGVHQPSGGLEGFLGSRSGHKRRHRTHSSSGDGISESQEGKIKLTLFMAVIGIMLTVLGMGTEFWVELKPSKSFYNNQTCLVAHYGLWKCCTKMIWVSDMDPKQEICGPLHLQGESNCTFFKFYTTGENIVLFQKTPEKAPIRTDDNIKEIK
MTAVHGGTALLSSGGPGTLSVDPAYHFAVEFPRHAQACATCLGLAILCHFAGRYLAAVLSAA
MRAIDLSNVTWRKSSYSNSDGGECLEVSDDLLRAADWRKSSYSNSDGG
SYKSLSAVANKITGSHWNGLVFFKEKE
MKKFILFSLLALLFITSVSGEQQSLPKTDIINLIVDGGTTDISVTVDGNMTHVCRAIFALTFNDNALDVNAYGNGANGVLSTGVGMKYDNYFLFEKNITRNGDFSHWAFDIIYQQDAKNPKANVITSRLSFNKFTEGGECLDISDGRDLEMTIGDDMTNSTFADVTEFSVTFEGYFDLGLGAGETPVLLANVAGAVSGLGDFAIDISPVLIIYFLAYPFLLSKYYDPKDTKRKIFGKVALIGIFSLITSYIFFGLISSFFTNPNTRLLISAGIFMIANIIGTLFFMMKKGK
MRSGSSVVSPDVAAGSSRLAARIIARLLPAVHSGHVRLVLPNGEQLDVGTRDGGSDVTITVHRWRALWRLPLSGEAGFSDGYIAGDWSTNNLFGVLDFSLRNEADGDRARDGCLLSLDKQTCSLASRPFLAIAQAGEHAREGGLNTLEGSTCPPGPHPSEIEAWSVHAK
MDLEDLTRAQDATATLLADFDTPDWERPSPCTDWDVEAVVRHLVVGERHFAESLRGTAGDAAELTAQVGEVPNADLPAAYAESSARLREALAAADPAATYPTGLGPVPPAVVLQLRTVEAIVHGWDAGRGVGRLLPVDDDVAERALDSSRALLEQVPPERSPFAPSVAVPDDATPLQRLVGLLGRDPFGSISG
MVQESEKKKRKNGVNDNSFNNKSNKVPHSNTITGFDLINSHNLCNEMDKAIQFPFNGSENVTIPNECSSVESMHHSLPDSLNTIIEQENTLNAENLSNNVAPSLSPPIVNRDSSKKGNNTANLVDSDQSENITTKLDTNDVKSQDEVCQWPWIRLMSKAGRPYYYNALTSTTSWFPSHSRDKEEKHQCKPPTLFSAANAQKTWQKFWQQCQDIQKPSKTNCHEEKNNTTGDDAEDSSQPTINKSMAVYIEHYKSPTATMLGRAARQQVRPPNTENSGYVQGFDEYNIWYGKYLSDRREGSRREDREKALTRCNPFTDSGWTRCDMEPQLDRPVLCLYFAKGCCYLGSNCRYYHRLPTAEDDAKLDMLHDIFGRERFASHRDDMDGVGTFTEDCRTLFVGDMKVNRVYSNAEEKTEELIRQQFGLWGPLESIRVIRNKNIAFVRYKYRVHAEFAKVAMAEQRLMLDRSDDQISVRWAHGGRDSSYALNVCKDVSMSFPFLSYARNENQKEISSRDWEQANTATMNRLENLGYTQEEIDCQLKWSIQQKSVSQQNTAENTTAVVSSYPLNTMAHLNTTFDNTTSETKNTLPNSSEQPTNEDDIYNFECPTLNKAFSRIQNFHDENVFKVSL
MAKMDTRSFPGTSPGQMDTRSFPGTSPDKDNGLRTQNLLMNPSSEICKFTDPEIENLTPCFPPETIFRPFDPSAHNHAISPVWFCFPALPFILGFSYPFLDLTQRFFTQTGINHSQAMSMLWRALFTIKEILKCEDLEFGLSELSYLYLFGYPRF
MYGSSSSSDFHSEDFTDQNILTLNRQNRNVFNDDRIELMRLSKTFPDETVFHHFSEDLKADHVSPTWVCFPKYPFTLGLKFPFSDLILNFFLTTKLCFSQLMPMGWRLLHTLDQLNHHFGLDIGIPEISLMYQLRTHGSSRFALQRRSGAPILVPGITFNEDGWRNRFFFVKRSYIPHGEILPFKWLPNLNHLLRKKKKVGTEFVPC
MAVTLSKEQLAKRNRKHILHLLLESMHNEKELRSQLAVLTAELKRSNQQMELAVIYTECFNEAKCRINGDLPGEPAMEEAAVSAHRCKKHAGKRKET
MELLGPFPDAEDFALALLESAGPTALATPEVIAPPLVVIRRTGGSDDAITDIPRIQVDCYGATRRQAADLAEQCRQLVIASPATGFDHVSIDQSWTESAPVFVPYGDPASQRVTATYRLALRRAR
MFFKLVKHELKSVSKWYLTLYLMCLFLAISLGAFLREHYSVANSSYGMSYHLGLTFRENFSSGFSGILLLLVLMSFAIVVSAIAISTLSLIIKRFKDNIYGKQGYLTMTLPVSVHQLLVSKLLVSLVWVGLSLLALIVSVAVMGMVITTENLAAIFHGLAEIFQTWLSDPLFHLFQFMTTTVQIASYITLIFLSIAIGHSFENHRIITSFLAFFGLMVLVTVLHEVLLPAYTDYYAYDKQGRLIYDLLNTAFDFILMISSYFGSHYLIKNKLNLE
MKSTRPEYKLELMKLLKVELKAKVKQKLNIRLSPTITKQQLIKLLTTPSPPPPKPKKKVGVRTKKSTIKRKRCYSTKQ
MKLTKRIRQWLIEPLQAQINQVQGQLRQAKEDNIATKLVMGAALAKLIKQATTIKEAEFKVFSQFGDDGIIQYLIDRVKPHVDTFVEFGVEDYEEANSRFLLMNNNWKGLIIDGSSTFIDSIKTSSFYWRYSLKAITSFITAENINQLLVDNGIVGQIGLLSIDIDGNDYWVWKAITVVEADIVVIEYNSLFGSERPISIPYSPNFKREQAHYSYLYFGASLPALYELAIEKGYAFVGCNQAGVNAYFVRKEKLNGLAALSLEEGYVYSRFRESRNQAGIMSFLDGVDRGAVIKGLPVINTKTNKEEYL
MIADVIVEATMAEIERKINLSMKAVEERVHEITALKEQIYTRKTAELSQTLIVKAGEKGKNVVQENQPQQKSPFAASFLV
MAAPSFLPQRSWDNKVPRPRHSHGQTRETTDLPRSETSATLSLCTPEGGCSPAKTGPDSRWREQGGTRGEGGVNVGSAVTMGSGTRVSYYTVQGEIVAETQRVLTGVTVESGEGCDSARPQGVTGCHWPHSCQGGAGSVSRVQGGGEAMRHGGTRQVRHTQVKQHHLGGGKGCSLTKEVWSGDGQDDARRTREEG
MIVFGDCYPHQTHCDLTNRTEGIVTDHVNRLRDWLRPGHGAVLNLQRKALTLYTSPDEAAQGVTYELAKPADLLDWDRAFRRVAARDACVAAYNNRARRLALHERSHRQELHLWSVEDGAR
MYSDFIRNGRRGYSSSIFLDVHMGCLRDRLRHNVPIETYQGREEWYQCQKELLLRIKKGFSVMKKDYSVNIP
MRVDGRDIRVTGSLLQPPIRRTNDILRLALAAVFLAVVITSSLVTRYEWVALERSVSRIVGVLSPTQSNLVYLAYGVAILALPFMILIGLLAGRQWKLLGAYAVAALLAFLPLSITGKGIAAPRWHFDLSERLATTLAQFLDDPRWIAMLAAVLTVSGPWLPSRWRRWWWTLLLAFVPIHLVVSAIVSGPLSPTQKFLGDLLSAFSDGPVVIGPTAPMLTAAYHSASEAISGMNAVGGWTGAPRPVLARELLPERALMGDKSAIAALHTDVMRPLTDAGPALTETLDAYLDCGGAIEACARKLFVHPNTVRYRLKRIADFTGRDPTQPRDAYVLRGGGHRGPASPTRRKPTVRSPTPPPR
MFSAAHLAQMVYTVIHIIGAVISSKAEEALALIMHRGNTLEGLCGCGEDQLVPHGGWFSTPSNAGLHKITLDPVREPGQRAVTVERAGESCEVGEAIGIHLHYLIDIQLSGVGGNAGWDVLQPSPRAPHHRTLAVTLGWALLLHPQATHLVSCRKVDVGVSSSHGDRSLEHLD
MFTSLWLMMLIPQQMHDCQASFHILTLWQGGYYINQKEHVCDLKETAVVVDAMPTLIEGNTGAIEGIHQPLAMLMHRYDGTTMRDSVYEHMILNKFDGTAPARTPRESIDILSNVSMAPNTINTTSANTFTTAKSATPNYMIKISMMMLDNLISNNIAMERQAVEGNFAVGVHYQAVYGKTVWEPPLGRLNSLYQHRLGQEFMVVIHIVMGNQYYIFLLAHTVYRPPDVLTHSTYACRALMGLVTTSMYRNGSSSPISRLLFFLSIVSKDEVFMIAHRGRGAYLSLSFTAVYSEYAEDDIAYQKDTVMSVQEFVTRFSRLDRQSTRATQCALNERLRIVATLPKPYTMKHTMLPVIRRRTTGGIVVSDDHNAANNDIDTELDLSSARSELSHLAQLRSKPIAVGMLRVSFDCPGLVEESKTTGDM
MPDSEQSSQLEPRTSTGPDPYLLYQIAVCSPVFQYEMASLATDDERQHLQRAAQRMLGCEPPLLKEPPSEEPVNNTSSLLSSIDKSPRFGNDDRQKFRWGCFLMQQRCQISSDVFNAIAGMTGVEEKLRAFEEVVESSKARRKIWVQSFCDLCHHLPSLSDKQVKEIDEALAEYEQYLCSCLDFLGESYLHDTGIDIPIVIGEKARSLVVRALAVYGVFPMNPDEEGN
MDSAEVPEGIDPKSACRIEITVNSYFTMRDGRKEYNRGRTISYAVDSEEYSIIDLEKDVAEEFKWGSDQQTNFWVLIGGHVTSKLASDAQFLGLLRASRVVKLLMIVGRQEHNVREEEMPTAVNMGEEDMPVAMNMEEEVMPETKDNAFDALDEGFLWAEIPEYEETTGGPPVAEEEEKEHFIIGGCDPNGDEPAGVDEEWRYFKTLNHVVINPAENHEVEVQNRKRPRSVPEISDYDTEVVHDDEATVLDDFIVPHTSHYTENPGIKEGDTFVDKNDFVQTIKQYAIKNEFETRLEQYAIKDERLPYLGYLKR
MRRFSLIIGLFFIITGLLSAGVTEQVQNIRDIYNQTNEEINSGELYKTVVDINADGLSYPAVGVYHPVLTFYFGFSEENPYPDNLRKAMLVVDRSAYHEYSEFLYDGYGELIFAYVTGNPMMPEMRFYYYNGDLIKIIEDSKSFTSFNSEQRNYSNTIFEQGENLNQIFSKLFF
MPPGESDSLSSPDTDDGGAATADDQADENASTDE
MLKHFKTIGMIGGIAPSSTIDYYQRLISRFQERTGQRNYPSIIINSINMTQMMELITEEHLDELVDYLSEEIFVLEKAGAKVVFMASNTPHIVFEPLVQRVKAKMVSIVDSTIAYAQSKGLRRLGLFGTISTMEGEFFQEGFEAAGMEIITPMPDERKYIHKIYMEELARGRYMPETKSRLLSIANRMYNEGQIDSLILGGTELPFILKSVDMEDIELINTTKIHVERILDAAIG
MVAGDLEASSSPFTMHDTATTLSISLYYLQKLKLPQHHLVYRDWMRPKAIARSGGGEEGRRVGAKVQKALWIKPVHSDDDTICSRRLDQTMNELDFKVSHTPLMMSEVWALSDTVGVFLNSSDNITDLNTRESIHNMKKFSGRTQKAQQTQSGDSDAVHSTI
MRITFVKIINCFSYYLLIQLCLFKSCLNSKVYEKDESPSFNTVPLSNGPLDLPSGVTINLGLRRRKYSSNEPKNNTYLKNELNDDAKIIKDAIVYIEI
MNIKTGSIKRPLLLLTGILLIATTLRAPVTGVAPVLELIRDSFGFSTTTAGALTTLPLLAFAIASPFAVILSRKCGLERSLFIALLLVTSGILLRLIESVWCLFLGTGIIGIGIAIANVLLPGLLKRDFPDKIAAITGAYSVTAGVAAAVASTVAIPIASLSGYGWNWALGSFVIFPLLAIAAWIPQLANTTTNTQNHKAIQHDDNLWRSPLAWQVTLFFGLNSLVYYVIVAWLPAILTEAGYSPAAAGSLHGISQLATAVPGLIFGAVLSRFKDQKTVAIALPTMTALSLAGIVILPQWAVFWVGLFGFGCGATFILALAFISLRSANVSQAATLSGMAQCAGYSIAAGAPPAAGMIHDYFHSWAALLIICTIICGLMAILGYCAGRPVHIQSRKQAVAPCINNALATE
MLTQQSQSRLSYALLVLGILLIASNLRAPVTGLAPVLDQIILSFNLSASQAGMLTTLPLIAFALASPLATSLAKRQGLEVSLFIALILIGLGLASRMIDSVPVLYLGTAVIGVGIAIGNVLLPSLIKRDFAHKVAVMTSAYVLAMGIFGGSYSALIIPLAEYKQIGWQIALASYALITLISLFIWLPQLKLRTKPTKDLVENTGDVKVWRQALAWQITLLLGCNSLFTYIIIGWLPSILIDSGHSAQQAGALQGSFQVASALPGIILIPLLAKLKDQRVLTFVLGVLASLSSIGLLYMPDYASVWSITMGFCSGACFILGLSFISLRTHDSHQAAALSGMAQCIGYLLAATGPIIAGALHSYFGNWASTLWFCAGASALCAVFGYLGGRNITMRNKA
MTRSPTETSPPAATRLSYAWKVLGLLLIAANLRAALTAVPPMLNDIAEAFSLSTTALGVLTAAPVFLFALTSPLATRLARARGLEQALGIATLALIAGLLLRSSGSLAALFAGTIAIAIGIGIGNVLAPGLVKRDFPLDKGTLTASYAVLIGITAALSAAVAVPIAHGLKLGWQMALASSLTLAIPAALYWLPRCKYSRGASGSSDAPQAAPLSRPLHRSALAWQVTFFLGLNSFIFFLIVGWLPAMLQQAHYSAQQAGSVHGLMLLSAAFPSLILIPLFRHLRDQRLLASATSLGMLVGCLGIWLWPHWALLWSIIFGVSAGSGFVVSLSLIPLRTRTPLHTTQLSGMAQFLGYLMAAAGLLLTGWMRDVSGNWSSVLMLGVLCCVLMAALGYMAGRNIYLK
MSRRGGTAHPAAPAPQTGPGAASGSRSLARSGLRPGFMLVGILIIAANLRAPITVVGPLIAPVRDSLDLSPAAASILIALPVFCFAVLSPTAPWLGRRLGLERALLAALVALAVGILGRSLPSSLSLWLGTALIGSALAIVNVLLPSLVKREFPRSPGRITGVYSAVQSGTAAVASAIAVPIAGATGDGWRLSFGIWTGLALIAIGVFLPVLRHAGPIRAEASRTPGDARGLWRSPLVWHLTIFNGLQALLYYTVLTWWPTIERLSGVGASEAGLHQGVLQVVSIVSSLGAGWVLQRGGDDARPAVWAFGLPGPVGLVGQILFPGLGLLWVILLGFGIGGTFVVVTSLFSIRSANPAQTARLSSFALTCGYTLAALGPVSAGALASLSGTWLTVLLGLTALQIVQLCFGLLSGRPGSVDVR
MGKLLYVIVLIAVAGFCYKFYSANQQVQQNAFSCLKLQMAEQDKCFEAVGRQAANLEKAAKAMTGQN
MKRLPFQVPTSYYEEAIIKIDEEICGLIKLRKEISDNNPGFPHLEYISDWSKKFDMSEEFLKEIFRVLYHEKIYKPLVEPQGFQKHVPVFKSILVGNRIFTITFMKQYKNASVLVLNIDWNGEKRSTMNQHTYFELFIGPQYECRMDNGCGGEDYSSHSFVISPVLPEDFSGIDLIFTEHEYGSREDSIGDKILIHLD
MSKPGKSQWDFGELFPTEQTRRVLSVTELTTQVKRILEKQIGSVWVSGEITNLRAQSSGHIYFTLKDAGSQLSCVLFRNESVPHRELLKDGQKVLVQGAVTVYETRGQYQMLV
MSPLQSVYTVSSLTSLIKEVLESSFLTVEVEGELSNFRPSSSGHWYFSLKDQESMISGVMFRGRTGSIDFIPADGQKVIVKGNLSVYAKRGTYQIVCSSMKLSGEGDILLMLEERKRKL
MAIIKSHRTGWRKYQAALKKKSARKKSLKNLFKYFTIPVILILILYFAVAKIIDLLPAQTRVAHKKKEIPVEKKGLTKKDLFDLLNGEKLINLNQNKFHFTSNGIPMEALLTVDPDLQEFVLKKMKTDTSKYMGIVVMNPKSGSILTMTGYNRIDPLSNPCTDITFPAASIFKIITAAAVIEKCGFTPESRVVYNGNKYTLYKSQLKNRINKYSNRVKFKDAFAQSINPVFGKIGMHYLNDSVLLKYSEAFGFNRQINFEIPLVPSSIFISNKPYNWAEIACGFNRTTMITPLHAALIVSIILNKGNLVEPKIVAQVTGDTGKILYKSKTSSFKQVVSPETSTIIQGLMKNTVRAGTAKKIFRGYKKDRVLSRLNIGGKTGSINNNKYHIRFDWFVGFAEEKNGNEKIIVSVMVAHEKYIGITAGRYARIIMAKYFHKYFAAQKEDHKKS
MTKILILTKNSLAEQDLQSALQRLNDEVYCSSSLLYQAADCLELIQHFSVIILSDTISTLELVDCLPILLKSGRKIVRKGDRELIKSGEYSWMLEDIDDWIDAETPTAELVEVIARNNVSEKKESQQENQISLNKMNAKGLSEIKCQHFISGLSSNERKVLLQLYKAESKTVAREDFCQSLWESAPTNSNLSQLSSLINRIKIKIEEVGFEKSELQTVWGKGYRMGLTIHSFLAKNEFGVRS
KKKFFFLKKKFNIKKNKKKPSLINKNTKKKKKNTPQKKKKKKTKIKTKKKPIPKKKKKYLFYCLRKKKKKIKKKINFFRIFYKKKKKKKLI
MILMALTLLVLVGRPVAAYEIISIEDKDIVDTNAAGDAYVGGFLAGILKNCDDQMCAAAGAYAAWEVIKQSGCKFPEKSKFEFKVDSSTTITGSSTHFLFPMRMSIFGEVTSDSTWLIAIAVLLGLAVLIEQVLYDTFYKKIANYRTGEMRRKIVPAKFNAHPHALQGLLPELSSASPLKGTAGLYSLKSTGDKIFWETFVPTGVAHPKGVIVLCHGYADHSGFHMFNDARMFCEKEKYACVLFDQVGSGRSDGLQAYVDDWFKYCQLAKEFIDEFVLGSFVPSLAERSQHLPFYGYGHSMGGGLVASLSILHPTLFDGIILQSPMLKVRNRSTGRALFT
MRGNVMAWLKSGQYLPASLRDLHDRKDVFKGLDEGLFTVAFPLAGRRTPELQQLILVK
MQLNFQLLLLTCRRLNGSDSSDRHGPGLELSVQIRHPRVRGLAISAAPRKNLRAWSCALENAPTLAPITQIVAAVVKLAPPVNIVLAASVNPEPAPTPSGVAVHQRTAVLGHLVLYVFVSHHPAEAALLLSVLQMQVADPEKYVSQVAAARPVQQHQPATSVTHLDRPGCSDVRAKLKWRIRRLG
GGRRQEAGGRRQEAGGRRQMAEGRRQEAGGRRQEAGGRRQEAGGKKA
MAGVKLIVMYPRPLDIDAFEKLYLEDHVPMAVEKLSGKTKFVATKVVATPDGTPPPFYRIAEVHFPSLEALQACAQSEGGKETVAHAVEISSGGTPVFLIGEEQVFVFGSKSLWDRLRACVAPQENSMAPSAVSHK
MLVYDSCCRVLQKKLALDHLIVQKMDDDEGTEDVQGILSFGAKALFENDDTNDIRYSDHDVEKLIDRIEQEEPEPPAVAGQQSALSFGFAKIWEADKNTLGEVAEAEQGEDMSGYWAERLKRANQLRAAMTAGEPSGRGVRRKATRKPMVNVDELDSPEKSKPKKGAGIGGLAPAPNGTTEAIKGNPSADSDSDWGSRTSKSSPHPSDSETGGYISDSAMQVDKPKAKPRGIANPSEPEFPFPELLESGSNRARSRSPDLSICDLCHKVHGTDQCEATKDTRVLEQSRAILMASIGTSPEKTEALRLIDIQLKKLRRKAKNAKRQGQENPENARYTYVAASAASAPGPPGASSSSAVLLKMPTGPFQPQPRSEAVHPQPGNTAASTTVKTMEVQAPLGTTTPLGQTQPSAKVNHPLKRPADVVGIPRKKTKLDSVACSLCHQTPHHPKWKCPRVTGGSESIKQIILEHEAGQNEIDGLTLKFLNEQLVSSRRKEEMMGVNRSTVGEVDLVGASINVQHPASAGVQPRVVRDEIVLSD
MHKSKYPINNHYIKKIPLFRPKLFFPGITLKKVTMLSVQNTDTVPRYKRLQMEPLTRKHLFTVI
MALAITTAECPALANSPSDTSVQTAAGFARFAGAFCGTSQQSIADYKRAVRSTVRESGNFESDWQFGWASALNRIIDYRDLRARNPKDFAARVKDDCNLVRWEAPKQAREFCMSSDNAHKSALCH
MHCEKKAHEILKPYQTLPFNLKFGGSSEFFNVEPSIALSALAFTGGDIIYQHY
MGDLGIHLIDLLWFLFESDFREDTVRTKIKTNVKEKQKVFVDDYAEVYGQLTNKVFVNITTSKSSFPEDCGFSIEVIGTKKEYKYSSREPNKYITFDGLEKQEIFLPLPLLVDPENEIFEWADSFRKEIISWVNAAGGF
LALARDGNGDTALHVLARKPSAFPSGSQLGVWQRCIYSCLYVEQSSNYCSSSIRHNCPSCSIVNGKSTLKVLIWNVIKHLVPGIKTIHDTKLMHIQALELVKKLWKQILLLDDSEIGELLSCTSSRKDFQ
MEIGEEIVKKIDSSLRYIKTLRPSSTLVYQVEKKWIILCIKNSKRLLGC
MSSQLQGANALTMAINSGALAGGSTASQFTNAAAINYAINGRFFNRGIIASQALVIEPNTGIVPTAPNTLQSIPAGRACAFAVILDSAGAFTVAQGDIVEAGSLCPVPQAPAGKAIVGAIKVNNASGSAFVPGTTAFNVGGGVTTTYINLAQHPGASV
MTVTPEKLRDFLAPYRTLWDHYAADAWAWAKDCVRTRDEDAKPGQPREHLPLPNLPHLEWMTWAWYSVNLLQIDKSRQMMATWIVCALTMHEAMFVEASNAGYQHMDAGETAKKMEKYMLYVLEGQPMTLMMPWVELRAHPPTDWVKAIAEEFDLSMTPKTPPTRTDLPAEYGSEAYEVALTLCNRYRKSSGAEGVNEIELRPYFSSSYRYVYGIPAGVGGPNKWRGDTRTRAIEDEAWFHAALADNINSAQKSVGQNGRQVLYSTANAGEDDYALKMIGKAPHQPEEFGGFGGRVVETADGVEVIPYEKRSVKDLPYGVEMWLSVQGYTHLRIWHYADPSKRSDEWIQRNIETGDRRKNMREVLIQYDAPIGEPFYPSFDYTRQRLEERPKSSEGAQLIIGMDGGRRPASLTALVYPSGRVVTVRELATPPGVSTNVRAHATALRRVLSADPLTTHWQKEHVLVLDPSMFDTRSETDDRTSAEVLQEMGFFVVKGSQGAAIRYEALTNLNLHRIQEDGRPALQVDAGACPTFYAAMMGNCTVAKGAEKTGAYIKEKNNASHITEAGEYLATYLDANPMMGENLDDLMLTVHRSRR
MQVELARRGEALLGVAKDVQCRRRIFGVCLLGAILLTFGLLFFPQILGGGGPDSANSLQGQSTLPGPGGLPGHLSGVNLGGWLCLEDWFYSGDSGVHVSSVDEAGQGACLPPAVSQLDKPWPSEGHLTYRLNQTKGSKFAVKALTAHRHSFIGETDLAAIGDLGLSVVRVPITWAAFADALVPLDQKIYGSHNPHNETVIVPDPFYNDRAAFATIPRDWLAELLRRCAEHGLRALIDLHAFPGGAALGTYNGVWPDKPAFWRNQTKVGNAALTQVGLWIVGSAVTWLEGLDAEAQAGLLGLTVMNEPAHLNAGAKFAEEQEVLKWLANAAQIFRVSKLPGQGVKLYMQMIDTAFQNFTETVVPWFFQTFTDTERLSWVVADQHWYTAWDAGHCDMRTSEDGGLTCDTPVEVIRRKMRSCAKAFSSKFFSQFGGLMAVSEFSAGTADEARFACTDRSVLRVYLQEQLSAWDEVGLQGFFWTWRMPYGRVFEPGWSLRWLAGLEVRLQAVISSSLSLLKALLFQAHTWLGISRLMQAVHAAGARSHVESVRKGHSFASGGPRGELCKVAPLVALPGEEDEHGATGRDMTAVIDSQFQQAPLIWAIGPSTTLGKIFAAAVAAASLDAAQQLLARCVAEEYLKLQEDVSAIFDEEQPGSLATEQFGQFEKELKLEQQQEQEQAQERQQKQEQSQRGSKSQSRILESSCEKMDAEAAANVVSTVFEEARSLWSCNGDKGEAEDGKKAASWKLTRMEELLGMGPDFNTSIMAALQVMAKENSLLLIPGNVQLEWAHVRSWKSGAECLLLLTLRFQGNF
MVTNYPRTLTADDAPVAAHTGNVLAWAARRVRQALCGLGGHDTVRHFEGKRVMMRCISCGHDTPGWEVADRGPRPRFEGDPRRHRLARTPTLTLRKSA
MEVAIFLYKLNYYKMRRWAGACVKSGGCLISQDIAMQSFRTLSAGFPPIVGIRRIANIFFVVPPLQLRKISMEKQVAVM
MSEFEAVEHRLPMLSLDNAFSAEDMDDFHRRVTSGWVMVTWPTAASPSSMAWPSVSSTSTVRWYWRRPG
MAGTLLSAALTVVVVVVGVFCPTHILASSSYQSLSHHHTHTSHHPHNLHSKSSALDVFPEMKFHDGRKDHKKHHSRVDTSFSYSVAPQPVQDTPEVAMARRQFLHIYNSIRDAITAAQHRHNATTSSHHHSNHHHRDREHKQSKPRQLYDHEHQIHRDREHEHDDSRLLYDHEYYHRQIVDSSSTTSRPLFLPHPAAAAVMKNNSIFPNPKLEPEYFLPSIHLDPLLVQRLDPPLPLDAHVQQIRSLEFNNTRGTCYEIVLLEPQLKVQPCSRRCGTVVSEPAIANE
MAVTISGILERFTAECADVAGDDGTRRGQVGPDGELAIQVVPPSLDYANVEVVWMALPGALLDQVHSVPRKLENTQRYLNFMADNADDDRYFADRQRKYAKLGLHAAATSMELRTHVGLDAMIDSESSTVTWLKEKLSERIRLDKAFDEQQAVWVSALSRPASTGPAEQQS
MNEIKPEIEVVEKDLSHPFKHWLIPTEIDVVLYHASCPDGTGAAWPLWRENMKSSVPFQAYEVRHGEPYPDVTGKTVAIVDFSYKRSIMKDICKAAKYVRVIDHHKTAIRDLEKLEEEVDNYIGFFDVERSGAQLVWDLVYPSERDKRPWFIDIIADRDLWKWEIPNSKYIGKALYHRDWYTFQKMEYLMGMDLETTKILKDALTAEGRFISAREKKDIDYACGTAILCEFQGYKVKLSSCHPTLRSEVGNILSNNGCDFAVTWRYDFQSDSWWISLRGSSKSPLDLAKICEKFGGGGHPKACGFTIHGEGSKIWKNASDEQRKNLAFGGRGLHSYFMIHN
MIRLRMKRRKRKSAEMYPFLVVEEKAEEEEKLEELALVMVARISRSKFLKPKPIVFFCCCCRLSCLLNKNNCGST
MIKKEQNRVVRARRWVMAGAVATAVAGSAGSAQTAGLSGENNADWNASQDEFLVASAEGEGEGEAASEGGEGEGEGEGEGEGAAAVDPEIQLLTDLGFMQGHAYAGLKLYEMGETELGVEHIGHPIVEKYDAVAAPLEKMGHADLKAQLEVMSEAAEAGKPADDVAALYDEMTATLEAIRSETEGGAASQLKALALLTRIAADEYQVAFKGGEMVNAKEYQDAWGFLQIVKQEARELAESDDKKVAEAAEAVLGYAENAETVFSGVTGEGISKPDASEIYGAAARMEIAAGRLS
MDVVQGYAKNGGSGGARSKNWDAAKNASPVAGGPGFNPQSVEAHFSTHDLDEAKNGGTRSKNLNGGTRSKNLNAESGGARSKNGSEDNALGLFCYSRAASRMPVLVSQAQKKLTAISSSKLWRSSREWPSTLKPRGARRVRGVYATCKCGSLRRAFEFWNSLMLGYCENEQAGMVVLLFHFMQLEGCGLDAGSFVPPLKALASLASIERSPETKPNLLVLRRSTASLHSQASERGFDLINC
MGEGWSQKDIKNVAEKRDGRGMESKGHKKMKYTYSACFGASSFCDMHRKMTPNR
MAERFADMGEKAGVFAGMRRVSPAMHGHALLNEISVQAQAMFSALDALERNTGMSPAAFTAAADGLKRQVEALKSRMDAPGVNSGMIQEDAGLREALSGLLRRASERLEGLHQIEARREVSAAVSDLLSEIDPEQLDVLPGSCSFRT
RNMLSALLAVSEYRHGSRSLEFILDMSRLTEVSRFTPSCLPVDEQLDIHLDVTDFRKRLSYEQMMGDYEEKYAIAAHENYCARRLEEAEKLQMDQTRIQELKAEREMAPWEELDESFRREYFSQIHYIGVQLQDYQSALGLRPVLPGSSDTITELYGPVLEELSEMEHRRWMLDREKEGWRYGQYDPDAKTTPEMVPYDELDEVSRENIRLIVRAIPENLLAIGFELYRKVV
MDKKITITNIIRLFFLVGLIGLFIYLIFYLIFYLIPYKIFAPLIPLAIENPVIYMLFYIALILFCMITNELIKLADREKKRQEEIQEKMNKEEINN
MKKLLIMLFLACLGYTHTMAQSIHSVELNDGKHLNNTKFSDNWYFGVNGGGLFAWGNALTKADFLDRFGATGGLQLGKKVSTAGEVRLQALYGYNKGYYIPLKKSYGFNEISLSLDWLPNFTNIFYGYKEDRRCTFSGVLGIGGSDIWGRPYTNRSEYPLLTHKYFLFAKVGLRLDYALKNDKYHLNAELTNSFYPSTFDGAAHLNTPKRTAMAGHANLMVGFTYHFKNSDGSRNFTYSKGLDQSKYDNINDEINRLKAQIDEKKKNPNIQINKINVDVKTLYTTVAFPAKNNTIEFSQKPTMYNIAKNANVHDNDIVYISRKKTTGNVELFKSRAEAVKKELIDNWNVAESKIVIEDNADNIEKDNSNSKNISYVFIND
MGGAGATPAGSRCVAGAATYMYTERPDGSAHLHTTSCDPTDDQPDALLTPSYHSHAIDAATKERITSTLAQHRTVSRARCTPGARYEHAVVIGDDENRNARVLTVTDDDSACVLWSDRTFVDLAPVRALFEELAAAPGPSTP
MADVSLPTAVLDETMLPRTSIDQPFRFLDLPAEIRYTILSFTDLVTPRREVTWNPEQGYHLLQTETQKRSFCTHDHNPPSKSHPRPSHPLPACSRCRCTYHQLSPASHNSHPDNLLLKCNCWHPPLPHLLVSKPYSHLALETLFTQNRFVIHPSTDLYTTAAPCRPFLGTELSSFLRNMPTHPLHWLKDLEIIFPAYEAQKHTRVSKLAIWDLTSVLKDRGQLLNLPAMKIKLWIPFVDPDVCIDKVILHTRGLPKKECLKLWRRYREMVLAFTELQVQALVSFPPRGVRYEAGRGAGGRGG
TSDLTNREVRESDADSKIIKDISELNIVTFEYLMHYEERMHQQWLLKYDSLGRLLEGMRSEEMHPEHLSKLESITSDYESLGDFFSQLQANFVKRQRLIEENKPQAEIDLSLALEKRLTAQALMRSQRIASEAFECFAITQQRIARLQQRTNSIVLFSVIGFAILSFCVSFLTTRAITGPLNKLVRSAEIIGEGNLKHRVNIKTRNEISELAAAFNQMTEKRQRAEDKLKEYSENLKEIVEERTQKLREAQEQLVRKEKLAILGQLAGGV
MMKFLTTLAFLILPFTAYALSGASPSGNTTRRYLPGPVSSVTEALLKDNSGFVSVGDDGVVRSFVPNGTVIDYYQLDPDQVKQFAEKQYSAWAAHGAGDMPASVSELAQPPYPDGRLVLNETQLLFPSVIPGTGDQSVPPSATPSDPPTRALDLLSGNDPTCLVIFLMARQTDFVLHGKVYG
MIVLLFAALILVIGVLAVVFAGLGSTEPPGPAPGAAGPTVLATVPWMRGNDPTALHTLIVGKDIEPGTYTSRGGYADPDHRCTWARVRGFSGQRGDVIQSGTSAGPVTVTIQATDKGFVTGWCGEWVSG
MSNEIVRQLAKAGHPPLVSVASRIASGLVDLARGQVVGVTGPSSVGKSTFSQAIREEVLRRGLTVEVVGADHFLRPELRGETHYREGQDTPLTPEDFDFDALSRLIAALRAGCSVDFDTYDRGLGWRGIDRHLEPVEILLVEGLFLDSVQAARAIDFDLVILLEAPWDTIASSRRHRDEQFRQTGSGSFRSRQETEEEIRRTQESYLKYERSKLPRRRLAMRFDSDLHLADVR
MEDSEMDTHAVSINYDKIMSGIVKQENELLENIEHIRQGRNLDDNSRIDLSDGQEIVNTSGYEPISLGHYITVQSNTTSTESADKSLLNKWADDDMNDKPQSLTENATEKAIGDNFCIADDILTIFPFVCGICNEKFKSLSVYFKHISVHEKMNTFLHCCDKSAASVEGTVTLFSCGLCSMKFPTLCTLHEHLIESEFTDDFVFKRSENTVYAYHENVSEHKWLADNVAHTYGTRKRTESHSNDNTYVDSVKTETSVKLSEENLDNNCLHDDSGNQSDTTIITEYKHVFNIGRKSKSKRYKKDIEKSKKKGRSKALAVNNVTPTGRKRVKRKDISRIKKDYTDTDMSSNIVGDISDVNDVKADNDDVIAAATTAAAAADDEHDKTTCKKDNIKQEVTKGSKKKGDQMFPCEICGTFVKRQCIRRHMISHKERTMSCDQCENLYNCKFQLRTHIKQVHTDEKKFTCDECGKSFKAWPYLKQHRQVHIKERNILCPACPMRFKYAALLRVHMRTHSDMKPYVCEQCGKKFKRGDSLTAHKKYHTEDKPYSCPECHKTFKVKRDMKKHFRVHLPPKFSCSVCGKSFVQKYNMRVHMKIHGDVS
MTPDSAATAATTKPDPPAKAATTTKTTATASPATEATAERITPPASAAGRAGQLFTWGRGSDGQLGQAKVLHPGPNCALPHPVPSLRNVVHVAVGGGQQGCTAAVTSAGELFTFGNNFKGRLGHGEGPAVREPRRVLALAGEHVLMAACGPDHAAVLVQGGRIFLWGANRAGQLGRGHCDTNDATSPVAVALPAAATQVDCEDQYSAAVLADGRIFAWGSNSHGRLGIVSKAASQASPAEVPLGGARLAACVSLGSLYAGAVSTEGEVLMWGYGGHGNLGLGSRGSFSQPQLVNLGEAALQVACTRGQEGCKGGLNPKEGGAEGPHTVVVAASGAFYTMGTCHKGLLCNLGNKDGAFGKPWDELRPYRVGGPLRNGCENPPMSPLAVWPPPYDGIGHVASAVSGHIHAAVVCADGRAWSWGCGSNDGRCGVERFLNMKGEGKAPEVDMMKCYMMGPHRIGLARPLYWKHPSLQGLRVLMLATGRNHMACIAAGDGADEP
MKRIKALVFITIAAGIAAIGILPGCDELVTNETRDSIEVTLIVRDSTCVEYCHSDDDFEVMAAIRQWEYSGHSDTVFYDDTLFGQTALYCGPECHTMDGFVSWLDNDPDTSGYPFELGCYTCHQPHTLQLPDSTWNFSLRDTSAVILAGGQTFDYGTRGRSNICANCHRSTYDMINWVYSLQINDERLTEVLHAAHEADMFLGANGYEYTTYTYESDHNDVVSEGCVGCHQDYDEGFALGGHSLNIRDGNGRAVEEGCNVCHTGDDEYSDATIAAKQSIFDITLNALRTKLISTGMLDDSTFLPDPAYGVIADPDSVGALYNYLYLTADRSRGMHNWSYAMGLITSSITFLNTTAK
MKAGREDAAVEKDAAVDAVEKPVVVIASAVGTAEGAVAATGGGAPSAVTAPAAVAAAEPAVGEQVEEEVAVDLEEQRLKRKREQYELIDRSYEEAHLDAQEVQDEAVEFDEEVDDELSEEDDEDVDDSKESRDSKSRYVLRRLRNGEIPYCSGYNRLYGNIACPFCCAIIKSDYDNLIMHGTYIGRGNGRNRKPYVRAKHAAFGAFLRKYAKGHLPFYLPRPPRPAKTPRI
YYEALNLNEEELRKRMNACSRHRSKFRYRQPTPEGFWNPLISDSSEEESD
MSRRYALYYKELCDLRWNGQIMLIVASVLIVNAGFLLAPHEIHDSFFVAFTMCMLTLLMQGNLMVEEHEQNTNRVLRQAGVKPLEMILIKASITALLTVLHLLAFFLLNGYSWIQIFKTLILVSPVIGMFLGIGTLLGQASKNTVDVSLYAWPIILFYFLVESLVMNLEPTSGLWFVIIPNYHIHYGMLKLQAGELWGVYLLVPFLWCAVAVRMVWRITRV
MEDVSIWSVAWDLGFVVLWSVLLVWSLRRDHRH
MDNIYTVPESVPGSSWINTDGIENDIGWNPFSQDLAYGERGPEPIWGTLEGCYQTDDLPLVHDINPQEEDEYCGCDNDSDRDYNCAEMCDDVVDDARVDTLVTTPERVVVCNPFFGDPEPYRDIENEGITVHTVAPSGGKFKVTDQPKEIITDMTDRFQIDISYAQAWRVKNWALNEIKGSPEESFRLLPIFCYNLQQRNPGTITEIETDSDNRFQFVFISLGCSIRAFRQYFYPVICIDGVFLKGQYWGTLFIAVGKDENNQIYPITFGVGGREETMTWTLFLRALHRCINDLTNLAIISDKHHVITRSVRQRATKAYRTEDFEEALHMIKVENPTAAAYLEGIDYTRWARAHFPGIRYNIMTTNIAESFNALARHAHTCTHPLTPWAEKKLANHIHKSANMIVKPITVDRYEVYNSGRSVAIVNLATKECSYKKFQLSQIACAHVAAIARFQNLLNCYPWVNKYYSTEYWKVVYQAVEPLGDPSEWVQPEDIRIVNPPQMQQRRSGRPSEYNRRPSKGEEIRQIECSRCHQKGHTRLVCKNPLTQATTSSSGLSS
MKYFASIPFAVAGLALAACQPEGGEEPMDEAAEETMDAAEETMDGAEEAMDEAEESMDEAASEEEPTAEGESMMDEAGEAMEGAMEEAGETMEEAADEAEETMEEAGDAMTGEDEEPSSDEEGEDSPN
MHEPNATKQQRARPPPPHSVASNETRAMSDNNSNSGGNESEEEYEIERILNHQEKKFGRQRGYFVKWKGYGEADNSWVAEEDAANAKDLITEYWEREKEKKAAKSGSASTTSIKRGRKSEPRTASEVSKKRARSTKAVSAEPIEVDEEPPAQKKKRQSKSGSASAKMEVDGTEDDEEEDADLPVVAAMDKKYRELDSWEDLIKSIETVERHPDNPDGLMVYLTLKDGRRVRETSATAREKFPQLPILPSPPIASLDFVGARHVKVDHSPAGVADPKRPLAAQTLPLIQPLYHERAYIAIRAEFCPPLEEQIVLALLHELQSDDNGRCITPSPDQLDELRTNLRILSCGADDLQLNDDMARLQIPDEEDSCTSTPDMTSSNADTTSSSTSWESVSSSRDHSSSPLEFLSAALPGVSAERLAVMLASADDDDIDANMWDILERFLTQEHIRELEERGLDGLSDNEAQDDRSWHTVVNQKSVPDTSRPSGSVQRTPTMPKPKRGGKNNSIKITFGDVRQQNGHRVPSISTSAPSSPSSSSDIWTKINSISSYLSTLLPDRPASFFLSHFHSPGHPTPYQALKAALERIAEDTTVDEDDDVALVEILEVLRSDKTRTIDEESRLVDDARLVLSASGGKADCALDLLYMLQDFAADDGMELGPYHSPLPSPLPSRVPRYSDAAAVTRSAPTTPARTAFPSGPPPVAPPPARWKGPTTTQPRNKPSPYQWQRVNGSKVKNKPAYPQPVRVPTYNRDVNGTKIRGSGNALGKGGKGDVGELDYQARQEESMRQRAEMLKNASLVWKDRSRGGAETALYYVERAREFQEIARKEALAHARHTVEAKMRASRDGRTLDLHGTTAAEAVIIVKDILERVGASPAKPVKIITGKGTHSLNRVSVLKPVVRKALLDEGWSVGSWDGGLVVNGQPHAPRVLG
MRETDLLDKYLAEEKGKPFSWDSFGTGDCMTFVAGWGMLLNGIDFAAHLRGRYSDEAGARAMLNAEGGAVVFFDRVSGPRKAEGERGDIGLMAFNGWHLAMICTGSMWVLRAGTSGIRYIRRPPEFVWSPNPARP
MKLSFTIYSSDLMRAKQTANIVARHLNLNVIEEIDLREINTGVAAGKTKNWAREHRNPRLIKEFYLDYQEFQDGETWRQFYIRVCEVMKMICDLDKGNIIIVTHGCTLSYIIAWWMNFESDMLGKAYFSAQPGSISVLQQNNYKQNVLTLLNDRSHLSGL
MSKDIYLVAHGEAQHHVQGLVGGWYDSELTEHGHRQAQAIAERLAERLTGAPSVEVYASDLRRTAQTAAPIARALGVEVVHWSDLRERSYGEAGGKPDAWLEERTVLAPKDGNRLDHRDGLIEAETKREFASRIYRAMDAIAASPCPVQVVVTHGYAVTFAIAAWIRMPLESVGWVNFRSSSGAISHLREDDRWFNRYLMSLSETGHLAGLD
MDHRGGIADGETRREVAERVYSSVNTIISRPCPAQVIVTHGFALTFVIAAWIKMPIESVGHVSFPARSGSITHLKEDDYWQNRAVVSLADVSHLTDDDG
MPRKRFEQHEFPDRNNGLTRPDEQGLLACLKADGKPEKITFPVPVKITSESCYTFIFYGMS
MAFIEDTSPELYACWQKPSERYTWFQQHMALADAPASLAELPAYLEQHAMRQQLLKKERRQW
MEKKTKKPFYKRWWFIGFFTIVALGFVMDLFESEESKQAKLDEEISIQQEREEKNEERAKSQETRKQEVALELEKQQNDEIKEKERLANRSIDQKLAEDIEDIDTATLSEDGILTLEQEAKNYWDETAILEQNVYSLFEAAGEGFSDPSVNVVKMVITTGMVDNKGNESLEDIVKFNYTKNSFEELNLKKFLEISYSQTWRILNESDTYWIHPGIYKNVKADYTKNLQYGLTKQ
MEDDIIVTNAITYASTSFFYIHSDHWVANQHELISSSWHPPPPGWIKVNIDASLQCSNEDGIGGIFRDHKGRMLLSFGFSLMHWDIGALEWMVVRSFSKVIED
MLKSGLSTPPSNSPLPISPPSPTPLLAHAIQKTFHFHHQAWDYSTQQTTIPTHKPWSPPPPGWHKINFDAAVRPNNVYLAAICRNHMGTITHAWIKVEVHGDSLWAEAKASLFAVSCALDAGLDSIIF
MKAIFQTFLFAVLCSSCFLPSGSQSNPEVWEDNKEDLQKIINRVLLNPNKFEEGENLIPEDLDFSYDKTFNIRGNLKDKNNLKITFYTDRGLVDHYSAIIYTTQKGLVKQLDENVKNGGNDFKLQNNWYAIND
MLYLNRHFGSQNHRLLIAMSFCTIVRMFVKHTPLMIRLHLGSDQSEGHPQRMTEAMQLGNLAKICWDLLRRQTAPRNRILQQFPRFVPVPNSQYRGGLLAGYENSDSL
MSDDERRQLYATMLAAHTDHFSEPADEHRRYLWGLAAELAEREGLALRWGVKAEGAALPTRELNDKRLAEDALERAPVTSHLVSRWESRWQRVE
MTEVPLLDGPVRSGPVRIRGALELERTTVGVRPRRPAALGAPREFDQPLL
MNPSRPAAAVIDAVMAPAGRADPFPLYAMAHQLGPVPVIADGAFLVCGYAAVDQVLRDPGFGLRIRPDAGHPTAGWDRWPGRSCGPTRRITDVCGP
MTNPNLTTAADPIRAAGNELYARQSCHALAENFGVDAVPAYDEHGFPTGQVAVDPTDLESAIRHYAQEGGE
MTRRRRPPSARPALLVGLAALPLALGGCALLPFVGDDGGDDGSGGAAGELRAASVDGDELVRRVLPALTDAVPDGRWAGFSSDLVSCGGGGLGAARWSANGDLVAADPSLEALSAALEDAGLEVARDGQELSATSGDVELTVVRVPATGGGEAVGRVAVESGCADPGDEEETDALAAEVADVDYVAELDLPRLSG
MTTKALYITAMGGSLLPIGPKKVFTAAATNICTATAHGLQTGAGPFKVMNNIADAPGGLTEAVHASSFLTATSPIATDVVTIAGKAYTYIATPAADGDVDVGAATTVGTAKSMANLAAAINRNILAAATTYDLDTARSDTVKAVITDVAATTILRIVAATLDATIGNAITLVSSDATMVADNATLENGASGTDYYVIRLSADTFSLATTKALAEAGTAVDITDAGTGVTTLVATVETVAEAMEEVVVGY
MQSISGSRDVVIVGSGHNGLIAAAYLAAAGKSVLVLERSPAAGGAAVSQRVFPDHDAWLSRYSYLVSLLPDQIVRELGLNFRTLRRRVSSYTPWRDGAGRQRGLLLFPDDLQRSRESMSELPGGAAEWQSYLEFGRLQSELATVVAPSFLQPLQTRQQFLRQLQTADQRRAWDSFVERPLGEVIERYFRTDVVRGLVMTDGKIGVLASPHDENLLQNRCFLYHVCGNGTGEWRVPEGGMRSLTGALLSRCRAAGVEVLTESPAVQIEPGPRWHRVTFQQDGRECGVDAEYVLLNAGPRTAARLLGQSYQSQPADEGSVIKINMLLRRLPRLLDEGVLARDAFAGTFHVDEGYEQMLQSWKAAVSGEIPNPAPGEIYCHTLTDASILSPQLQAGGYHTLTLFGLDMPWRLFEHDHDARREAVLQRYLAGLNRLCAEPFEDCLARSAGGELCLEMHTPQDLQSELDLDSGNIFHNQPSWFFAETDELSGQRGVETPWSRIYLAGSAALRGGAISGIPGYHAARCVLET
MTIENPYFYKYQDIDYLNFSKRLFPILEQLEVETLGLAKPMSTAQRAFYTIQKAIQTKKNTACNSTLINTDAIRSDAIVAIKNYLQACQKKIQPEWKAHSKFINEAIKKHHWYVESASMEEKTKRIKALLKDIQEIPQLSSAIQKLHMQTWFDELSEAQNKFELALRNNIENSPTQDNCACTDMRNSFELMFKFIELMQQIQPNPVYACVIRDINTLINEYNQKVQSGQASDHTHKALL
MNGSRKPMQVVIVGAGQVGTLITATDSDEKNLLVSLLAKDVGVQRTVAIVEDGEYAPLFEAVGVDVAVNPREVTAEEIIRFTQEGQIENLSLVENRQAEVIEIEVTEESVLADRPINESITDLPSEVVIGAVTRGREFIVPRGETIIKPGDHVVLFVSTHILSEVMNAI
MAAFRLSSSERDGSWRKEAAIRRGMGSRVECGNSEKAWFFPDCVFRSDVMVPKQDQRNELQPTSSPPAMSFSSSFNPFKRRASRPSSPPPPTSSPPTSTSTSTSTSQQQQHPATLLSAPSNPSRLRDTLTSGSDDTFNDETAISEQDLNIETAEDAAVAQAVIDDVPDPNRLDDDEDEWEDDEDPVLTSTTERNRHITTDGHSAIPTTTHEDLTAEVAATARRLNRLSLGEHGFSEAEDWDRSRPDRLVISFWYGLTETLPEESAASTVAVVEGADGSSVHSASSAQRAGGDESAGIRRSRAYLVATDFSPESLYAVQWAMGTVLRNGDEVHVATIVDEDHATGEKGGSGKDAATGIEDQLQEAAAKLYTKTREILSEMLLYNIKTVVHAIIGKTKDALMALIDELPLTMVVVGSRGLNSIQGFSLILLNLLNLPPQPPRRFHFHLPRSQFLCPRLRRTTAFAQAAVAPQASHARAAPESECIVGVSKGRRVE
MQQSWELYQQVFGAYQSHGAPENIQRGDVTVVNVPLQMTRRPGQFRLTVQPDGSVASLTFLKEGVPVP
MFITLLGWLMVSPFVFYAITIDNHQAIVMIGASQRTQGIFHSKEYSFWGSVDSGSRLPAMCDFPNVKAMFSAQNHLPCLELVPIDLIHS
MKILVYGFGNPARQDDALGIEFVHRMQQMLEREFDTLSNIEFDIGYQLNIEDALKISRYDEVYFVDASYDEKENFNIVKLYPKPLQHYSMHSVEPAFILDICRELYGVLPETYMVKVRGYEWQMKEGLSPAAEQNLSLALDAFQYYIESDIQISEKQPMIT
MLLIGYGNSGRCDDGLGPAFAERIAAMRLPDIEIDIDYQLTVDHALAVADAERVVFADALMGSDAPFEFARIHPGAAGSLASHSLTPATVLELARTLYGKEPQAFVLGIAGAEFGEVKEGLSEDALHNLDLAEAFFLEWLAGRDKNAGRTGVASEPVF
MLLIGYGNPGRGDDGLGPALAEAMEKLGLAGVTVDSNYQLVVEDAEAVAHYPVVVFADADAGGPEPFSFRTIKPQAGLGFTSHHLDPRTVLAMAQELFGAEPEGYLLGIRGYQFDPLQESLSPGARSNLEGAVNFFQTWWRQRSCLTSAGGSEFAARSGKEET
MAILAKTLTEQNQIKKKMMTRLMIVGLGLLDFLVAGLSLMIALAFFALITSVLCAAAFYNNTKSHALGSPEQHALGSPQTRQDSLHRLQPIHHTDSWDDPRRANVSGKYGRMNRQRRRERFPEVLVGNVEVEGGPTRIVYEKWEKISSIVPSTFFQFNKRLLKDLKQGQRKGGISKEAIRRIKEGGMQLSKKETSQRTKN
VDREDRTKICPIGVVGEIYIRAAGLAEGYLNDPEKNKEKFIDNWFVNNNKWVEADKANDKGEPWRKYYKGPRDRLYVTGDLGEYRSDGAVRVSGRIDSQVKIRGFRVELNEIDANLGGSPLIRDCKTLVRRDRNEEPTLVSYIVPEIAEWKRWLETQGLQDIEEEGVEMG
IWRKHLPNALFSNLYGPTEITDVCSFYIINRTFKDEELLPIGKACKNTELLVFDENMNFISPKQIGIKGELFVRGTSLSLGYYNDKEKTKQAFIQNPLHDNYLDLLYKTGDIVSYNEFGELLCYGRADNQIKYMGHRIELGEIESVINSHTSVKNSACIFKDKIICFYESEEE
MIPPDEPVRPTDYGLPDLSAPHFIGLTTTVPVEVILAAGLVPVDLNNVFIASSAPQALVAAAERAGYPRNVCGWIRGLYATALACRLRRVVAVTQGDCSQTHAMMETWEEGGIEVIPFAFPYDRDPELLRAQIERFADRLGTTLEAAEEIRESLVPLRRRIAHLDVLTWRDYKVSGYENHLWQVQCSDFAGDPVKFYERLEAFVHEAEARQPNPPR
MLKKRDKRYKRSKMQSNTKIGITTTVPVEIILASGAIPVDINNIFITDVDPGNLVDKAEKAGFPQNTCSWIKGLYQTIISQNIEKVLSPVWGDCSNSFALSEILSECGIEVIEFQYPFDRNIKRLKESFKELYDRFDVSEKDVLKKHDDLQKVRKELKTIDDLTIKGNISGKDNHIYLVSSSDFEGNPDLFLKKIKNRPNIIKKESDDNHRIGIIGVPPIFTNFFDVLEELGFSVVYNEIPAEFSMIKSLDKGFYEKYLEYSYPYGMRFRLHTIKKEIKRRRLKGIIHYTQCFCYRQIEDILLRKNIDIPILTIEGDRPCEIDSRSRMRLENFWELL
MEEIETQKKRRTLRLVAGLALAGLGALALLFYWMYPAHQTSRGFETPKITVAHVDEEPFMRVELKEGESYRPIELMAGTEVEAICEVVSRASRRAFALTAFGTRQTAADCQFRVQVPDEVGRFGVFSFEFRDGDAATPTDVMDVPVVVVATGERME
ELAKYHHSKAFMGASGVTEDGKLSVSSYLEYEIKRTAVAQSKQSFLLVDSSKFGESNLMSYGTLDQMSQIITDVRVPGFCRDYCFEHHILLTTVWHI
MAAFGVIVGFVAFSIPVDSWIAATVLDVLLDWFSQLITIILLLVYLQIRTESREAQIENAA
MPTTWRKASGWTRYTADGKAVAVDYPLETTLVFDVEVLMSEGKYPTIAVAASNEAWYSWTSPYLLKEDANKEQLISFGNTKQPRLIVGHNVSYDRARIADEYCREPSRIRYMDTMSLHIAVAGLCSQQRFAWNQEVKRRNQDDVQTAGEYQTTFFDVSTLNSLKDLAKFYCQKDIDKIVFPRFHKNCPHPVSFTGMLHMGSSFLTVTEKWDDYLQKSSGKHRELEEVLDSKLIDLAEKARALVDEPEKWQADPWLSQLDWLVNPRQRKLKGSPKWYKDAYCTKEGRLKISLRSRIAPLLLRLKWQGYPLHHITKYGWCFKIPIDQATIAQRGKAVEQDENYFYLKVPHKDGESANCGNPLAKNYIASFEDKVLSSEYEAAREALELNAKSAYWISSRERILNQFVVWDTNSTVDMKLPQREDGKYGIILPQMVTMGTVTRRAVEKTWLTASNAKQNRIGSELKSMIQAPEGYKIVGADVDSEELWISSVIGDAQFGFHGATALGWMTLQGSKSEGTDLHSKTASILGISRDKAKIFNYARIYGAGVKYATSLLMQYNQDMDLETAKRRAADLYSNTKGDKEHYQKNPFKRPFWHGGSESYMFNALEDIALSKEPKTPVLGCTITDALKPRYTGTQFLTSRINWVVQSSGVDYLHLLIVSMAHLIERYQIDARFMLSVHDEVRYLTTEKDQHRTALALQIANVWTRALFSYKLGIFNLPQTVAFFSAVDIDHVFRKEPSMTCLTPSHEVKISEGVSCTIQDTIAALTSETDNHKKCLLGKSKKTSGSNIPQETVQAIIDQISTPKIDINLPFLKAQMYKDYKKHMDPSINGNRETEEKVYRRRRVRKIEGDEGH
MGTRSTAFRGEVSTGGIDNKVVDFLVGHHIIRAEYRAGARWYELTHDRLVEPIIADNAKWFTSNLSLFQQQAALWAAQGQPEGMLLRGKELELAEEEQREKRSESKSIPQVELDFLDACIKARSRELREKRGNLLVRIFAIGATVLLFVAVGLAYQSFINGEKANEAKRAAEATGAYAETQAAIANNQAATATHALGQVAELSGLAEAEALAGQAQITLDQSDTVRLGRLLSVEAYNKNKASGEILPSVYQALVNSVASGNXXNLDSSQVVYSSFLSDQEVVIITQNSDYYSFDQNPYTVSIVNVTNQNKRQIQLTVPEDTYFLKLSISDNSRWVALSFEGDNGGVNVWDTQSPSSGPKQLLIQSPVAIDSVISPDGKNVAIVDDDFLYFWQNDERDLNELDLLIADFRLDKGFSFPEFTGRSGRGLQFSPDGKWLAIQTRENIYIFDSMTXXFLVYVVKKNQYTSVLRWSLEDPNRAPYEIYKSSTADVTAMDISINGKLVIGDGAGYVRVWDINQDSRDPIQVASVHTGKIVNLLIGPDDQTVISASEVDGTRLWNLTESDSEAVIEYKIPSSVSGVVRVDG
MLSSESLVSKYFSEAQKLQLAKSIAENLTQSPQDLLVLAELISHLDSDTLADIYPRSLSFILQVVSSGKSELHGHAITLSKLSSVLLTQTWDAVLAKLHVEMSFAQPQDFNSGDKLICIFLSNRDDHIATSASQLIRWRIDSIVEECLASDASAKYYWDLVFDLLKLTNSKTHITNAFVLWLRLLSSEKSDFKDSSYFQNNVVNKDFYWQTLQLNLVGHSHETRKLCLSILQLSVKQIRVSFETPIMSWSTENKNNLLREWSRYTTLFEVLGIDTSLHQTQAAVHDIVGIISEKSLIHPSWGFCLLSTGFKASMDSVRKYSTEILFSIKPENLHLLKHGLSFLEHHYLPYLMLSRHFVVRPKSSTTNELRCDYAEKFSSFICAVMKSLSSPEELSNVLYTILSVLAKARDGFDAVRIYTCQGLVEGLQGKRVLQFGKHDELLVKLFDNLAEGDLFRKAIQTLKLAFAS
MRYGPSSARERQHASGKAQVRAILEAIGVVAIDLGTLDVGGPLASLPFGPLAMHNFVRI
MEPVGLAVGVAGLAGLFSTCLEAVQRVASYKNYGRDSRSLAAQFDADKHRLEQWGQAVGIKDGKLSDTHHPALDDAQKLSIVHKLLVSIQGFCSGADDTIDQRPALADGEFPKNKLVSTRLARPHQSAPTDPKLRQVAWALHGKAKRTEHVQTFATLVQYLHSVVSPDDATGTRLGCGARNGPTHLHDNSPVEGSWVAEIRAFLLKAEEEMRAETKRDLRTWLGCPSPNDLYDDAIQRRLDGTCEWILTRKIIRDWLSPNTPAFTSQMLWINGPAGFGKTVLCAKLVEVLSSSLQTPVAHFFLSSTFEGRDDPFMAIRSWIAQVLLQSQAALEVVHKSRLAQHEQGTTRATIIKLFREVLQAVPSCTFVLDGLDECTCVEETRNSGTSMVRFLGELRQAVTDTTARILVVSRSEPEIRQGLTQYPGFSEYTISPEDVHTDNISYSRSIVNNKLPNKDEPTRLSISQKMADRYNGQFQWLKMQENFLRKGRNKKQLEKDIDETPAGLDHLYDRNWEFKLLTKAPLYDGWGSTSTEDSLLEFM
FKDRGVVAFDLAGGEKGNPATAHAPAFAFARDNNLAVTVHAGEGDGADSVRQAVHACGANRLGHATRLIEDPDLTQYVNDRRIGLEICLTSNVQTRAVASYADHPLREYFDRGMNVSLNTDNRLMSGTTLTDEYHHAARHLGFTIEELCSVALNGFESAFLPWEERMDLLEDVTHEIEALMEESD
MKDDLRTLPKIELHCHLDGSLDPEFVRYTLEQQGESVPLPKLLELLQAPESCASLAEYLQRFQLPIRCMDTPQQVRRSAYTFLRSLKEDGVRYAEVRFSPALLENSSLTARQAVEEVLSGLDAARRESGVEYGVILCAMRHHTQEKNEKMFRLAREYLGQGVCGVDLAGDEAARPNGEFFPLFEQAYRLGLPYTIHAGECGSAQSVADALEMGARRIGHGIAMEKDPLLQKRCAQLRVGVEMCPVSNLQTKAVARLEDYPIRKFLSNGVLVTINTDNRTVSGTSLTREYSLLAEQFAFTMEELKQLSRNAVECSFASEELKEQLLREL
MKIFQPDHFRKILMESGLKIKALEGLKEDKNRRNLAEKNDESKPVFLRPNDVNGEYDFKRALKTTLGGLELRLITLQDLQVFQQNIETIGALYKGGITMPQIISLSRHEDIERANKEIHVAMPVSRRAGTVTFVTNAGPHSKKHNHLVNIEFLAYDSVVLSPEKQKGSTVKNRLANGKVKIECDCERFTFWYRYIATLGNFVYGRKEAGFPKERNPDLTGIACKHILRTVQFCRSPLGQRYLQMAIKKDRTKQHGRRYTATPKTLAKMLDDQIEQGQKAVHTIKPRAATEANKIAKRINQEAKRITQKQGKLDEKARRIARLESNYRAGLIDKKDFDFYMKVESERKY
MRIRKRSVAVLSRHSWEKAGAAACIGDGDNDENAKEEFLRPLVAAVMDVQSKDESEIAGDFRRKPSPVLEIPEMGVRRRPTHNWRNALLGFDLDSGAVEVGGEGINPNHRWNALVSRIGEDNFQSLTNEVTVNGGRLSSVKQEMSGVHRKRELPKLAPSEKCLMAIESDKEQDLGVDASKKKRSIMDRATECNVIGHINARRKDGSEINNGTIMENGKPKKKSKAQDNDKRNTNYHGGSQCRRRNGRGWRCSQRTLVGYSLCEHHLGKGRLKSINTSDGSIAENKNGGKFKELHLIHATTCHIDF
MRMRPEQVEMMAHQVVRRLDESGLVRFENREGAVEHVARALTADLQVEDRLNEEVREILRGLADQMNSQNVQYHEMFKLVKSKLVRERKLVL
MLTSTMKMVSTGLCKVVLLVSFIMLAARGQCMAQNNANYTFSTPVKIILAHEKAWLMHGFNGDECDNFLFNDMNLVENKLENRKYLKLSPVKKEDAGTYKCFTSAGVLHTIELNVIKKFETSSSRKYVLVNPSICNESHENVTFTCDAEYHGPSDLKLNWMRWTR
MTSARSLPQGRYPAERSPRSRRRWFLALTGLVIIIGVLIAWVAYQRFADPEISGEATGYDILDDTSVEVKFTVNRKDPTIPATCVVRARSKDGSETGRREVYVPASQDTRLPMSAVVRTSAPPAVGEVYGCSDTVPPYLVAP
MHTLRPLLNLMLALVFLVQGYGVAAAGRSPAELRGHPTSVSIAGAQLMPCHHPSADAGKRSCCNAACPDMLSCALGTFAILNAETMLPLAPDQLPAGRIAATLYPAAPDGASFRPPITLPA
MATVKTSLSGERSSSVRANEPGMFDPKSSSQDSRRPCIITHLGLVQLKRIIPGAVPTLHAQTKDLQEAAPICNKDGHGNLEGVTVTSEISPEQRNLPEAAPNCKSSSSGLRKGKKRCFGEISVVANEAPLQMGEDIAELHRKIRNIQCQLDMEKRKKENILREKENILKEKKACEEFVIQLRKELNIAYIKVCANIRRHLCKHSLN
MKRRSTVCVVLNAVEMVLRSYLRSFVLLELRLRFYVCRITSANIGSALKPQKGIEKIYPPTSDGVVETLCNALRG
MSTSCTQLLVIVCLYFHGDLLVNGESCNGAVVLVGTKDVITDKNNDQWGIRLNGKIEINGKVLGLTSNVIRLVYWNRIIYQENQYLVWWKWVSNTWWPELNSTIIAYLTSSSGCSSSSVSASSTSPASTTTYSSTSPRIPFTPSQCNSSYVGSFCNISVNLCNTQPCENNGTCIKNSSLTSGYFCRCLSNFNGSNCEYDHQICRPDTCLYNSRCIEMNLTSFFCNCSQGYTGDHCQSAINYCTNVTCMNNGVCRPLLLDYKCECFSGISGRHCETIETHVIVRQYVAKSFMFISILAISIAAGFIVVLDVLKYLFRMDVTWKERQQIRYKTYRKTLDDVERRSLKNEPLDNDTTTSDDVNVDEIFLIILVQRRPVLVYIHHDKNDTINMICKKVFCSEIIIDYLLENYLVWPWDITIEGNRNKLVQVWKEIFPTELLLDFSKEKCPMFIGITRRLASNKHWYRQSQYVFEEMIKNNTVVGIDYTAIREILYRKLLEFKDKFDKNEQDLAFDLREQHDLCWDVILEICQYLTLNDMINTFHDSILSTLSEINMKVQLSNPSTSVINMIFRQLRPKQIASVHFDASTFPITKISNTLAMLPNVLSLTLDNLKSIKEIEQWNAFFPDLSRLSLRYTDEVNLYAFHYIFGHISNSVKKFEIHCTQSKCKHGWSLPNPTEDTRNITIKRFLLEIDHYTNPDSDSCRRSYQSCLWRTLIELISNMCNLRHVELVVNEAEAVQLLDWYQWQQVMHCCRQLNTIVLRVKGEMVHPLVTIATIQERLRDVRHTIKFRVIFQ
LRGETDEPVTTDIKRLIRLPLSLHGGSGLVVTPVAIDTLESFNPLVDAVTFGNDMTSVVGIKPFEIQMQNNTYTVEPGTCELPECAAIYAMCRGVCEYGK
MKKSFFVLATLLAFSCSSDSEDIAGNEENTAPIIANQQFQIAEHAPSETGVGRVIATDANEDELMFILNDSEDFILDEVTGNITVGPNLQLDFESQTTYELSVSVFDGEALADATITINVTDINEFDELSDEQKELVAHFKHLTLFQDNTSPTQDVMRKWQEPMKLFLLGTFDNSARTMVQEVIADYNRLTDTGSFDISLVTNETEANAKLFLGTKASVESVFPAMYEQIRNLNVDGFAVSSFANDTYFSSEIWISNGGQAICRHELGHALGLGHSNLCDGENASVMCSSIRPQNQLLGIEEKVISYFYHEAMPTGLNENLIQDQLSNLILLEDF
MKRIVLPILSLLAVACSSEIDEVTFEEVNEKVTAEAYTDKTAEENSSAKDANSAAFFKQRSFTVAEHSEAGSSIGFLPATDANGHPMTYTLESGTDIDIDDLTGELKVGATLKLDFERTKNMEFSVSAFDGKTSIEKKISLAIQDVDEMALLTADQKELISYFQYLTLWKGPHVSEIDKNRKWTTLMILHLDGTITDAYSATVERVIAQYNALFTESDFRITLTEDADSANASLFFGTKEAVADVWPDMYAVIKNGNYDGYTKTPSKNSAITSTRIWISNPIGVLIKHEIGHALGFGHSDHCEGKKSIMCSTVSSASTILPIEANIIRYQYHRDLPSGLSEKEIEEVLANIILNER
ENQSRSGFGFGLGVEQVQGAALPTHPPLRTKTISLFSANRHPFATPVPYCGAACAHGRPMLKNARPSLRSIQDDIAMAGSWGSGNRTYQATRTVQLPLHGDSDNVTSN
MLADSAGEVPALLACIATYLDGLYFADAERLARVFHPRARYFSTAEGHLKEMDMPTYFEVVRGRVAAAASQQPRYDRLMALDIAGPHTALVKLECALAPRHFTDYLSLVKDEGCWRIISKVFEVRQLPAAPN
HVKVESSTEDRIAPPKRKNSRVVNVVKKEESTSKHFEGDVGKTALVQTSRPTPDECWYATTELAKLHPEVVDRNEERRRTLLESCGMRNSVVDAVVSTMLSQNTTDANSKAAFASLQKTFGKDDWHT
MVRRVAVALLIAATLCLAGLGAYSKWFASPTVVIVDEPQFVKPAEPPPTEAEQFANLAQTDVVATLAACLSNYEKETTGFRATLAKRERVAGKLQEPELVRVVAAGDVPGPDKKTHIRVRMIWDQGGSKDLLGNVVRGCLYSEDKSPEQMVIFRPTALLKEFSVPSKSGLARDASRYCVKDAGMYRSMLRTYVAWKKRQDTGELAVTYLGKQIVLQAGGRECYAIKRTCKTVEADPFALDEQPPTDARIIDRDGFSEVTLFIDAERRLQVGTVIRRADGELVGEYYFRDAELVSAEFPADTFTPAALRN
MDRIFARFFCFLLIAAVSHAADLSPEQYWRSILPNTPMPSSISQLLNYPYLPAVRLPRRTDAGQRNYKSSVSHVAERSHRVDDGQRNYKLSALPATNELPHRTDAGQRNYKSSVSPVAELPHRVDDGQRNYKLSALPATNELPHRTDAGQRNYKSSFHLWLNYLTV
EAEARAEMAQTALATLQARDDAAELRIQWAEARHAASEAEARAETARTALATLRERDDSAARASDALRSEAQQLVLRSNLAAEREAADARRVLALMAEVQTARAEAQAVSQERAQTAELRIKWTEATRAAVEAEARAEMAQTAMAALRGREEAALRCEAHAISEAGAGAAAALEAASASRLAQAALESLEARERAAARCEVRAVQEARASSARALEASAASWMRQARRQGLEAGEAAGEAVEAEEIRFETREWRRRAASSDVLAAEAAALRSQTLAAQSEAAALRNEVEQESVLVQRAQVARDSARATEAVTMRSTFMEVRTEAARATAAAKGEDRMAAKMRRLKAMAEAHALEIESLEEQLVQREAFFDEVRLQDAFLEACDRDCGDLAALPAPASEPQ
MTCCRSPTFHSPVQSGALGSADCGSVSHSKARVSSEGREYTASLSVGFCILVGGRSCRAGSRGRVPASSKPFRDVLGVDHRADPLRERLCSLCCSLHGTAASPSAPPPSSRGTEMLDRRRAYPARPSSEKQDPAGPGAPPSSIRAALVPLRASSTVAERPNTRGSGSATQGSSTPVVTR
MNLIRLMLCAFAFLALTNLAVSAPVTYSFTAEIVSGSSSFVGLRTPAIGDEVFGQFAYDPEEKLTYDNGYYADYDNPEARTSASFLGTSVSDRLTHIQVFDDPIYWGDGIILNAHVTGTDLLGSYDVISYQIVLLSSNLDLLDRLALPKSLALSDYEKDAYFWFFGENDKGVDYFQANIASLSFVTPVPVPAALPLLAGTICFFGAACGYRKKFSQ
MTITSASMTETLPILEIEDTSDPTGIITVYTCSRLGRTANPPSRGFGDWFRFGRRR
MSDADAIEFAKENGIDVNKIFYMSKRERLISEEIYLRNFEDAKNLGHMFMIIKSSKKVLAYFKRMVLMVKSLKLQVIITGIIWEEEEVKAWRNDILIKFLHYPNLAFSKHRPGALYDAFIKVNVLGPEHMLALAQTFY
EMYEPFQLAVNRVRVKANGSAQLTVSFLPFEAPANFSVLLGFFDPKAGEFYYELFGTSSPPLPLETYKLQVKAETLGTKELILPHRNVQMDRARMWLEHRNAGSKQQ
MQDGWTAGSGHPTLAVLPPDSSAMPRLPTPAAILLAAILPCLPAQAACDRVAADLDSMTSADQALRKRINHLEGKTPAQEKLMEHIRIVDRENTRRLKAIVAQCGWPTKAKYGEQAAGAAWLLAQHADHDLPFQRQALALIEQAAADSGQPPDQLFALLSDRVAVAEGRPQRYGTQLMAPAGKPCAYDFQPMDDRAKVEERRKAMRMPPLEDYRRILLQMQHCPIDVVTGRPNDDYHYAPPIGAGR
MSWLLPRPDRRSVWLLLGLSLLCSVLELALLQHKYQLFSGGGFLQPHSFTLTSERVLYVFAGWLADLTALTCAFMLYNLLCRFQTSSRSHLWFALFVLLSYSSYSWVGTELYQYFGDNLDWQVLANLGGGSVLAAISMVLTELSQHLLPVIFALLLGIALLYCIHLAFRRYRTPVSDAKQWWGYTALLLLLLFPLQLQWVATAQPYRFGLEKKLSQQVLAQLLNRLTDVDFDGYGALGSKADPHPLNAGLYPGAMDVPGNGIDEDGIGGDLPLPPAHSADALMQLPLRAGQHIFLVVLESVRADALFANVDGQAVMPHLAALARQGSYSEHAYSHTGFTTSSLKALFNRSLSYHPPQQNLLDALQARGYQINVLSAQAERFGDVLTSARLKRKGQYYFDADSALSDRLDPSLNAGALRLSEQRLLRQLQQRFAEVNWQQPQFFYLNVQAAHYPYHHQEMLPLLTKRAINRQQMQPANKALLQLSYYNSVANADWLIGQLQQLMQQQGIAGQSTQFYTSDHGESLFDDGFLGHGHQLNDTQTRVVLVSNRQVSYPKVLGHADLAPLILATAQDVTPPATEDAVLQVVGLVRSPQQIARVDADGRMLYDFRQQHFRRNDQAPWQDFDQLSAADQQRAQQLINQWGWLRYQQSELYQQQSARP
MMLDKSKVRNAFTLIEMIVVMALLGIVMAISTAMIIGLMQFSSSEVRLGNRNMEVAALANLFRSDVRVASSALITSSKENKAKGLKLALLSGGEITYEVENGVFVRVSPQGKQRLVRVVDVENMSFLVQDNGSMVELYYEEKSDLAKSKGRTFSFRAALNRGQK
MFSNNLREISNKSLRNDHIREIHLYKNPIETLLEEAFSTKKGPLKLFMDCDELVQIPWFSKNITSPFALNLTCPFEFYLRICLKNRLPPTVNLTREGRKSIKATLIQDGFNCTKEDWDTKCSPCPPGSYGDGVYGCRSCPSGNNNSCKC
MIAYYRDVGEAMVPYMRERPLAMVRKAATLVYLANQACIESHVFLSRLDRLDRPDQRARDG
VWEYWAPYAPAVEGDQATNANAYSLFRATKIAPDHPALAGRDLTPLDPQPPLGPASGSNL
MSASVGIAALLWYTMDKSNVGSVGFRIENQLWGMLGYIQAGIFFTVFWISTIWTEGHYWVMDALKGKKGLGSEFCGNKFSKCRPWN
MDAGVWFCIEIRFSAGVAFCTGVGFLAGFPTGTGFCIISKAGWHCPLQSRYAPPVQKTK
MKLEVVRCTQAGHGGRDGKKHPTSHTATSQEITFSFSRSSSIFWPALLLSSSPLRICWTDQETVEVGRNPAYLGQRTD
MTEHTQPDGPTPSGISLVMSRRGLLTAGTAVASAVAVGAVPTTAAAAPSTNGVIYGVEDDKDLLWYRHEGRSNGTPRWANNGTGRKVGSGWDFAHVFAGGAGVVYGVEHDGDLLWYRHEGAADGTARWANRGRGRKVGSGWDFTHVFSGGAGVLYAVQGNGDLFWYRHEGRSNGTPRWANNGRGRKIGNGWDFAHVFAGGDGIIYAVEHDGDLLWYRHEGRGDGTARWANNGRGRKVGSGWDFAHVFGGGGGIVYAVAFDGDLFWYRHEGRNNGTPRWANNGTGRRIGNGWNFEHVLYGN
MQESVLADAQEGEVMDTSKTNIKMADCPEIQKQLPEMAGKETYQVDGLDGRLFFHKGVEYHLVPSRWRSLTANKNFTWLPYQHQLQEMVGYSFATLLVEFEKFTVWRLCECSFPPETMEQLWLAFVMKEKYGKVWSGSNWITK
MMLLLLTLYYSFCVAFRTIKREEMFFCRRSTIDCWYRMDLIQVIVLGFPCLNWECIHMIGRLCGLVLRWYSLTM
MNGAAKGQAARVARPGEVAVAGIGPLTNLAFALRRAPAWATAVRTVCLTAGNVAPHAGPEHNVASDVTAVRAVFGSGARVVDADVPAVAGEILRRIAAACAAGTA
MSGESGSGANPTAQNHKTNGQASEKSHPENLQDALQLLSSLSWHDLLVLVVLKKLLEKS
MKFWRDLPQGFTIKILNSDSFGVRSMATAVEIDDLRIIIDPGAALGPSRYGLPPAEEEEKALVKAIDVISKEAENSDVIIITHYHYDHYMPEEEFYKGKKLIIKHPSEMINRSQFGRAKRFLEHLENLNVEPKATNGGTYEIGDVLLEISPPFPHGPPGTRLGYVLMVLIEDKSSGLRFLYTSDVQGPIDSTALDWILEKAPHIVFVDGPPTYLMGYRIPARAVRQGLDNLKVIGGLVKALIVDHHM
MAHESSPILSNSPPTEYLNEADLFEFPLIRATNLYTDEGYAHQSDMTNDEGSSGPSRSPTNLNEVAGLRPSYFFHRDDYSDPSSALLTLGRSSSGYERYRRITPDLPTSSTNRNQIGNEGLRVSRDEISDYGHFSPLCSVEWGDDDYNGDEESTFGQLIGSTIIILHHRSSVPLVRNDRSLSRQSL
MRVVVCMVAAVVSTLTLATSCFAQAQQMRVEVVAPFALERFAGRGAVGLLVPGAGPSVDRAGALAALVRGRLEHSLLGRAPEGDPVIELGGEPGAATILVSLPPQGAGGNTRRYPIAIVGAGWRGLLTSRSTRIPGLVSIVDVAPTALGRPDGLSTQRASDAPAALRELDRRIDRNGSSRLPATVLAGAVIALLALVRPRAAVIAFATVAAANLLLGLTAVSGRLAVIAIVAASAAAAVPLERALRTPLRLGLALAAVVVAYALVLAVAPESVALSPL
MSWIAAGVGKSRFIHEAAKKAEAHILRTTFEYGVLKSVINEADSSTYHDVSAIMEIWLLTFMLGQTMVIIMDEAHCMGPPPASLRTSCNIHELISKTKKIYSRMEYIDDEVTPMAKHFVSVALHLVLQEVALAWSGLTTFTFCQDVRKTLPYFSYDDVKAVVGQYINLGFYSLSSTEVTSVIAQLTGPPIPRITEIFLETCWQKQGTSQK
MKSLLRTISASLLAAGMLCMLLLPPAEKAHAAGLWQSLDDGLDIATFAIFTPPSANASMVIADTPAGRPYEHTAGQTPDTTSDTTSPRSSAHTPSQITGLDNPLVILRIDPRHYEFLLLSHSEQGHSLSPFQWASQHGLSAVINASMYLPDAKTSTGYMRNGDHVNNDFIHKRFGSFFVASPFPDGRVFFREKEQPADEDTGESSPLPEGQTGSFEGEMPSATLLDRQTDDWNPLIDRYAVVVQNFRMFNAARMPLWPEDGNAFSIAAVALDAQGNLLFIHCRTPLSVYNLTRMLLDLPLRIQKAMYVEGGPQASLHIQAGNIRRTWTGRYASDFWGGVQTERPLPNVIGARKRK
MSKNGMRYRLVMKRLKQLLIFTVIPIEIQDPEKGRNPYLSRIKQFRRIQRGIALTSFQSIPYHNIVAFGGMNNTPPLGVLSTGKL
MRKDGGHVPSTTKQTLASGQLYNSGAVAAPTTIARPDYTLEGESKPTAATPVLRGSYWLEAMNGMKSAAFFATYWHDRTDQNGFAQITLTEQA
MKTRFFLILLTLIILFGAWLYLSYNLGTNEKDKLEKLANLPIYAYVADTTKVAPILTELKTVPGIKNVVHETALQAATELIQSYGLPLNEEMIKDYILPDIITINLQPNRVSISNKPVIIDILRSHIPETDIDSQSSAYSLIIQELSHLGLYNIVFNVFIAVLILLIFVFSRTALELNTLLHYKGIKYSALEKIRHQRQGLQHTLLMLIVPLPLCLLAYFAYVYFKPLPQVIPYWVFLVQAGTVILGTMINYFILHSFEQQVALQENPIEVITPPEMESNGNKEQENDTPFA
MNPETQDKQHRDQEEELQHAAEHEKPRKPQSVLIYLVILFAAAFVLLAWTFLMQQRSSNETIAGLRESVSAMESVQTLQEDNDALRQQVASLEDQVEELLQENAQLSREDGARQDEVDSLTAQIQALQRLNQLRALYNDGAYSRARALLEEWGEPGGQMEELLSQASASLTEEEREIYDPAQAFRDLVDWLG
MDLIITEAYSHPKDTPGVLHTCELVEDHGGHVCPVQLVCDQGVLEQRIQKQDRVEAGKTSSVEELRSLMQQYEFFTPIPGRESFSINNTDVQPDEAARRIAAHYSLSLI
MENNQAKATRFGSLNDYEKGSIQLISGEASNYAFSNIFEVASQSAPYEKSVVGMNLKFVIESLKAEGTSPWFTASHDEFVIVMDGEIRVDYIKLDQPLVDEAEEGTRLAGDNPQGKKMGHAILKQGHQAILPAGSAYRFEAAKPGVILIQTIKGPLSVEKWHSICIQ
MEQPWVEKYRPKTLDEIVGQEEIVKRLKNYVKRKSMPHLLFSGPPGVGKTTAALCLARDLFGENWRENFLELNASVSKDTPILVKINGEVKRTTFAELDKLYFNERDGDISYKDTPNLEVLTVDDNYNVRWAKVSKIIRHRVEKILRVHLEGGGVLELTGNHSIMLLGENGLVAKKASEIKVGDYFLSFVTEMPGLLDKISLNNYQLRRESARTKVFDELYINEDLAWAFGLYTAEEFREDTSGQVIYTLGSHELPLIERIKTIAQELDLSIYENFTSSGFDRSRFSAKQVRILNTQLAKFIKENFYDGSGERAVNKRVPSFMYEAPIQDRISYLKGLADGDIWDKVIRISSVSKDLLIDIAWLSRISGIESSIFDQEVRLIWKGGMKWKKSDLVPADIVISLLKKLENKINGNWRYELRHQLYDGKKRVSKDIIKKILKMIEVEELKEDERKILSLLRKLAYSDLHAVKVTKIEVIEYNDFVYDVSVPNNEMFFAGDIPILLHNSDERGIDVIRTKVKEFARTKPIGEAPFKIIFLDESDALTADAQNALRRTMEKYSNVCRFILSCNYPSKIIPPIQSRCAIFRFSPLKKEDIAKKLKEIAEKEGLELTPSGLEAIIYVSEGDLRKAINVLQTAAAISKKIDDSVVYKVSSRARPEEIKKMINLALDGKFVEARDLLYKLMVEWGMSGEDILTQMFREVGNLDIDERKKVKIAEAIGETDFRIVEGANERIQLSALLAKLSLLGKEK
MSYDPESYREDHRRMYGAFMRATTWGTGAVIAVVVLMAIFLL
MIGSKIFASTLLLSNSLLFARKQQTSQQLKGYAECEPTGAEQGAQDLDQIFANAQGGMPPDPEEIQEMLMKAQGKTIRRPPQFQRMMMGLKMLTMVEPLDGIKFDFMAPLSQRFQLGGAWNFSNTKANRFELQAVLSSLGNGNPMMSQDEMSFVSTRSDSSGKLEFSGSYNLGNNFSLKSEGFFMDSDPQKSHLQFELMKEFADSHLSYKFGAGSHNISMMQTFSSKFLGGFEMIYIPHQKEVHFCYGANFNHDIHSFYAQYIPMARKETMSVGYVGRPSRRLTLFSELKGSLDGFSDTVLGFRVKFLEGVITGTFSSSFKATSTYRRVIENFLMLQFCSQIDFQKPEKPAVFGLSLSLGGM
MTEMSKRYVVKPLGEGQALQAYPLVQNAVPHLTLDQWLGYAEDLCGGQRVETKDTGVMSAQNPDGYIYGIYCHEVETDIQHGRVLKVSNLVTANLYDSAGIMDRMIDSMIDKARDNDCVAVQVDLPEEAGRGPQPVEGTVSMLRGAGYRLASVALCRPVDKK
MSLQHTIDTFEARDASPSRAGSERYWAPCLAVALVAMSLFYVTLKDLVHESAARAPAPPPAVMPEGDAIYSDGPCPEGASASTLRLPRDLHASASQ
MALQKTEIFMSKNNPMTKKEQVAEGLEYSNIFNAISNETHTANELQCLSSLFIEVRDAINNQEQSSLIETEELTAIFLKSLDVFDTPKQAKGWLESICPALSNCSPVSLLTSVEGRELVSKTLRYIEYGEFS
MTFAKGLTPVASAVGVATRTTDSLPCASGREAVASQTLRTVGNATLTSVGNLRNALAPQCLFSQTVF
MNYTFSNKTLHVTLLILSMIAISVLATFNITILAIMLGMFITLFLITIKKSHYFLFLSPFLTLSLVPSTFVPSLTFSTSIGTIRPTLILLLVGLIFFIVIIKGFKVDFTLVHENLAVINLLLLFFLMNLASIFINGFDSNNFRVSLIIFILSFVFFIFTLIFKDSINSLQVIKISIYISSLVSLIGIIEFFGIQPYLPLYMIDREYFAYSAALDGLPRVVSSIGNPIILSGYLLLCFPLVLYIRKIEKRKLMWDIIAILHIVCVMFTLSRSSMLTLAVASSYFIIKTEKIGKIAKNLFLAISLFLMFYLILRFNGLTQAFEERIFFDSNDISFDIRMQAYEITKNILQSTNPLFGIGRLSLGEYLSNNANFMNGTLENVFLTVLVGMGIIGLSILLGILFFLLRSFSKMNGDLKITGYCLFITFLGLSFFINLLPYDLLWGVFWFFSGLLLLHNNQINNK
VFLLSAIQEKRPDAARIGGFVPLSLLIQARKRGGFGVTARRTPAINGQASNKQRNLMRKHGVSLLSAV
MYCTHVVKFCQKCHSVATYTVPNALGLSERLNCTQCHESDSLKDWDGIVCPLCGKGLHASGKDVDRFN
MSVLMRCSEIIARPVVTMAGEAVAQVKDIVYAPTGGQVAGFTLAGRGPFSGPLDRGLGWERVAALGADAVMIADEDALGPLTGALAHASSRRGSGSDVLGAEVLTDTGTALGAVVDVIVEVGDPASGRCDVVGYEIESSQAVRGKGTKMLLPLPDTLAASGEHLIVPASATGFVRDDLAGFGAAVDAFRTQLRGAS
LVIENAPLGIESAKNAGMQCIALSTTLAREHLTQADLVLNSICEVKTLLLEEERSGLEGAENCSPGA
PSSGPSPCDGASLSARTTFTIGAAGHDGFDVQLHNQGAVACTLTGYAALSGEQGSQPVTLNVQHEASGMVINPTGPGGTVPVRTIVLAPGGDAYFSVEYVTVNSDPRPCARLTHLLVTPPGAATQLVLNAMPSLGAVCAVGGGDPWMNETPVAAAPYLPYP
MLRSFCGCLRLKVGCILFCIVFMVFQVRNLRDCFAVLKYLGPEKNEDEENKKQKVEAKSHYTIKAVVAAFLLAAAMVTLIAVFMKQTTILRIGSVIVFLSAFMPFLLSALNDHPSTDFTIDVIILGVCMYGSCLLWSYAVEIEEPEIPIPVTYIESAMPPPQYQYQGYYRPT
MTKNPLCTLSPANSRAHSLWRTAKVVFWRTKQREQDTINFSQVLEGRRGKNLQCCFMKYWARSWRGAAPSWHNGGGWWQVLLQMEAPPCDGGVLRWERGRESRRGIVDATAHPGIWTE
MDSYMKKLFVSAIIGVVGAIVGTIALKNYRISHRLYTDDEYTIGLREAIGQNINAQGLYSYETVEPDPGVSVPAQVHGYQQTGSQERGDDEEEPGPDKELHKKRFMFKNKKKTQDIDAPSTITV
MNITEIAFYGVLILIAINLILFFALLINKIVNNRKAEENKRLAVFYEAMIYQYISEKEKEIPKPKNAEERGILKNIILDSFLKYEPSTHGHLRKIARETGFVEEEMKALKNPSDLRKAVAAYALGILRIREALPLLKKIRTDQRELSQCVTRALIQIGGTEEIDHVITNMKSTDYAQKAKVLELLSEITEEDIFPQMETYMKGEDPVKKSLAIETLGTRKDVRVKPYIREGLFSEEKEVKISALKAAISLKCFDCGEMKNLLSVLLQDKDWEIRAFTARAMSHAEDPDEEVLSGLKKLMEDPNWFVRFNASESLFQLGEKGVLALSENLFSTDSFARDKAWGIISRELALYDLRGRISGFRNGDQILENINTYKKKKMEVRTNA
MGGEPHPVLGLAPGQPADVIFVNAPLRDYEQRPRTNDFTLPVLGMAYIATYARAQGFAAGVLDAEAHGLPLQAAAQLINSHSPRWVGLNLLAPTYEMSAKLAERVCCTIR
MPKLMGMRFRRFSNSDLPPYLGGRPAGKSDEPQRKRINLRLSM
MKVYVRLPEGPETVVNLNLVPKVGEHVDYGGMRYVVVSVVHSVETSKTTLEVVKTSGDAAQRALKFNHFARYRTSEISSYSPPARSRWG
MERGCSAGSDKTLHIIGLYCSQTQTSYRSVTLIHRLPKADNPSSNSRWCEMTRLRRAVTSLTNQAAFIVSVTCTPWHGVWCLRFQTDGLMAD
MFRHFFRLHMGFSLVMLVLKAVMSVVFMYRSIKYDEKTLEDSLQALNTKVQQQLLDSPKLKRLLERMDAEDKANTARENEPITRVGTDTVGRGRVNDASKYQDDKSTRSESERAVIKNRYDPSIRLDADSAPSEERDSQKIQEDTESGTSRNQERSIARQDNEIAANQIRDNSGARQDNEIAPNQIQDNSVARQDNEIAANQIRDNSGARLDVAIVPNEKREVATSNRENINLDEKAPKKKRKSLLIFGHDRSGTTFLSRMFNADPKIFNVYEPLWVTQHSFKPSAGAREILDVLKGLLGCKFSTTPSGITFLANISEWWSIRVNSNAMMSRHFCSIRDGKGENCLDMSRDSKRTDRVCREEYKHSVTKIATARTPQHKIATLLPRLLDENPDVDIRVLHVVRDPRGNVHSRLKLKWFPDHPHPRFDGMVKEICDDIVENMKYVKKQKEMDAQEVKRNRFMTVTYREIAGSPLETARKIYDFAGFQMDDALAQWIKKSTKPSKETLRKELEQPYSHVRNATGNADRWQKESPVGRVRAIERICQPLMRLLGLKRMETHEEG
MLSAHDDLLCNKQWLDGNIMTPMRLYVDAGTCAPAKIPDERSGPFAELFFVAARDMDSWDPNGVYVDTISHGSVFISRQGCEGECPETADLFECPAAEPWPDDRVEMRFVGYAYEPSSDGYDHVCEILSVEDNAEQVTYVLDCGAL
MIELGKYANTVMSAYGISLVLLAAIILQTWRANARARRDLEEHESRG
MNSLTKFTENQIIMQKSNMIEPDNESETANLIAIMRKRWKILTVTAIIICGIGLPLIWLLVKPYYLATAAIRVAPVISSILFGGEEAIPMYRSYMYTQADLIISDRVLQRVVDDLSDKNIIASDIEKPDPLKSVRNKWSAKKNSDLVLALKNAIASNQLSVAPEDNTELIKIAVKSSNPTSASKIANAFVQAYMTIVASEEVKDGDHKLTVLENERRVMGEKIERQRKTLNDMAQEFGTIVLDNRQQMMMNHISRMQEKLTELELERIVLQTKIDLHSSKEEKTITPEMMAKLRHDFINSDLMVQTTASNVANLEQVLITAKQQLASTNPELARKESLLSALKQRLEELKEQASKNFDEMATMEFKESDLLEMQSYNDKLNQLSKHEQQLRDMLKSEDSKTIELGRKQLAMQDVKEQLDSSKQMLETIQKRVGELEMERKRLARISVAYLASTAPYQDRRMQFSIALMMAGCVSGMGLALLTNKLDVRLKTPDDMKRIGVRIIGTTTSKEKIKESMWPRQLADDYHAICINLGLMNNCTIPNKLAITSAGPQEGKSTLAVNLAMNLAKIGKKVLLIDGDLRKPDIAKYLNLDNKGKGMHQLLADFKLRDLLMHADTPGLAVLTAEPCDIAIINKLIHHKNLVEFINEVSRIFDHVIIDTPPILAAVDALLWSSMVDGVIMTSLAGRTETPDLKESIERLKQININLIGIVLSNVSYNKVYYRYGHDYYGKPATVKELKQNLPSENTSEKVKQQV
MDTTFLLFLLLGSAAITTTGAAETTDSTTTFQELETMLKTLRSHGYTLFSNAITTTELQYQLVTTAASDDVNRTTSFTLFCPKDSQLFALDMASDANIYVSTLQYHVIPHRRLTFAELQNLSTSFLDTLLPHYSVLIGKTQNDSVISNNGSTGVLVDGVRISAPDLFVGSRTVVHGIDDILMTGLNKYSEDLGEEEISNKGLGSATPAATPGNSPGEFLAPMAQFDWNIPVPPPNTYLDTIPQFESSNPVASDEETLALLAQLAWNIPVEEPALSPVKKGNQKSIKKGGKRRRIRPGNRRKNRHRDHHFDDL
MMLKLKDIAQEAGVSISTVSRALSPDTKDKVKSSTKTQIDYAIQKLGNESHQSFISSTKDELSVGIILASSNKTFAHEYFAEMLNPLIYEFYKSNCEIKYVLAQASLSENMFLDAIQNRTVSGAVIMGRIDMELLKFFKSNIPHLVYTGVNYVGQNTDEVICNGYEAIKTLFNHFISIGYETIGYIGSTGNNSILKNDYQRFDSYKASLKNYSMNMNNDFIVHSSDTIADGHIAMNKMIKRNKLPQAIICTSDSIAMGVINALYNAGISVPNDIAIAGVDNLNLSQFLTPPLTTVNVPKDELSRIAINMLLDKIRNNRTNNIRVDIPCELIIRKSCGYIQKK
MSHKPIIAYAVILYFLLVTQSTSGQFINFKTDKYWADIGLGSFNTFKYSNGIHENIGINLLKKRVLYKIQFQYDDEFKIMSPISPQENLYAFNILIGKQLILEDMLFLQLTTGIGAISGELRGENILEKKSGLFAPTIYNKEKIITPSLPLETELIFTPIKYAKIGFSIFGNINTKRPFYGFAIKAGLGKVR
MAINDIRKINVRSPYYITVEDEFEPDVPIPPDPDPPTEPVVSTIDLACGNSINYAAAVGVKKIRISTANRQYGDYTVTFSNITIPIKYRIYNEGNATGSYTTKGLSQYADEWLENTGEVLSGTAGTAPISATLTHTTNSGNNNGENLILEILAPMPIIGTVVEITSCASEVVAPSPSTSGYVTVITLYNTANLTRWVSGLLELVSGTSAVTIKLNDVNITLPSAKVNGGIRIICSDVTPDWIVDPTTLPYIGNKINASNLPVWANWTYSESGNNGQMTTVYVNESALTSSLNKLEVRTQADHLGQYKLLIASHPTSESGGVNKILTHNNSETVTGVQMTLNHTLGTDYNNDQLFNRNNIYLSDLNEELPTISSNIFNSPKYRSGEFFNAPQEGVQFNFSNARYFGI
MAVSSGTTPRMKASEVMTIGRKRRRVASIAASVGAMPAAVSRRANSTMRIAFFAASAVISTRPICV
MKAEIMIPESLTTASIAFVLDVRSERETIIIGSYENIHDYLTKGRNSNDIQVSSRKLGTLAEEMNELAMADNRMEEIIEASNGLYNNISEAQGNKNAEQYISALNGIYSRAPRANMLRNYIIFFIFTNYMRIHKEQSGEHHIFSSETRKSAEKFSAEYNQIIKDMLTSYRNLPKIEETDVHYWDMFRSIGSDSTDYDAHICHYNANGESKVAYITDDSFIGLIQIYSDILNQCNKKVRNCRVCHKLIIVERENDKCLCGNPDCEKKYSSDRNKVAQKKCRSNTINEIYNRFSNNSANFRRNFPNHPEFRQRIDDALKAERKVLLDEKKHLSESSPQDKIDEFQNNCNEAYYRLQALNEKLMEKR
MSVKGNKDLPIASEEEDLFGLQDYYRGLADFIDGCETPFTIALQGDWGSGKTSMMNNIAARLNKHYIIRFDTWQYAQFGGEAELSIALLDAITNEVRDKLSSGSQAARGLKDKFSSIKKRLRFNLTLGIPNVFSVQYRNDNESSENEDKAYYDSIKTFHDEFGKAVHELTEASNAKVIIFIDDLDRLHPTRAVELLEIIKIFLDVEGCVFVLSIDYGVVTLGIKAKYGDDLMYQKGKSFFDKMIQLPFQIPVNFYKMNKLLNNTFERMGISINQNYQIAELIEQCVGSNPRMVKRVLNSFELLVDILNIEKSAKQEQQYIYLLFILILQLYDEHLYRYLLRSNKWALPSKDENSIFNAKVKDISDEKYIINELFDGDDKVGTPSIKKTISFIKTMDVLLGKEDISRENNIELFQTLLHQSQVTSFGTAEISHTNIIELTEGTELTNLAIRGLIIDGQKEFVLDTYRDAFIKILETLIDEEKF
MSNDVKMTAVRPPAWSDWDWKTRNLEHVPVVTIGPRQPKGHGSNTKKPLAAFDVYMDGDLIGTVESFEQSHDRKPRGLRYVTSRTYGIAWEYRPATRDNPYASSLASRQRNEAVYALALEELEERQK
MWSAIGLRDNFKDVQCKVIHPPDTSRSGSAKEATLDHMLEFLDSWEDHSKGLGFLSKHISARSLKGGNMSDGVLESLLSIEQLLPESGEQTEAADNTNVLSAAVEISVAHISYNTEKSDSHLVDYTAVGYVARKRIL
MLKCNGNFNLAVSLLMEKARKACFKIKKTIGLDNPCKLLEKLFDSLHDGSISWMPGDIINSKCPANIKKFPFDEQECSLTFVVWDAAWQVFTQPAEIPYTDLYATQNPDWNILSTKVGINQLFGNMSYEVTLKIKRKPLYYNILIFLPITLLSLLNPLVFYFP
MSIPAWKRPKATPSRSCVRCSAYWTMSAWRWRAAPTRSPNGRARTGSAAFAGRRPNASRTSSACVARPVASRPIRAFRPP
MLRSACVLVAIGCVLGGCQRAGQDGGAGDADRVTAVPAGDGGVVVESPTGVSRPPFSFAPADEALLEEVSRAAFEYFWSDVHAATGMVRDRSSAEVVSVAGVGFQLSALVVGAERGWVSREEAEARARLILSSLSSAPENRRAGLFFHYLDGETAGPSREGYETVVSTIDSALLFAGMVTASSYFGGEVAALADGMLEAADWREFLVVGPSGEPDDVYTSALVGERPMRMSRAATGNCCRLRGWTRGPSTGW
MRADRDRISSPARGRRKARQGSGRTGWVCTRRPPSAPTRGARGPDYRTAQPPAPRTHPLRPPPAPSPRGPERAREEDSGRAGSGPRGRGAGGAGGSAHLAVRVPERPAAASPRPRVLPGAGQPRARRMDGRRLRPAPALHGLPLRPGSASGCAGGQRLGRARKAVARSLRPGEPGSALPRSLLPPPPAASRCHLEPLLPPPGGRLGKERGAPPPPPPTSRRDPGGGPYKQERD
MVRSRQGAGFKCDAKGRFQRKEFGVGLEEPRMAPGCRSPLEGAYTILFVSCIGKASILDALEPAKSNATFPSSQIMMLLFRMFAIS
MPILVRFILAIQFSLSLAAADILENLPGPKNLTALDKFILEGMKEAKVPGLAALTIKEGKIFWTGYYGWANIEEKRPVTQDTLFQVASISKTVTACMVMQQVEKGELDLDADINTYLPFKVRNPKYEDQPITLRQLLCHTSGIRDNWAVLEDQWVKNGDYKESLAKSLPAYLIAGGKFFSTKKSFYNWTPGTNNQYCNVAVALAAHVAEVKLKTQFEILCTEGLFKPLNMKGAGFLMSSVDLKKVAMPYGYRKKSGGFKALGHHGYIDYPAGTLRASAPHLARFLMMFMGGGKLDDVRILKKETVEAMRKIQYPKLDKKQGIGWYYTRIGASRMIGHDGGDPGVATQMFCQPEKAGGIIILMNGEPQKGSFAKALAERLVDQFK
MNEQLHARVRHLLFDFSRYRVEIVTAYQAAIFGAELDVGELLRSRHEDEWVVTRSLCSSAIVRTLY
MTATKPPTPAPPPVTATKPPTPAPPPVTATKLPTLAPPPVAKAAPLPAVQPPTRASDAARREPERVQTPRTETKPAPADAAASTATLRTAPIVAPPQARPQPAAPPPSVAREEDVRPEPASRRQAPARTLPPEIALSFEASLGTILYSSDRKLAIIDGRIVGVGDEVRGARVIDIMPTAVFLRDVHGKLRRLTVAAGR
MVTIAATDSGCYSRAIGIIQAGNWIKCSNTALTLNGASACCLAGSLCGEDSLCHTPESLSTGGDNWYPAGCTDPTYTDPVCRLSCTTGYPTFATYNTSASLWACCGAGGCNGTLTGETFSAIAPAQWSAVPTTATNSWNVAATVSIPTSTSSATSAMTSAASTTASTTSTPTTSASQSSGLSTGAKAGVGIGIAVPVVALLAGLTFFLLRRRQQKGAIELRNDYSLPPYNEAMQHTAVYKYGGRVQSSELNGSMPESRQELDAASMPHELPTEHAREQKA
MFFLYFLYKKYPRACKRLLFIIISVMVVFMMAMYHLFKNIEIAFTVFDINPKNGISFEMRVKNRSFFNVKLEDIKLEVEKFNLGKAQQVFTAKNGSKTIKKFKPENIKAHSVNDLDLFFRILEEFDEPFQGNIMIVMNKFGIKVPFEQKISA
MGPRPPHRAIEPGSRSCCCPSEPVAQVTLAPSETRAHEVDILLCAHHLRRSALALRSSGVAVYDQKGNLIEDPARVFGRDR
MVLTVMRGGGDLLCQSSSTQLTRCADQPYLWKQKASFYRIGIFCFLNFPTREEPNYAWEIHGIFYQHVSVGFYKRQCYKDGKIACEQTIASPDHFPHFERMFVVETIDSRSSSA
MAYNHRPSTKTKRSLSSSSPSSTKRSKVSEDVSPTINPSPLVNESSEQKMRLSDLQETASLKAVDGDTENHKVSSPQCLESESGGITLKSKVLPPLARSKKSCTKSSPKTAWGRLISQSSENPHLPICEPVYIVGQCRQCNLWLKDPNDSNVLCKLSHIEHGGFALLEVIGSKGEVKVNGKIYGKKCRSILSGGDEVTFGFSGRQAYIFQQLHNNISTANIPPPVSILETQGAPIIGTQIEAKPGDPSAVAGASILASFSNFNEDLSLISSPANTYKNTQQKTDVSPLFSPDLDLSGRITKILKKKEIEGTP
MDGKMSDFDHQNWTPVVVNKKRSRTEIQTKNVNPEAARLRKIENEETFVKPKMLSHESLKKIVTFRIENKLSQTDLDSRCGFPRNTIQLLESNKISPSTNQLQTLNRFLKTGLTLA
MTEIIHVGVWRSTEWSTIQIPNGAELTWAKRATLGIPGGSPDPNYFHTYWRVPDWYYGERTALIRTGSYPSTDIEGNPIPSLSGIPGAVPFINNDVFFWISTEGFVSGDYPPNSSLAPTS
MYEPVVLQKRKLRSLGTSVFRLSIIATLTCMSIPIAVGYLISEYNFEKKKLIAELNEVDLNNREPTVFDEILENQQFWMQPALRGAAILALKKFKEDGILHENINSTSSVSDISEANN
MATLLSSRSFLCAGNSRSHGHEPNSPAVPAALPLAVRRTARPRLQAASREERSLTSLSPRSIPVSGPGSPSVASSSGGIAGQVTAELMNSMRAKICEALETDTCAVTDVYGDGRHVSIEVISKLFADKNSMQRQRMVYKAIWLELQEAVHAVDSMTTQTPEEAAR
MLFTLPSSLAEELEHYARLVRGGNKSGFVADAVRSYIRYFHRQRHTAQLREGYAASAGKSRDVAQEWDVLGEETWARLDKLEKKAKISR
MSDVVGKNASQASEHTPETTEIQLANINQGFAEHQHKSEKIALPVSQGAESQYNIRLNHMMREEFYHSQYGDPDHHISTRCHVDYEEGVEYIEAEGEEVKVPEINAAHESFL
MRLIELKEAIDKANNAFDFTTDNGNGIYYIKNLVKTKVAILDLYKVKFFTEEQRKTLSPIVNSMGTTITFTDHTAYTYLVSSLNHLKYTITQLYDWFQKFIPEPSEEDIQSTVNIKLPNIQGFRELETISKLLSGPFSAAVNLAEGGKIQIKQFDHGSFWIVLFVGSPIAVSLIAGIAWSAAVVSKKWKEVKIAEEMARRAQLQNDAIAKLVEYQEKEIKTLAEAEANALEQKCFTNNDKERVATIREAIRDVSELVIKGVQIQPALCAPENVANLFPDYYKLPFIDSKIKKLSGE
KLRQFAGFPNQVNLRFGQSKVLKFKLTNCLRGIRNAWHFQHALGFVIKSVCGSFCSALLTP
MTTPPSDPSAMKHWTELPGLADTATRLLRIDRETAARHSAILPGAVHVWTPGRGGPQLLMDFAGNAMVADSALSQELMLTAFNRGMRTDDAEAARLIHAGSNLMAYAAATTGRTPTKVPREDPGADAIGALGRGPFQQTTPEEIEARLSRGGVGSWVFVGIDRAHGPGHWFMAAVTAEGIQAVDPLIGRNFAWPPEIGAIRWWTDGPPSTPPARVVVDVRSPRGVRIWTETRPELQGRALEILEYFARSEDLHARSAVWNSFSWAAVASSGSDLRLATTDLTKPGIKALTWDVDPMLELREAEMRVAAGVRRDPIHLVDHLRWRPEIVERGRAVLRRRSRADGDTGWLLTTSVDDDGADLPLVAAHEVHRVAPWVTPFLSHPVGTLLEVAADGTVTPLSAPAPATAPRPRPAASPTTSTPAPPSRTGPRAPSSSSRRRTGSRARSRATSTCSGSCRRMPSP
MYEYRRSEDINTFMEIASFSFAVKKSRHELLRKYISEVLASGAELYTVHDGELMVAGYILYPFKMKLRDSMVTMGGIGLVCSRADFRGKGTIRFMLENAVKTMYEEGIQVSVLYPFNVGFYRKYGWEVFFKTKRIVIHPGIIDAKPDPLVDYCYLPFPDNEVKDFYNEIAKRHYNLAFRNDYQWRRHLMTYFSDEASCGVVKFKHNGKTTGMLTQFLSRSDTGYESSLTVKDFFYTDRETKDTMLAYLKSLSHQIKDITLVVPENFELWPYLNDRPKEEKLETSGMIRIINLKSLNGLGVDFELPTLKIKVRDRFLKENSGTFALSADGKKLMIQKTEVEPELECDIASLSVVLSGLSSFAELIEAGQVKVLDNYKLQDIPKSVTFHSEPF
MSVDRMIYVCDRVGDRLQVFTPEGKFLKEQWYEKNTLNAGSVWDIAFSKDAQQKYIFMADGVNEKVKIIDRQTLQELTTFGDGGRQPGQFYGVHSIAIDSKGNLYTTETYEGKRIQKFLYKGMAAVTKDNQGVVWPKK
MNLARAISFTFALLSLTLLAAPHAEAQPATTAGQPKPSPADSDSKGGDLMQKQPQSGLTKRVLDLLGGFRFGTYGRMGISSDLDEGSKAKSLNVVSHGPRLEESPYAEFDLGYVLERGKNNFRFRIAFTLAFFEDFFHYNGKFEATLAVRNLFAQAENVFIPGLSFWAGSRMYRGNDIYLLDYWPLDNLNTIGGGAGIQLRSKTRIDLHVGVNRLDDPFQLQRLDVPAPTFGTEPVDVLDRQRTIGSIKITQQFDNIWGKLCMKIVGYGEIHYLPSGTRRETGDPLIEEELPQDAGWVVGAQVGFWSFGQNNGFLNFWARFAGGLAAYGDLAVPRGLGPDKTSADAREIVLAAAGNYERGLLGVTWGGYARYFRDGDGVQSDFDDGWELVFAARPHLIITDWFHQAFEASIQHRRPDGLNPRTQTQLKPTVFKFTVMPTLNWKRGVYSRPQIRLLYTLSVLDRGAQLGYPVEDQRYGEAVQHYLGIQVEWWLNSFSLIR
MVNEKVLVVQPEDLQSTKMARVVGHVMSVFCEHPNSKIAIHIDPGANPYYMSFAVKFVNGDGNFECVEVKPAGETYIKMEAMRSAVWKLNAGRALKGPFDVRLTSAVTKTVLVAKCVIPEKWSPGAIYHSHVNFAVPKFVHKKPVHKKPVHHKPIHRKPIHHHKPIHPKPIHRKPIHRKPIHRKPIHHRKPIHRKPIHRKPIHH
MIWIPCVGQNNNRSTNYAIKGSHVVRTDHSGENVRVPLDARWWQEDQEGESEYQRGTTKAIWGARLLLFGLPLAFGCIILSYMLWWNHLWCSRWACFAGMFTLVSSLSAGPTLLVQGSLLKKEALARHIEWLKSEKQNPEIILIQDGSIEPEL
MRIDTGQQIRLDQRMKLAPRMIQSMEILQMSSQALEARIEAELSSNPTLEVRESGTDPDQVEQDRLQENRDNREGERDLVVQDDSVDPGHADDFERLSNMSEEYGESWNANIGENYINNLGGERYRRYDGERDAKIDAMANAAARQQSLEDQLLDQWRLVEYSAETQRMGQFLIGYIDNDGYLRTDRQMLIDQMPADVRPEDLDETLELIQKSLEPVGVGARDLRECLLLQIDARAEQPDAPDLTTERLLVDRHLEDLGQNRLPKVAKSTGLELEQIKSAMQGLRHYHPLPGRLLVDDAPRRIRPDAIVEYDEAEDCYTARLTRGGQPTLQISDNYRKMQRDKTVDTKTREFVGSNLRSARWLLEAVEQRSSTLLRVISVVLEAQREFFDQGPQMLKPLPMTMVADQLGIHVATVSRAVNEKYIQSPRGIFPLRMFFSGGTETGSGDSMSWSAIQAKLQQIIDEEDKSSPLNDDHLVEKLNEHGIEIARRTVAKYRKQLNIPPARQRKEY
MRHGLGLRQNISQTLVMTPQLVQAIKLLQMSHLELTAHVEDELEKNPILEQPLDGTPDAPNGVDQVEQAVPAEMPEQVEPDSDSWSPETLTGDAPDLSGPPDSDFTNLYQDDNEAALTPSQAAPYERALDTPVSSAPASDSMAFDATLQSTISLRQRLEDQIFLQTQNQVLRALGQFLVSQLDSAGYLQIDAEAICTKFAIDXDMLEAGVDLLQHCEPVGIGARSLKECLALQLHDRKLLDESMAIMIDHLELVATRDFAALQNLTGLSEIQLDQKLALIRELNPKPGDFHEGLEPESLIPDVFVTKNIQDVTDGEHFDWHVELNTDVLPRLLVNEVYYRSVSANANDREKQFLIECHQSASGLMKALDQRAKSILKVASEIVRLQDGFFLHGITHLKPMTLKQVADQIDMHESTVSRVTTSKYMSTPRGIFEMKFFFSSSIAGTSGETHSGEAVRHRIKHLIAQEPXTAILSDDAIVKKLKADGIDIARRTVAKYREALGLPSSVIRRREKKSPRHKDKQLAI
MSPSISASLAAQLAQQQTLAPQQQYALRLLRMNALELLAEADLAAEENPLLEREAPEADAPAESAPSLHEESDAVRSEDETPFPEDRGPLENIYSGWSGSGAEHADETPAVERVAAESSLRDDLIAELNSLNTDELTHELVISLIEELDDSGFLPQSLAETAESLKKIVSAPLEAWKKALALLQTFDPPGVGASSPAESLALQVRRRMESGSVDKETGELLIELILKHLREIAADDSKALLAVADGDSERLGSALALLKTLNPHPAANYASEATQYIIADISIRREAGRWQAFLNPGAQPGLRLSAVAQTIAVDESTPFGRYLSEARRLISGIEARQTTLLRAAEFATERQQAFFEKGRAALLPLTIGEAAAALGLSDSTVSRAISGKYFQCPLGTFELRSLFLLPAVQAVDAEGLSASVTPLRIRARISELIAQENPEKRLSDQALTDLLRAEGFDITRRTVAKYRDLEGIPTARLRRDKTSVLSE
MEGGSEPALRVELEQRFQLTGTAQQLIAMLASRQTDVDAAVDAHVDANPALVRRAPRRCRWCAAALERGRCPACSGTGADVRELDPAAPVDARDQVLQEARALVPAGHEGDVDLVMALLDGRGLLPAGVAGIGGPQGERLRVALDAVRAVAPPGTAEPDLRACLLAQARWHAEHGGPALLVTLVRDHLEDLGDDDLAGRLGVTPSELSDARAVLRTRLTVAPLPLGRDDAGLAPPDVVVREVQGRLVVEALDAGDLGLTVDDELATLPLGAEAAQWRDAHVADARRLLYLLDRRADTLRRVTAAALEVQEDFVRHGRAAHRPLTRAQVAERLGLHPSTVSRVVHGAVVAVPDRRVLPLAAFFGTAEAPREAVARLFASDDPPHSDDEARARLAAQGVHLARRTVAKYRAAERRHGAGAT
MRRVLVLGFLVVLVSAVAGCGRHLPLDPVSSGAAPDGRLSDRELSSLRSANLGSPGHAEGTIGPGTQYSIDVPSEWNGDLILYAHGYTNPADSIHLPDIAQLKALLLGQGFAVAYSSFSENGYALKDGVQRTEQLRGIFVSKFGRPRRVFLIGSSLGGIIVLDLAETHPEHYAGALTVCGVLGGTRAELDYIGTLRVLFDAIFPPGLLPGTLYDVPPIADFNAQIAGPVVGAIQADPSRAGLLVALMGGRLPFANGNELVASILNGLGFQLQGANDLFDRTHSHSFFENTDVVYTGPVPPPVLDHINATVARYSATPDAVNYLEHYYEPDGHLAIPFLAMATTRDPVVPIFHESIYQQRVAAAGASALLLQRLTDRYGHVNFTPAEIAANFRDLVVWVDTGVKPNP
MITAICFRIMNDGQGWVPFVTVSGELFPNLDVRSLQEGDKLAVDQEVRLHMDTVAAEDGIEWLYIFTNEEESHKKPVPNVVMEIPFRQILETGLHNDKVAGVVINPFGKYFKADKKVIECIFDACRQNMEGEA
LSPGEGAYYNGVVEVDLSEIKPMIAMPFHPSNTYTIEEVNENPEDILREVEKKALVSLDNNDIEFKLTDKIHDGRIYVEQGVIAGCAGGGFENLCDAADILKGSNIGADAFSLSIYPASQPIMMELVKNGVIAEFMSAGATVRTAFCGPCFGAGDVPANRGLSIRHSTRNFPNREGSKITNGQIASVALMDARSIAATAANKGYLTPATDVDVSFTKPKYFFDRSIYDNRVFNGVGKANPETPIQFGPNIVDWPKMYPLTDSILLKVVSMIHDPVTTTDELIPSGETSSYRSNPLGLAEFTLSRKDPKYVSRAKEIHRAEDARVSGEDIFAEDDKLKNIYDVIGKEFNVDPKSVEIGSVIYAVKPGDGSAREQAASCQRVLGGLANIAREYATKRYRSNLINWGMLPFVTDGEPEFDLGDYIFVPDIKKAVAGETDEIRAYIVRDSLIPFELHLGALTDHEREIIEKGCLINYNRK
MDQKTANVFVGTFVITATPSAAVLLKREGETTTTLYATLSYNTADSTGTTDSTGETGATGATGASDSTGATGATGATGATGATGATGATGASDSTGETGATGATGATGATGATGATGASECLRLYW
MPEPKIEIVRGGPMLVDGLPLGRLRHEGDVWRVERIETPSRTYALCRCGASSEMPLCDRTAPYACFDEQPPTGPEPAPYRWDVPDPTGPPALALKPDGPVRVAGFARITYGDRELSPRDRVSLCRCGESRCQPLCDSSHKVVGYRG
MKKLLLFILFSMQCAFGQTITSSSSQNTTYNSTGLPFFVDPQGQPSNARLYYAVAPANATTGTLVNYSANPVQSTQNPTSALSFTLSGLTQTTTYNYAIQLTNPTSGAVLSTSTGSFTTLTLPVLSGVTSSNITSNSATINYTIDPNGSSTTAILNYGLTTNLGQTVNNAAVSGTNPIAGTFSLTGLVPGATYFYTVTGSSQAGTTSASAVQSFVATPPTPALIYHWEFNNNLAATVGSVPFTTTSPSVNFASNGTSVNSAYAVEVTAPNYGFAANANLPLLPQANAPRTIILRINQLTNSSSIKYLYAYGTGSNTQINGQSFALESTDTSMTVSGWGSQAAGYSRTFNYSNPSNTWNTYAIVYDGLNALIYVNGTLLSSASSIQNLNTTGTLFRLGTTMNNVFGTGIFNIDDLKIYNYALTASQISALGTPVPLISAVSSSNINSNAATINYSINSNGIVATPVIKYGLSASNLNLTQNCPTVTSSTATVQSQVLSGLTSNTNYFYRVEASSASGNATPSTTLSFTTPGTATVPAISGVGVSSGTITNTGVTISYTLNPGGATTTPIIEYGTSASNLNLSQTGNTYSGTLNYAPLQTLSGLTPGTQYFYRVTASNSVGNAIPSSILNFTTTGTAPLTSQLLYHFPFNGNTSSVTVNPGTFINGSGTITYANDDLGNTSGALQVAVSNDDTSRNLNSLVANLPLLPQGNSSRSVAFRIKYLNTSITNFVVSWGAGTTYQSYGFEKAPTTASSAIWGNNINFANTIAANVWRNIVITYNANTGLAEFYDGGSLTGSNTHASFLNNNTNGTNIVMGRSMLPTFGQGNFIIDDLKIYNYVLTPSEVSALSTQDFQENKLLFTMFPNPANDVVLVSMENELKSVEVYSLQGQKVLNSSQKEFNISQLNTGIYLVKIEDINGNVASQKLIKK
MSILTLAESLLGAAVRVYPGRGWAEFWCPFHGDARRKGRRGRPNLGVSLADGHWKCLRCGASGPSLAALARALGKAFPDRRDPPLRRDPPLRAEKPPVARLDEALGLARWALWNAESAAKARA
MNSTRALTKIKQTAFVDPALFKAVLTVNALFLNGFIGLIGIVANIINIINFKKQGYKDGVNVTLTALAVSDLGVLITQLIIIQIYNPWLEESSLLMLKPQMLLLVIYINEYFIRASGFVTACAAFERCLCVVLPMKVKLVMTRRVAAVVNISIFIVLIVYVVGPNSLVYVGS
MFPRSLNLKVVSYALFSLMILMMGALQAKEIQRKVVILKPDYQAKFVILNQEQLENGVGRQAHLKVELYAKQAEPVPTGWIYSPVPTGWGYGPIPTGWRSQPVPTGWIYQPIPTGWRSEPIPSGWRYEVEWEFVNQKKVSEVPLKRVASEPLNTKAVLVSDKTFSFLQSDTYLLKLRFYLRTGAKHRFLAHEVQEKVVIAK
MNFSDAINIMGDPMKIRTVKNHSNLDYNSELDSIYIFYYEVPFASSGGVEFYTDSLKILKVFNELD
MSTRSSIISVVRSLIYYLRYQQTTVPQGDVEELLAVAYQSDVVLFALSIAVYNYLGIKISLGVRVADIALQVVEAVVKQAVTNGEVIISSAINVLALITDTGALNLTEDASVDELQNLIGSK
MIHKKKQTNNYEIVFLRDFLPLNGSFCNEITQKTDLEQQVKDLEERFSQEEESTQELNNKKKKLEHDIDGLKKDIDDMRLSLQKSENECKTRDNQIHTLQDEIAHQYETIAKLTHERKRLEEQNSKTTEQLQAEEDKVDHLNKLKAKLEQILDELEDNLEREKKARADLDKSKRKLETDLKALQNNLEEVEKSKRELEENIKRKDQEIQQMGERLEDEQGQATKLEEEIENERQQRADLAREIDEMNDRLEEAGGATSSQVEMNKKREFELQKLHAVNEMDEQIDQLQKLKKKLEKEKQTVKSELDDLRTQIEHLQKSKAAAEKLSKQLEQQLNDIQTKLGDHVKQITDLNHSKTKLSSENSDSTRQIEEFEHQIGTLNKAKTELQQQVDEAKRTLDDELRSKGSVRIACDEELEEAKRKLAAKLTETEEQFEAALNKCNALEKVKARLQGNVEYLMVDVEHANANGKSVHELEKSRKRTELEKEELQAALEDTEATLEQEEAKVLRVQMELSTVRQEIDRRLQEKEEDFENTRRNHQHAIESMQASLEAGTRSKA
MDRSYGNVSCMNVIILLLLTQIGRPFLARTAIEPYENAHLVMLLSETIDTRTTPTIAEGSGSRFIVKFDSPPTNAERSLLKTAGFTIEGYIPHYAYLVSGETQAVQELLDAQTISWASTYEPRWKLAPGLASGEDHTDTLHVLLFADADLAATVPLVEDLSGVVHSAHDGVNKTLMISVDKDRLLEIAALDAVRWIEPFYAPRFHNNQAQWVLQSWQAEIRSLWDRGLDGEGVVLSTGDSGINTGHVAYRDSTIEITDWGNFPTHRKIIAYQPSAPGATFGDTPATEYHGTHTGCTVCGDDSYWGKEAPYDGMAPKAKLYFVDVGGPSGAPAYPSDYNDMYELPWSGNEGGKAKLMSNSWGSGGAFNTYDISCRQTDEFVWDHPDFLILYSAGNVGALGVSPPSTAKNVLSIGATFNGASANTPFLISSMGPTDDGRIKPNLTAPGILISADGASQENYKDGAGTSMSSPAVAGTCGLIVQYLREGWYPSGAPSSADGIEPSSALLRAMLVSSTIADFPGNPIPDPTVGWGRVCLDSVLYFAGEENRLYLDDGTLGIETGDEAIYNVDVEGKDWPLRVTLVWTDPPADLAAAKKIVNDLDLEAMSPSGGIYRGNVFANNFSQTGGSADATNLEECLRIKNPEEGTWTVRVIGTNVPEGAQPFALVITGDMAKTGIDEGEDIQTSLDLNVAPFTDQLIIRLYTPQAGALTLEMFDQTGRKVRTLIDERVHPEGEATYSFSLRGSLPSGVYFLRLKTAGKQVTTKALHLK
MKTPHRRRALALFALAGLALPAYAGPEAAPLSPTLLYLRTGDVDTSGRAATTLAQAITAAAPSDRFIIQLDGPITPERRAAIAAAGIRLGGYIPSNAYIARLHGADPKAASGLGFVRYLTPYRAEWKLDPELGRRPYVSPERLALRKNGRDLVVVTTFEGADTGEVERAIWSIRGTVIRESEPTGNQLLIEISDAQVPALASIAGVQFVEPAPEVTPRSNYNVRWVVQGNQQDVFPLYNNGLRGEGQIVGILDGRIDVNHCSFLDANPIGPTHRKIVAMQSTGSGNQHGTHVAGTAAGNDPSSANTANTRGVAYLGKIAWASYGAANATDFNTGINFVRAAGARIHTNSWGNDGTTSYDSLSRAVDEQSYNNEDDMICFAVTNQSLLKNPENAKNVLAVGNTQNSPTQTTICTGGAGPTSDGRQKPEIWAPGCATQSSQSGSACGTVSLTGTSMASPAIAGTAMLVRQYYTQGYYPLGLPGGTGFTPSGALIRATLMNTGQDLTGAASGFQTPTGYPSTQEGWGRVRADDALYFPGDTRKLLVRDVRNSSGLSTGGEETVNVNVLGSGEPLRFTLTWTEPPATAGASQAAVNDLDLIVEGPSGVYRGNVFNTATGFSQTGGTRDAKNNTEQVHISAPSVGPWTVRIVGTNVAQGTQGYALVVTGDIVGGTPPPLIVSVPGGVPSLVPPGTPTDLTVRVTPGSQNVVPGSPTMFYRLGTSGSFLTQTLTPLTGDDYRATLPPLLCENTPQFYFTALGDGGATAASPANAPTGLYSTSVGAVTTSTIFAADFEQALPAGWTTTGLWHTSNTATACSPSGTPCAGPWAAYYGIEPPATSSCTFNAGATNNGLLSAPPIAIPAVPSGGSVTLTFCSALITENQSSWDKAEVLINGNPVFRAPDSAAWTTQTVNLTSFAGQTINLAFRFDTVDNVSNNFRGWHVDDVRITATTTGCTNPPQTCYANCDQSTGAPLLTANDFQCFLNKYAAGDTYANCDGSTGSPILTANDFQCFLNKFAAGCS
MEPSSSRPRRGSALVPAMLRVRRLRGRYATETLSHAKSVPARHTRRSVHYMAAERATGGVSASRDSEGLELGDDRVAGRARKRRAVVGGNSDAAAVEQKHSDTARREAAPDRKDGPCVDVVAPQTQDKSAASAPTSLARSLENSTLAIGRSLKYLLMPITALFSATARKPPLLPEHAAGVSGVELVEMEQEESFGNEKEHHPSRQKKRGREDDDITYSAGEPDAASGQVVLLSDLVLESVPLAVAVDASETKPEEPALGTTTASESDARDAVFAPEPVIPQTHVDAAAELVASASQELLEDYEIYLFIASLPPLSLFPKRAPSLSPKMAGAPRVTLVLDLDETLVHCSTDAVRKWDVTFDVDFGGATHTVYAKKRPFLDHFLAEVSGFFEVVIFTASHEAYADRLLDIIDPQKKWIHHRCFRDSCLQINSNYIKDLTVLGRDLRHLVLVDNSPQASPLVHIDNLIPISTWFDDDSDRELLILLDFLSHLIDSDDVRPLISRSFRTREKVTRTVELYRQNQRQKREGENGSQVGR
MKIHEIENNVENFEKNMTVYFNKKTGKIIACHSGIADMTPYKKQDPELLEIWDYEILPINNEVIYNKDNFKIQNGEIRLIKTLNPVKYRIAD
MLIHSKNYIFRKGEKEKVEKVIIKSKSIFFDMHALNIVPITYTSFKNIRPSINVKKTRIGNKIISTPYILTVKQQKSLFFKWILEETKTNLKLKDLLINQLKFIFYKQGNIVKKLEKLYKDVEQSRSQFKQKK
MAIETRNSVAALLCGASVLAMGAAPAMAQQAQAYADRIEAQGAYLTGDKHNHTTCTDGTTSVRTLVDQSAVVYGLDWFAQTGHGGTGNRDCRFDDIQTGNVVPLTNLGSFNPREFWEDTIGLDALKGDDIGNRMWRWQSIYEYAYPDIANAGKLADKPTWIGIETNAPGHEHVSMGIIGNQFRTVGDAYATAQFEYLWDRGDNDTSGGESLDFENPANRGVAKLPNVTGDHSKSVKSVEWLRKHYPTDSYYVPAHVERQGAYTPGENRGYNAEDLRDYHNAGLFNPNDVTSPSLAFGGEMQAGHMAAAQRGTYSAGRPSVGLGTFGGSGCYGAAEMSLPGTAPDGTPLTRELVNEIADAWEAEYAGVPDAGGFNRNASLPRYVFCKPGVKTLWDAMLSEGRRYFIFGSSDWHNRGAFGPFEPHSTLDFWPGEYQKIYAYTRGVSGKYDLRTARAVVSGMRSGNTYSVMGDLIGQFNWVMCQGGKCATMGQELVVNPAGADVEWFIRIEDPSGVNNSPYAFSNPYLLPLGLEQPLNAPMLDNIDIIRGDITGPIDPSDPAYKTMVSHPSTEIFMTMFRDEFSAEGDFLIASGTLPAETFTNDMYFRMRGTNLPKGTPNGTDADGNPLSDNNAGRVVCPFPYTAEEGTNGRNSFDPMACPLYLPTNDEGQQVLSFDVEAWANLWFHANPIFVKVAPTQQARLR
MSISISPPFININIEVQAMDIEILKGTEFKVNVSSPIDNPRLFFSQVQNGTLIIKQNQDWYKFLASKINQAPYKVIIYLPEYTFLDNLNISTGMGSVSISNVYIKHMNLNLGVGDLRTAKISCSQLKVDAGVGNVFLDGQFNGDIEVNAGVGKVEIDTRQRFEDFNYNITAGVKCININGITTPKDFSKHFDDAIYNIKIEAGVGSIQIYTNL
CLSALFYPGPGPGPDPDPDPDPDPDPDPGPGPDPDPGSSPGPGSGPGSSPGPGSGPSSGPGTGSGPGPGHGPGSSPGLGSGPGPGPGSGSGSGSGPGPGPGPGPGSSPGPGPGSGPDPGPESASGPGPGPWSGLGPGSSPSPGPGPGSSPGPGTGSTPGPGSGPSSGSGPGPSPGHGSSPGPGSGPSSGSGPGPGPGHGYGPGPGPGHGHGSRPGPGPGSGPGSGPGPGSGPGAGLGFGPGPGSSPGPGSSHGPGPGSHPGSGLGPGSGPSGLTLNESVLYFICL
MGKSKKKKIKALLLFSGGLDSILAAKILARQGIRVTAFTLTSHFFDAGQAKKSAEENNIQLVTKDFSKKHLEIVKNPCYGRGVGMNPCVDCHLLMIGEAKKIAAREKYNFIATGEVLGQRPMSQNFEALKIIEREAGLVGKILRPLSAKVLPETEMEKSGMVDRRKLIGISGRSRKEQIGLAKKYGIKNYPSPAGGCILTDKEYSRKLLDLLEKVKNIKSSDLALLRIGRHFWNGPAKIILGRDHEENLALKKMAEKGDILLELKDLPGPLALVRGKNKKMIELAKEKILKYSKKLKNKKPEFSVLSQD
MVGNKEFFVFRLNELKILNNREWGAGEVKLLSFVTGGDVNLPVLDDLQRTTEPERKKELIKAATQSVLSSKVLMQLDNVRDGHIMTFGDTGYALYTSSKIPISFNWTLMLFEIDEDINNLGKDIDGVINRPEFDSFVNNVLILASAATNPVAAAGVAIAKYTVGVVAKSMIKNKDDQIGLAYLSFNKFEHYPHGERKRDDVPDLSGNVFIDYSIFGTTY
MTNAIRVAVSKNRHRYKKDGFDLDLSYINERIIAMGYPAEKVESFYRNKIDDVHKMLEMKHHGCYKVYNLCSERSYDAKRFPSYSVYPFKDHNPPDIELITAFCRDVDEYLRADPKNVVAVHCKAGKGRTGTMISCYLMYSRQFNTAGEALDYYAQQRTNDAKGVTIPSQRRYVEYYASLLQSNELYRSVTLYLCEIRVTPGVNIREGSIHVNGSDLVSLVDIKRTDDATVAKLYCCMPLNGDVKIEFLKSTVLRKEKGFHFWFNTFFVAKMAKRDGDGNLLLTLSKTEIDDAHKDKQHKVYPKNFTVQMVLQTVPAGKYSESVSLQKCHPSSPPPGSIQMQMTNTTSPTDGGGLLVIHSPSSPLINCNLPPQTVLHPQVPSQFHPPHRQQLNNSTSDPYRQPGLARAPLGNNHQNNHNNHNQLDENNHSCVEYSETSSSESSTEEEGWDSGSACSSSIIRRHQHHPPSQHHTPHHHHDHDQHQFDHRDHPPQNATTTSTTTTTNGAALDDIRKEPSNASASISMKSPMKKPVEFVISAAQKLHRLSTNAIFSHPGSGRNHNSATHNGNEHPTTTTAIIPITDNLNSPESLVRYRLLSEPEIVTLVSTNGVVSGATGSDRCSSPSESSLQLEDQDLHHHHHHDDDDEAHRHSRNFHKTTSCHQCCHHNSTSSPGRIPRRSGERDSGCPTTDSSIGAASESGPKMTANRNSSSCKSSNSSCSSSSQSNFRDRI
MGRELRFARVPLRIFAVGMWMLNELGSPVVVLPSDIRLYDRHYGYSSGREGPSPVKLALEFIDQRTALIAADCHWISFL
MARSIKNLVAAILISISCYFAWTSIWPAYNVASFLKDQIEERNSLLASRAEIFKKIEDLKNESNSKYTELRRLALVLPEEKSFPELITAMESIYSQXXXGIILPGLQPGAVNDPGKISKISLKNSSEATYKQLLTLMSYLEKGIRIFDINSISAGLDSGGIDSQDPLLNFTLQGQFYYLNPEQSEASRPSINTVE
MRKDTTHVYVCGLKGLEEGVDEAFRHIGEMHDLDWPALRNGMRESGRYHVETY
MQLRANGHDVYGFGERKTPDPFANACTTFLYLDRLGEEDNPVGKAEPAPPPVSPRRQSPPGPTPANRSPPSRSVRMRSLALS
VGASVPAQASAPAKKSSWAAIAKKKSTPVPSARVPVVKAGQTHEEGKSQTHQKQHAASAVAPLKAEPAREHLAIQPTAPEKRQGQSQHQTRKLAAESAKDTASSQQGGVSVVARKTAAITPSTSSDTGAGPETPNVAVATANPAGLPERQTSSPATPLFPQGSNDVLEVLCSGEPTAGKILMHFMLFYGQHFDSQSTAIDVRGTHHPDYHKVQRSDQRNQQRDQRSQRHQHHHFAQEQTEYQSRYRQPVLLSPFIPRKAGGSIDPISGMYTVDPIVIYDPLEGAESNNVSKSCFAWGMIRNVFAQCYMTMSGAVERGVSSSTSPTGRNSSAGSPSEDEVSGDNDGRIGHRDSKVAAPPSTEHFAGRADMEHQDVVASSLPEKGANEGTKPKEALVKKEQVSSAVADGGSSVLALLLSF
MPIRPTADPQRTPPERRPPAIAHDPYFPPRAERAAGGPSRRPDRVAHVHFDPHFPVRPKTSA
MTDTEKEFRKYNNSAARLGRAEGHFLAEVLHGHVLGQKEGCNRASVELYDCKGEYFITEMTTI
MAATAPHTTETPRPLRTPVSAVRQLFRLTRTELTLFHRYRTALYMAFMPLVLLLPAFSMPEAEVGPGILNTAYLVSGVPVLSAMTLAVLHMPNVYAARRETMVLKRFRVSGVPPVALFGATAVAVFLVTVVLTALIAALMAARFDTLPSDPLLLLLAVALTTAIVSMLGLVFTNLARNSESAQMISMVPFLLFFAISGTFVPTDLMPAPVATGVSYLPMLPAIDIARSAYFGVDTFGGLDGASATTGLDLWAAAAPSLLVLLVWLGISIYLLRFFRWDPRQAG
MHCIPSFGKAHKPLEILYTHQILYSTF
MPSNRSRTSLSRCHDRVAARGSLTQALGPRRAALARSQLNLRPPPSLPLSFHRPLRPPPLFSLPHSIAILLLPACELLPPNPPLALASTSVRLQVHSCAS
MRYKSLGFREQGTGNREQGTGNREQGTGNREQGTGNREERKNPVYLIKIETTIL
MKRKLTAILSLCICAFLLVPALALAQEPVSEIQVLTYDNDFFDDVIADFESKNPDIKVIRKLGQGIDTGATVAMLSSDDAPDVLLVNSGPGRVLPLAQAGLLEDLTPYYASRGWDQVVNPSIIETIHNNDEKMWEICNGMDVFSVYYHKEIFDELGLEEPKTFEDFEKICATIRDSGMTPIVVGGRDNYQLGWVMGNFFQSVAGRDFMTGLIYGDGKFTDDVFKQALNKVLAFYDNGYFNSDVVAISGDEAFTSFAMKQSAMIFSGQGNLKTYFADGTLDMAQVSSFAFPSVKGDAAIPTAGMAHSWVVNKNARNLEGALRFMDYVASLDYQICLGSMDEWQYGIGAIQVEDLSLLNLNPIVSSAFEQLQGGTGYNPSVYLLGNLKSVYYADNQELLMKTKTVDQIAEELQASKEEYLANK
MRPKRSKCSRSFRQPGVNQVREPSRASRASRPALPVCSQRAKCEASCPASQVREPPSPPQPARPTVALPPSLLQ
MNTRSLFIKNTQTLSTRVDPPAQQILSLGRHNGWGFHILGYADLPEKPVRLEKWLIVPAHEDNSPIPGRTLERIQTIFAAGLRPRGFVVVHEAPMSLPAPQQAQQSFGWSAPAAPQPNAPSGLSSLTSLAEGLAAMLGVITTTIFPMLFLGLLALDPIVVAVMEDGCWVEIDRWLERPLA
MGDEVHLAVSAVVGFALLAVPPVVSSKLDSASESLERTSFLDWSGERLQNSLPDGSTLTRYTAVTTEADVEGTELAVEFSPRFGCSPHVRMRFDSNASRFAAITNLSSDELNWQIGHEYFRYPVVADTEGDNVVLHLVAVRSDREALVTALAGGSRVSLSLPGRGVEFSLLGSRRTLVATRAHCLRHEPLPFDEPRRRVEMAADNG
MKKIHFDNMNIWIWIAIPILSVVLILIGSFELIDFDNPKIIRRIGAVGFGLYGVHSSKLFWYKNFVQ
MVFNASEKILDSSSSANPPELASFGNRLLKASEKLISTLVYPTVTNDSVSFTLPAAEGQVFMVGPRVYLDKIPRLDTTYSSVNIDLIGIARKNNEGSAAVAFMSYNTMENLLKPDFFDTSNDTVKTMMSTVISVTLPKTTNTKLTKPVNFIFRHIREFDHSGSLSCVYWNISEWIVDGCSVLKTNSSHTVCSCDHLSIFALIMQTSHPHYDMFFQSNLQQLLMIFVYVTVGVVFILALLTLIIFIAVYSHV
MKLFLCVVLPWLLCGELQATTQTSSQGLQTGLKNLEGSKSDPRSQAQRFSPDPRSQAQRFSPEPQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPRSQAQAQRFSPEPHRFSPEPRSQAQGQSLHHQQKVLLQPLLPSQADLESLAPQPRSRSPEPWILDYSQSEEHVRTS
MKKYRIVFPLLLSCILWYSCTDWLDYKPSDKQSEEQQFSSKDGFYAAVNGVYNRMSGNSLYGKYLSYDMIDILGQYYAVEQSDESDYYKYLRALTEWDYSNESVTGVLSSIWNEAYSTIMNTNVVLKNIEDDAVKDKVLPEREYKMLKGEMLAVRAMLHLDMLRLFGPIMAKNPDGRGIPYNESTDPQILSIMPAGTVLKDYIIRDLTEAEALLLASDPVLTEGPRAEYDEVSQDNSMRYRQLRLNYYATVLLTARAYLWGGRLRQCLDRSPQADRRSPGQGVLPGG
MKIRIFQFLLITVLFSSCSDYLDVSPKANVKAEDLFKDESGYNDALVGVYTIMSTKSLYGDVLSYGYLDVLAQYYTSINNNEQHRFINAVDYDYDNITEENRIKPIWSDHYKAIANLNAILEFIDSDSSNFSEGVYEVFKGETLALRAYLHFNLLRLFAQAPVLGTDVEAIPYVDVYGNEPQPASTIAEVLGKIKTDLELARTLMQAYDPYGPNYELIDEATVPRVLEDRQFRMNYFAATALFAKVSLYEGNYEMALKYAQEVIGTADGITVAPVSLFQFSSISDDVLASSETIFGLSISKLSEYSDVYFGIDAASGLKTNFLAINSAIIDQVYTSSGGSVEVRGMNFFGASSGGLRPLAKFEKDNERRMPQFRISELYLLAAEAETDINNAIAYYNAFTANRGIEPQENVSREELDDLIKKEFQKEFIGEGKMFFFNKRKNSETIGILEDVDMVPENYVLPIPAAEYEFGNL
MNQSLLLQQPKKNDFIELINYSGDDTFNLITQNLIPKSIEQTTVLSNQFKLYSGVVLCQKIYEFCKAYINYRFDKEGTEQIRLPRRTWADRQKGVDCEDFTIFISSILHNLKVNHTIKMVDFGQGWQHIYVVVSDIVLDPVQDKFNYEDSYETAREYDFDFASLGSIGRSLSITSSEKQFVQQVQLELERNEKHNKRSIEKLASKFGITDKTDIKELTELAIVNVCRKIANDKALSDYEKYLSILETYRNQVILSHRTSISILLQQYSTASPIAYLAGLYVKNGVQNYQGQIFEPTAGNGMMTIAFRPEQCTVNEIDDTRYKNLLTQGFRKATQINAIYNTPNEKFDGVITNPPFGAVDQRDYLKIDNKYILKDLDHILSYYALNNLKSDGRCAIIIGGHTHYDSEGRVQAGKNRIFLSYLYRYFKVDDVININGDLYSRQGTSFDIRLILISGIKQVAEGFAPLKEQARGEVINTFEELYERVTSNFSQETTSTLKIKYKYRLRLQLQSKSLSSVLHEKN
CMYLMIEIPANSWLWFFVWMSIGLSIYFLYGRKKSKLAKE
NANANARNWNMSREEYNKNESSYRAEAKGKGETIGQDLEDGWIHFKVRGALAAASDVPSTGISVDVEKKVVTLRGTVVTKEQKAKAEKAAHVDGVAKVVDKLEVKPTGPNTNVGNANANAHGAANKKG
PAAMAGDAPTNPSLEGLALRNITASWDATASPAGPSPSSSVQYAPPLAGPSPSSGVQYAPPVVGPFDLDLAPGESVAVLGPNGSGKSTLLAVLARHLDPVSGSYTVTTPDGERDVLAEPLDDARARIAVVDDEPHIFATTLRNNLTLARPGANDEAVLEALDRAGLGRLASGLRDGLDTDLGAGGRGLSGGERARLAIARAHLSNRPVIALDEPVAHLDPPTARSVLTDLLAPSRGSDDHSPAVVLVTHRPDGLDLVDRVFTITHPHR
MFAGGYAGWQEGRRRARERWEHDHEDQLAERARLREAVAGARERLSTGWRPDKGHGKHQRQSRAPGVVQALRRREAELDAHVVTVPEPPLRLRFPELPARAGTPLLRADEVAVAGRTARPVTLALAAGERLLVTGRNGAGKSTLLAVLAGELAPTTGDVRHHATARVALVGQEVPDWDPEPTAQEFYEHHVRGLELNDGIAPLPLAATGLLEKGARHTPVGRLSQGQQRRLHLAVRLAARPNVLLFDEPTNHLSAALVDELTAALRETAAAVVVATHDRQLLADLARWPHLRLPSDG
MLEAQHLSGGYPGKRVLEDVSLSLRKGELIAVLGLNGSGKSTLLKMLAGLLEKEKGTLLLEGKPLCDYAPRHLGRLIAYHGQRHEIPDMNTRVLLAHSRYPYQGFVRRLEEKDHRAIEGATKRMNLQHVLDTPLKHLSGGYQQRSFLAMTLAREADYLFFDEPDAHLDISHMYMVHQLLQDLASEGHCVVASLHDLSEALRIASRIILIDQQTVVFDGKPQDAVACGILEKTFRVTIKRYDTFYRFSLR
MTEPTHAAIRVHDASLAHAGATGLVVRGASLVAEPGAPITVLGPTGSGKSTLLDAIALRTPKEGGPELHGGSIAVLGHDVRGLGPRARTRLLAFVGHAQQRGSEHLDGTLTVGDVVASPIFDRDPRFDRAEAGIAVAGALDAVRLPLGMLDRHVFELSRGQRQRVSLARALVLDPRALVVDDPISGLDPVVAPDVLEGLRELARTRAVVAVVRTPHQARAIGGSSIVLHRGRVVGAGAIDALLADPRHPYVEALARAERPAA
MTATPVIRTEGLSHYFGDDASRAQVLFDLNVDIDPGSLVILTGPSGSGKTTLLTLMGAMRSVQQGRIHILGKSLTGLAGAELTQMRRDIGFIFQMHNLFDSLTAMQNLIMATHMSDTPPDQARRNCHALLERLGLGHRIHHKPAALSGGQRQRVAVARALVNSPRLILADEPTAALDQKSGLEVVTMLREFAAYDGRAVVMVTHDNRIIGLADRIMNMVDGRIVSDVRVNDTVNLSLALRAVDLFARLSTAELTQVAEKMTTRRFTDGEVFVRQGEDGDMFYLLQDGQAQVVVTENGQDRVAATLGPGQYFGERALITGETRNATVIGRGDGRIAMLSKAEFQQALNLAPDLPEQLKRIYFGR
MEIRELSPAAVRRRVCLIEQRAHLFDATVRANLSFAHPEGPEGCADSQLWEVLEAVGLGEWARARDGLDTRVGREGALVSGGQAQRIAVARGLLSRAEVLVLDEPTAHVDPELGDQLIRDLLGAAAGSGMSDGSRSVILMSHVPVPQGLVDHHVGLRSALPSAEV
LSTLVTGATVWASALVGVAAVHAGHLNGVLLALVVLTPLAAFEATAVLPAAGRHLESSRRAAARVFEVIDAPEPVREPTEPAALPAAPRGLAVRDLHARWTPQGPPALAGVDLDLTPGKRVAVVGPSGSGKTTLANVLLRFLEPAAGTVTLDGTSLTDLAGDDVRRVIGLCAQDAHVFDSSLRENLRLARPDCTDDDLRAALARARLLDWVDTLPAGLDTMVGEHGARLSGGQRQRLALARALLADFEILLLDEPAEHLDLATADALTADLLDATRGRTTVLVTHRLTGLDAVDEIVVLTEGRVSQRGTWEQLVRSPGTFRTLLDRERTAEPVAG
MRAGAPAAVRLTAGTAALGARLVDEVEGLAELAVYDPDGLHRRATLAASDALVADERVLSNTAALSSAGLLLAGHVLLVAVLLLGLPVVAAGALAAADLPMLALLGLALFDAVAAVPLAVQAMPAVVASASRVFEIADRPTGAPDPADPVPVPSATHLHFDAVTFRYPGASRDTLDGVTLDLPAGRRIAVVGPSGAGKSTLAQLAMRFALPHAGGLALGGVPYGGLTGEAVRSRIAFVGQRDHLFAATIRDNLLLGDPNADEERLRAACRIAQILPFVEALSDGFDTFVGAHGAKLSGGEIRRLLVARALVASRPILILDEPTEGLDPDTEARLLDALLDDPGVGSLMLLTHRPARLDRMDAIVRLEDGRVVSRLDPRTTTGADAGGAHSGPGPTTRQS
MTRVPENAVQQALAGKGRKVTSLLKPVAWMFVIAALLIALDSAAGVGVLILLQHGIDSGVAAGDMSTIGLCALLALCLVIVGWCSYSLQTVFAARAAESVQHSVRLRSFGHMLRLGLPWHEKHADSRLTRMTVDVDSLARFLQNGLAGAATSLVTMFAIAATMFWLDPFLALTALSAVPVAALATMIYRRLSTPAYAQARLEIGKVNSTLQEKVSGMRVVQSHGQQELEGARLRALSERFRATRVRAQKYLAVYFPFLTFCTETSYAAVLLVGASQVAAGEMTAGVLAAFFLLLGQFYGPVQQLSGIVDAWQQATASGKHIDELLATEGTENLGSSSVLPVTGALHLDEVTFSYPDSHEPALNKLTLTIPEGMVVAVVGRSGAGKSTLIKLIAGLYFPTHGNIRIGVQMLDDASLTEYRRQIGLVDQDVALFSSDIAENIRYSRPSATNEDVEIASQRAGLYEMVCNLPQGFRTPVNNGGADLSAGQRQLIALARAQLANAHILLLDEATSCLDRTSEERLMSSLTDVVHAGKHSALIVAHRLTTAQRCDLIAVIDKGYLRNTEPTNSCYLRAASIPAYGMTASAVLRSIASTT
GGLREIYHSSPTLVMKMAALMVPLALYFSSLLAVHQRAQRAAGDAWGRRHSSLTESLTNIRTVFACSAEDLEMEKYQQRTGEAAIEEVWNRHTGFLLGNSVGMVGSGLHLFLMYMGSRFVREGKMTGPEVGAMFQQTFNVMGAAGQLIGLTQELTRGLGAVESVVQALETVPSVRCDRGDALDDNAVLSGITLRDVTFQYPTRPGEVALSGFSAAIPPGRTTALVGSSGSGKSTVGWLLQRAYDTEAGTVEFGGRDLRGVAPRWLRRQIAHVEQEPRLFEGTIRENIRYGFAGAGDAEVEEVASIAGVLEFAAALPHGLDTAVGGFGRGLSGGQKQRVAIARALLKRPKILILDEATSALDMESERQLQDALTQRLAGSTVLLIAHRLSTVQSADQIVVMERGRAVEAGTHEELLRNPSGAYARLAQQRGQAA
MNIPKGSLVAIIGAVGSGKSSLLSSILGDMKILSGTIDYKGSIAYVPQEAWIMNKSLRDNILFHKNFNRKFYGSVINACCLQPDLDQLIAGDMTEIGEKGVNISGGQKQRISLARAVYSDSDIYLLDDPLSAVDAHVGKSLFEDVIGNTGLLKDKTRILVTHSLSVLPHVDKIYMMQNGTIKDLGSYYELRNNDSEVLDYIQSQLKQQEENQEQQKLTEQDSISVADSITRAPEITQNGIVDDGRLSGSCQQDQDQLQSKLIEDEEMES
MINSIIVLGTSIILVSINFRLTMIAFLVTGLASLLFIPFNRKLEQLYHLHQSYLGTISGNFTQRILNNKLVKSYLGEKQEANSFSARFDNIYSNFVNMVKTASILNTLISGLLIFLVFSFLLYTSWQVNKGLLSIGEMVTFILYIIQVISPMTSIFTSISEFFESRGTLQRITHVLNADIEVDLSEEANFDILKGEISLHNLSFSYNKDKKILNNINIELPAKKFIAIVGPSGSGKTTLFSLLLKFFNNYDGDILIDNISLKNISAKSMRKQISCVFQESGFFSGSIRDNLLYGKNSDATDVSVQDAIQKSGASEFIFQFSDGLETIIGEEGVQLSEGQKQRLNIARALISSPKILLMDEVTANLDTITENLVIQSLLEIKETVTTIVIAHRLKTIVESDLIIVLEKDGTIKHFGPHQQLLEQSETYKKLYNESLF
MSVLTARAVGVRRHRHWLFRDLDVSVEPGEIVAVVGPPGSGRTTVLLSLAKRFRLAAGQVTAEGRVALGYVPEVTEPEPVFTVTEHVRERLSLLGRRRREAADVDLYGLDPHARGRDLSPYQKQLLGLVLARLERPAVIALDGLDDGLDQREQAALLHHLTQIAASGPAVVLTAREVDRSAVSTVIDLGSGHAGAEQMPEAAAEPEPSELSEPSEPSEPSEHSGADRPLDSRGGAPSAKDGAEAVREKPEEEAAAGGEEKADGEAGADEGER
MSRRPVENPVPVVPDGKISWDKPLATLVQSQEWLNMSGCHLTEIPVAKLRQGIPQVAALNLDHNHLIDLPIDLGQVTSLVSISLNNQSAIPRASISKRQHLQRLPEEVGRLPKLRMLLLCQNNVDRLPESLARTNALCVLDLRLNNLQNFPEHVCSIRSLKQLFLSGNEICEIPEAVGDLSHLEVLRIANNRLFRLPDSIGNLRRLHTLSLRQNQLHRLPKDFARLSQLGAPRTDRDGQALDGLFLASNPWEYPPVEVVEQGIEYVFEYLRTHDMPSPSSAITVTVSNRHLSKLGQLPGDPASIISIDARENKFREIPPEVASLTNLETLVLDRNRITNLCAGTLKKMRSLTKFSVQDQYEIQEKGDYERLQSIPEIVVCENLTELYIGYNWIGSLPQGMERLKRLRVIDMPGNCLKEFPAKLCERLLELEFLGLSNNHLQKIPSEIGCLTKLKVLRLSKNKLREIPKTICKLSSLHTLSVRENNLIYLPLDLHKLQVTHSYRVDPARVIQRDMGHKNVQLHP
MASNSYYNQGAPQYPPQSYNQPQYGAPPPQQQMYYPPQGQQMQYQQGPPPPPQQKQQKNRGCLAAW
MREHNQGLVLGYIMRRAGLSRSEIAERVGLTEAAISRITRELIDSGLVREGSTQTGTPGQRGRRLVQLLPRSSGAFFLAISLSISDRRVALVDLAGERLAEARLPGALPRSYPALLDAIVGAVQQFLSGTNLPRARLLGLAAVTSGAVDSVAGRILDASLDVLRGRDLADDLGGRLNLPVVVDTVGRALGMAEALLAMRGNGTELRGPSFVGHVAFGLGTTILFNGVPVRSVADERLAGHIQVPGAQGRCVCGATGCLMTAAAGFGILGRLADDPGRAATWRDMRPHDLARAVAAANAGEARAAAVFREAGLVLGRTIFALGASVGPKRVILAGPVPEAGPFAHAAAAGLREGYERAGLAPPPLIMSRVDYLHAAELLAIVEFTLTRPLDLGPLLAAPEAPPL
MPRKAPTQVTEHRVTFGDLERSQLIPLIEESRAIIKSKKTAQTISNVQQSVLTGFIGVGALGVGIAGYAAYEWVTGSSLISNAGDWLDTQRRRLSVRKGEPTEFKDSNGNEVDSLGIFWPFNEYISWLP
MDNLDSRWELDQLSQRADGLTSAGMGLEAIGRLLNESELHADDVNGLQQAVMALGNYVRVTGFELYAQAEKMKGGAK
MSKKQDEDKIYYFNIKDKNGFRFSIPYLNPMDGDYVDRYIEYMKLRESNPAKFEKLLSWD
MLVWLKLSFFSLFLLSANYYFYQNVVTILKNPNFVYSVDLETLYPIIYLAFSLYILSIAFSFVATLFPKNYLKIGLILLTSLTPFLFFPRIEFALGIAFTQSVVLLLSMWDVQNHLKNYFNFNPRLVFSGSLHSLTNLLAILVAGIFFFSYSQYIDNHGFEIPEEVTREISSYTVNNVMSQLTQTPGSEDLSKLKQFGLNEAAIKKVQKDFSGEDVKTQLTTILSKQLQSTIKDLIKPYLGYVPIVTTVLVYVTLASVVGLGFFLVPLLLSLIYMLLEKANIVKFESRTQIVKRLVIEDSNKKVSGQ
MFLQPLKEISMASINENIGFNLKKIRKDRGLTLESLSSQSGVSKSMISEIERGIRNPSISILWNLANTLKTPLNFFLKDPVPDTAVIYRAGTLPDITGPGYCYHPLMNFDDTKRIELYSGVFYPGGCTTEQIHYTGVEEYTLIASGNLTLHLADSVYTVKTGEILHFTGDKPHWYCNEGTDETHVFVMMYYPDT
MAVTMSEEMLQQLMGAISAQSNTWTDLVTGSFARCTARFNGTQIPEKVKEFTNTIVLYKDVEGTSDENALKGLPLLLQDTAATRWEEVKTGANTFDETIHLIRTSFAPSKPPHSVYIEFFGTRQEKKVSTDLFVCQKGALLAQLPYDISTDIQLDMLYGLLHMRIRKRIPRTTVNTFDELLQRARDVEANLSEAEKGGSNPVERRKICSFCGHTGPTVTECRKRQGTKQSPKKEEPETRSEARISCYGCGKAGVIRSKCPVCSPPTTS
MEPINALERTRDPDGVWRRRVNGVIGGAYAHLFDRFDALIFLEAIDFDVVGAWRGEQEAALRGIRLDELHAPDHARLSEFIAHFERLSRHMIAGGVRPATWIKLDRNRQPLQWPR
MKLNTVTKILIVVTILTLLVTSIAAAGGGGGGGGRGGGGGGGRGGGGGGGGGGGRGGGGGGGRGGGGGGGKGGGPARGGGTPAKGSSPGTRNVGGGGTSTVPGGAAGGGGPVLGGSGHAGGNSGSVSVARDPASMLLHVLVMFCILLGSIA
RVRACGAHGVAAHWRLARAGVLREAARGGLFTMVWTVNEDAALRGFLDDPRVDVLITDRPRRAVALRDAHPSA
MEHLKRRYLLTDYNFPDALAVASYAALKGYPILLTQKDKLLTETKDALSGIQEVYVIGGKAVIQKSVTSQLHQFTRISGSALRNSRKYY
MPTESDKPRRLSTRTLNLIAVLAGIITFAIGAGWVIYSYIVDREAPYFAIPLVFSVPVIVAIAIRSIWD
MMNSERLLEVVMTIENFEADVINALRSILVDNVERDLAKRSTVSRGYINALKNQKKPPRALTVETLFKLFPHAQITLNAGDQINGNATTGHGPAIVGHHNHVTAAAEDSAETFRHKIQDEIIRADVDPEAKVKILNIILNTETK
MVGSFQFINTLPDLPASAITSPAALGSFLQPFTSHTGPKAFTILAWIYVAVHFSFLALVLFIIFTLVRAKRFWLFRTVNGRHGAVLIHNVPLCLIAIFFSYDAIFWIISLLGYESDIAQHNWQVLHWFRYILLATTGFYFMTGVAAVWPFSPSTMRYPMLWNLVMIGFLPTAIIATIPPMLMANSEWNKAWDIYKGLQTQMQQSPANEPISSDMMLEAQRFLYHASKYAYKHAIVAIILVIFCFLAAVVFSVIGVRVARIVWQDIQTRRMRSRREVRMARRWSRPSLNFTDSGTTSKGFASITSAEWSSQADPESPRMPGDHSEDTAVVSEKNSDPSRCASAGPFRVKAHQRGGGRQPVPENLQRELRMHFYRTLILFSSMWCMIVSLVGSGLYFAIYLYPATRDATPDAVFGNSALRVLCVFHIVEFANITLFGQIWIGTLVVHRVIALKKQENRPDSPLFKERAAGFRGAKDKQTRPTHGYTFAIGQQTVHVQQQTIDGSTQSSSAQYRVPTSPTTPTFSTATTMSPYTMSPPLTPMMGGEKSPAMSL
MWQHIPPPTGSTENAETSENAEAAGAAEIDQELSILLKNPENRELGDAYALLARVYAGPHFTWEESGFPEDNMRTYQCLHDSIRRHSPIGTLQTLRIAGSVTPTVKKDIELSFDDAFNIVYEYAQQGDAYCQYIIGNVFFWRDDRRIDAARTLLEPTDSKWSNRLQRVFQSRNIQDGIAALQGTVTDKALHTKALNAAKFWFNKALDNKLAMFQGNLRNIYIDEGDHDNARRVARTAAELGNPTMMLYTGLDCHENGRYEDAFTWFTKGVALGQPECIAELADYYYHFYDIQNLRATIPYDPIKAIGLYRKAATKNFNDAGYAALQAAFGYIFHIGHLPLDWGGSLRI
MGLQYLRLKFILMVLGLFIFSSSESQGSLLVDELTKGFCRLSLQGKAWKAKFIKQGKKEPGLFFKDKTKPLFNKKYFGKKTYRTATSSALFSQFMLPKNSFNHRGFHTTHVVNEDETKVEKVKGQNFHISVKEYKNSGRDLALVFEIKAEDSNAFHGLLFLDGTLKLESEMEIRDFYEESQYLEVIHNTLIPEDELDVLNVRRRYDIKDYSQISQDLAEKVEDYSSRVIFSIRFKAFIPPESKS
MTHVLKTVLVEEVDVMVVVVVVVVVVVVVVVAVVVEVVVVVVVEEVEEGGVVRSSIVVVVVVVVVVVLVVVVVVVVVVVEVVVVVLVVVVVVVVVVVVVVVVVVVVVEVVVVVVVVVAVVEVEVAVEEKIVVVVEVVAVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVEEVVVVVVRSSSSSSSSSSSSSSSSSSSSSSIVVVVVEEVVVVVELVVVVVVVVVVVVVVVVVVVVVVVVVVVVVKVVVVVVVVVVVVVEVVVIVVVVEVVVEVAAAATAAAAVVVVVVVVVVVVVVEVVVVVVVVVVVVVVVVVVVVVVVVIVVVEVKVVVVVGVVVVVVVIVVVVVVVVVVVVVVVVVVVVVVVVVEVVVVVVLVVVVVVVVVVVVVVVVVIYL
MSDHSPIYRAAVSGLEAAAGIAVGTTALFGFAWVVFPPVGRFITRPTGGIGTYTPWWGHGLKAAVLLAMIVVTVAAAILAATLVRALFIGPDTS
MNYDVTTNFKATIPDADAIYMTRVQTEWDDPHGEKPKYNWADYSFTAEDLQKLKRTGVIMHPLPRRQELDPACDNDPRAVYWRQMRNGMWIRSALIANIFGREQEITHYYMMNLK
MSNTHIAEEQTMLEYIVNDQIILAGQPQPKDWQRLVDRGFGLVINMRGDPERAAVQAERAESVGLRYMHLPLPAYELEPEHLDVFRKALAQAGLGKVLIHCRTASRVALVWMLHRMLNEGWSRERAEAELCDAGYDEDSMDTFCFCADDYFERIEAK
LIKVGFVHRSVNPSTFAIGRVINGDPKDLRNVYILDFGLAHQYREADGTHKPPRQKPSKYIGKARYAPRNAYLNRELSRMDDLEMWLYVIVELVKGAVPWVHQRNPKDIFDYQKSVRTGLGLREFLGGLPVEFVDIMKEVDKLSYADEPNYNEIYGLIGNAILMSGEKEFPYDWEEGEIEAEKAGEGPTAPLKKEEPQQVQTAAK
MNINFSKVGNYFDIGAIDLLSHVNSTYISSELWIWGRSFAISKGPQGIALGFVFGIMVASFLFSEEFIANVSLDVWLIVNGVSSMVAL
MELTNFKNKLFKTVELSYSINLKKIVKFGRGERVRWILEADSGEKYFLKEKPYYLKPKKFLSILPFYLTFPKSSVQTPPICLTVDNDFHIEVDGRYFFLLQWINGASLNPKNKNDLIELGELAAKIHSSSIEQKRNWNYPECRLTHFPDVRPAGIDKMVQILTSYGYENKVLSNLVWEWRLLLQKEERNVWWEELPAAWIHGDLHHFNILRDSSGNLVLIDLDDVHWGYRLADIAWAIVICLAWEWPSLDTTPFLKESLSQEELQYILQGYEKVTSLTEVEKYALHYFVIAMLVKSVICIQSLIRNTMELGAGEVIKSLQDVLRLLDKWNQ
MVRLLLIRPGGSRQPLSSLDPSTVKSSSGSLVADGLDLDVQHGAMDGSWMCSGLVAASKVKLLQASYESLFVIVQLTEFVATGFSAETLHDEENRGRRQGGGGVRPNELQDLVDTTRKPCLL
MREARTLGGWVIALFLAAMLVWVAIDTLAPPTGTKNHLFQVFADASGIAYFEPTGRLAVGVLEVLVALLVFIPLTRRFGAILGVLLMTGLAALIVQLMMLKVDIPVDVIGEGGAVTTATTDPSALFYLVLGLLIASLTLIFVHPGKDEPT
MLNLLLAIKTDKEALKKSLEVLWKGLLSILVVIVIIMIVTYIMQSISIKAQNKKKQKELLEKENAEKTQENQ
MSENLKIRFSDDLSSLADSFNFQYAYSHRLSFSAGWNPEA
MLKYRPLLILVLLFFSLSTVSAQSAPRIIEFNSDLTTITPDQAESGTTEVTLHWRTQNVQPGEQIRIEVLVLDEWHEAVPGATFPADGTLTHAAPHTLSFAPPLFRLAIYDADGHLTDAKSLVIPYQVGDYEPQIVRFTSAVDAVRQSALQAGRVNVPVTWEVVNRPPDSNLVFEQVLDGSVTNIEAPRPFAWVRSHGDGSIYPALTSGDTIRLRLRLVDLADSTVYASQELTLPILRDVVTRPVATTAPPPSGPQVLSFQATPSSAFVGDPITLIWETRGAASVKIETWGWVGLTPPRTGLQSELYDLPPTGTQTIFMAGNLTAAYLIVDASRPGHEAPNVTLQLIPVDYRPDVRHYIISQGVGCPGDTVTLSWGIDTGFPVSIRSEVVVRTDNSIGVVENSPLNQRFDGLSAEGTLSVTVPTGEPGLASVVYNLFVERNGVASLLGQQRIEIGAECSPG
MLLFGIVSLATLNSCLDDDNYDEQNSIDDSLIQKYLEENSIEATKSAYGFYYSEIESNESGEQVKENDILSLYYEINILNGDKIDEVIESSGIPKKIKIINNSILPQGVLLGSALMKEGEKFRFYLPSSLAYGSYTYESLIPSNAILIVESKIVKIENEDDQKQFEKTAIETYITDNNIADIFETDSGVFYKKTENGTGETTPINGDVVKIKYVAKYFDGTEFSKTETDATFDFIVGSETIIKGVRESIKLMQKGEKATFIIPSHLAYNSSLQIFPEEIREDL
MYQLNQTQLQTLLDLLEHHQTEQAEQFLIAQLQLDPTAAKNLVRILHESPDALQAFKASPQILSGEMPNIKNESNAFEFNFSSSTVKMTNQDGKTIEINDQHPDWQEIKKQFQIDLTQPDALSKFAENFMQGQTQNTSSQAKSSSYDSTSPTHASVQTSGVEDLSHQNKSSSMLLLIGFLVLIGIAAFYYFKT
MGLVGRTARPARDQLSVIVAAHLGTVEALVITVEQAARVRSAHATLAQAQCRLMELVARMEEFVRDQLTETAVLLAATVASRLITAVRAASLHLGHAVVVQRPSQPTVPAQQMARRVRARPSAIAVVLRTTAARRLLIADLDGKLFPMT
MGFHVDLKEFNEVLAKLQKDTSKTNNQLEQAKSALNGIIQADAMQGETGKAIVNDINNNQNTVVVGLKDTNELLIAEMAKTLQDFQSTTGETDGNAIILEDALLQAQHKLSSLQPKKHELDSRISNIYNSVNDVISLHMPKSQFDEKLVTASKELEDTIQKVQQFESKKEKARRKKFSMP
MNNKDEHKHIYIEKINNIIKDWYVHTMEILSQCQQKLEWNTMDQSVKILIHAHLLNKHDPFPVRSIEKRNILKKEMIPTLLIYKSYIENLHHLDYIIFIIREWSNDKCSFNQVLTLLVWKHYESLETIDKNRKNLDTIKKKISGSPFIFDQLVLGVTEAFFSPETFQTYDPAVKAQLTIVTDTYQQNNQLLIEAFNYYRLADKTACDVADPNPSSDGEDYKYKSKLKRISINASCVELFDSMVSLHPNFRGDRTYLGNIDEVLSSASKSDLINIEKLQKKGDEKGNVINETDRKYKIILKNNVVVFNDLEKTKEKNRTKNLKKKQRRKRRNQESAILAQTSNNRALNDNISGSAYSLLDRPPEPHIANIAPASADDVCTPNSAQPMHSPSSSTETNDANLPNHMSNTVFEEPVETKGVCARIVHSLCIPLPLLLK
MSLIPINHPMRPVFRTLGGLAGLFLFVTGVLGLVRSWGGGVFARDSVWVFGLRFNPASAAVFLLLGALVVIATVIGGQVEHFTNLIAGVAVLGIGFMALALLRFANFLNYSMTNVIVSFILGLLLVTVGMYDKVGPTAAEVHARRRSSHTARS
MAHFPVDHPMRGFYRGLALLSGIALTVYGVAGFVKTSGMDFFDRAGEQVWGLNQNPAFAVLNIAAGVLLVVGNLIGRNLDVLINVAIGSVMSIAGMAMLALLRTDSNFLAFTVTNVNVSFVIGTILFTAGMYGAVSRPKKKKAVKKEAATPAVA
MGIECQQLEIIPGDSERVVQAGSHLTLTCTYRYVNEYDKRENNISWILPSYLTRNPKSGVEKRLLKTFNRNDTHLSSTMTLMNSTPEDTGYFGCVEILSWNTIGKISQYVYVHSDTTLIIDKMDDKYLFPKFEHRQGESLLIPCKPTHPNVTVSLSREKLSTNGEWDWDNITDLLSQPDSNWAFEPKLGMTLTNPKINDSGEYGCVGKMDNAVIYEYFSIYVLGRMELTRIDEIDDTLQAWLDGSNATFICRTSATSEFPSQPEWAYQIGDDGEMHVLNESNPTGEIRYDPVVYYVRNQEENNSTVIAFSVTLAILFAIGICIAVKPYFDKKRSGFREKVENKLESPIEEQTELITTSYGAADFSK
MPDSAEARQARIGREGGNQVSYTLKYSMVMDGHIKSSARRVGPAPGRLLVLRLPAGGAAHQGRYEAIVAAIAEQMIEDVDKVHIDCAGGAGPVDFNK
MFESSSATISNTRSIIDIIADDIEFSVKSDTSTTYNDIHENQKKRQPDYKNKTVINLDKEDHQSKRR
MNRFYLYAFAILSGLAALSWEVLWQMKATLALGISALGTAITLATTMGGMSLGSILTTLLLSRRQRLNPLKVYAALELVIGISGLLLGPGFAYLEALDVRFYHLSPQLAPWLNGLGIALVLGPPSAAMGATIPIYGLLGRRYRAQISMLYSLNTAGAAVGCLLLAFVTVPQIGIQASIWLVAAANFLISLTAVMLSSQGEMPLEQRGPDLEDRLLPQEMVIALLTGVATFSLEIAWFRCLRGAFSATTESFAIMLTAVLVALALGARFARRRPPLGPVLCAAGVAILVATPLVERFDIWDTPQLYWPGVARRLLMCVVVLGPPMALLGTALPTLLEGRGNLKVWARLYSLNTLGAIFGSLLAAWLLLPGLGFVTTAWIIGLLVGSLGVAVWKRPLALLAVLAGWAVAAYFQTGIGTLRLPTRTPLEGKIVKFYEGPDCQTVVWERPNGERNLFIDGFCAAHEGWTAHYMAWMGRAPMLLHPDPKTALVICFGTGQTINAVRQEGAEWMDVVDVNPRVLQSAGLFPVNQKVLEDPRVHPVIMDGRAWLRRTDRRYDVITLEPMPPTFAGVNALYTREFYQLVASRLKPGGITAQWLPLHLQRPPISISMAATFRSVFRDSIVWSDPNGDRLMIGRLATGGPPLGQEWPGLARQSAGRNMSPEAIRQAAWMDNGALDRYTTYGDGKLVTDDNQLLAYGASQQHFKDDVDLSAYLMDVAFNVGVLQRAQQQAAGLQPLSGLIAQMLAQLESGRRPDAWPGLKAARASLSGPLRDTLDRLRMN
MLSRSSTAAIRARVCRPGAVRLNSTAGKPSKKSEKPFYNTFAEFVRTSKLNSEGAFIHEIPTEQQYEHSELAKYLKKVDSEKESLIDAKLKELAQESGIPFEQVKEKNY
MTGVTNAPRNGRSKRDVAPFPRGDGAVPLAPQQAKTQLGCALNTIFKIAYLGAIKVTVIDSGLKREYRFHLQPGADRAYIYESWLARVRCWNHQTAVIHKDLRAACAIPADHPQPSGLDRFCCETFARIGTSSRLECTAFFVDKDRKAEDRDRLRAQLATSDWAPSGSHSTARVESWAVVARFPAVDGLPDTSLDPQAADPAEPSTGLIGRAMALGPFAAAATMFAFVATASDRDEKLLRTYVRGFANVDAQLGVQDLSAITGEDIERLLVSMLEEAAKGGRGCASLYETCQRYRTATRYLRSYVARVDGDGSRGLAAHLPPDPSSPTRVYKALAEAKNVVVLEGGVRRKRLSNRAAVNLDRHIAANRSAMEQITAIREDALVAADEVVLQAVRRDAMGEAEARRTPAFREFLVTVPVLDPKGDIVRGASQTLVFWAWRERDAWLSLEHGALRRQRGMRPKGRKDAQSIAKRALVDAALDMKIKDVQANEGKYGDVVFEYRGCRPDRPGGLCQEPRFVTLARLGVDIAPSHLMARCQRRRHRLMQNWNIVPHIKTPGGLLTFENDKSVLIRWMNARNRVMVPIHEVYFATLFGTLGFDILTETLARLSEAIQPEQDIARWKLDEAIVPPVMGFLAATKAHPGKPKQMPRPLGVSDPLFESIMAAAEEIARANGHADGWLPAVDEPPGRPGEADASGNVVPPRRRPLVFQWRGRALPPAEVAELVSWLMANRGRVTPHVLRHAAANQLRQMGVPERVVQAILGHKSLKSTAWYMSPDARRARMEAAIQRRGRRIGAASLQAGRAGVRSEGKN
YQSAREGAFSYAIPRLTAGATYTVKLDFAELYWTKAGQRVFNVSANGQVKLSNVDIVAAAGVGNKAVVRQFTVTADGSGTITLQFTTVVDNAQVSGIEILSS
MININPFEVILNAFKPFLDKEKPPINVMEVSNLWFYFAGSMSTLRNEEVAYNVIEDEELKEWFKDAIENLHKPIIQELKAFLDQESVPLPKIYSDFPSEDYRSIPQGTKLTDEETANLMSYNLSLALKLGMRGITESIRPDIGFLYSRFIAREIAFSLSLKDLMQKRGWTQIPPAYYPTTEIKTQ
MSTQSVVGNEIDLIKLFRALWRGKWFIVILTTIISLSTFLYVQKIQPVYQAKIILMPPTNSDLFGLNDQLFNTNKKLSKELKSHITLPSFHAEEVYKIFLALLAAETTRKEFLNSKQKNNKNHEDDFPISLVVIRNDIDRFSVIVNAHSPEVASSSIRHVIEMTNDKVQAVLTSIIQRELQVLKNSLDKQLELLRIAANNAREDDLAQRTSQLLLARSAYSNLPHVNIDNQKEVKELLVEINKIKQKTDVQYIPRIRNLEKMQSLLNYKPDFTNVVFSRPDGSIEVSETYTRYKSNFFIAFSVACGLIMGFILIILFNFKSLLTTPKLVEVNLPG
MVAAFHRFLINQLAERANTLPPPSIRVGRLTSFAQPTLPSPLSQLIRSTWTETKSCTSCKFFQEQVKDSHVFNLSYLGVREKEGRDFESVVKSSLFKHITHKALCQGCRHKTNYVTHRTIASNVSCHSEEHLKLWMNTGKLRFLPAENIQIRGNGVGADEAVVVEYTPEGEL
MVDEDAKVFEFKQLEGILKTRSNAIDWTSKLNGIPKNSYLPDVAQSNIFSFQYPDDATQLNARDSSILIDNFNLEYEADFYSSPFEIAQISVCI
MNKKTKGFLTTAYNNIGNNYSPYDFSSEITSLSQKSEKEEQTKTLIGQGGFYSQLENKYHRINSNFNSELNLLHKFSSKITAKTNLGIYDDRLTRTNKSHTEYSINEEQFVINEIEDIIKKPRLYNANIQLSNKVNDCFIWEYIGKANYQEIDFDSNSLNNEVAQKNEVKTTNFFTKQDFNFTNRINENSAVTGVVLYSNNRAPQDFCLPLV
MTATLALDPFQLAERLRLPRPTDDQAAVIAAPVEPSLVVAGAGSGKTETMTSRVVWLVASGAVRADAVIGLTFTRKAAAELAQRLRRRLAQVRALGLLPPGEQGAVDGEPMVSTYHSYASRLVAEHGLRIGVEPSVRLLGEAACWQLAFKVVHSWDGPMDAATWLRRPLCRRSWRCPVSSPTTWSTPSSWPISPPRWSVRSRPCRVRSAGRRTPPARADGEGADQAEGPRTAAAAVGRIPAVEARAGSARLR
MLFAMVEIVCPMVRSSPVKESGIKVQTVQGLPTDHGEKG
FRTENVLVSEVEERRGLLYDVVLCLKLTKWVHLHWGDDGLKLLLHKCYRLLRPGGLLVLEAQEWASYQSRKHLTPHTRQNRSLLRLRPQDLPEYLVREVGFESYSTVGSGASLKRPLIVFRRAKAPHSDAPTLPVGCGSGSGSAEMVLGCSEIFWDVQGFSTIYWDGQGLRFRPHVTSPLWAPGGQECTMLFAWQHPARRSAFSKAPIESAGAPTPTRSRRGVGRRASPWMLVGAYRFSPDMHLPGDIILDVLQLPVACYEPSCPPAYKDFPAPFQFNYTAPLVRIVNAQGMPAGYATAIEGLMDKIKQAGGELYMGAPLTDLKPLAGGGAQLMFGNQTVPVKQVLLNLPRTPLLSLPSMRAATPNRTVKMQECVKFDTPTSFFPAGSFDLGKSLTKGYAFYEDAWWHTKVDKTEGQCPENAFEPAQTSEGIPIGIHFNDGPVRCEAPGKGCRGFLEVFYSPATEDFFEDLRPSPQEPLGTTTNATQLARLHRAVMEATKEIFNTKLVAQPQDPPTLLVVGVWDRTGEGYTAPTKVYYSTSPTTPGGPDPLQKACGVPGLSEAEYRNSVLMPLLNHPEILVANNDWVASAIEKMEGDWAQESLLQAERGLKLNGFERPEWLNEEYYNAKVLAFLEPGSPTRQTEVLI
MSTLLLVALVAVALYARRRFAHAQEHTEAHGRPARARWRAMAVVVAIVGLQVVIGATPASAAPCGEAPNPERPGSGMVGAIDPPIGNGLPDTPYLNHGYAGMVWHTYQEKCLVPNATPVLDTWMGNELFNIGKNIVGATNALHYTVVGQGLLQPLDEAVKTGVQKVYDNVYLRWFGLVALILAVLMFRQIWQGDLASISKRGLWALAAMWLATSTLALPQFYNLLDSTLVTKTSEIQAGFIDVDAGQDTLDKLPTDLHTTVVYQSWLRGEFGAPEAPQATEFGPKLLAAQSWTVQELADHKDGDQAALDAKKAEYKNIYAQLGPTKGYFSGEDGGRTGAGFLSLLQAVAFSLFQLFAKAAVLLAQLLLRVLTLAGPLIGLVALIHHDLLRKVARAAAVTVFNVLVLAVLAGTHALLLQAIFNATGLTLLTKALLGLMLTLVCFMVGKPLRRMWQMVEMSVGSASNALPMRGGVLSRLRKKKEGPSPQEEFWDTVRDADPDGPEVPQRDRRRVRPEAANPVAATAQRLDRAGNSVGELGVGAGGNGAAALPAGGYAGPAALPSGRSRVVDAVPIADRNYDRVDDAVLVPSRVSGSGRVPDAPTGVPGPRRAETEVVAGRQVHVIYRPSRGLEVRDS
MTSLVGLVLGIFIIGPIFHEVEVYVLSAVEFLLTLPFGIGGLIYGNSWVS
MITPIVLTQESARGGRLAQYYLDGLDDYYAKDGNASMWQGAGAERLGLQGAVDEEQFKGLLKGRLPSGEELRLSRRNDMKSRVGIDLTFAPPKSVSMQALVGLDERIVAAHDAAVADVLAHIEQVATQARKKIDGKTYVEDTKNLIIAKFRHETNREAEPHLHTHAVFMNLTQRQDGEWRALVNDNIVKNVKAYDTMYTASLAVKLEALGIELRREGSSFELAHISREQIVSFSTRAAQVEDYLAARGHDRMTASPTLKSAAAKATRKRKDLSTERHELYSRWAKDAKELRIDFASTSLRFSNKHIEKAHKAADRRDGKYAVAKAMETLSTDEVADKAVAFAIRHLTERSSIIGQTSLSVSATQHAIGKVTTTDIAAAVDRAVKKGNLLRSDPVYSAPNDKDQPPKTKAEWISALTESGKTVSHAKKQVELAISAGRLVQLEPRYSTQRALDREGRILARELAGRSALPPILNVDVAQAKIEKTSLRKDQARAVNLALTSENKFIGWQGLAGVGKSYALKDFEKIAQSEGYKVVVIAPYGSQVKSLRQDGLDAQTCAAFLKSTRRAPLDDKTILCLDEAGVVPTRIMDQLTGLVEKEGGRMLMIGDIGQTKAIEEGSPFKLLQRAGMKFDVLDEIQRQKNNPQLAHSVMLAAHGKAAASVSMQDDVREVKNEAERLAAIAKEYTSLSPEEREKTLVITGTNETRRHLNSLIRNNLGVASEAKVTALARHDSTQEQRRYAHYFSIGDVIQPEQNYKSGLKKGEHYEVVDTSGNRLTVKDKDENHISFSPTQHRHISVYKPYDIDIGKGELVRITRNDAALDLTNGDLMKVHRIAADTIELTDGNRIIDLPRSERMHLEPGYVSTVHASQGLTADRVIGHVATSSKTVADDWYYVLISRAKTKVTLFTDSIKKLPKAISKRSHKHAAIELEHGKSSKMINRIERFSGKTFSMD
MAFSATKRELGELYTFFRLLADGAVSPGTPKAEKDETLRWPVALIQREEHDGTRRYYIEEQEVRIVSGTTGKDGSFVPGEKEELRFPREDFGDAAELVLHLLKNVSGEEVEVTEGLEAFLDAVNIFDLEAKTEDRTDFSVAFWHPEAPLTGFNVRCRLTPMNPLLDGGRTANLKLEQSGVKFAVPTVNKVNALPESPTEVAERMMMIERLGGVLKYADVADRVFRCNLLMIDLHFPRMLAEMVRLMHLDGITRISELTERIKEMNPLKIKDELINKHRFYEFKMKQFLLALALGMRPAKIYNGTDSAVEGIFLTDGSGQVLCYHKSRPQVFADFLYQNTRLEKGAVEKDKYGFLERENGVWYFKLNVKIGLVKR
MFNCDGDEEYLFACTHLGFTDEDNECKKGVRAWGDLPCSLRVVHCMKTDLQFISTIIIIRAQTTHILVDIGQHL
MQFDLLPHEEQALDFYEEQIKETQEPELKKQLLQYYFDYLSSLHTIEKEYRNTMVKHEQEMESKDLEYRALRADRRRNRPSREPSESDVE
MRNLARLSLFCVLAGLAGCSHPPEKYEPDAPANVLNGPARAVGWLPDPVQPKDFVVAGRPSEVQYMPVGIKPPDHPVKPLKGDQVKQLEQSLDAARAAQEKAQGIDPNPPPAKKGPKKPQPDVPPQTPATGQ
IRPNKIRYLRKGVKLEGKEFEELLAKAEHIERGQRILMKTSVWVILVVSFLSFAVPAAWVSNRKRSAKSYINENYDIDQEEEREESKILINTYIERKSKD
MEILSPTSYLTNTTGWPAGADEEVRWTPAENKAFENALALFDDNTPDRWHKVAAMVPTKTVLDVIRHYKELEDDVTSIEAGLVPVPGYSCHVSSPHFTLEYWGGSHHPPLPLPLHLQSIEEISRRSPARRTRTEKRRPVDRGRAQAVLDGAEEVWER
MGNNALSKEEYLCSGKYVDEQTIMLAYGYDPCSGGKSIGDVLDPTRSAETEQENLEQLTPVFIILGIGVIVGIIAFVIIAKRK
MERGEAQLEELLRAMHAAAAQGEFEKAAQLRDRISILRGLPGDAPESDIDPSGLVRQKPGAMGLGTSRQQVTPPPGWKPPKKPDLMTTGRKRGRKEPN
MVTKNTALKEGLILRAIVVSSYVCFEMISRNFLLRSYMRDTNDSAIVLLVMAFSLLGVQFVVLPILQRKASPRMLLQIAMSGLILCYFAVSFTTNFEQLLVIIAIQTGAYAVAYAESSTQITSAVEITDLGKATGLASTVQWISHFVLPIYASHLVENYHFTYAFYTSTLLSIIGLVYVSIFAKNTNNRLGSLLPSLTVTY
MNSSNNSAVPSRRFKWLRRLLWTFVCLLSVITLLWQWENWRSARELAEVHRRVVERLGTDDPVVFMPPKVSDEQNYFANPVLESWLRVTKPESGRMKYTPPANALMPKDFIKPETLDAGEGGIERLDLDAWIKKRGVVMGESPAAVLARELGNGNGLLPKLAEGINQPFSVMKPGQQEAMEASGGNPWEAALPEFQSISEFQRQLGLHLRSAALVGDADKAASTAQIMLRFSEAPARGGIIGCLVPLALHGITFDALHEALSRPAWTEESLSRLQLRLGQFDDLENYEKAHACEILSMFRSLAYLRSHADALDGISNHSPDAPFWERTFNEAFEFAMKKGPIGWHDANIAFYSDCMLDQLGPPGPDAWLSAASRDAKVRQCSKEANAWPNPRRLLGAIAIPNLGNITHAAAETLFHRRCLIIACALEKHRLRHGTIPATLDAVKDDLKLFNIVDPARPTQWPGYRLETNGYLLWSAGPDAKDDGGVKDRDWLWRMKREP
MKTHPLNVSYLVVGLAFLGIAGSWALHAAAADIIDAGDVGWLVPLSLVVAGVIGLVAFAAKGVSRGRSRAAGATAYDAEARPEDEPAYDVGTDPGIPAPTDADPTDTGATSYRTDTEHTTVLPTSEENR
MFALVALPTAWLVYLALLVREGEDGAAEWYPVGRIVLAAEIIAASLVAAGLVLIAGEMDAFRASVRKTVEVVIQ
MSRRLHHGFTIVELMVGLALALLLALAIANVYVQTRQTFRIQAAQSRVSDDGHYVLDIFKRVLSQAGYRPLAKAGADFKTSFPATSPFAAGQVITANNGTLSIRFYGENTDSASAVATQGNIIGCDASDAPFLTDNQRHTFALKLDGEQLKCNDTVLVGNVLDYSLRFGVYDGTNKQGLKDNGRIITQYIATPTNWDDVLAIRMCVVIVSDAGSGTNLTPEPMSYQDCAGNTVTATDRRIYRNFTSTFQLRNLASNLPS
MPVQDTAIETAAPGVSALDAAQRADIEKFEVQLGRYLTGDLAEDAFRVFRLNNGIYGQRQGGHHQMVRVKLPYGAVSPEQLETLGAIADEYSRGWGHITTRQNIQFHFVQLERIPALLRELAKVGLTSREACGDTVRNVQGCHLAGACPYEQLDISAWA
MTITMTTTNQRLPSLNRRPPTLHSIRLRAVTSQSRFPRSSFLISRRTCPRVVQASRSHAVALLSPLERFTFRVSIARGVVMFFPGHTELLIILVIAVILFGHRLPSVARSIGESFHVFRRSVSGEDTQAS
SENVWSSPLPYLKGVVDYDQQSPYSKWKKEYTPQELSQLLTKAGYNIGDLQAIVLSRLSEPPVNAVDRGVSGRVKTIRFTGTNGSVMLTGNKLRGLLGLNSTLFDIVIVTPAPPSIDVPITDSFGDHGTKKMQVNLKPSVEKGLINDKDIIRRITGRPQERIVINGSGFGHGLGLSQWGAKAMAEKAPQSDLAYFRTILKHYYQGVEIVKIY
MKGNILLACLLIAGICSSTPGASQMATVKGKVTDLQSGEPLPLATIQLGAIGAVSELNGQFLLQLEAGTYRLTVSYVGYLPFSDTLQLANGQTWEKNIQLLPQSTILETATVTAGRYQKPVSEVTVSLEVLKPALIENSHRYQLDEALEKIPGVSIIDGQANIRGGSGFSYGAGSRVLLLVDDIPILQADAGFPNWNDIPIEHTGQIEVIKGASSALYGSAALNGVVNFRTAWPTTEPETKGAFFSGMALSPKDSRNQWWDSPPLTFGGSVSHKQKFNRLDLVAGVFYLNEESHNEKTFSRYGRLNFNTRYRLNDRLAFGVNGNLNKGNNQDFFFWKSEAEALSPFANTLAGSDILRFNIDPYLTYFDGGGNRHKVLGRYYRIDNQNSDQRGNTSAQYYTEYQFQRHFQDARLFLTAGAVASGSQVEAELYGDTTFNSRNQALYANLDKKIGNRLTLALGVRLEDNLLKNPGFSYPRGVVQPSRERESKPVLRIGLNYEILPFTHFRASWGQGYRYPSIAEKFIFTQFGGISISPSPALRSETGWSAETGLRQGLKVGAFEGFIDVSGFWIRYKDMMEFNFIDLQTGFRSTNIGETEVKGFEMSTGGQMKTGKLTLNLVGGYRISTRRTRISMQPLEPGETPSIGKLNAINSSSDKPVLKYRSPHSMKMDLEALLPKWSLGASVQFASFQEAVDRTLLFIIPGAMSFRNAHDKGYLVLDLRGSFQISPQIKCSMLWNNALNEAYILRPGLMEAPSSLALRLDWKL
MLLYRMHDDLWFCGEPEQCVKTWEVLQKYARITGLDFNYSKTGCVGRFFRSTFGEPAFCFGRPHVDAVLATYAKLQNTLFDNQGQGEALRVTEFLRQRIKSCYGEFDVPDAFFFLPEELGGLGLRNPFVPIMLVRGKIGNSPIDLCDKFKKEEIEDYVAAKKAFYDLHERARLRRLDYVNREADSRLGQILKTSEMNHFMSFEEYTRFREVKEYQASVLLRRVDACSGKDEPVQYPDNLETKWLLNLYADELLAKFGGFDLVDKKFLPVGVLNMVKGKKVKWQMVL
MGESSAFGGAVPRLPGGLEVYAGEDGAVTWSGVELTVDVMRELQAGPWAERAGDLTATRDIVTELRSLATTDMETAFIGTLLATEPEPKDWQYGEALAEVLLEHWHGAVWLWDGARDRRTRKASLPGADLVGLCVADGSATLLFGEVKSSSEAKSPPQVVTGKTDGMIEQLKRLTQINDHMTLLKWLRHRCRTEEHISAYQTAARRFIGSAGKDFRLFGCLVRDTAPLASDLSGPATELAKTVVEPMHALLTAWYLPIAKATWPTHAAAGVGDSG
IFQNGFNIARGYNGAPDTQNVQSIEVLKGPAGALYGRSDPGGSVNILTKQPVARPFVEMGTLFGSFGTAR
MKAHVQVYTDNLGKWLSKVSQPAERQTAHDVLLADSDRQAATAQQLWEKATPLSASALGRALATQLPEAGEARFIHGSRKYPAPHVALPAHDASGVQRAVWLREVQLDGDGRLRGLSDNARLLGSKEATLVIFRQSETGLTRQATDLAEARQLGLQHPGDGIVVVRGESPTDAIMKRLSGGLVLPDSTDISRHPGSNPADTPDPVSLKTPEEQRMAKALAEEARRQQHQEAAPHLPGDPERPDALQQAERAESRALQREAEQSRQQELAGLGQVIQQDRQQTRQRESVTDQLHRVEREIVKEKEIGE
SNFGSTSGSTGSQFGGSEPSDSNFGATSPNGNFGSNSFGSQGLQGSFGSQGLQGSFGSRGSLGSQESFGSQGAGIRQYLPPKPSSQNPPQQPFDEKFGYIY
MSHSPVTERLPASVFLLMAAQCLAGALPPIMVSLGGLVGNVLSPSPVLTTLPVSMFMLGTCVATIPVSMLVRRYGRRPIYYYSCTLIRVF
MSAALGKLRGAVTKIMTETGLPADGEGGGDGTEGGRSQLEVLRRVNIWTELARGMKKVNTEEADQPNVPAESGLERLQVVGGPSGPRERRGSKMRIKSLVSHLDSVLNKPLTATELEGGMRVEEVLQLQHLKQVKYQFETHQPTARSPERDSQSRAQQQQQQRPRKAGMLNVEEFKNVLCRVIGHDRFADQLERLFHRLDTDSHGVVSWQEFCNYMMLYLSESDRLSVRVEPPFKSEPRLRHVMYNRQEPTARLLLTETPTRPISVSKTGCILLWDCLLKLEAHLETQGGHTDLAATGKRLFNEWVMDAAFMENCKKLVLSTTGREVKFYDIASNVIKEEFVLYGMRSVATALKFHYQKEVSYDAFFSSLGFKLHLGDILRMRHSVQM
MDVAITKEGGYRATYPEVPRDLIVDMCSKGIGISTMPMPGEPMKGGADSAKIPTYAAIPSSFSISAYTFWGVSYTTGSFWVPGDMPSTDNAHLSTFDVSATNFENATGGAHFVHMLLASSNSNIDADYNGKGMIFGPYGFWCSPPPASAGGFGAISETFMYPPVGHTVSPGGPTPDPAWYANPNRSKIWAGADMSRDAFGAGDAPFHTCTATSASTQMSFLVGANRWQQSVYYSRPTGVPTWSTTPVVDSTAPYFLTGKAGTAYFVAGSGVIGDWRLDFENVSAWTQP
MRTRPHPSGLVSRSRELLAYASSPFWTGFAFARASCVHVFTLLDWFRVRVFNLLDWFRVRESFLRTRLQPFGLVSRSRELLAYTSSPFWTGFAFARVSCVRVLTPFRQFRARVDPLAPYFLIPTNNKSVCNSIYSSSVYSL
MAALPSELIPDAQRRLDCVEPPVGRCGDSTEGVLGFRLQGPEGQGLSVDVSSLPLVFYMFFTLTYLSCSAAAHLLKLHSERAHYLLFLLNNVGGAMYLYCCASALYLYSTDYTWTQSMLGKIFLPAAAFLCWTSCCVSCHVEVRSCWTSRFHRRLYSLTMITATGPVAHRLTSNSWAGSPAASLHLLQMVLSLFAAFFFSCSSPECFSPGSFDLLGHSQQLFHVLLSLCVMVQQEALFCDFLWRRPALSRLFGEEHLLLVCNSFCWLTFCCGVTALVLSSRVWTRLTTEEEG
MRTKAILELACVIIPVGLATADTIRPLNSDGFTAGMPRQADIGTDPSGSATFNFDHNGVNCQLTWARANGERNGCTYEFPTSLTTAGDTFTIAESETNGIFTGTLTRGCVDMLIRVDSGEPSVLDGLNQDCTGTVGGSSDRRRFCDTGSTALTRC
MTRTHLAYLCVLILILAGPLVAEEIRLTTYYPSPSGVFDHIEARTATVQETLDVLGEMSVDGHAVMATNPASRVGIGTDNPRSQVEIKSTSVDGVIWAGAGANNSYPSPNVELRGRQDATPFLDFQSDPSPGTAHTIPGDRSDYDARVIYHGDGGGYIGLPDTLAIEARRVNVMNRGRDGAESRLFVNEVGKIGIRNTNPQQELDVHGNVHIGGRGDGQGGQLFLSGPSSSWTSTWLGMDSTWTEPGKRWFHIGGETDTDGIRRTVYAADRHNFGGRVGIGQINPQQPLDVNGNAHIGGQLFLSGATSAWTTTWLGMDSTWTEPGKRWFHIGGETDTDGIRRTVYAADRHNFLGRVGIGQVNPQHPLDVNGNIAFAGQMFVRAPGSPWTSTWLGMDSTWTEPGKRWFHIGGETDTDGIRRTAYWAHRHFFTGKVGIGTTNPQFALDVEGNARKTVGSDRWLTSSDGRLKQNIMPLQNALDQVLALRGISFEWKDPRRNSLQPGRHMGMIAQEVEKVFPEWVHADEKGYKLLGAEGFDALFIEALRELKKENESLKEKIRLLEEKNLQATSE
MSIILFLIVVGFLIALFADIILEKEKTKAIISLGVIAFEFALIITLLAIPWLQPVPKDKTVVKEYQVTSVIMKQEKGVVNSKTYLVQLENHQPVTFGDTNSQDTRLFIISGKSKTLKK
MNDRLLPFPPISDLDSSSSASRPSFRSRLLASLCALFMLSGCGDEADPSPKVEGTKTTIQLRDRHSAYAGNSRDWSVFMDCWRKSSFELYSTKPEAARGLRHLMVLGQQTPGGPPGPTETELKTGLAQLEARLKMELPKSYKDFWIAYKSQALAPSGFDPHNRSRFVGMLELDDVGLFKDLEPDYWALMQTVPIHSGDHEYFSYGVAQDSISGRTSDYGRAILIGKYADDSTALILLHPHVRTSDGEMQASLNEHAGEFRAPSFAELMRQLSILETVDVKHVPPYSQKMLADRCAARLPMKNVWWD
MANRTIIFWLLWALVALGVYFTLYSDTGIWDYIENDVSRMTWLILGMFLLGVGGSLWLATKISLEARRLRQVEAVVRERGLQGFESGYQARAVDRFFESLKTTLDTKGRPEVENLMRAELAVFERGSRSVEVAGNLLVTMGLIGTVMGLTLTLAGLTGSLDALGQDRNQLIDGLRRAMGGMSTAFYTTLLGAVFGGVLLRVFAQITQHGVEALYDNLGRICLVYCSADYKDTLERDVRALKAELQGLDDQLQALRGSFGGTTLAFSGFRDEVRSFVKGEAGREGESLQAMLEQHRAYCDMLRQEMRLVYALNRPWWVRFMSLLPGGR
MSINWVMLSNGTFTPLPGEQTLYTSPPRVALSISVPTHYPGRQQQSFSVSSSSGLIYLTNRRLIYLPAQSTDKLQSFATPFLNLHDSHVTAPFFGPNIWQALLQPVGDGGIPAPATGVVELKLTFKDGGAFDFHSKFEQLKERAQHLQETSRMAGVGDARLIDLEDLPVYQEQNDGPLIPPIAPTTAAYPAAHTSSQSDEPQRTIPPSEPPPSYEA
MTGYISILLALLLITLTNFASPATSGTYDVRIKDELGTTPLNISCHTNTNKYFPEKSLQWSFWYRFNLSIDGQTQSASCNLKYGNRKTTFEVFNNTRDPHNCPQWTCDWKVRENDVVQLQNKKWKAMYKW
MFNEKIKVIGWISMGISFCGILILTLWDGVLTINKGVLYMLIGCLLLSLYNISQRYLTKNILLLMLVCILC
MTNSEKPSSFYELLGSIRRRPEAYLGNRSLHDLRVWLDGYRYARAQMGVNTPEEEEFTHFDTFVQNKYSWHDTSGFEAKIAYYHRDPSRAFDEFFTLLDEFRIARPVAAAAAAMSKRARTRVADPAPEPLPVQYSVDALAELARTVGVDSSALANEDARWDAYRRVIESRAHVDLLYSCVKSEPDPALASAVVVEVLETVPPQDRGRWVEAVDDSQKDSVRTREWEIGVLDSLNAGQKNVSDVKMSVDNWSNWLQQRIAETEMSWDVLAVIASRGRTKRIRRYASERLRRL
MSNSTLSYNPGFEPSEEQVDEHLTPEDLDLDQEDEKVKAAEKKVLKEEVWREIILTSNGRDKAFAVPYSSEPSESSKGQERSKSFLHTALYAPPPVLLELVSACADDVATFARLGLIGKRVGASAEKFADWCFFFSTLVSLVENGVERQMITSLQAEVEGRMYNESMAGGSAKSKPKASKFDEKELSRLQKQDYWLQVTRAKLAMDLIFVSYDVFRLKKGKTQMQAFSGLASAILRMDHQNFPRLPSQDLISLATPSQEYNEKASHFQPSSQSQSPFVPPSRPSHPXQIPWHPSPTLVSALRSTRAPQAGKNVCAATPDSAAIALLRPWTPVILYAITTLAFLVAIALYRTELFALLDELSFWLRADESYGHAVMFFLIFLTTIPPIPLYSTLIILSGYTFGPWAGAIISYFSALLGALVVFIVSRVLFRDSIGKWLDSYTRIKRVVRAIEKRPKLLFLIRLAPYPYNVMNCLLAASPTLTLHTYTVCTALSLFKVIIHTSVGASIHSFRDYHVTDPDSIDDEGSADKLARLWTIIGILLCLVILVYLSIVARRAVDEELDDEPITSRDSEETESFLSSSSSDLESGFASSSDECQRPMAEVPFGAHRMVTSPYRPPRPLEPESRANSPSRWS
QIKRGVSGSHQGREEVAADGAGYPPDWGAPEYHVELQGIKVVSMGVALSKETTVRLLGSSVRLPYPRVQPQQRGEGEGAAGPSSDGQDSTKVSVRVSFHQAQGTAEAQGVP
MSSRLEPEYFEEALAYVDHQGPRAQRKKEIISPTSTSSVEAKSNVRPFARSETHGSVVDGEFFRVAQLWCLIFGDRNQGKKSQVTDGGIRLWLMPTEKLIMLEPHLGIPYCQRTPADQIRDKSLDEGALFLSSDKEADQQSPESDSNGLLSARSQAQRTLHQASATEIADNEAFILQYDGLGATSEVHMDECHVFTLLATIFVVAQRPTITLPTRQFAAGEQYKVNE
MMEQAVVTPGTGGLTRFVASVLLIVSCFGASYYAAGSARHSTFSTLLWRYLSLATAVGGLFGVFSIIVTIGVGGATLVAVRGLFGLFFIIFLALSMRELYYQAPYRSSGSDGWISLTRARRLETIFMVIVIIEFGIAILIGLTRVSQTIQLLASLAFTLYGVSFAHSMRNETLSSGTVIDSVLTYTIAVLLCIGIGFAVESGAVVGLPDTTIDSAVNILTVMGASFLISLIIRLKQNVEAVR
MAKFATSFNSTKKKHPCLVQCKVTMIGVAVFNNYWVCVSVCDSARLLSLEARLEHRLWRPLTTVFSASRVAAPSCSTSSTGGGGALGLWNPVDCPPQVRSREGAGGESERERASPPEQGSQLSSATYYVNLNKLVNPETTVYLRFTGQRFFHPVTGRPVLTQGSEPPPSDLRDHQLTPENLAERKLFSVSSVVASLVWGSGLLPSWTRLPPLTRVRCGRVGSSRGGRLGLSGSIPFPKVSTREGVYHSTVSGLHCWERTRNTSTAAPGLGLLTTTTTTTRDWYLNHETSYRRPSRALSKQSSSVDNKHAPRPHSKLPSLRLHLEPPPSSPGCLQALLSGVLVREIMG
IASIEANLSLSDPSDSSISCRQTGSITPEMIAKIDKSSSGEVVFKQSKSNFFKTMKLRRIYDQKNQTLLYLSYTTKETEGSFKHSLSTVPLWGTDAYVDPSKTEALTQ
MTRPLLFSAVLALLAAPVNAEQVGEVGVDWVGNDIIIEAVADPKVPGVTCHIAYFERGLIDRLSKGNWFEDPSNASIACRQTGPITVGDIDRGQDGEDVFRTSRSLILKSLRIKRIFDETNNTLIYLVHAAELTDGSAKLAISTVPLYATD
MNAIADSSLLRSMAAIALACTLASTSARAGAKDESAAEWLAPKWSNEWHDGLANKGLNFGATYIADNIGNVSGGVGRGAIHFGRLDLSVDADLDKLVGWSGGRFYANAFVIYGRGLSRNYVQNLATISEIEALPDQRLYNAYFEQSFFGDRLNIRAGQQAADVEFFDSQTDDLFINGTFGWPAIKASNLPAGGPARRSRCPAFASRPR
MPPLQRSLALWLALALALAQAAGAQTPLEEAARIAAEPNFLDRPGGAGNDPQTSVAQPDFSARPSANLNTSIQDLLEPYGDPFAARSFLRTRGIAYSFTYVGESFGNVTGGARRGGIAEGRLDFQFDADLDTLMGWRDAAFHTNLYQIHGIGLSRHYVDNFMSVSAIEALPSSRLYELWVEQRFFDGQLGIRVGQLATDTEFAVSQTGTLLAQKAHPRKSAR
MAPPPPPRLSTCPCQPPPQRQRRLRVLPLRRRPSPDGWVTHWRGGSSATSPLHDCGQAGRWRHRIPGGRHNRRGPTALMPAPAAAPLAVTSAGWSHPGLLTPHLPPGAAALARAAGCLGPLRGPPVRLISIGSIAVSSLSLF
MALANPYTADRLKVLNAFMAKSDGRDKLCATVQYACQLLSAGEPGDLKKIQGSFASARKVFRIMKPIESLVPLLTDPGTSKAPQHQQMLAKLKALLMSLYFAGDHVSWAKSAGLFNDAEKAERAQKMSMYCWMGASLCTIISELEEIGNAFNASQQAAAAAAADTAAVSSSSSKPDEVARRKAREEEAAALKAVQKRLLTLTHAVTQASLAAGLSGLVPMKPRTIGALGVAASAMNVYMLMPPLPSHAKRA
MTIGKEPCGICEESASTPSPSDIQTYKGFLASVAKAMKGRLSKLGKPVLGSVDWLRRANELLQIFKRMEEAEMERHYLWPYNITADLGAQMDGPKLRFGFYQPRLGDLKHAALYLDANDQDVVWEIIGLHPVFQHNIAYLRLKCPKDFVDKVFIAAISKNNM
MKLTKMKKVALLLLLIISGLTSINAQSHLPEKYGIVLGGGIGIPIIDSYDNSEYENWNRPGYLLSAEARLHFTENVACGFKYDYIRSNKGDNKMHVNFIAPEIVLRYNFDDSKKGVYFSIAPGYMDYEQKLYISGSRYGQNYQKGYFAIDFGLGYEFNMSRNVNGIFKLNFLTADWGVNPNGRLFNPDPDYDDGENHNWFKNDITFINLGFALQFGK
MKVRVLARDSELYTRGKKSENNHAPDAHPLKNLQEYQRALAAAKMERMFAKPFVAALEGHLDAVSCLARSHKTVQDVYSGSCDGEIRFWRLGTRVCQRSIVKGHDGHVEGVAVAKDDTYLLSCGRDQYIKQWAVEKHFRVGLAAEADIENRGGVIHHDLWQDGAGSVGSIRPVNTFHDPDGPLFGVDSHDEASRFVTAGSRVSVWDLSKTAPVAQMASGAGGCVQRFVQWSPSDMNLVLSASSNNTVELFDVRQGDMVQSVALAMQSNSGAWNPMRPFEFAVANDDHNVYTFDIRNFASAKHVYCGFVHAALSVAYAPTGKELCAGGQDETVRIWTHGERFSRDVYHNRRMQSVTSVLYSGDSKYILSGSADFNVRLWKANASEKLNGAVSRKEADAINYRRALLRKYAHMPQVKHIQHQRHLPKYIYNMNKQKLVHHKADRERKERGGQIIKPLRQSPIRHTSVQDAAKPKVVPRGQTDQDPQDDDDQEEDDNMSSEASDE
SYTSFQFTCGVRTNGRVEGENSVNKTIGGPKKSAMQLFNGLNERTTGQGVQDMICVRDVSCFFCSSIVLLPYSVIGFSPPACWTYRNVSISFVRMSMLFESMRKLHMVNRFENDTSYISTAWLLCLAQGRGLQVQHLLRITHLGHGTTHILAILPDNQYVCACCMGMNIGIPCRRYFQALSVVTNLHFIIGVV
MFTLNSVFFKNKPFSTAMRLIVEGRSGGKKSFRLDIRKRK
MANNRPPFIKGKKVTAPNMTRHDSYSCALDLLAFTNHGEASINWEGKGVEEDTVYLAYQELKGNKTLSNELKKQYRSSAKINPPKYVPSC
NISEKNPNELAGQKSELSFYVQPNTQVSHEPSSSSLPTLTKTAEQNPNELAGQKSDHSFYVQPNTQVSHEPSSSS
MAALALGACGGASSVEGSPSPAEAAYYERVGRVIEAFDGAFQPLRDPPAEGEAYIEAIDDAGSAIKRAGRFLDEGDPTPEASAAHAGAAADMRRFGADLRAIAREGPDDPDTYVALEETLTSDLLQRLNGHIARLDELRLRVQPDDFGAV
MTQRMDVIASDNLNATTDSILSQKSLIRRWLPSINLNILNSPKSLCCRRNNSVFINEVPIKKEDMFDSLEEQLVVDRTIRTDPSVNHRRRTLLIKKCSKDSSFGFTIQSYLLKKDGSDEIEPITYIDSVRRNSPASRAGLVAGDIIIAINGKIVIDYSHDQLKNLITSLTQMRLIVIFENMQKRIELTKRQLELEQQLEIKLKELEQLELEEKNLYLRASEKRFDFSKSQISMSSNGSSCKDSAIGGSVKSGVISNTCTSISSTNSTLSYQKLTVPIVMEENCINLRLSLEEESDESNVKISLKFTTSLLRFHTYETSYGYQRKFSLTSACQLSTGKVDKKQSQGLIQKYEDFLEKNFPKFYVIHKQIVGGCKWCISDLKMYFTLRRALNLNKKKIEDFNRKELECFIQTSSNLGKIITLAIVAPLPFGIYPIALSIIFLPRLALTHHFWGNKKFKEFLALNIASINRAHLGKLFSTVTLNKSCHPPLKYSEITYDNVVLPPLNNLPLDKRYHLHRFHQVSLFNGIRKLDERSELIHCLDNELRRTMNNNLDKMNEQELISQLYMRKITFNKEDDIESLRKQLREWLKYSNNFYRKDNKSLILYAPILTQKLIKN
MKLRRKLVISTSLLFFLLLITAFPFGPLFPWSPLKLGYHSVQHARGEVFVSNTQPDNTALATLDQMMKEAETFHQLSFKRRVKVIACEDWGTCERGLIWMNVRPLGGVTLAGDVIYITPKLKEKNFSTAEFLRHELSHALIGQNTTLLNIFRLNEQPWFYEGLAVSFGKQQDYIKRDEWLRLSQTTSLTQYLDPALRPAEWNARFAYPTQRYFTEWLKATYGAEKFHQFLTRNLMEPTQWRATFATVFATPFLEAAQRYEAEVRATA
MTDNTVRVRVAGSQFRFDREQFTRDDTLEVLERVAAKHPNTLEPVDSDDGDEEDDDIEPIPEDELDPHPSELTVDELETRLGNVDDLELLHGILEAEQSNKKRDTAVDSITGRLAELEG
MFPYAVNLIGFKNAGLGFFLVFEMFVFVLTLVVGLYYIWKKGALEWD
WKMGVARLNIALALLLLLGSASAEPRDCAIAWRNLTAVLPPNSTVWLTDPSIVVPAGGAAVGIARARVALDDGRACAGGQASVACRRHLDAWERSILVEFAWDGAACEATAIRVAGEGTSATLFRAFGTVLAAARERVAFTALQRRLPVWHEGPHLRVWGAPHDTAVHPPAGVSKARPSVRSEGAALVMRGDRSHALRTLAPLEGDHAFGTLTFSRAREAVGTCYTLEEGGRCV
MKNITSDRLCMLALLVIIVICVVQIYQLYNRSSMKEHMNPALLTHQIEDLTSKPGDPVSINYYVKEDRYSKDLKLSPTLIDPLSMNSSLKCGPQMNWDTLSMPGANNVYGDMIWHKTSPRMVFERNDFSCGNVDYNSPVGTHDIEPSCGSGYDLAQSLSNFGTVGALSDQHLMSTPENLEKFINM
MSNNKKLAETKQTVKKVAKATKDNTKKVVKNSVHDLKHGAPGIGPKK
MAFGSIADILFQWESIGFFDFVLPFLLIFSIVFGVLSYIGVFGKNKGINAVIAVILGLLSIRAGFFQAFLAEIAPRLGVGITVLLVILILVGLFVQDQSKKVIGWVLLGIAAVIFIIILGQMYTIFGEFGNGLGFDNPDTIGWIIMLGLLITLIVVVAVGNADKTSKHTGDFVKMYKE
MSGPQLAISNEFMEALAKLPKSQRKKVQEFAKKFRADPKSSAINYEKIHNMADDRVRTVRIDQKYRAVVLHPDTGNTYVLVWVDNHDEAMDWARNRTFEVHPETQSLQILNVEQVQRAVDQAKAAPPPVKSLFANDDDTILSFGVPAVLLPAVRQLRTKVQLSELEGVLPIEAYEALVWLAEGESVEDVRQYVGMLAEQESKLASAELSQEDTSIAENQSARRFSRVDSDDELDRILSAPLEQWRVFLHPLQKQMTQQSFSGPTLVLGGAGTGKTIVAMHRARKLASSIQSDMRVLFTTFTKNLAENVKSVLETLCGDEMERIDVQHVHEHAMSWLRTSGPTQVATDEEIALAWDQVAVASASEHLFHATVLFQQIQ
PAVAYNLYQWYMERKAEGVHVTGPKLKEKARKFAAQFGREFNASSGWLGRWRHRYNIINSSQNPDKILRDPARKRKTRKNCDNKLNNPELLQVIPSELHQESLGSAGSIIVDTLDPGGQSIHQTAPVFIHQRFHNPWELTQQQ
MPKRPKESTQPPAKHNREGPAEDEEAVRRTGAPGSEEPAPPDGEETEAMDTTEKEETGLIVQDPSQENDEEDLLNPLMVDADMLDNELEAPVIELDEREPLGEVPAPVAEAPVPAVPEIPEEAPAAQIPAEFELPVYQDEHPFADFYGHEYTDELPKFPTAKAVAMTTLSQIQRARNETIACITAPDVMIERPNRADATGPSVFRTSLDLTSDLIIAVIVKNMGGYLIASPALREYCADDRGDLFTLLVNEFSYDYLSQTYTKISELHVGDVISVETIFRRQGYTPAQWSPVPLPIDRVRETFFVVGRFAVWKRNETKPQPIVPVGGFLHGHKVPVVLFGSTKQSDHCKLETRHSHGKFQTNRQEVSETNYVVNEADAPLFIDMVRSCSPPHSPSLPLVMLSRVTQRSHTMAPHSDILRPLAEAHNHRARLDTLRSPCTLAHGPDYAKQPAARTIDDRRRPSDVRRLLDTHTLPPTNQDRAADQSALMETFWKDPAHFWHYIRRRFRPFHRKRFVCGPSQSHSISPADMVKERL
MIPDTERPTVYDWNATCDICLRPRSAGGHQKCSKKRQAMRRQEQEPRQQ
MDGSLTIQVRSPSMSETLTLTTERNASVRSLKRLIHTVHPKKPSINDQRIIFGGKLLNDADTLSRILEKTNVDSIPTFHLVVKPTPVAATTDTTTTTNPLPSSSLNHHEQPIQRATATSSYSQPLPQQQQQQQQAMAGYPPVLPGGYQVIALNGQYYLAPVLVPAFAPQQPSLSPASQQASQSYTSTPLFNQHQQQQFQYPRAPAAAQPVPQPQQQQQQQQQAGDRPPVFVHAQNQVQRATSVWLALKLIFILFILCQDASLERILFFHVVAFIFFLYQTGRLRFVIQRPQPQHQQGQGASASSGSSNAATRDQQQQQQQPATLLATLKRGAYTFVASLWPNYGHDARLAQALDNGQNDAVSFCFNQGGRRFSLSLQMFY
MSESNNSLNKELSSSNNTGGDNKIRIFIRSPTTPLPDGYSILTNIDSSVLYLKQTIFETHPLKPVVRDQKLIYRGRVLSDNDLIESILKDGLETDQTFHLVVKPSFQAIAETTGIPISPPNSHSSSQNIRSQDYTQLLQQQNVADNAQSTGHFYIPQNYAQYNSQNQQLPATNLQFQFPMGYYYVMINGLPYLMPVQYPFPYTYPYIQTQPQMGGQIQQQPLVAPVEEQHHDNAARNQRRAATLWLVVKLGFLVYIFSQNASAERMILLYISALVIFLYQTGRLRIVRRRRRVLVPFQNEFFVQQMAQVPAVHNQAGNQPRPDGNQNILNQNNNTSSSSSSNTTSSSSSSSNNSTDNNNTPSTSTAEQQNNMNDNVNGANEIIVPQQENGRSITLQDIEHALWTFVASLIPTNVAQQEEVGM
MQPVMAAIEEVCVECMMRDRDMADVDVTSPGIWARESDVWYEELVRREEEEMRSGVLPDPQRRRTPARGTLLTEANLRIWTQMHPKEPQARWITLTDFVRKQAALLEAEHHARAQAARESRMLDSRLRDTYQQLRRSAYDLNDGPVRIRAPTNPEQDSPFLAVQNPSRDITVLASGMIQERVDLRKEERERKRESKRHRRMASRQSKNSATNVDATSVYSSPSPPPDGGSRMGAMGRSQPALTTPVSPGRRLSTPLTPPLGTPGARPSIHTRSSQSSFESRAGKKGQFRSCRRQRRLPGLGI
MRDGKRTEASKEHDRWLRRQAVQIVAQLPESEEEALKILAHARELVSRFIGDDVGRWESRALRLVSNFENGEAS
MPIPQGEVLAIGRGNTPLHATVFDELKDDIVSGTLRPGDPLVEADLIARFGTSRTPIREALNRLSALGLVEIAPRKHTLVSRLDPWRAKDAVETLDALWRHANKHVTPHAAEEDVEVARRVLRERTTTVDVRREIVAGRYMLLADVTLDRYANSAFCRLRDELRPMLARYLASRVDAIDLDDALARTRKHAQAMVDRDPVAAREALTGFVDSVLPQAMPPRQARPTDERSGTRRLMSDQIADRMREAIMDGTLEPGEQLTESELIAWMGTSRTPIRVALNVLADEGFVDIEPNRQPRVSRPSDQEYADISVAVGVLTQEVVRRAAPHLTEQDLTALDDAATAMGEAVESRAFGAVAQSAAAYSDLLRSRCENQVLVEVGAKLSASMQRVVVANRPTTLKRLGPDPYHRVVELLRAGDVESAVRTIGRVYDIDAVDPAPGPEDSSRR
MDAILSATRCPECLLLVYQQIITQTHIIGKFAAYFVVSLNVHMPPKNFKCNFSIYCFCFAIFLFVLEMRKTHRQLFICSILGIFVCILVVEKTIVADICSLLVCSYFAPKILGVFFLFFVYLFCYSFVCTQVDKNKDTK
MMTMFLLLFQSSYAISLLTNSTEMERNNMVHCPSPDRAASSCAALRIRRQSSNIPFARALKGTNHEIRRKPPMILQAIGPLAIGKRDGDDAKMELPGFGTFGVGDVKSSIAKFIPEYHSCLFYANSLAGMNDLIPNGGTFELADKPNLGYMERDTTAGKSSGSKSKVKDYSSLPDFEESANPFDRIKHNIGYPDKKSRMHAAVTHNVEDDDLLEKGVGLIKEKNDTEGFIPQGGHVENMETENIKLLSQKLSQVTERVPLVQLGLSQKEIYMLCAKFAHVAAQVCNFRMFVIYSCFFSEFFLQHCYKPKVEEQFITRCRGYNEDCAQFQAEARPLGAIANAFSSGVGLTYYDWGVNGIPFYPVNEEGGVSNGHHGKIYHNLGTYGYKNGWSIPIVQSMGVEGGGGAQVHVPLKEGEIGRPISVTNGYHVGPFIGLADRVGVDWYNGGVSWNKGFLEPGLCLSALPPSPFWEASGAILDASEAFGT
MLGQTGYLMYPLLLREILEKLPVQMQMNWCMRATEFTNEPTLEDFSEWLSKLSLAACRMPAASKVIKSDAERNDKPKESLKA
MSPRLGIATLLYSTDYLPGVFTLGHQLHKLFGDGRSIKICLVVTKLLYEADLSELSRRILCQLFDEIIEVDPLADEAFCISQNSSNLSLLQRPELAASLIKSRLWELTQFDKVLYLDADTLPLNSNFINIFDVIPHQTSSQIVGSPDIGWPDMFNTGVLVLVPDKELAVQLQTFISDHISIDGADQGIFNQFFNPCCLPSGIDPVVNGNQWVRLPFLYNVTMPNYGYQSSPAMKYFEPQIKLVHFVGENKPWKGWSQTNVNGYSTRWYNVYKEFQREYQLTKCFEEMSVQEKPEERAWEPPTNYQQDYKRVQSTEKSRGNSQPVAAGDRSVSGISGISGISVIANDMSERTFPRQLEFPLNQTKPSGTDHEEQGDHIHYSEPERSFPEEIVKSGIVNIDSDSAAKDVVIKQNSENTERVSSQKTVSQPECVRPSDVAERTFPEETNLFHQNNGTENASRDLSHEGKAPITNSGVVLLDNSPPKSSAIEQELAHIRGDQEEALPTRLFDWEDTNYRAKVERSFPE
MGTYKALSEAVAPEHRVHYHGSTMSLLLADVCRQDSTGPEPMLSALVVNGSTGKPSGQFFELAVTEFHREGPGWTWERERDAVFARYRDRLLP
MNKSKFNKVWICRKCKQINTGIKWCHTCNSNHFKNNFKNWTSGNDDIDKFLQNIQLSADNNFKVLEWIPYERFYNIEYIARGGFGTVYKAIWIDGYIRERDNKNQNWKRSGQYTFVALKSLNYSESVIPKILNEIILHYKTNECGSNIVQFFGITQEPRTKNYMMVLEYAINGNLRNYLDKNYNNLRWKNKIFDLCNIVVGLSQIHDQDLIHRDLHIGNILKLSLKTSICDMGLCSPESKELESTKNGYMAFYLILLPKF
MNQYLKKALDDGYINSIDYDSFSDIEEVKQEVKSEVFKAYWNHSGQVVALKTFDIFPVGNDCSSFEEFIRKFQSTQVIKDNNVAKFYGVSKGQIKHFMILEYAKDGNLRDYLRQNFKNLDWKKKISIGKQIANGLKAIHDQNIAHRDLNKPEHEIPVPDTPERYQLLYQQAWSFHPQERPSIEYINVELDEMPKNSKTSFFSKPQDLFGKKPKNFVSSSVNSSAQINSDNFSTQIHSDNANIIHHRAGSESTNPSVSKIIPEINDRNSGPPLPKKPSYIDTNQET
MWSQLTGMNVMMYYITYVFRGIGLVGRRAGLIAASVQYVLNVALTVPAIIYIDKWGRRPMLIAGLTFMGFFLFLVGGLQGRLGDWGNVEGD
MTYSVPASRNTGSRRRPRTLSWIRRLAIVTLSLASAAAPGLVGGVTAAQAVAGPRADGDGTVTVRVVRAVDDSGKYTPVLEPGMSGVTVNLTDDAGATISGTTAADGTVTLSPAGSPLAGGKYRVQVMNPKPDLFFSAFADRAGLDNAPGNLSSTEEFVDLSGGKNVSYTTGFWNPGDYCQKNATLVTACIRNDVTPEPATSRTLVSFPYNARGVNNQTTDLATHADTGALYGIGYSKQKKWIFSGATAHRGSAYGPSGAGAIYRTDTKTNATSLFTTVPGAGGTPHDFATDMDLAFAPHVAKESLGDVEVSEDGKDLYVVNLADRKLYRYDATQPTASAPKASYAIPGPDTPCAAAGDWRPYGLGVQDGVVYVGGVCSAESSQQRADLRAVVRTFDPAAEAFGSVVMDQKLDYPRPVTYTVAPCKGDAWFPWSDTIPLKQG
MKRLFEPVHRLQSGFWWDYGIRVEQNYKGYYHWHQCCEFILVHEGEGTVVVGQKTFGIKRGMFFLFMPYQLHQVYAEVSPEKPYIRSIFYIDLLLVEECLRSFPSLSGRFEQVLIASSENHVYELDAPTVVTLEELYARYDASSRSGQAGKTEAIALLLIQLFCWLPQESLREDSAERKAKRPIRYAETVMRWIETHFAEENIMEQLAEITHLTPNYLSRIFRQETGSSITDYLIAKRIRKACWMLEMTVLAVEQIGQEIGYANTSYFIHLFKKETGMTPLKYRSSLH
MDGLGMPYEVVRVDRAAAQPLNFTQLLWNPDGSARYAGYFMAPNLEAIGVLNKSDVLTLWDFQLRTGARSARFGVWPGSIGFAANLASCNAEDRPMTFSAAATTVIGASGINPSATLGNEGLWRCPFAKASATGSCPICAADFAGDCLNPSCTATQVLDFAGGATAGGALVKYADGRESLAFIFDCAAFSPTCMVLGHLSLSWLLHDIIPGQRDVLLTVHQ
MSTKRKLPIPDAQQAFKSVKISATSSPHNSDEAGPELPPATNTDLDPQSPISEDEEGDRFFSSGIDTTTISALDYIDTHSPPPTVINPVWLKRAIATLSKKLSLNTSLRAQYPTEPQKFIDSEADLDAEIKTFSILSEHPELWDEFASGKGPEVLVGSLAHENADIAISVAEVLGEVLDPEEGGSGARGRVIASLMGCGLVDLILMNLQRLDEKEEVDRGGVFHLLGVVEGVVSASAGEGGDADAAASQLGERADLINWLTTRMGKDEAILSQNKQYAAEVLQIILQTSPAARRIFTNIPDGVDLLLRQVSVFRDATLPKGSLEVEFAENLFDSLTCLVGEDEGKKLFLDAEGVELCLLFLKEKKSSLRGAVLRLLNYALLSNSTITPTSDGAEAAERTSRGDGSSSGGRGGSNGTILPPDPRPPVTLLLSTRLITFSGLKILFPLLRKPLPLSQLTHLLSLLATLLSTLPGTSEERIRLLAKFDTAKITSLLSLKEKLSGPGEAEEYAVQLIDLILAWLVAEGQERGFILQRVDKEDIRESLGIRAEGLEEERDMIGTLIGFL
MAVVAVGKGVKSGSETGRLMRVEAALRAWWASRAPPGEAEKYLRGREDGGDKVPDWMAWWDPGRPREEGWGWGRDARGGDGAFAQTSMWDGEIGGFGKPPPAFRLVLQQMGVAPAAAAKLMVEIEGILTDATGEIWGQRCGRQREVEREKGITEDMKRDNQAARRWRAENREEEAEGASSDDEEGGPGESDGDDRDQARVEYTDVGAVRARWCRRGHETEGQERKCRVVDCRVKLPSRKRRHVKMSVQVQKARPDQEFYETVADQMARQNVPDWEEWELLGWKAPNTVNGMRSRRKRMKRDRAREAARLAAGVIGAQAQEDAGWVNPEEASEDERGIPNVGIRRAREAEETAPGEEVSEGKRRRAAGERQDRDESDNGGSQRRKDRAGYARSLSEAVGARKAKRSNRKAGQETDEAQDEDMDGGAPPQTSGGVGEKRVREADDRSVNRGAGSRTRLDPDELRASEGGARPREGDGNETRSEEYERICKRRRCVYDSDDE
VLFKGMDADTRFYFVHSYAAQQWEGDPNALLTWATHHVPFLAAVEDGPLSATQFHPEKSGDAGAELLSNWVGALN
MATMAVFGAEPAPTARRGDIAVPTDAEIAAFKTKWEDGKTTYNFSGGFEPRSLGWKDRIRAAQSGRIPFRVTAYFEKSMMVGARMRSSLMDGTAFIVILNEAGEVVVSRRENLLKLCPS
MEFPEITVAANELWMQVLEFLEKSLSTLVSHCGECAIGPLQKDDVNRLVAEVRTSVIELGEDAEQHRILIQIFSKFEQEFLDGQFNSLESNFEERVLQVAGELNKVTIFQLMSTMIAGPESRHRGTNPTIHTARVICEWLLEASSRFTKENLTGENLWSFREELLAVMLHDLGKVLNPRFDGHHKISWTLARESVSALFAHFDLDSRSDDKVNFMISMHDMCGHIAAGRMPYSEVLEDFQRVDEDYMRSLFYVQLSDMRAIKAMPMSHKHENITIWSMLCFDLGFDELIDEMIKKFPQFSDILNRRLSFA
MTTRRFRRTRRAARTAATRAARADGAEAAADLRAGTADPEPARAIPCPRRITTWITRHPDALTDSRRDRFGGILDACRDLNGLTPPHAVVDTDPPGHARHGPGAPRTFPVGPQAPLGSEYGW
MFRLFSLIFALVSGLPQVGSAETIRAVTSDFLSPLSIGPEREDPGFNVELMQAIAQRAGLDLEIEWMPWKRAQAVVAQKPGLLLFGATRTAAREERYEWVTPLITAERVFITAGDQINDFEGARGLGLIAARSIYRETLLKMGFPNVEEGHSHSNYQKLKSGRVDAIFTISVRARYIWEKELGFADDAFTVGRSVSSSDVWLAASKGYDRETARRLHEASLQIRSDGTFEKLHEKYFGNLPVVELARPDQVPTTLAD
MGLEMVCTENIKPLNIPEMITEERLRKLPNWIKRATLFLSRLKVTGRLVFILISLLATLWFLLRVIPKPSRALYPCMQIAAPIMSSFVIWIISLSVSVLAFKKAKHKLIEAKYPAALLFLVLSFGAFSLFTVSTTDKVSASERGIAEELEIWYKPNIPLGVAKGEFPGRVAWGHNPSIASWD
MAMFCAIQAVLHFSNLPVLVMLTTIAFFAEGALHVIAKLTPLSRMEIVRLILLGLACAGILSADHRLGVPGLVSSILAMLFTGAASALRKLTNKHFSSHTAKFTAESKWLVIMGNVVAIAWLLGPFNKERTLQIDTQHAPLLALNAFSTAAAALLGTSIFFPRDTQYNDQQPRIVDASLQTISNVMMLMITTAITGCYSVLVVRRSYTSWYQVWCFLFAIVCVGSRAIEGVYLATPGRRSNGGVRYQPLERAQSLAHDGLDTSSFVDEAGIESLETSSVSPWRLRISTSLAGFIVGILWVPYLYLNFRHDVVRNDVMVDSQYQPIISREVVISMYNEPTDDVAQLIRNLRNMTQLSSTRVTIYIKDNDADAEQVKQQTKADDVVMLPNIGREGETYINHMLTRWDSLAAETIFLQAHIHNPREFYARLNRFYQPSRTGFLSLGWSGVVCDIEDCSDEYFWRDEAHIFPEIQARINNSATQSNALLNYKGQFIVSAARIRGIDKNIYDDLREAFVDEESWAHQEPYLQGREDSMSQPLFGYTMERIWNVLFQCSDMQVAWKCPSLISGWRFGGDVGDCQCFDS
MKVNSDTNEEFHRLVAENAMDALIVCDEKLNLIYSSPATKRIFGYTQDDVEGHSAFEFFHPEDLASHKARLEMLLKGKEFPSIEFRIKKKNGEYLWSEVSAKATQTTQGDKIIVVEARDITERRKAEEELRYNQKRFKQAQEVAHIGVWDWTVQKDILTWSEETYKVFGFATDTAPSVEKFLERVHPDDLEFVKQSIDAALKGKPYDIDMRIIRTDGKTVWANATGEVEYDSEGKPFRFFGMFQDITERKKTDAALRESEGRYRAITESMADTMIEANLSGRITYINHIMPGLTLEQVLASTVFDFVPPDQHTVVKNALDGVFKSGEITSYESLGPGPNGKSRIYEVRVSPIYAGDQVISAVFLARDITERKEMQEKLRKYSENLEALVAQRNVELNKTKEYLEQLIESLPLALIAWDKEFKIKTWNPEATQMFGFSEQEALGRSPEDLFSSRQGSSIGNTIWNQLLKDGQTKIVGENITKDGKAIICTWTNILLKDENGNLEGVLSMIRDVTEKMKLEERLKEIAYSLSGVKGGESYLSGSVQNCLKIVFDLKSHGVKSLCIVRENPDSLVKDYSFEPENIVLLSMKPIKEYKAITDLQDVAITITKFLKNNGGVVILGGLEYLISRYGFNPVFMMLQEKRFEFLETGATLLVPVNLEAIDNKERALLISELKL
MLSWMCACGCGGFFSRSYTSFCLSLLRVEDDLPIHRKCLVEEETVRQSISSKKRWTFALATIYFGLGSSLSIYGCCQSCSEVGDRVDHVGDDAELGMYVAPTLGENANMPTISKSLNTDKEPHIRKPAGILGYIFQITFQMCAGAVVLTDCIFWFLIYPFLTNMDIKMDFLTATMHSINVVFLLGDMSLNCLRFPFFRVAYFVLWTVTYVIFQWIIHFFFPIWWPYSFFDLSSPYAPLWYLAVGLLHLPCYGLFALIVRMKQLWFSRSFPESYRSRR
MNKVHQDPGYREGYPEEFASAEEKLLKISRRARNKSQEFTIRECTGVGLSGGGIRSATFCLGAFQGLATLKLLANIDFISTVSGGGYFGSFYTRFFLRKEVPDFKYVEETLAPCTPPEKSGKDHYQRNILTWLRENGRYLSPTGSGDLLLAGTVLLRNWMVVQVILATFFLLLFLTAHLLRIPMDRWFRGVGVIKEFGAEMVLNLPGSLQLWVTPFVALPVLLFIFGALPLGWAYWMVGRQPPKTQFWKRPVSGLIFVVLAAFTVLMLPERPGSGTQRAVAFAMLILSALTAFWVCVSEAYSKRSAEAEDPATGLKYCEAFKDNLSRHLISAQLKGALLITLAALAFVAIDSLGQTVYLVLLTPGASLKSWAGGLLGALMALVPFATKIMISLHGADGGNRPKTLTKVAAAAAALLITVTLLTTFSVAANALAWSAQRPRYAPADIGKPPLAKLSETEIVHDSKQPNNWSIRGEQSQPEPETAAARDEALLWGAWGIALVLSFLLGQSWPFLNRSTQLPLYSARLTRAYLGASNPRRFQEDGPGQTGGAVTRVIPGDDIDIDYYWPWPAPYEETRAELEKAKAEIYQKGTPLHLVNVTINETLDSKSQVQQQDRKGIGMALGPAGISAGIHHHVVFEKGSQQMKTFPAQGFRMFDYGEHPGHAAAREFKGERLPLGQWLGISGAAFSTGLGARTNLALSLLTGFGNIRLGYWWNSGIVPRKRPHRQKRLFSQWLGSLFTYLFPVQSYLLDEYTARYHGTARKYWNLSDGGHFENMGGYELIRRRLRLIIIVDAEADPDYTFGGLAELIRKARIDFGADIRFLDESEIGDLMPDRFKHCFSALENLRRGKWVEESLPLLKREGKRQTIDPVDYQRHSLGHAALARVSYDCRQKAESCLIYLKPTIVGDEPLDVLQYHVENPSFPQQTTADQFFDESQWESYRALGEHIASHVFKAPEGKDQASWWESLIEKVLQGPADSARVREEGP
MEPDPTSTQSDGSAPAASPPAGPASQRKDPAYPDDLRTREEDLLHARRTAAGIAAGEGHLGLALSGGGIRSATFCLGVLQSFARARLLRRVDYLSTVSGGGYIGGFLGALCARKPLKEDKQKDKVPPPGGIQGAEDRLANMDSAEIRWLRENGRHLAPNGTGDVFTAVAVQMRNWAAVFTVMALSALAVFAGANFLRAWVGTECPLLRHFLAGLGGSPGVGGFWWPSPWLWAALPPALMTVLFGTTYWLVPSRRMLDHVDRPVWVFACVAACCAGLLVWAGFGLSPVPAAAPPLAGGVLLGLGAMGLIWLAARHRALNQLAVADQPLPGSAAEAASQVNGWLRNFLSVRMKVWLGCTLALVVFGVLDSLGQSAYAALKREHLFAFGGGSACVAAVLSLGDKLLPLLGRKPSANGGLPLKVLAGGAGVLGFGLFLLNVNLLGHAILWGGASPGAQLPEWHSSLALLLCAALVSWVGGHQIGFLNHSSLQAIYGARLVRAYLGASNPRRQQGTPEAARVTTTVRGDDLWLADYEPHCHGGPLHLINVTFNETCSGVSNLEQRDRRGLPLTIGPAGVSVGVRHHATWPEGGPQAQACCERGRKLHPITEAGAAAGAFQMFPPLSREAREVQHLTVGHWLAISGAAFSTGMGVNTSLGTSLLTGLANIRLGYWWDSGVSPAERKLGSERWREWFQAQDGGDLISRHFPMQAHLLNEWLARFHGPARRLWYLSDGGHFENTAVYELLRRRLPHIICCDCGADPNYDFTDLANLVRKARIDFAAEIKFVAADQLQAVFRAGWPWPKPPRPLGETAEGGKRGRAGDSAPDGFRPLIGTEHDFTTGKADGTQPHALLAAVRFAEDDHALILFLKPAFSGDEPLDVWAYDVQRKDFPQESTLDQFFDEAQWESYRKLGEHTADQVLVPRAGQPLWFTRLDPKTVWEQLP
MYEANEEFSALTNRLAAYTELVDDEAYQSQIERELVRLAVKILAERIHELLQEVNDEELLNHALSIINEATIETVAFLGTEDIWEEIETRLRERGFGYRMFGEPFNLPKIGR
MAMRQTPLTCSGHTRPVVDLAFSGITPYGYFLISACKGAGQHLPRLSGQHDGKPMLRQGDTGDWIGTFLGHKGAVWGATLNKDATKAATAAADFTAKVWDAVSGDELMTLAHKHIVKTVDFTQDSNYLLTGGQDKLLRIYDLNKPEAEPKEISGHTSGIKKALWCSEDKQILSADDKTVRLWDHATMTEVKSLNFNMSVSSMEYIPEGEILVITYGRSIAFHSAVSLDPIKSFEAPATINSASLHPEKEFLVAGGEDFKLYKYDYNSGEELESYKGHFGPIHCVRFSPDGELYASGSEDGTLRLWQTVVGKTYGLWKCVLPEEDSGELAKPKIGFPETTEEELEEIASENSDCIFPSAPDVKA
MSHFSRCFDECSKIERPNVNKKLTRLIIVLFCLLCIIVTLGVIGYKFLFKMSYVDAIYNTAITTSTLGIAPGDKTDAEKIFTGIYAVLVGVFFISVISAIVSYMFTTYILD
MALASPASSATETGAERGRRWLAGSPGQLVALVVAFGLLLASHVGPALPGLEPRGQTMLGIFLWFVILLATEALPPVVLGVSAPLLIVVLNGAEIPKAFNAFSNDVFFLILGAFVLVAVMIETGLGKRIALGIVGLVRSTKATRIMAALMAAGTALHAILPTVAETALFLPISRCFGELSEGRQPSRGLQRANQAMILTVTGLVPLFAGVFFLTAGVPNLVLVGLLSETSGIEISWLDWLIYNLPLWGLIPILYFLVKWWFQIGSVELPNADKVLPQVRAELGPIKKGEIWALICIAIGFTLWVSESVHGISTGMVAIIMIALLFMPWGGLKFGDFGPKVMWGMLFLIGGAISLGNQLFASGAVEWLAQFLVDPIRNAGITSGILVLLILAFALHVARAGIISGGAMAAIFVPLIIGIAQQLDYNVLPFSLILTNALNFA
MSDGQLSTCAGAEKPRSRPLPDDPRFPTDVAAQARLHAARSRRKRILLDRYLRKFPAIPAESQGLFLGDDLEKFEPGKPKYDEKSDVNSTAAPPPATFNLSQPWNTLTGVAEYQSHFAIPLANLLEKGECAELTLHALLGGEYDTWDQIHCNPPEYGIDPGKVFTGTQHRRHDHAGEEHEGRNNTTSSSGSKAPASSDLWASACGSWRLQFDQRFLEVDAPPLESAETTTATFDERQDCKVDAFLTGLSTWLLGKKVSKSRFLRNINKKQMNHNKNTEEQLQDFGTPVVPREAHLRFMVHARFASGDAAAAEDEEDKTPDRECLASDRIEEVFLLLDVWDLLRQLFGLELEDANQGAWGCLGAQLSPVGGLRPVEFLNNKVIRSSSGGEEGCEDAEKEVAVQVRSEDVFFGIQLDRGDGHQDQLKRHVSSSSSTTTPTTHVAPGQYAEQVVNNTEEVEKTNVVVDVDLHSSPQQPSSTQSEVHENDTTAASLAQYFQATKTSLDLVETMCYKGLAATHKVVAAADVDEQKFKKMGMARYWDAERMRWYGPAGIGTAVGLKEFEDFHQKPFLQGVPDRKGGHQFVRIADGNYICSGGWPSIYATQLGPYLGVEATKNPLNMRDFSFWRVADGKDYCEEGNSNPASEKEEARGGSAELCSLSKKKFLLFENWVMLDLFELFEQMGVDLWGVVGSEPGE
MKTENISLWMEQADELRVERARLMDDMETDIAIIGAGFTGLWTAYYLKKLKPDLRIALFEQRCVGYGASGRNGGWLLGQMLGEEVLLQRLPRTERTAYQNLIKQIPDQVAQVLAEEGIDCGYHKGGGRAQPAGDLFPSHAG
MTTTPDRTADEIIAVLVAALAVAKGRSESTVRCEFGLDPVAVPLREISPRTGGPSVPGHSMPRNTVPGDDEMLLSA
MTPSPPYGVTAPTDSGSLLAGTLVHSGRQRSEEGRIIPKGTQWTTDRSNPYLSLHSIRESKASSSSSSSSTTTSSTFTSSTFSSPPPLLVVRGLLPVPRYSAYRPEKPPREKENLLTAFADTFNREELHSTFTDDDDDYEDNDDDDDDDDDDENDDDVGMLSSCNERLPPPPPPPPSPAAPPQLSSPIPPSNRSLTIGSDSKNFLIQSNARLMNIQRVLLDAVEEEEEEKEELKEMVEEEDRREEVGGGGGGGGGGGE
MSKVNDKWIFFFLYPLITVLVVHTGNDNSFQKLITIPSYYSDLLLAFLCTYAVGFYYRRLYRKVYQKFGWNISFRKIWRYQLCWALLVPISTIIIIEIIYLVFLLNIPLSQSSVFYLELPLVSLFCILINLLYLALYFRKHNQELHQQITQKDKITLEKEESFKNNFVVNSGIKTINLPVEEIAYFKVINKTTVLFTRDGDQYLFDKNLETLKDIVNPNQFFQLNRQVIANRESIISFERTDTRKLKVDLQPHTNDLVFVSKVKSTQFLDWLN
YTPFHTFDREMMKEVFKTHGSKINDITRDCAICVDFDQGIDVFIEPMDILRYDTVTIKFDLINNLDEKQKEQLQLIEKFNSDNNFIDEQLHGELLESAKKYGDLRNRDLLLEPIKFSTDSFYTKAFGGVYLLRGEDFISDILVFEDDTWYKEAIKNTIYEGYMFHISQPELMDKLRSHDIIEAHLSVEVTTPRYQRIKKALFARFLENTEHPIKAILDDTMLFKSYLNKLDVAHLKKVNGLEMYLERLERSNEYKVEDLVDIDMYNALHKPHSSLTANHQDLIWQLLVNVSSLDVLYFYWYDKEQFYKTYQTWDESFKDWVIEVIRNNI
MKTSITTIALFSLALVATSFTTPETTKNISLNAKSEIIPPIDGGGSAGGGRKQDVYIDLSIDGGGSAGGGRKQDNGYMLDLSATNSYRQPIDGGGSAGGGRKQD
MFGAMTPSHLSVWESILSIHAQAHLASLPQNNNKEIVYFLKIEHQIDIGYLQAVPNTQVNLTVRHRSCNCLASSCSHTQLMNLTQNKVIWIHCKVTEKVKVRKSGEVLLLTEREEEDSKLKQSRGARMLYVVMTLVFVCNNFIHVVGAY
MFIKLTKFTLAALLWLAPTLSFAKPFHHQFEELREYHRHWLAVCPDRHDPNSNSEYYRNCWASTFTGDENGSFKGDFPGFRLSVSRNRANGNKAITFVAPLLNFIDTNQPFILIYSNGDVEWLRYGDGITTNGNSDNEFLFANPASSARNLSKMLAGNYVTIRVPLKAGPKDLFFSTIGLRAALKFTRDFANHSR
MVRNVFYSLLILSVLPSLILSQDDVFFTLSHVKARPLAMGGAYTSIDDDLAAVNFNPAAYFLKEREQEKKVTFFLNPVSPIVGGAKNQDMFRGSGSQIDDFFMSLSLLLKSVSVSIDPFQFGVLLGEQNLNLPETFQVGDFFTTQGYRQNHTHSIVGRLKLADRVSLGGAANFSVGSKLNAPFERHTDLGVSYGILLKPEEGLNIGVSFYNIPDTLKQSRFPLERIIDESVNIGISYRLFDDNTRFSVDLRNLGEEQEEVVREFHFGVEQVFLSQVALRAGYFRKDEGEDVFSWGVGLLNGASLFQKEVDENYSNYYLNYAFVYEKSSLTDRRWHFLTFVIRM
MTDTSLRRDWVFAAAWLATTVRLKIEAVLAPLAAAMPRLRLRSARPTGAEPASIASLRPVVEALEASVTRCANEAQLHRAATAALESVEDEFLQVMATVGGVMRLPVAQPEANEPQRPEAGTGPASAAA
MQRPYADLLKRIYTLSSAELMAIDSRARELGVGGSQWEEAWLAARQGHTLAIPAYSRAVAAGATPLAAAAIAGAVAAQHTDALITQNQYETLVQPLADAIAPGRRAVVAVAWIAAA
MAGAPPPLLLLTTSCVLLALLHAAGAARLLLAAPGDADALLKLKAGIDDGGSGVLQSWAAGTSPCDGDASNWAGVMCHKGDVMGLQLENMGLSGKLDLGTLATLRGLRTLSFMDNHFAGPMPDIRDLDGLRAVFFSGNGFSGQIPADAFDGMGSLKKVYLGNNSFFGPIPASLAGMPRLLELRLNDNGFQGKIPDLPQKELKVVDVANNDLEGEIPPSLKSMNPAMFAGNKKLCGGSLGTKCSAPPTSPSPPAPEKAGTPSTPATPATPTPAVPQPDEKPTQNDAEKPTERSLSAGVLVALVGVLAIVGFALLALQRRREYNTENFGPAMSKKPSMRKINAEPAKLDTASAHADAPSPETAAAAAAAGGSSRAGGAARKAGAEQGRLTFVREDRGRFFELQDLLKATAEILGGSGNLGVCYRATLSGGEVSIVVKRFKEMNRVGREDFEEHMRRLGRLSHRNLLPLVAYYYRKEEKLLMHDYVPKRSLAHLLHGEGRGVKKAVVHWNARLKIVKGVARALGYMYDELPMLTVPHGHLKSSNILLNEEFEPLLTDYALVPVMNQSHAAQLMVAFKSPERKQFGKSSKKSDVWCLGLLILEVVTGKPPSYDTKPAATTGDSSGADQQPPQKQKSSAGSSANAVDLAGLVASTAEEEWLRTVVDGDMKYDEEEEGEEVVKLIRIGMACCEGNVESRWELKNAVERIEELKGKDRRGPGNEDNSFYSSVSGDGVADRDEDFGNVGIH
MDEIGRAYVALGLRINEHFQGYVDAYIGSEEARQAILAHGPRSIAELRDDADRLAARIAGEPLPEPRKTWLARQVDAMRAMLARLDGEVLPFRDEVRRFFDISPERIPEDEFAAAIDEIDRLLPGPGPLAERVETWKKQTEVAEERVPAVLDLARQEARRRTRALLPLPDGEDVEIRIVRNQPWGGYNWYLGQYRSRIDVNLDLPERVTSILPLMTHEAYPGHHTEHVLKEKILYRERGWEEASIFLLNTPECVISEGIANVALDMIFSEDEALAWLRDVLYPAAGLAHLDVERDRALNRAFRKLAGVSGNVAFLAYEDGLGEDELVAYIQKYGLRSETEAKFNLRFIRNPMFRTYIFTYFYGERMLRALLAGPDRHDIFRRLLTEPVTPSMVEGWIAETRESLA
MPEKIINVHTHIHKSQDIDERVRLWRECGVVKVCVQVLATGEANSSYGNQGVLEWMRKYPDIILGFALPGLSWEVDGPEKVEQLKEQGFTGLKFIEPVYAYDDERYFPLYEKAQQLGMPILFHTGYLAHSPGVPQPGISQDKMRAIRLDTIARSFPHLRMMMAHLGSPEFYVGLS
AWNGVAIAEGINKAKNDSNDFLPDANVSLHLRKDMYLRFAYAKTLGQLDLGLFGRGLASSYAVNGTRTYQGQPLDRNLQRYSAGSAGNPNLELPRTANYNVSYEWYFNRSSLFSVAAFYFDVKSFLQTNTLIEL
MKSKKRFLTLLSAVILLFADNTFSIKASGGINGNESRVIGVASGTFEYDGEMYKAAAGYVGQLTSYLSKDGVDLTAAQADAAISKIYANVATGVREGYIVKISGGDNEGSDNDDKPQKTKKPKKTEEPKPDKTPAPTKVPAIVNNPDGSVDVNDTSGDKVASFSGNMKNTGYSIKSTYVFIRVIATLLVVLCIYCISYVCHRRFIRRRK
MISMPSGCGIGGLFSGGMTMLASKDPTYCCFSIGEMDLVPTLEEYADLLHLGSPIGDTPFVPSPNPRSNQALEKCLGLTTEVLRQDIHRVDDTWRKAITSLDLLMKIDVGVVPLVCGEDESIVPAVLCETARSLSYCRRQGEGVSMFCVQLLQLWFCSHLRHFYLRQTPCYLTRHTVRQTVDTPLPFTGTGDERALHLLDLPLSYYPSLALRQFDGLQYLPRLGDLSLVTFDYVPGGDMWRLLPLVKDIWVGRCSEMVFVEDGLSADSSVTAEFVEWREGWNPSFNLRPTVRPGVSHPSVPHSLWASASASRSERVADLERELEEARTELAVLHLARAFEREEFAARVESMRSTLHHNNTAVVNLRHDLEAQRGNVSIFPRSSRNLSQKMTP
MRNMLLVLLLTTYACTAVASDFENVGAKRTVRRVLENSPVDSPVPEDFEYPEGFMSPHGGDEYYYDNAIDPLSTDVKVMKEKTTIY
MKSNSIISKLVAGTLSAAMVLGSGAIYTLADEDTSTPYSTEDNTSLTDVAMSSSTSIGGITYYYVDASSVTNFSTVEFRVDVTNRRSSTTTSYYYPSTAVTQISGTNYYYVAAPGSTPLSGALYGYDDETGTATTYADFYSSKGVTISGSAQEEFDAVTSATNYTSHHAGDISSLVTFGTSSTTDETTNETTTTYINGLKLGRTVESVDADTYVEAGIKSAAGLALTTEQEEALALTLKENPTVAPSATEVTPVVSTASYTSSKYGTGEFVISFDDTVDGWTWSEYWDNVYAATISDGTNTAGTVHWIDLYGEMSTSGAHYNKLEISVNNGESVGSNAATVTRFADFYDDNNELKAGDYTITVYAEGYETITATVTVKASTVSLDDMTAVYTGEAISADGAKVTVGEDEDTSRTVTYTYYKDAQGTQKINASEIVNAGTYYVKASVSTGTNMLPAESDIAKLTITKADSQITLADKTVIYTGKAVAADTATVSGSTGAVTYNYYTDKACTKEIKAAQVVNAGTYYVKATVAADTNYASATSNVAKITIKKAAGSISAKATTKKVKVKKLKKKAIKLSVVSASKTGGKLTYSISGSKKLSINKNTGKITVKKKTKKGTYAAKVTIKSQATTNYTAASKTIEVKVKVVK
MDGDVTVRQAHRIAVDAEHALLHAVPRLTAALVHADPEPAPGEADPHQPLAHHASA
EQSHPPRPARRRAVGRRVRPPRRAGARGRPVRAARGVGREALRGRDEDALAQPHHHPPHRRAPAPRPLHRGEAPGAAALLAGRRNAGQRQAQAALGRRPVSPVRGGGRKRGRGAPHPVRGQLQHAVRSHRAPSGRGGGQLRGGGALRRAAPQPGPADPLAGPPLQDPGGPHRHAPRLRRDAVPRPRAVRRDPALPRDGRGGAL
MASNRERKYFLICFTLLCLSILLSYFPAMAKNQVYFSLYDDPEAVIIEHLSKAEESIHIAMYHFTDSQLAREVVKAKNRGVEIKIYLDSSQVDAQYSKARFFIKEGIENIRISSNSNLMHNKFAIIDNTIVLTGSYNWTASASERNDENLLVIDDEEIVARYQDYFNTLWEEKHSPEKYQELLNHPGVHLKPSLTDNNKNQKMSETCEDK
MKLKTILLVAVLAFLIGASLPLTMPEVYSGIKLPEFKAGASSDINTGNTQAFFCPQDSCAQKLVGFYSTASKSIHVMVYSFTKQEIADSLIAAKARGVEVKVLMDNTQAGLKDAKDEYLLQNGIEVKIADMPGRHIFHDKVSVIDSNAFSTGSFNYTGNADSGNAENLVIVKDEKLAQAFEEEFLKYWNSN
MARARARRPGRRRSRPRRRNRHAGSRRDSAVTTTVPPDSSTTTRPDAVAQRQLAPAVPEPARSAAARPWRPYADDPLLTPAMTLGPQYVPASQMQALRRYLGALVAARNTPVHVNVAFNACYFGYDLTTGYAGAAVDPASFPTVALGQTIDALPVGALINVASGGDPIWAEVVYKEGAHSELGDDGAVPSWLSGAPAGATGPAQTDLHSQPVLQERLVLDLDVFGLAPTAAQIARLTRRGRFDELGHLLVGSRYATAELAELDDAGFYRHYLLGAGHHLLFSTAAPAPLPLLLTEDAGPEQHQAALDGLFATVTAALHELDTVRMWQSYAVSRAGLSARLRSCDVLGADDIASLASSTAHSALPDQSRRLDAARKVTYTALGSRLRCVDGSATPMHGAGYAVVVLHANLIFADYLRGDAEDGLLFDKVHLRLDDSWQGGGIWRAEAPGSAHALLDPTVPRGFGWAETLAPPVPPPAVEPPTPEPDPDLDPEDGYADDELDVSDSQISWTVALRAAHIDAGLLTLPQSAAHVLINDRRDGSRMRLLLNHDGYQLDVTDATQMATADLHSSPAVLTGVSWPMDYFPGILLTVTWPRNTPTLRITSTLLDMPVTIDDELDIEHRYDPRIVTRDALDRTGSTSATLAQRILRAVRRLGLLDPDGVARLARDQLTQEVYGSSSSEQTEQALGTAVAQLLERGALTQDTGSRTADGIAFPAVAGQPIVPLLCYTPTVVTGPPRPRRFQRLDARFVSTHVVAGFLRRIDHLGQSASPHARAAYREYRARHGLAGPAELPEGWTFVRPHERGS
MKQAEEIALQKALKYRNTRLKCSILGISLGGIALGIYLYTIHAVRQETFLDDLNEPEKIIEQST
MDDKDFGLDYRVDNAYLEVLINDLPIVDPGFDIGTSAAMESNIDFKHFLYDGKNNIKFITRKVDNEKEAVLKLSFKTWDEGDFYMPFEVSSNGFVITFDYEDGSCSLDSNSDSIKVESRGCHVKTIENGYLLDIKFDLDYTGFYESKYISEAILINDSDLIKSKLVDEYKKIFSFYKDRDWDALEEYLSPMLNKQAEDTGWSKKEIFKSLYGKYLVDNEFSLSNFDLSDTYIYITGDNKLFSIVENPFRLVNEKTTEFTMPIFYFWLDKSGEIRIKQ
MFGCVKDCECFVSPPRFRVWEITVFVSSLRFKMLVTSNGEEGTEKGDQANGFVKVDTLNSCGGGDGDMASSRGNKKLKVYKRRKFGRRSVSGTGSKCKEDERRSSVECVNHSGSRTTWAFCLVSDSWFLEMLCIW
MLPPFYPDVSWNNAEDCLSGSRFIQFTASRKHLTAALDPVALLRAEEAGTPMCLLPELPQPIFLQLGTWKKEPRTSLCFCFGPSCSHSLEETSSYYLMRNLAAKPLILSQRFGRNCFSSDSPESLEESVWN
MTIKNKPFNYCNYFTNKLSIPFKNPIIILYDNEGQNNPLHKAIESVFNHLLRGKSDKKRKQKRGKIESLKKSFNDSTPFAENILENIYFLTNPKLEDKNTEVEDLLTNITNHLELDSKFFNKDGGKIFCLNTFEKLQEIRFKFVKTYIR
MGFEPGHQHGSNHQAARKSSAARLHKQRGATGAGEGASRSPPPPASSSSRSPSAPLSRDLDGIEPPAGHHTEDRFYFYPVDESVSSHGGTSRTDHQQHVSSLDRHNTSISVFTSPLCSSGGVQVLYQSPNPLIPVVCQCLWEQETSDIKHLWRPAAASGLTRVTEAGRGVGGGAA
MVTAALSVGTEHRHATPNHAKQKCTSATCCSPSRIWRSVQLLIGENNQQGLFDLCHDPVRGPHVLDVVVSSRLANNPALYLASNKHRVLRLDPDGVALFGKSATDLNALQMALFYRHEEVACVLLELVRDHAVARDRRLFVTHTWGKRNDSLALACYLGMAKVVKLLLEMGVKPQEVPLQCAVECRSLVEQALPTRTPPCPISSSPVNESVLKAPVQVYDGHGLDPSLGNDDPSKYAMVLYSMAQTMVLKFDREDGDADDDDDDDDEDDKDNDEDYGDGDADEVPDLVVNREKRHVDFQDGAKLGLFDNAAETPGDDGGLDLFDNAAETPGDDGGSTFPARGTGDGATFDLFDNAAETPGDDGGSTFPARGPDDGATFDLFDNAAETPGDGANFGLFGNVAETPGHDGGPSFPTRGPGDGGSSSLPGPGDDGSSFPMIETLFGSDQGALLSSIEGDPWSSNSSGGTDLHESAAFEISTDDPDDSFGDGDSSILRQHFPCGGQVIPVARLVSVGDGDPRSQQRVDDQQHRHPFISTIKRQQHVDDQQRQDHPFTSTTMQQQRIDNQQSQISPGHSFTSTTRHQQRVDDQHRQHHSGHPFTSTTRLTRQQQFYDSWYLDDDMGNDNISPPYDSSRSNQGTFTRMALDSIGGAQDMMMQQLLDHLNEGWRSFDFMPCHTVAVGDP
MDYKKYLHFKVEGISFAIPVESVQEIMPVPEITSIPNLDKQYAGVVNFRGNTILSGI
RSSVAGDTLGTLTELARPIPVPIAILVFPSDEAGMVFITFVAALFPIVVSTRHAVRALPTIWEESVLTMGGTRRDVVLRVIVPGIMPGVFSGLSVGIGVAWICLISAEMISGRLGIGYQTWQSYTVVDYPGVFVGMFTIAALGAVTSGGIELIGRRVTGWLPRGERHS
SGNMLTILLGWDGLGLVSFLLVIFYQNKSSLSGGMLTILSNRLGDSTMIISLCLIFSFSNFKINMMNLNLYDYTIMILIFISAMTKSAQLPFSAWLPAAMAAPTPVSSLVHSSTLVTAGVYICIRFIKVFHTLNFLPLLMFLSIMTMFMAGINAMLEFDYKKIIALSTLSQLSVMFLAISLNKENLAFFHMLTHALFKALMFITVGSVMHGFFGNQDMRMKGGVTKISPIIAMMMNISLLSLSGMPFLSGFYSKDLILEKFFMGEINTIIMILLILATMMTFMYSIRFSFLV
MFLMMNITIYMMIYSLMFFILSMLLMMNNLSLMFQYNLFNFNSMYLNYLIYIDWMSMMFCSTVMIISSMIIIYSMEYMSNELKMKYFFYLIIMFIISMILVIISPSIMSIILGWDMLGLISYLLVIYYQNKQSFNSGMITIISNRIGDISIIMMISYLTIYGSWNMMISNNYNNILMNLMLMISACTKSAQFPFSLWLPAAMAAPTPVSSLVHSSTLVTAGIYLIIRYNHLINYNMNMYMLFISSFTSFMAGLMANYKFDLKKIIAFSTLSQMGLMMFTISMKFWNLAFFHLITHALFKSTLFMCSGIMIHSSNNNQDIRLYGNLSKFMPLTLIIFNSSNLCLCGFPFLSGFYSKDMIIEINQMMNNNILIMMMFFMSIMLTILYTLRLMYFTFMNNNNLNPLNLYMNLKKMNYSLIILMLTSTFFGSMLTWMFFFNYKLILINYTQKIFPLINIIIMIMLFMNIKYMNLINNFFMKNIQSMWMLHYIYNFNKNNFFYISMNYEYSENFWIKNLNKKSYSFMQKILFMMTSKKMTFKSLLMKYISITIMFMII
MSFYQWFIVFLIITLSFTTILNLNSFWFLNGYGNNTIGWIGGYWGFYLDWINILFLIVLIIIVFSVSIYAWDYLGDDLFFWRFLSLFYLFVGSMILLLCSSNLWAIFLGWDGLGVTSFLLVSYYSSGNSWASSLKTYLVSRWGDGFLILGFCWMLNSSIFGYYDSICVLPTVVMLIIFQALFTKSAQVPYSAWLPVAMAAPTPVSALIHSSTLVTAGVYLALRFCINNNSGCYFLLFFIALLTVLLGSMGALGSFDTKKVIAYSTLSQMGFLMLIISLSSLEVCLMFTLFHAVLKAGLFMLVGYYLITSPQDGRLNIFNNWLNFFNIYFLLHFLFSLSGLGGFNGHLSKSSFLNXYSLVYSNIINGMLICIIFLTSSYSFRLFNMVSNFFNGGGFFTILVLDVCLLHYYVY
MLFCGSVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLLPLFIVIPSIMTGIALIGIITVVLGATLAIAQKDIKKNLAYSTMSQLGYMMLALGMGSYRAALFHLITHAYSKALLFLGSGSIIHSMEAIVGYSPDQSQNMVLMGGLTKHAPITKTAFLIGSGNQVFGGNEGVGGQEQMDDDDDDNLNLDEFPDPDDP
MCSYLLIGFWFTRPIAASACQKAFVTNRVGDFGLLLGILGFFWITGSLEFRDLYKIANNWIPNNGINSLLTTLCAFLLFLGAVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLLARLLPLFISLPLIMSFISLVGTITLFLGATLALAQRDIKRSLAYSTMYQLGYMMLALGIGSYQAALFHLITHAYSKALLFLGSGSVIHSMEPLVGY
MNFISLIGVITVLLGAYLWLLLKRYKKNLGRGSYRAAYSLINMPLYSKALCLWESGSSIHSMEPIVGYSPRKSQNRVLLGGYTSFAVYSTRAFLVQDEILMIVGVFTNFAIIACFTGRLTACICFGVFTTFAGQNLFFIT
MLTLVLSNNLLIAFIGWEIMGFCSYGLIGFWNDKRNKPEVDPMGYEQTNPLLQFETEGEQNTHSGTKAFIVTRVGDAFMLGGILLLFTFTGTFLFETTSIGGIPVDGLAQNANSWWGTMAGWGLLLPALILIFAGAIGKSGQAPLQVWLPEAMAGPTAVSALIHAATMVKAGVYITARFLITIVAATEASHPSPEHVVAYTPLASFGIESAITFFIIVAVIGAITAFMTATMGMVSNELKQVLAFSTLSQLGYMILAIGTGGILALDGHSDPALMSGILHVVSHGAFKALLFLAAGGVIHSVHTKYMSKMGGLKGYMKWTYRVMLIGVLALAGIPPMSGFWSKDSIIE
MLILFLFFCLLLINTNFVMSFNFFNGLCNSLNLNYYFSSISNICLIMLLCCGIIALYFSLHYYNWEFNSLNSLIIFFLSIMCYLVLTNNFYNSLIAWEYLGVVSFILILYYSNYDTARAANITLVSSRFGDVGLFILLSFFFYFFNNSPFYFFSILLIIITKSAIIPFSSWLLEAMRAPTPVSCLVHSSTLVAAGIWFTLNYNYLINSYISGLLIYFCLITILFSSLSALFLLDVKKLVALSTCNNVSWCFLYYFLGNEYLCLIQLLSHGVAKCMLFCSVGDLLSCSNSNQFNSGLYNYHSGNLLNSFLCSLLTLFISGLPFLGVFFSKHLLITHFYNVNSFLLILLVFLCILFTYVYSFRLFMFVSFLFSGQNSGLNLSYNFASVILVCSCLFNYTYINSSEEFNPTDYNFSYLILLLQVLGSLLGIFCYRYNFNKLVFYFLGQDLLVLINNKLFNFVSHFFFIFSSFRFERFINNISFNINLFNFNFNSVLLLIIMSIFSLISLCIF
MIIHGDFDLGQTISENELSNILMVSKIPIREAFIWLSYNENLVNIIPRSGTFVFLSPTRISMISSK
MNRRQVLQSIGATGVASAVMTGSAYTRSSPQTVQQLQADLTDLDPNREIPTGSQFFSFNELEGYSNADLIHLSADAGLDTYEPPSIDDADAMLEAQNETGVYMSSVHIDIEDVEADPEGVAETYEQFAHEGDYPALIDPYYGGEAFWSDEDNVREFAERVNAVADEMADHGFEFGYHNHDFEFQRIGDEYAYDIFAQEVEDHVHLQLDVAWVFAGEERPDPIDYILEYGDKIRSLHMKNWEADDSRTHGVGERGNGSLTEIHEGDLSMRSIATAARNASNVEYLIYEYDNSPQPYDSFEYAGEWLNEVNSPWRPDGIPGIPGGTVHPAIAEAELDGDWIDLFDGESLDDWTPKIQGYEAGEDPFNTFRVEDGLLKVRYDEYEDFENEFGHLFYDDEFSHYVLHAEWRFPEGEQQVDGGAAWAYKNNGLMLHGELPSEMGIDQDFPRSVEYQLVGPNEDGSDRPLGDVCTPDMQVEDLDGDLVDAHCWHETTGDALYAEETYDGHDWVSTTAVVRGNELVQHIIRDQGIVLEYQNPQLEADGTIIDEGTISVQSESHPTDFRTIEVLELDPDDEFELGEIPEEAQRLVE
MAAAQGWAAHSQSPVPSLKTSAVNEDAATALTKNTITQSNGCHEPKYGRYLVHPVTVGPHQEELSSGRGSRAPLCLYLEMKGGGEGVQEGEEEGLEERRRKRRRKEREVEDKEIEQIMRKGRSRYEEREGRRERLHVEMMNQMNSEKKEIRQEEEKGGGEGERERERERASPVGLGLQREEGRGRHDVESKCLGVSGFTNPAHISTSTPSWELVNPLSMKTAMRGLWSLSTRGDVRRRITP
MKKIAALLLCLVFAGGVGAYLYLTWPPSAGGQAARYLPPDALAAVRLTHLNTLSDRFPGSPLGRFMARETVHAMLTELRADRETVARYDDLHDGIAQVMTNPAFRAVFGEDATLAVLPLDQAAFARDPADTLRRSSVIIAATTASG
MGIELLDGLKAQYEKPNRTQVAAXSEREVQEASLGGDKMKVSPTIEKILGLGDMPGDSKLWRELASLKHVNNNCHWIVGDGADIDAWNHVWVEEGLSIIQHVDIPNDLYGARVCDLVDACGNWNWDLLQNWMPNQLQYKIAVVIMAEMNSWV
MWVRRAVGVPGAKISHRGDWTHDGYEDLITLRSESATSRLWMHPNTGTGYARADCVTGEQAQELGVYDPANNHWSTGAKQILAVGDVGGGTDTDTDGDGDGTEDVPGYPDIIVNDGEYIWRYYGNRDLRLGSDRDPVLLAGPDDPIASGDSKVNEVTLAAPGDFNGDGQADLVVRHDRPDVGGLYVFHRHEDDYGYDISVSDRSEIGANWSTSTVPQFTAAPDAQNNGKFDLWATTPNSGRLRFFADYTTAGHTAVLIASEELAGYQAIS
MDGNAHLLSKCSTQEDVYALSADIGQEVERLVDGFGVDAASSLVPKLIRVLELLEAAVQQNETLRGEADQLFQTVCQLEYDKKEKAQFRKKFDQELEQIEDMWRSEMNDLSGLVSRLQEENSRLSTSLREKTNLLGEGHDDSDRSEGHFSRSGVTSLADSSHGGGGPDSGVFTNGGLSQSDIDMLNRLKEAFNKQASQLHSYESELAQRLTDIQSLKHQVERLRRSGLDSVQRVRQLELQLGRSADEREHLIRRLDEEQREKEQLRQEINKEKRDAQDELLEAVIRLSPPSPTEYKKMCQEKKELEARVRFLEGELEFYKPPVAKTPSEDSGGEETEAPESSRKSRYPPDDVDLPVQGPINREPEDKLDYSDFSHGGSSLSIKRLVMRYTRLLRLDSF
MSLTVSLDQIIPFSQARANLSDLIDKTPKKKLYIITRKNKPTVALVDIKFFEKILKNPIQIVIHQ
MSRAGPWCLLLAAACALGRSPPPRAAVRCEAAGSCFSAHLANSSYIDARSDCGRRRGGLAWAKVTLQPPRSFKTVSAAFTAVSQLMEQVQNNSFTV
WMTDGWPLYESRLKGKLHVISKRYTQHIERHNLNLRQHLARLVRKSLSFSKSVELHDKVIGYYLNIKHYQ
MVKSARNGISDLLNLLLFSMNADRRAGRQQCGKAHWAGCTAAEYGLSHLAACKIIFLAAFAASFAT
MKGAVKRACPSYSASDCRLVLADESSRSRQRFAHANVAALPADVVTCRFGTQSDGPSAGLATFAATFGQLLAGVRSLNEKVVAIRSDMARMSERVETDFDSATGAAEHCLTARLEARRNNPGHVAH
MITKAAKRVATANPMIFSNFRQLYICFFCLVFFLLLALLLFGFFNFRSTKFKFNLILCDFYFLIWMEWMGIIGHAHTDAGYVQACPQKEKEKN
MSRLHKFLSAVLRQQKMFHETMLWFLSVIQRFSNSPDTQPSADTPVITDHPRYAVEYLKTCFTKDSLNHFIQTETIPEGEERSIPTLRSMLQTSTDTCHGLLHQPSRVISENTKVSQQWVLFSSKDGISITHCKLGRLMASHIVQTLKAIATMRYCNRKKMKDRQFFFCEMLSRSIRYHTSIIVAWILVTQPLRIWNSLTTPILAW
MAPMPIRDDGHVLSQDWAVPARDLTTVAVSHPFSSPPGAPSPHPGFRRRIPPLASRRRRCHSPEPFEERCRMERIPIVENGREISHLAVRFDPPPQGTGERPCFLYLHGFGSEQSGEKAEFFRARAREAGFGFCSFDFQGHGLSGGDMLGLTLTRNLEDMARVHTFLRDRGCGRLILLGSSMGGGTALWYSSLHPEEIVAGLHIAPALELAKGLLAWAGPERARLWEETGRIHFQNEFVSTELGWTLIEDLRAHLTETLLTRYRTPALLIQGKLDTSVSWKSVAEFATCCTFKEIEVHLFADGDHRLTDRKERLWVLMMEFLQGRALI
GLEHGHEEHGEEDHEEHDEHDHEEELENSDGFIANTNGDSDVYTIGGSYHFGERNFVGLAYNRFETNYGIPPGAHEHDHGDEEEHEGEEHEGEEHAHGEEEDIRIDLEQERYDMQLHVHDLAPGLIDVARGFLTYTDYEHVELEGMEVGTQFDRETWEGRLELVREGADHRVLGLQWRADEFEAIGDEAYVPATDSAEWGLFYVQDFHTADWLFEVGGRADYVERDPATGASQDFTSFSLSGTANYTISANWSAGLSLSRSARAPSTEELFSNLNNSEEQLVTHAATGIIEIGDPDLDEEVSYNADLSLNWQTEEAFAELTFFYNTFNDYIFLLNTGEAVDETAVYVYEQDDAEFYGVEFESSFDVASFAGGDLALGLFGDMITGEFDSAGDVPRLPPMRIGSELSWRSDALGVYVSVLNAEDQEDPGDFETETNGYTRWDAGIDYNVRFAGDTELLAFVKLKNITDEEIRLSTSFLRNYAPQPGESIEAGIRLMF
MKLIGVIIARFQSPYLHEGHRILIDSVTRHHNKTVIVLGVSPVLGSRKNPLDFHTREKMIKQYYPDVVVLPLPDHPLDNKWSQNLDNLLSNAFPGAGFKLYGIRNSFI
LKRLDELRASGATAIGGEEAFRLYDTFGFPIDLTQLIAAEWGITVDLAGFDRALAEQRERSREVHRAGKGGGAAAGGEPAGGGTAGEWVPGEGGDYRFVGYDTLRAETRVLAHRTVEGGAEVVLRESPFYVESGGQVSDTGTVRGEGWTLHVERVRKGERGAVLAGRLEGTLGPGRVVAEVDERRRRDIERNHSATHLVHHVLRKRLGTHVRQQGSLVEAERLRFDFSHHGPIDPAQLHEIEHEVNELVWGNVPVEVREMRYSDALALGAMAFFADKYGDVVRVVQMGPSVELCGGTHVRSTGQVGLFRVAGQGGVAAGVRRLEALTGPGAYAAIGRLDRQLQEAAETLKAQPDMLARRIEQLLAEREKLEARLKAALQGGGASAAAQLETTQVDGVAVAIGETALEDRDEVGQVADAFRAGKSAAVLVLFGTQGKGAIHVALSDDLVRAGRRAGDLVGRIAAVSGGKGGGRPHFASAGAGDASRLGEARAQVPAIVKAWLGGAR
RNHTATHLLQVALRQVLGEHVQQRGSLVAPDRFRFDFSHLTAMTKEELRETQHIVNAKIRQNLAVYSEDIPYKKAIDEGAIALFDEKYGEVVRVVKIGEPAVSAELCGGTHVTSTGEIGFFQIIGESSIGAGLRRIEAVTGREAETFIDKHFSSLEKIAQSLGTSSDEAQDKASSLVTELDKERRQRQALERELSKKVAESLLGQVEVVKGVKVLAVRVPSLPMQSLREMCDLLREQLKSAVIVLGTVYEDKPAFIAAVTPDLVARGYNAGEIVKQVARVTGGSGGGSARLGQAGGKDRDKIDEALRLVKSLII
MPTIQDLEVQFKEAQAATEAYSASITEKYRQEMPGTDDATILARARAWTDDERAELARLQDVATELVVELHRAREAAKREGDNY
MKKNLVKVISLLAFAMPTLLYAVPATQKPLKLRQPDGTFVSIYLHGDEQNHYITDTKGYPVEKSKDGFYCYIGADGLITDAVLTMQETASLKSKARGIGQAKPADVLKAYLKRRPATNERRYEKSRKTAALGKRKVPVLLVQFPDVSFTTGTKERFNDMMNKEGYDYDGATGSCVDYFRDNSGGQFQPEFDVLGPVTLDHESGYYGQNDTNGNESYLGYMIKEACKKLDNDVDFSQYDNDGDGELDYVYVYYAGKGEHDTGITSLIWPQSWYMNSTNAGKFEVDGVVVDKFATSNELQGSGRFVGIGIFVHEFSHVLGLPDLYATTYNQSAFTPGAWSVLANGPYNNNGRTPPHYSAYELSELGWLNLKELDKPDDVTLSPVSENQGYRITTDKDNEYYVFENRQKEGWDKYIPGHGMLVWHIDYNESRWTNNLVNTNASHQCVDIVEADGKLDEPTRAGDSFPGTSHVTSFTDDTTPSMISWSGARLNKPITDISESSDGIVTFKVMGGHRNISAPADIKATVVKPTSFTLAWTPSDDCDYQTVSVYTKGDNGGKVYVDGYKERQIDFKVGTLEVNGLEPSTRYYAVLSSLTQYEKKSAEEWSVTTNDPTFDMFKVENVEATAVTSNTFKANWQALEGAQSYELTVKKRTIDTTPCVDVCDFTGKALPEGWTGKMSSYFSANGYYGTSAPSVSLAAKDHFIETKEYGKVTSISLWMSTMSYSSFSSLRILGKIGAEWTELENIPMRQTSEGATTITLPKEGETLPKGINAVRFELASTGDKVGRLLLDDIKVNNTAADVDEIFADYDHKNIGNVASYTVEGLEANKLYLYTVRGVADELKSIESDECLVQLGTTGIDRIKADNTLIVKDGVLTISAKPGSSVVVSTVDGIAVCSTTVSDSGSCSVAMSNGLYIIRIADKAYKVKI
MPDISPAKMLCKYLREICDIDTTKLFKYTHTFPDGREVEANLYPDAYVAIFRKLMAEK
MTRETRANSGNNSNINSGTDTINISQKKLEEIMQKAISEEIARLQQELRELKKTIDQLCEKFGNVTVNELEVKINSDNHNIDVLEISTDSTDTVINDIAKTETTSNKRNRSHQEKTRYIRKEQPKRAVNVNLTGKQTIVHGTATSDETATFGAAVKRAWLYVGKVRLDTTIEQVNTYLKAKYPGHEFKIELLSKREEATSISFKVGADSDLMDQLYQSENWPKGIIVKKLIFFRAKHGKFS
MMSSQLRSRYDVIINGGGIVGFTLLNLILKSKHLGRTRVLLIEQAKKPSDLTQPIRLKNDSDDEDGKFFSNRVSSITYTSKSAFKKLGVWDRVKPYAKDIKTIKVWNYDYFNKITFKQDLLACQRHDSEEQNIVFSTLENNRLSLALLESISQIPNGNDSITWTKRLTNLEESLDSSLVDVTIMDPESNIQTNVTAPLVLGCDGYNSKVRELTGMRYKEIDFNKSAVVGTVKMAAQQMNTENDIAYQRFSNEKGTVAALLPLDSEYSSFVISAPSDYAKHLGECDEQAFVAELNQLLASRETPTNLVLKGLHEVANATYDNLKNLLQLVGPRLGLPSDGLDFGEGFDEVPSVERLVNDSRATFPLIFGTTSPRMVCSLPKLARPQIALLGDAAHRVHPLAGQGLNLGIQDAIELVKQLEASASIGERIFHDTSILSKALRKFELRRQAYVIPMSIGILSMQDLFKLAPSRILSSANKCQPIKTASVRFANGV
MRRLAGGIPVVMHGGSGVGKEDYRKAIEAGVRKVNYFTYMDKAGGSAAAGYLESLKEEEPVFFSSISMAVREAMKKNVKEVMKTFAQIG
MTFVAVYAIIFLVKHILLCSNSIPLAGLAASLCRQPGLEVLHADLADLRAPPGPDDVVIVDAARTAEALALLRPHPAWRLLSVDAATGTLTAYAAQSHLVHEVQEIVRYLKDTQ
MFAATVGVLTVSLTATGAAGAGAKGETCVVPPGLGTGATNEVQIDNQVSVFVGVDYTSTASESEGVLVVLGDAKVSGPNLNAGTAGGSSLIVPENNSVMLAVGGNLNIESRVDAGLGLQLQGITGGSVNVGGSITGQIDAGTGVVRSGLGGAAVAEWADMPATITGLASTLSGQANSGSPVASYTEPMNSWEPLTINLGAGASGEVRTLNIHASVLNKVVNKEINFVGDVAASGPLAINVIADVPTVAIDFTSILLNGTILDLNSKDFGALSSSVMWNFGSGVSTVTIGGTGKAGYQFVGSILSSSPAGQVILNSSTNGRIWVAGDLTTHNQGNEQHAYPWITPEYCSPLDPTDPTDEPTDPTDPTGEPTDPTGSTDEPSNPSDEPTDPTEPTSPETTTPGTPSPETPSPEPSSGVSGDAIEVPSDEELAQTGLSNLAVGLSIALLAAGVAVIGIGRRTAKS
MSLLSGAGMLGLRSPGTPRLEESQPGPSSSPPAGVGVLQFQKVKKPLWGWESLFCCLGPGGGAGGCAEDVVGGMYKTGPSGPLCQLALPAPLTLHSLEPQGGSGERALIPRTVTALGTLLDSWADGLHSIPEGSRTLDRVLRPIWLPQPPVQGTASAHPGPGPAYQLLILSQPVPGVSSESLPPRPRGLRGAVLHPHPAAPTLARLGSFAATCSQHPGEANKPL
MKLITLYLPESYLRALDELVEKRYYPSRAEAIRVAIRDLLNKEFWGKAELEGEGGARGRGRSRPTS
MGQTRNGRSSSKNNAGVLFNRYVWLVDTIYRAGRISFEEINERWLRSSLNDTGEELPIKTFHNHKNAIQQMFDIDIACDRRNGYVYYIEHAEDMEQSGVRTWLLNTFAVNHLINESHYLKRRILFEEIPSG
MLEHISYIYKYCQYLKNQNSNSKEYEKNCEYKIYVLNEKAKVLGIEYREEEWKNDKKTEIEKGFHKSNFRSIENNIGSINFEIFNEIKDKLPERKYISGEILEKLKVENESFKLDFEKLKDIISQYEQCKLYEDKDYVGAGRERNWMAFKIFCVEFLPFVRINIFQNCGTEYNVEEEIKLKLPSSHLDYDLKIEDFKKKILEIKRKSIEKIKEKLPGGKYINVDNLMKIKVLNP
MAVAGTLAALGGLHAVWSRSPWPCRDRDRFADLVVGVAPEQLPSARACLGVAGLLGAAAYLVAARGGALPAIGPRRLRTTGTATVAGVLLTRALAGPLAFAHRTPAFVHLDRRVYAPLCLALGTGAAVVAARGK
MCTTIMVLTTVAVILRQMFSNKIKPLRGYTKEPQGPERDTLVILFCTSKQQRPIYSNL
MLSHEDPDTRIDLGNHKESPEAKKVVEYVYVDEEVDKETTKVVLIQRKCKGSMEIRDTPLATPTRSLMIDSLSSYKEKLQELTASKPSSSLSKLKFDHLRHLRSTITHMSRRQWYMLQHIRKSFMPRYGMNTLAKKFEETLKEVVPKMEQERTRAELSSRVSNDIATNIPPHVYAFLINYMNTNILHVHPTSSASSLILDLQH
MVTSEKDIWTASDVNIHETLTPLDHSILFLDGRALESIVGHPDFIIEKESLEGSFVCSNDDPINQTYGYMCTNSLRYGVLSTYDQTWFLKRGIEKVEDEDYGCYKYQTLL
MMKTLLSCKLLLLITLNVMSIKANSQELINIRKELHACPEYSHKEVGTAKILLKYLEQTAPDQIYKGVGGYGIIAEYSGKKPGPSKMFRCEMDAIKTDKGCEHLCGHDGHMTILLGLAMKLGSDRNFKGKVYLLFQPAEERGEGAALMVKDIEKLGLKFDFSYALHNNPNYSLNSIIIHKGTYAAGSTGMELKFVGAPSHAAFPEQAKSPTDAIISTVQEVKRLNCIKGIFSDFILATVVNIEVGEVNYGVTPGEGSLRLTLRSFKDPDLDKLCSMIGDFAKEQALRQGLKLEITYHDRFPATVNSDEANDIVIKAAGKGGLNIIYAKEPTRGSDDFSFFTLKSAGTFFDIGNGEGNPDIHQPGYVFNDKILIPAIILFSEIIYRQ
MVKELVPLIGSRSKILKKIEELKSTNRNVYNGQNTLSDQDPDQALTTSLLNIGATEIMYDFPIEPSSYSTDVSVITAQNTSHHANITSNNLVHNIPSTSNNVQYPGHDSSLINTMLTLSVPTEIRSSTMDNTNAIEAKNLKDILIKYHDGTVILENYEIHNELNSSMRNKLNTLKNLSQAIALLFPNESKETYYTPYKKVSNKLTPARGKLWDKYCNMRREIRNNTKLQSDSIISQDELSLNNKCTTLDKLFEEDIIWLKSNTAPWDLVNIKWKNTFQIRFNSLINGVENNSYLTDYPALKSSLGFTLIELDFEVLYPGKNLVLYNKFEVLKNKLPKYVKKYNIQGVDHQVDVIQNFFELGNHVTIAAFKIFVLLFKPNVSQLKEVDDAKSNKAYRIGQKLQPYMVFVETDDGQCLEVNCNRIYSSFDSFSKHCKSHNFVHKQPLDNIPTPSNIPLNLYNDDDSCISVLNDHSAITDDQEITIAMFKEIVTGDAITLMSKWYNESVVPRNKVQTLINDVNSFNENCLQTLKTKVLHSLENHKNDSNSVIEISEMFDAVSNPFINLQSESVFKVFFEQPNILKTILKYFDDLISESEEIISSYIQSEVWKEKCHEINYLQSNALIIGDNLGLHSILGFSESFMANFPCRICKCSKLDCNYSVIYNNNKLRDEENYLQDLLTDNVSETGIKEACVWNQINGFHAVYNYSVDLMHDVLEETLNNRIQCYNYGILEIQNKPQLISIDV
MLCQLDADVVAAACSFSLIGDKWCCRNGKVLLWMCYCGVVTVGLLVPAFVVSGMVVGRVVEELVRVTEELKFDYARENPMAFLPIISCARLGLVGQSGKSEIRGFGGTRVIPFGHELQATVSLMLPELVYNRNLGLFEVCVKQNPQFYHGHGSKMRAMAALRRR
MEDIRPTAKWANRMLRPLTSVYRRLEKHQETLSLVDMDLRERDKSGLKQCDGEYQVRTPKVAGVEDSGSYSEYDGNDPSWIPGKSDKRRIRHKYSKRCEGKRGRRRNRLSIHSPELQRTLPGAIEIATPLITGKTLRRSLGSDSGGGGSSRGESPSLDNYESGLGRKATRTKESTYPTYKGSWKEALDMSGDTGFIDIARFLDRILIKFLDNTKFSVDASVKDRGARSLLSMAARRLPEFIEEEQKIQDAQDHEEEELEVDMCNVYFTELEAHYAPSGNGWKPLRGAVRAQGIYLVSEMIRKKWVTKMVARRLLEACMHHEHLDAFETLLSRYLQTIHTDDHPTTFDSSSWSNGYDSPVRLLKVYHASPSGCRSFVFDEVAKLLVRGAISPEWMVTTSWKPCVDEAIQSLAAEDDSSVAAISFIEAVILSASGTYTTDMSASRANTRVIPPLSRRGRRALAKSAGHSQGYEPSCPVSIQEALNNLVLSLIAALCGMHIARFYAPASEKGIASTRMRDLVCCLALAVQRDIELRPASSQANVSASQLLRRGYVLLGSCVLQCVGESLARSVSQFNSVSVHSLESFCVLLASKQDVVKELAVLVRQVICCYERATKCDRTHVSEEIRMQIAGLLKMGDTQGLSTLLGKVAVETAMSLAEATLDPDDHLWAADIQERFLSSQLHQELKRDHPSDHQHIYKSENKGLYRWEEGIGEWVERTPVPKMKKTAMQSASGSRAVRQRQATPSTGSSAPTDSPSGSSASSFTSSAPSTPSLSIKRGVEDEEVRRRPSKRLRSAHIRTHSTRSTDWLDLSKSQASNVIPRTATSYRVRPSAFGDTMHCGRDSNQKVGAEGTDTMKPHTKVEVVIINKTDPALSDETDSDSEEPIDELCFIHDNVKPVSSSCQRTGLRTRRHATMSVPPMTRIKSVIPCSSDGESEDELSFLV
MSRGVKKYKDIESKLYKYYRDQKALEQKMKQKVFYEESKTKLEKMIKCYAEDEKKCDELSVHINSVKKQLMNLQKDILVTDLSVKNIQIIISKLNDEEKKFIKWRYSDGMSLYAIIEKFHYSAPTYYRIRNKILERLQQDM
MALVTPGTSEKKFSDLKVSWRKLIYILEHLEYRTTYACDGGELRISCDEGVIRLIRANYGRFSISICNDVGNLDWSVNCMSYRSFLIMQDRCSQKPRCSITVSTKNFGDPCPGTLKYLEVQYHCVTAFPPTTSKPQRPTTPLPTSPTYNLTSPIPETTPITTTTIIATKTMTSSNTPSTSSELSTESFVINISNNITSTGFTTSTSTSMSTKISTNIIDKKIVMPTTTPLLTTEIDLIPSISRDDVCQPIFASDLFWNWTKKGEEAVQKCPEGATGFARWKCGVNPVKWVNESPDLSECKSLWIDNLRERMHAGNSVISVASELTQMTMSKSLFSEDLKEVTDMIQQTLNKAVTSMENFLDMWHKYHVLRELLQSIVHIVSNLLGKEQSDAWKKLTVAERRRIMSALLEGLEESALLLADTAKQEGSFSLSKPNVLLSVRVLDIRLLNTIKFPVIEELQNSNPEGTQWIRMEDSLILPAQALLDSSRHGLSKVMFTAYNRVDELLKPEPGYYPKLFQSLPTQNNMSLHNTTHIVNSRIIAASIGKRGMIRLSQPVKVTLRHLKEENVSNPSCVFWDFHAREWSSKGCWVEKSNKTMTICACDHLTNFAVIMDVRPAEVSFLHNTISPVIFLSEAN
KKKAEAPPAAEETPAAPVAEKAEKAEKKAAAPKKAKGPKLDDLKVVEGVGPKIETLLKEGGIATWEALAAADVDRIREILEAAGPRYQIHDPSTWPAQAKFAAEGKWDELKEYQDMLVGGRDVTE
MATAAAAALAVSLLPGNAGDRAGGSGASEAEDRLQLVGTNNPVTPGNFNGYAFDQCEAPSQKTMNTWLNHSPYLGVGIYIAGDQRFCREQANITPKWISTQLNKGWKLLPIILGPQASCQPRFPRWGGKSITPWPGKKGNYWWARQQGREEAKNAVAVAQRLGIVKRSTLFYDLEGFDISNRDCRESALAFLNAWTVKVKSLGYLSGVYSSAGSGIKALDNARKAGTHRMPDQIWIARWDGKANTSTTYIGEQGWRPGGRLKQYLGGHNETYGGTTINIDSNYVDLGRGMHAGSEKHCGGVDIDLSRYRPVRPKWTGSAQRPVQTKALQCLLKEKGYYDRNINGHYWPPTRKAVKAWQRDQNLKVTGNFWRYHWVALLSQGNRNPLKFGSAGSDVRRAQRALNAAFWNLQGPVDGRYTKSLGNAVERYRKKVGQGSSEILHWGTWKQLQRGKK
MLSVPAFAGVGTFGPEQPAEAPSSPGAESSEPGLSEPTKQNPPVNSPGSAPKQIDTVRPSPIVGPGRASPVLFFAAPDPTPPAPPPVAVELRAGSGFAGPTLEPSVVGTPGTPGITAKAIAHWDAVPNQLFSGSFNLGVVAFHLNDIDRVEFSLNGGPWFPVRQMSLNPRTGVWEYWTPVNGRSFPDGRAEVRAIAYPKAGQPRLLEPIVLFNNSGGSIKQAIRYVSPTGNDTSGDGTAQKPFATIFKAADSIRTASSSGKDASFGTIYLTAGDHTFAGRPAGGLSVQTEQGWLTIAAAPGTARDKVRLTGNTSKTGGLNSAYLRLRNLTLSAMTLTTATSANSACWFDGCDLVGTGPDDASRFATPSTWTGGVYYTDTSIRKVRIGMANAKFARGVSMETIGSDAFHNPRVVINCSAKDVLKPVGSTYHPDLIQFNGAFDNVIVYGLKTQNINAQGIFSRGSDDIPDRNMAFVNVMIDQRTHLSQWLQAADHVLFRNVMILGRAMNFSNDAGKAPNVLTNFSVEGCVFQELRIDPLIVPHTDKTVFRNNHFVAGKAMGSGTSMGDPRLVNPLQGRFEPRADSPLAKRLTSQDVPVDILGQQRKTPGAIGPFEVAAAQ
MKKEFNPIIAENFIVEYVAPITFVLEKFDEKLSGKRSPLKISGVAIDETISYNNLKYTKEELKKAAPSLSDKPILKDHKNEIDSLVGRTGNSYFNETQNSIPYDGLIMDEKTIEMIEDGRIKNVSIGAKVEKLRRENPKDSNSPLIAEGISFLELSVTPVQGVQNATISQAISEKFNLGDKMVEEENETPVETPEEGSKETPEETPVETPAEPEKPAEATSTEALKKMLREVVREELRIKEKPKGKGIVANTVKQERVQDNLIRERTREGLTIYSLDEKLVYGESVNQVPQGVKIKG
MALNICVLRSGGEYRPEHVRALARRIPKLVCLSDVPIPGVQTITMLHDWQGWWCKMELFRPDIYGDMFYLDLDTVVVGDIERLASAGRTTMLSDFYFPERPASGLMYLSAADRDIVWQEWIKDPAGHMARCGSLGDQKLIGDCLPHAARWQDDYPRRRDQLQGPRLHQAAGHARPGQRPAAGRRDDRMLPWPAPPVGHQSRLGAELWLTQSSSASPA
MSACIAEAEASSSAQAATVRILSRTGYDASEAIQALWGEMDALAALREVRSFLGTGHP
MKIYLQNREDRNSISSNQINQVFVSSKGHIWLATFNGLVSFNKEKEIFKHYGIKEGFQAEFLTAIAEDFKGNLWITSHHAISKYNPVTHKVENFFFYSQLTEKAFQVKSAFTDKEGKIYFGRRSGFICFHPDSIKLTSLPPAVLVTGFKINNLDVEISSRSVLTKNIEHTKSIQLSYRQNSFSFSFAAMDFSFADRNKYAFKLENFDSDWIYTNAITANYTNVPPGRYIFRVIAANQDGIWNNNGATIELNIKPAIWQTTIFKIVIFTGLIILLFIWYKRRIYKIKRDKKQLQQQVEFKTHELSDANKALEEQNEELKTQREELQSQRDSLENANILLEEKSLEIEQKNSELEQHRENLERLVYERTSQLNIAKEKAEQSDRLKTAFLANLSHEIRTPLNAIVGFSTLLETTEVGPDKRKYYSQIIQSSSESLLVLIDDILNMAKIETDQLEINLQSFSINALLEELHFLFSQKTIIRMLISDYRLR
MSETIGETSVLINPANLKSEPIDRPHSPESNCAICLEEFTNKSFTNSCLHQFCYNCLKEWSKIKPECPLCKQRFHTIIYNVRSLDDFEEYHVNAPNIEFDQTVFINFAFPPSPVPYSFRSAALDFRRNQIDFRQRMAVDAEVYPTMNTSSSMLYIREVNGLVRATDTIHPAALPLEHEFRPPNLGLSRYRRDIYRRGRWVRPAMSSRIRQSSPGFYRLNPAQTHRLVPWITRELNVLLDVSIDPQTLVQEILNLITLYPIQSRHFREAIRPHLGERTEHFVHEFYHFAISPHDIISYDIMAEYGPQDTRPHRLAREESSESDSDVEVVNILRANVPVGLDLSNHAGPSNVPTFTETVIEISDDSSNSDHEVEIVGMISPVTPETIVIESTDDEMNEPEVELNSNSLLNSSATKSNDSSMEQDFDPNQPCTSAPVIPNPFKSFAPVAKDTTTSSSSTSSTSSSSSSSSSSSPSSSVYSSSLSSVSDSEYGKKRSSHKRKKRKAVRHDKIKRRRRRARIESSSSEDDFPSKKMLNRRLKSVVIKPEDKSLSGSYSDSD
MTKEIFTVYAIRHGLTYRNLKHEIQGSRLCSELLDESIALIKERKNRGVVPEIRRLWCSPLTRAVATAELYFPGMDIELKDYLVERDFGEWDGRLVSELKTEAQFINFAKTDGRTRPPGGEPYADYQKRIKRAIGEIEILAHRSPASFPLALIFHTGPIRSLTEHLLPPDHSLFRYLAPGAGGLKLDFILDPFTLVTASELFTDDIPIEKTHLWQD
MAIVTGQDRSKRPSVHDVFGDSLPETTKDEWDEPAAHRESDREQWLRDNVPPHHD
MHAVSSVVIKRDLYKLNSVGIVKSKPVGWQRALFGNCEILTFPLLLGDEKHCFARGEVSFINYPHPNLLPEPYMAQEKEL
MEQLEALYESTPKALNFIERKISISNKHINIYGPPKSGKTWLVLDYLTNIPKKKHIYIDLNDVRLDKSSLNKKLQDFIVINDIDVVAIDHYDDSIGLPKCKQLIVISQKPLFLSTLSSLLLQPLDFEEYLAFEKRHIHLEHSFSLYLRTGSLPEMATVHETLLTKNLHQIMRTTFPEQSEQNFFRTLAKFLGKPLTANQLYTTLKKEHKFSKDRVYKTIKEWEDRQIIKWVKKFNQPKAAKRLLLYDFALPASMYFEKSLMGQLYSIAANKMLQNYPDVSYTETMDLYEPTTNQAILLSPFANPQSSAAKVSKLINEIETLKIKSITILTIANSFDFEFEKINVNAKPFYEWIIEE
MATWSNTGTPTESQSLVPDMSGTIQYTTPYPNQYSNPHSTPQIPISGKDIQPPVGNAPQVNRDATQQEVNFQAENPFIQAPVGNASTVNKDAAQQQVNFQAGNPLVHPPGGTASQVNSDAAQQQANSQAVNPFFRKTAPAKQSYYTPRLQCLLMNHLQEALQDDPLCPKNFFMHARVIEELIYHKELAMDNPILYEFGLTSLPGVNHVDISIYVYKVPAGLSVRGRNHYRVDAFAVGFDETGWMINGAYGDLCRGFLSMEWYNDKSLYTFDWDRMEFKDLLRLLRRSAMRNFDDRGNLRSAVEQVRVEAELGDLYPGRIGQPPALAPNAMHTFPNWSLPLNNPFVNVPTVNSPSVQ
MDGGKTVYIGGRKKIEDLERLEDWQSTSGIALWSLILLGGLILNSTLLLAFLKRPGLRTISNRFVMNLTISNVITCCVLNILLMLDGPVSHSKPCMCAVAEGATALVTTSSVFSVLLIALDQYLAVVDPLRYRTRIDTLKCGILVASVWIISLTLSLIAALNPNPKSIWLTCQPSVNSVALNSSEKIDETRSIDQQINVDESNISMTYGLIYTLAFSLFGYLLPFVGVCWIYIRIYTAAHNNSKRTRRTGSRPILSTGSFSDEYYPVRQDLSHEDFRRITKISSLSSIDESTESSPSHIPRRFSEFGNHPSPIQEEPSTVIFTVGSKKVDVTGRQSNDDDTKEPNRRVPISVLRAQFLNKRNDENTDESLVKSKFQDGPEQQRKSSHDLMCEEIMKRDLEMFRLGHFNAQSDSSDGDYEEDEERGVIFENILDVKGSTPRVQDENLIEGNSPNVHHSSLIKNNDSMNIQTAAQKNVKNTTSLPACDPRQISKNKSQPVNVKQRDDSIHEDQAYSKLSLGNLEANCIVNPTPIVTITPPHRPSLHRVSSTKSTSSYINSLKYRISNGSLFKYREETRAARVSAFVIVMSLICWTPYFIVLILKNLPCYLVDYVPHEIDIVAINLFISTAYISPMLFGYRSKRVKRELQKLFCFRRELSYQNNRSLMAKKVLKRRHSGNLSNFEVDNKCNIFHCVYGKNKWPKEKVQFIQVPETALIVETCRSSFSSGASTQVSSTSTDDC
IRGRFDSDMSGRNGRSLLGLDDDSNKRLLYAEETEDVFDRETEVDLERLGHKVAEMKNVSSAIEIEITDSMRHIDDLNEGFSSVQSALDASYKRLKQLQNTASTRHMCYLILFIVVVFLVLYLGFL
MRGRKRFPRCSWVGVLSLALMWGTGCGEAPPDTPDVALPDEVLEGAFVAVPREVGAAERQQVEQKLRGVVEDSGRGFYLAIRRDQLASKWFLSAYIQQYHPGGAAYFAASSLGTRVVSFKEQNGKLFVLDVDDRKVLSDIFDPQVLVEAYPIVEDHAPFHRRRGAEQYVLIDPAAGLNRFGVMGDLNAVLMRAQFQVELSLAQKLRPIADGVTFEQVFTGYSNLPDEMSQLYLEENPFRTSGTLSIALRRYQESPGYVPTPSPAREHYFLSEPKLIPNSGGATERVAAKWAIQPGMTPIRWRITPSLLTVQADPRFQDYDLVGAVKRGIEGWNAAFGFPVLQAVMGDSSSDFGRDDENHLIVDPDRAASFAFADWRTNPNTGEIRGASIYLGSNWLEVAHQTFSGDVGALVAFERPASSLRMSWAGMGGETRCDFHAEALLEQGAEAEGLFVEPGSPLTKKQKVEAYLTYLVLHEVGHTLGLRHNFAGSRVYDGGATGARSSSVMEYLDPRDAVYGDTPGAYDVQAVRYLYGLSPDLPTQPYCTDVDARVEPYCNRYDRTDEPLTKFYVPAMNVAMNTLLNVSHPLLYASLAGRFNATANPVLQFVRAGSPAVQTQAYQLAMQQVRPPLVIPPGAPAHYASRADDLARRLLARLYLDPAAQRGPFSANPPDAPALTSLVLADVQGILLNSDGVRGYAARRAMVDILKLHQTLAAYSILMSARDSLTAALPTLSADERLQTTDLIARITAALSPYYR
MPLCKHDTFCAFTNDPDHKNQYLHTCKYGEQCRSLHNAKHTEWFIHPCPFQDKCSLSNDVKHNLTFTHPEKPTFVAQLFKLVFDHDSGNGTLKLRCPHWGQCSLTNKVEHTTQFYHPCRYGDECRKYNDEAHCEDFFHPCKYGSKCTNQDPYHRFSCLHEDRSPVWMDCHNPTKPHVAQKPKNNGNSVSNSNSNSKSKTHTSTSNTASSGTSHGQYHSLAVNTSGEHVHHSGSLNMGHSISSTTTRHPYHSQYSNTSVPHNQQTSVHGITSSSYSNVAVSSPFNNSMYPPLSSMGGSATSPIPYHSQTPTFPNQQHFPQQQPHDTCNHQQGGQNNMYMSNNANYGMLTSNDGIPQPVIPPLIVMSYNVLADCYVSAERYSHIANINHLKWSNRKQKIFQALKDRPFDIIGLQEVDQSHKNDFADFATNNGYSIFISDKLPRKKDSVAIMFKINRFGQAIGSGGERIIEGYPEVFQYVVLRDLLSNSYLIVMNCHLTFQQDTITPRENQVKRMAEVLHSLHSQVSQQYATNRVSLILVGDFNTQPHETPVKFMESLNLKRAHQDRTGFWTFSTTYTKVCDYIMYFGNLKVTSSDPEPIFLPKSTIPNDEFGSDHIPITATFQMFN
CMSTGGSALNWFVRTFAAGEAGPAEAQGLSVHQHLDRLAEARPAGADGMTILPYLLGEKTPIHDPAARGVIEGLTLSHDLGHLWR
RTVINQPKTDEKGRTFCYILDKDQYVIGGPVNNGGVVLRWLRDEILASEVETAKRLGVDPYDVLTQIASRVKPGAEGLIFHPYLAGERAPLWNADARGSFFGLTLSHKKEHMIRAALEGVWYNLYTVYLALIEVMN
MISMNQELIRILNKCNDYEITALRINVDERYFIGEDKEGRISVFKFRDFVNENTLK
MAIGTTSAADAPDVPSTTVPLPPRRMACISSRALSRCASMRRAWAISAAPCTVGRKPRAWRSNSGRPMSPSSSLSHLESAGCEVPSICADWLMLPVAARLFSMTRLRSRRRKVQSISRSLASSGHSDISKNI
MTPANLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKTTGRSKGYGFVTFREPDAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGRNFRVMGSFQTGFQGGVGTAFPSAATFPHYAIQQGIPYNLYGYSPYSPDYTYPTVCTVLSFIYLFIFGLLRFLHFYNLGCVWK
MTMSNGVGQFGDTTLTKVFVGGLAWETPKEALREHFEKYGEILEAVIISDKITGRSKGYGFVTFKEAGAANKACEDAAPIINGRRANCNLASLGARRPRSSTPAPPQQGPNIIAGPRSTPAPPANHVQWYYPAGSAAASPFHHQHHQAVPFYGYSPAYIATDISYNHYCCDGWSTEAKLHRRVLHEWAFLSGVSRASYGGCQYIDANVPSLSLPSITGGGPTCCPHLPTKHGGSHDNGPNYHVQTPIHCSS
NVTLTIPITNNAGGRISEAPENTNPLSADSWEIRLLRTDREGYEMQLAAVGMAEDALYSYDKYDRVQPPAIAETPRMVVSHPEFFEPAFQKDIRTTKNYEKWEFTYTGPANSGKEEVIYWDNSYFGADAPPVYLLDKTRFKMVDMRQQDTYSFVNGGTTEFEIHFGEGALEALLPEDVLFTTPYPNPFSSAVNFNIGLPSAAKEYQVQVDIYNTMGQQITSLQASNIVGGYYNFSWNGLDEKGQAVPDGVYIYRMTIAGENSRVVSGKIIKH
MMLQEALQQAGADPYKRTDARKAHRNNNKDRSLKTQYEETHPPETTTPGVPFETQVFRGSAQVKKDLVNAIAESYLQGVSTRKV
MFLSGYPRLLLVAAACVMTHYAQAQSTTPPTPIPAAVARPALAEPLYLINKLIATNSVLCKIDPNAIEKIDIYKEAAAAPPQWRSLLTDGIVSITTKRSVKLKSWSLAQLGNHLRIKGPVSYRINGRPVATPDLRIAREAIGAIHFTREPAGFLLDVQLVNQPMPPTPHPPGTIMIRGTAAG
MPSKLHLESPAPEVPQPINQAPILDIKTECFKYLEEENHGSKNNFDSLKQLLQNTSIVNTGTRNKSSKPNNPQLKILEENLSHQLNCYDLALFLMRHEKSTEPVPDPPTSHQQNVLKVFLNCATHLLAIMLAHGFNNRKLYQSKTPKSLKRTICSLNWPPRSLASQGPLSGI
MQVKIDFNTGIIEGCRVTIRRLSDMAEFYSDQVSVNNMLKKNRDPCIYEIYEYSNPADEGNLNFGTTIIYPGKVGSEFYMTKGHYHQKNAGEVYQGLRGNGILLLQNRKGEFRSIEMGKGSLVYIPPEWAHRSVNIGDELFIYYFVYPSNSGHDYETIRRTGFMKLVVEKNSKPCIIDNIKYTPSES
MNLVTEELKKRVSSEVMSKFDEAIRSGFIRKIPIKKIDLPTGEISDPDAVDVRKIKDMKGYFQNEEARSLMDPETIVYRVYRKMHPEISYGITEIFPGKVGCEYFMTKGHFHKRIEAPGIYLCLKGLGIAILQHENEAYPTLIAPFEKGTIALMAPFYAHRVINIGEENLIFIGFSATDSGFMYGPLERKGFKFLIVERENQVSFLINPNYQR
VPLGERYGANVTLTGGDKIDLAEGKKLGAWIRAKYELKKYAPVGVDEPWERVARGESLEDPGSRDSKEKKKKKDKKDTSQSPKAKDKKDKKHYRLDPIPGVSRRQSQLPQPPQEALCEAQPKEETEKKDKKEKKDKKEKGGKKDRSQSPFDVQLPSNQSFVSPSDLQSTAATGCQPSLPPSILSPQWGDEKPKKHRGRSSSPAVTFQEPEVERKHRSHSRERRDKKEKKDKKDKKGDTVGDVWQDDGQWPSDGGASAWDPGSG
MKVYDGERGAPENVGLDFKLSQPMVRTHPVTGWKTLFAGGLHCRRVDGVSDIESQELLAKILRLVSDNHDLQVRFRWNTANDIGKQLRPLCNLAATNLHLLFQAIWDNRCVLHCPTQDHYGLGPRGGFRTMSIAEKPYLDPSSPSRRAALAVPKKAH
MAKDYRLTQMYMPFYAACVATTIGFLFFFLSFSSPYWLQSYDRVHSSFLHMGIWSVCFDRFVHPQDYHANVLTGCYWIFDPFFFKIGIWQWLDPAWLVAVQVLITIAFITQCCVILILVLYHLLYGHPAAESTMAMAGQEEVERFEAVEQQYGLTSVLSGRGGTTSAMGRSLYGGTQSIGGVVVKVPSTGARPAQVLTLDSDLRRMDGSQGTASLFSRSASNQPYYQSGRQGAPLSAVHESQHSGEEQNENDGHGSNIPSTYQSDGSRQPVYTNDPRQISGRTGHRGHLASSIGTDENQSALGSQLSYSSQPSQHNYPKDYSSGSRRQYDSSSHRGRY
MFRLRTGLLNHPFPSPRTLCPLHRLRPLHPLPTSNSHTRTFTSTSFHVNNLLCSLRRTLKHRLNLGQTLVISLAFNWTLSIFIQEYRIGGFLDRMETNIPKLAKVGELMDLVREERVDVKRLIEYVRFRVMEDVGLVGREDFVELFEDVRKKVLEGGLEVADGDGVLVLERSAEAFQAPKGE
MEALKLMMESVERCKVKRLTLTPHYDLRVEEFVVKDVLPLFEKAEEEEVKAEQEQKIRKEEEDKKEQEEKLKMLTENVTSDNIV
MSFVAIIGAGDLGGITCQAIAALGAAREIRLIDAAAQAASGKALDIAQAAFSNGYTTQITASGDVRAAAGADAIVIADAFGPPSKEWQGDDGLALLRRIWSIAAVDRGVIVCAGAAQATLIARAVRELRIDRRRIIGTAAAAFESAARALVAPALDGAGTDIGLMVVGAPPSGFVPCWSQATVGGEALTARLSAAQLAAVDARLPRLWPPAPYALGSTAAQAVGAILRGARRELTTLVALDGEMKMRGVVAALPVRLGPQGVVRIVEPALSVQERVKFENGAV
MISKNKFVIGVDFGTDSVRALLVNASNGQEVSNEVFYYTKWKQNLYCDQKLINIVSIPFDHIEGLEFVVKSVVKKVRFHQN
MKTKQQIINDLKTNLSDHIDLIDKKEFESLVKFFFDNEEIVELLVVGIENQAWLITLTNQRIFFVKKHNLYNNIIQQYGLEQLKDLRLSSLADQANLTFILNNDQTIRAEEISLNQAQSLAKKIARAHISWMSEINNKVIKPK
MYNYHNELEPKINAMPQRSYYIPFLSEKYNDDRTKSEEMSLIDNWDFKYFDDISEEVFEAEGGVKIKTPSNWQLLGYGKPAYVNTKFTMPNDPVNIYGKNTVGVYTTEKTFDLSKKNYIVFEGVDSAFYLFVNDEFVGYASISHNISEFDVSAFVKKGNNKIKVVVLEKNTGTYLEDQDKFRLSGIFREVYVLSRPEDHVFDYRIVADKKGRLEISADKNCYYKLYYKGDLLGEKSGRKAIFNVKNPKLWSAESPELYELVIFYNGEYIHEFVGFRTVEIKGNVFYLNDKPIKLKGVNRHSSTVNGFVETVDDIIKDLKIMKEHNVNAIRTSHYPCHPLLPILCDKYGIYLLEEADIECHGVVWEPGDYNQDYYDAIAEDSRFYPQTERRILDMAKRDKNRASVIIWSLGNEAGWGANFENAAVKLKEYDSTRPIHYEGALNRYYYKDWENTAYRRTQALDMYSRMYPHIWWLDEFSDSMDCDKPLVMCEYTHAMGNSCGDVTDYWNVIYKKDCLMGGFVWEYTDHAVKTDKGFLYGGDNGELIHDGNFCVDGLVTPDRKIKSSFEEVKKAYENLTFERVGGKIKVTSRNYFAPINGKINVTVKADNKITSESVAEISLMPNKSVLISFETPKSNGYSAVYISFVSYKNGLVEEGTELAKAFFELTPYKFTEVSGKNLEYKVNKDGSFALYASGEKITSDIYLTDLRAYLDNDMYDKNNWLKFNIEHVFQIADEITTNKNETVIKGKLVAQASVPHLGYTVTLKQGEGFVDLSLKYNAKYIIEFLPRVGFEFVLAGDKNIEYLGYGKGESYSDKHHYTVKDEFGYKASENECPYIKPQEYGSHYGADYVKIGDLEIYTKDKSFSFSAKPYSTKELCSKNHDFELVKNGDTYVNIDAAMSGVGTHSCGPALSKEHRTKKAGELNLRIILK
AKSVKNIVIPASIKIEGKTYKVTSVANNAFKGNKKLVKITIGKNIRKIGRNAFKGCANLKKVTIKTTKLTAKMTGKNAFSGINKKAVIKVPKNKVKAYKKIIMARGAVKNVKVK
MGLFYPPLLSPPPPPPPPFHRDSPFTIAKGECHERRAPPEEHEGIEALNRGLGEEEESERSNGRRVHEDKQPKSSGRGHWRPSEDAKLKELVSQYGPQNWNLIAEKLEGRSGTPINPSWFNQLDPRINRRAFSVEEEEKLLAFHRVYGNKWSLIAKFFPGRTDNAVKNQWHVIMARKKRERCSVYMRRKAFTNSTDHWTQALPQMMDMSSLDEKRQPRRNGCNEKPGEPGSVFDNGKAPTVELAMGSGHFAYSDASFEVHLHSQFYTYMTVVTIKISLMLLLLHNI
MPLFFFLKRKVYPSDGAFLASRPRSHTRLFFCNHKETRAPRLIDQWALCAFLSPYSRKNKPLFSCHQPAVVVCGALLSVRKKSARGAFFFFLVGQTRKNMDQ
MVVKRFSSSLTDTTMANKQRLAFYSAANSHYKSVLKRRQEDTQKDLKGASTKVNTALSYEYPISDKLAQTSDRIVSAVENVPGAVRNFINQPPGYENNNNVNNNDQLQQRRQNMAGVMATIYTRPILSPTSQVSSNREQDQGSGAVHREQGGVNVQVQLRAYANPEHKVAQDRLCTCPQGNLKCVETSPSRSGYNCLVSFMVIVSSADSSVQYKNTPYVPLNSYGAVQAEFLPMIQETMYFQLQNQPTAIDVFVNNLGPVINAQTAAIEHTNTVTHIDTFVQPLNQTLPSQTSSSSSKYNGNTFGGGFGGLTPGGNPGQQQQSTLTGTLLGTTLSISYSVSCKGKLTGPGCDLSCNTSLVNSAKAICMSMKTGYYSLCEWTAGQNSQVTRCQNCPWGIKENAYCMDEAGGVLEANHAGVLHESKEKFSNNNNSRDAPGYNSSTAYHTGYRTHVGEPESTALNVANPNSNSTPTPAPRTGNSHLINHSSNTSTISSNAFNHPPPPLNSKPNKSSMRSPMLYQLPPPAHLGGTSLNDTLNSSFAGSTMPGYGPPSVSADV
MSESRPESYWLHLYWLTVLEEQKSYTRAAEKLGISKSAISQKISELERVTGKTLVHRTTRSVSLSDDGLRLVAELNEPFGQLRDIFTGACDEGGPLRGTLRLTAPVAFSRQQLVPAIAPFLHQHPQLHLQLEVTDRLVSLASEGFDLAIRHCRREALPDTHVAWPLCHTATLTVASADYIRRHGRPETPEDLRHHQCLTYPRGPQRPQWTFASRQSPDARVTINVQGPFATNNSESLRDAVLAGLGVALLPDFSAREAIGRGLVQELLPAWQPVEVFADRLYVIRPYTPRVSRAVETFSRYLKATFSEPRPAPAPASR
MRLSLNIIRVFLSQVGSSRNRWCRPGSFDFPSQTALKSFLQSTPDRPAASPLGRPAVSLICHCLGISESDVRDCISLLTHPTVEEVGELTGAGTGCTGCQRRIQRVLEGKPAACGRFGPCDHCGNCRAVCGCETEPAPVLCRSHCQPPSLRR
GGHNLLLLGPPGTGNTMLASRLGTLLPPLTAQEALDVAIIRSLTQTSSEQNKWPQRPFRAPHHTASSAALTGGGSIPLPGEISLAHH
AVSGGHNVILTGPPGAGKSMLARRIPTIISEMTFDEIMEVTKIYSISGLLSSNSHLMKNRPFRSPHHTITDIGLIGGGNYPKPGEISLAHNGVLFLDEIPQFGRSAFKIRS
MPFPLQFQCWMESIFKQYSYLYDMATCAIIHRLSNRTPAMWHDTARRELTAIRIVPFRQPSLTRS
MGRPRCFLDMSIGGELEGRIVVELYNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGCRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANAGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGIGVVRSIEHVTTGDAECPTSDVMIVDCGEIPEGADDGTCNFFKDGDAYPDWPVDLYESPSELSWWMNAVDSIKAFGNEHFKKQDYKMALRKYRKALRYLDICWEKEGIDEEKSSALRKTKSQTFTNSAASRLKLGDLKGALVDTDLAIRDWENNAKALFRQGQAYKALNDVDAAAESFKKALQLEPNDAGIKRELNAARKKVNERRDQERRRYEKMFETSNSTAVEP
MEELQARHKKEQRDLQGRVTQKKKQASKKTRKGVNDECDRLEAELKERQAFELAALNGETHQEDVPSEQLQDLTVDGDEDAEPKASETNDAPLSQQSNLSPSNVDSTQPAQPKKPNRQKARLARRAAEQVEQAQQAAEEAKSLPDMKQQERERMLEYMKERGLYEKEIRADGHCLYSAVADQLEQLDVPLGSAPGDRPELPYKTVRAVAADYIENHQDDFVPFLEEPLPGYLHKIRDTGEWGGQMELMALAKTYGVDIHVLQDFGRVEKIESGQEDTANLTLPRPVTVIWSRPIPRVQNTIMAPNRPNVWFDISIGGQSAGKVVFELYTDIVPKTAENFRALCTGEKGVGNAGKPLHYKGSSFHRVIKSFMIQGGDFTAGNGTGGESIYGEKFEDEAFDVKHEKPFLLSMANAGPGTNRSQFFVTTVSTPHLDGKHVVFGEVVAGKSIVRQVENTPVGESDKPKQDVIIADCGELPAGTDIAEFTKKAPDMTGDAYEDFPEDQLKQGEEWKGTEIVRIASELKEMGNKAFKSGELELGLSKYQKALRYLHEYPVPLEDDPKDLGTQLSQLKISLHTNSSMLQHKLKKYVDSSDSADKALLVQGISDAQKAKALFRKGVAAKDSKNEEDAIALLQMAEKLAPSDAGIKNELAAVKKNAADRKAKERKAYSKMFD
MLPRVQYRVLRPTLGAVALVAALGTAASCDKIAVVAPTDAVITITTSRAVLPLNGTAEIRAVSSN
MRPGIGFHRVTQGDGHVIASASDTERVPAFPVAVPQDVDGEFVKQIADESGLDCQVAQHSKNDVDWVSSNQNSWEWQPGSLYI
MQTAQKIPFRRKSAATLPAKRPRNTIVLTFKKGMSMSSDQTDPNAASERMNLRLTAEERTLISDMAAKAQMSEKEVVIKGMRLLAAQMGYKPAKQKRETRAKFFAKNEAQQLLAELLAASPTPMPTHEIIDAVILKKGGLTTDQAAKLKRSFSVILHQTESKGLIAKADKVKGVAHWKAAKKPLTDAEGEVRELTAADIATFEPLADVLPDLKPHVD
MSSNETTPYTIPYTGAAGNHDAQRFVPASSTEHTVAAHPPAPQDNQHAAYLQPAVADEPKGPNTGFAMGESAAMAGGGTNATSDANSAARRSSVVQTAPNGPSDAIPTRFASASSGSGGIAIIQKGYRQSADIHSSVAQNEHGNHTQGASTFGLDGHDDHQGHSVNPVINNPSPQGTNINNLGQVDSDQVSGNTENAYRNVSLSVWETSDAEADTVAALGIQNHLQIQNEVLEVQNELLQDEVDNLTDLVVNLNNRIHASNPTLLAQLPDNMKVMMEGIYEHWKTNQWSQLLADYQEEIIYSTTEEDVFGGNNQTAGLGIHYNN
MAEIAYYDGDLSDALTKLDQIMAKLAKAPPGVKSEVLAEAEKKLKEVIDLKKGFSLALRQVTDREDLKTYREKNDVYTARVEELTREVKWAKSETERNGLFGDAKAKASKAPTGNAEMLNKAQELQTKTESSLKNTQKMVESSKEVALATGEVLREQRNQLNAITEEVMRMDDGIARANKLMRTFTRRMASDRLILFFTFLVFAGIVGIIIYSKANPNQTTFYVPDQVKPPDPDVIANATGLNSLINKTINGLSGTS
MQPTSLFFYDYETFGRDPKKDKIAQFAGVRTDLDLNIIEEPIIKFCQPSLDFLPEPEACLITGITPQIAQNNGIPEYQLMRIIKNALGKKGTCHIGYNNISFDDEFSRHAFYKNFIDPYSHEWADNNSRTDALEILRLTYALRPEGINWPDNDEGKKSFRLEHLSVANGISHENAHDAMSDVYATIEVLKLVKNNQPRLFEFALKMRNKNIAKEEIEKAISNNNYLLKVSPYVPVENGHLSFVYPIALDHSNKNAYLAYDLRVDPQLLKELSLDELQERMFKKKEDMEEGEERPGLTQCIINKSHVYCDPRTLTKERAQQLDINLEQINKNKDLLIEILKDKEVLSKIHQVFNREFDIDPDVDAQLYDKFIGNFDRSIANRLTMVDNPDAINLSNFNFSDDRLKELLFRYKGRNFFNQLTDNEKEVFKTFCRARVINGEGISTNMISYRNNLKQLIKEYEEDERALGILTALNEYTNQLEKKLN
MASVGIRYNFALLERSGISRKQYYKGLRALKNVDLVRKFGNKYLQTTLGKLVYHEILKIEKYAYHLNEMKMIDILKDSGQFSQHEVSALIKKMSDSG
GQLWCPAFNKCSFISSIAMARALLLAPRTSHRTMKCFEDLVAAIYTKSDFYYSEECEVGDAQIDISSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCFDFNALKKSCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYEKCPTPTIAIPPDLNRATDRVDINLVQSICDSTLPTHSNYDDSFHQVF
MEISHSEDVATASGSCWNLLRGVFSSRKQRLQTIDHLKEAGQFNFTQKDPFSPPPAIDPSSPAQLATPGPSSNAISNDTSSMGSSGLKGLWWEAYEQLIRDPNNAKLVKAYKSTLLQEHKEGRGTEEDDQAVLSRLHSIIRQKFKDIQESQTRFQLGGKEIVVRDQVRRVVNSFVSVKSIVTTIVSSEPHAALAWAGALVLLVGPITRFITQDADAADGFESITRLMTFYAVVEYDWVEKIPRDKSPDQSKQQEALARSIKAQVIKLYANILQFQIRLAKHYSRSGLFRTLEDVGAPEDWKGMILANHCSAMSGPGCPPRH
MAITISPVRIADVRASFVVSERALARTSQVMYEMPLTEETRDIHAAHRTKKMLEAEVASANRGNNGQEEPTEKAFSFKAVDTETGEIVGVSRWTIFYQDEPVTKTLEEEAMQAMLPALPQVRTKALYAFRMCLERIGRKSVALPPLKEGEDLNSVKMRSKRVYLDVLAVDPSHQGQGIGKALLQWGLDEADRLGLITFLESTREGRPLYEKYGFEPTTVDTLELAPFGLEGSLTHTGMVRQPKTKN
MDPVTPGTRVLPRARVGPGARQAARDNSSLPVAVPVSRHPVAVPVSRHPAALARRRPAAPASRRPAVPWASSLAGLSR
MNDDSYPAGWRAERPAASDPRLGAILDDYQPSAALRARAADLWRSYAAREPEAAHTLLDLAADITEEIEDDHRAVVRVLLAMLEHATTTAVGAQGEPLTVRRLASDTAAARQDALSAAVAQ
MDLTEQFGITIRSDGEGLEIVCRRNFVARPLFLLWGIPTITFLLISLYSWVVDGFWSIGTLIAPVWGPGLLYIFWRLFGRIRIQCRREAISFQRSLLGIVIRRRTVRLADVEMLLVEKDDDNATPTTYGLYLFGPISYALLREQRIERCEWLGSQIASHYALTCEIEIEE
MKKITLLGLALGLTFAVSAQDRILSHSDSQVPDNGSVACADNTGLGTTENNFWRSYTPAAFGETGTISLQGGEVAIAATDISGSALPVNVFVTAFTSDDTFPAGTLTEVATSTISAEEGLEVYEFDFDEPVNADSATEIILKLVILDGTATGYDVRIGQNEEGETAPTYISTDSSCGPLPITTFDDLGFPGNGILNLRVGDEIATMGVNDNLSDVVSIFPNPTTDVLNVSVPSNVTVKSSSLIDVLGKDTGLRLSNGTINTSGLARGVYILNITTDRGSLTEKIVKQ
MPTDDIPSEETTGVAWWERSIFIYVAAAAVTVAAPPQTTRPLPPKQRSTSKPQRPPCRQPPGCSPRTALPDPCRQGQAVEEACGMPFSRMSMPGSDDS
MPGTELQERDQECRPLLRWAGSKRQFLPELVRQSPKSFAAYVEPFAGSASLFFALTPREAVLGDVNTELMNFYVQLRASPSELHAAASTYSQEAADYSKYRQRFNSPCDPLEKAALFWYLNRTCFNGLYRTNQAGEFNVPIGRKLSLFPSLQQVWECAEVMSTAHLVCGDFAETIDLADRGDFLYIDPPYHRVSNRDRGEYGPDAMKDDELGRLIESTQIAATRGAKILFSYNVDLSSELRGWRKHLVRGRYVIGANANDRKMISEYIFCNY
MGVKNPEPVVKWAGGKRQLLDRIMGRAPAQYNHYFEPFLGGGAVLFKLQPPRMTVNDINSALMSLYRRIQTDPEGIIDAVNTIDGALPQEKEPASEYFYTLRSRYNDLIRKQDYGLESDALMLFLNKHCFNGLYRVNAKGEFNVPYNGSTGPSLNEDNIYAVSRMLQGATLLNVDFEQACRGAGRGDFVFLDSPYAPLKADSFQDYTKEGFHKEDHERLAVLFKDLDKRGCFVMLTNHDTRLIRELYQDYRIDVVSVRRAINSDASKRRGTEVIVTNYLGAPDDES
MNFLINVKNSIQLPKKEALFRLNRVSMRDTMVYALIFTFILVLPDIFHAFKSYQEVDYFGMPRELYFIQLIILYPATIFLFVVIGLSVLSGLAVILRNLLHRKLAYQQLWKMTAFSLTIPMIFYLIFRIFQIETVWINLLPLMIYFFLITKMILVFPRVK
MSWTPVPQAVDVTYHHFKMDTSPYVYDGQPTTHIGGLTAPGGKSFRAGTIQTFNNKSPLITLTASEYTELEYNVRSTNNMSPDSSYCFRVTALGDTAGITYVQTPNVSPRDKNFRHYGGGGGAGWLRNIEENHTTGTTTVEGGNQGGSSTSTLLEATTTPDAPQSTTTPSQGGGGGDLGFFTPKNNSFAFVNNLHQPLVLGDDVRPMCTNMKNRMLYGSRDNTTEGDVSELQTFLQKNGYFTSSITGYFGMHTENAVKAFQKDNNLIITGIAGKVTRGMIRDLGCVK
MFGAKIRNWVETHFVRHRKKRSKEAKGKAAANKSSPSTDPGPSTEAGPSSSSVHSALSGGGGGGTTQPTKRVTLVSPTLSCKFSYAAATDDTSCESPKRPTLHAAINNNNKLGARYSSYLSSPESAYSTGYSTDATSPYEPSIDATLSPEYYINIRTGTRYFQSVDVDLRRRNETLKTFGSGQIGQRVDPNSKREDCKRGSGVRSVGATPPQPPPRKSSIPESIFAQTERASPIKQVGVQQQQQQQFCNSPRQRCRIRTNPWLSSSAVSVAPVQEQQPVVPLTPLNEDVSTAATVGSWHVADSTTTCDEESCDDDVFSKEKPVRRRTVAAGKKKKRRGSFSSDSTLLSTPSISRCSSAEEEDATLNELGRFDESYTYDKETDILSDSDATDCEVDTSRTLNTEQESTTSNTICDTELDFIDVGDQCFDPVAAQGPPAQGHCTYHLSCDQAEFRHLSNSAASSKMKRASQKRRVVAAERPQSPSVPRPRAVESPSLRARNSPCLRNKRVESRESLRGRAVDSPTLRIRMMAEKRLESGNSTPVAKRRSTSGSNSSTPQAVRHVPFARCESGGSTPVRRAPADLPLKQQQKVLAAEYSESERKLIEADKEADRKYRQLIKEAESLLVHMRNSTVHQEVELETPTVEAKPFPQQQHSRPDPGRLSIKIQKGAAPAYPSVTVTTPSGGPPRALVTFRSVDLNSPVASEAPYCPQSEPVKRKVYTSAALQRLQDHFKLTEMDESSDSSPPEQDDSQDASKKDESVSEYGSQEERRKRRQEARNQYKLAVARRNNVLSILEELKLDLQVQSARLHDTYRSTNDLRSPCVADVTPSPNKATGGALNIFSPIRRAAKNCH
MADRDEPKIPPHTAAPLVGEEEQDNDAETGVNGPADPTEMTHDEIPDLDTGVSDQTGDAVGRTPSADASHQEPAAGAEAGMDFPGEAAPEDGVRAGESAEIRVPDEELEQTSDSSAQPGMPDEDIVRDELLGKGLSEEEVEHILQEMREQPDEPGTLVSLQRIVAQLNQEGRGVHAQPRQEPSRQNPQVQQPSPQMALRGVPGQQPVSQGVADAGAGVSAVAGSIGTLMGTVGFATAKVLGAPVVAARAAAAVLRQRADAKQAHAQHAGMAFHVTAKPRQDTASGAASSGVAQQGVHTSKNPAASATAPQRTYTSPLALSASDDALTRASENVEQMRRMNQAYASLADENRQYEDRFRTRLGEFATSHGMSMEEAIGEMRTGGKAEHLLGEYSDMVDAKPAYRLNRKIQDQILSGFAEGDASFSEQHLAPLSMGPEDRSRYLSTEQRRNDEMSSIMEKAKSRLPVRDSQDNPADAFDSATPISTGTAGAIREATRYDGEHPEIFSAIRDAKLQQIEYQESLLAMNRATTPEEAQHAREMADSALDKWIPNHESIMKRIAEEDGRGHGTEELKDLRQYQERGAEKMLSMAKQVPAKER
MPSRFPGMDPFIEGQFWRDFHGRMANAISDALVPRLRPRYLARLDERVYVETEPEGLPRTIRPDVTILEDHFAARAREEGGVATLVEPIIVPLVMPEEIHEAYLEVQDAATHEVIAVIELLSPTNKGPNSDGRREYLAKREAVIRSATHLVELDLLRGGQRMPMAAPLPAMHFYALVSRARRRPGAQVWPLTIRHRLPTIPIPLAGKDPDVPLDLQSVFDTVYDRAGYDYSLDYTREVEPPLDPEDAEWVRQVLAPNPSCEPCPS
MNYLCCVQVWCILTNTGEAEIMDRTNTASHAVTVCTYPEVRTRWEATARLDFLWRLQAQQEVEDMRELREHNECLLHNILPVHVARHFLDRSKNDEELYSQSYEEVGVMFASIAGFNEYFEKKEIKHEGVDCLRLLNEIIAGFDELLEESYFHYVEKIKTIGSCYMAASGLAPDREVTMDEWNHLSELVLFALAMQETLKEINRHTAKNFQLRVGIAHGPVVAGVIGATKPQYDIWGSTVNLASRMDSTGVSGRIQVPEATRKILAEWGFVLELRGEIFVKGVRESPYLFPQLNAE
MEQYATNLETLVEERTADYLEEKRKCEELLYQLLPKSVASQLILGQSVIAETYDQVTIYFSDIVGFTSLSAQSTPLQVVDLLNDLYTCFDSIIENFDVYKVETIGDAYMVVSGLPVRNGMNHAREIARMSLALRDTVMTFSIRHRPKEQLKLRIGMHSGPCVAGVVGLKMPRYCLFGDTVNTASRMESNGEGN
ELAGELVAFTVIHVFSFTLARSLGRAQRVEYRLLDTLFPPRVADSLRRGEPVAQHFDGVSVLFADLVGFTGLAASLPPAEVVAILDALFQELDGLTRAHGAEKIKTIGDCYMVAAGVPEPRPDHAVLLVDLALAMQEAVAARRFAGRQLSLRIGIHSGPVVAGVVGRGRQLYDLWGDTVNVASRMESQGEAGAIQISPATAAALGDAFILRRRGAIEVKGWGELTTYFVEGRSAPAA
MHKVSAESFQAVTVLFSDIVDFSSIAAQSTPIQVMAFLNRLFKTFDNRIDRFDVYKVEAVETVYMCASGLPHKNGDRHVTEVADLALELLTTSRRFEVPHLPDHPLQIRLGINTGPCVAGVVGTKMPRYCLFGDTVNTAARMEQNGLPMKIHLTQRTCDLLQRMGGYQIEFRGHIQVEGKGTMETYWLVSKNEDTSSRNVGISISDE
AAKMEFCIVLFVSLSPSATAFASSRALETFKLIDEIYTAFDSIVDGFGFFKYHHINDTYVICCPRTSHPFHVPEHLEQYKERCVREAVLIAKALIAEASKCKSLEGEALWAKVGIACGPLAGAIVGKTRRFYCLFGDAVNTSARMCSHSQPGSILCTSEFHSMLTVSRSSDVNVVEREEIHVKGKGMMKTFLL
MTMIIFRFTCMSAIQVVNLLNSIFSTFDRLTEQHGLKRIKTINDAYMVVGGLPTRRPDHTQAIALFNTENNQNFNNRIGIHSGSVVAGVIDLNKFAYDLWGDTLNIASRMESQGIVGKIQVTEDIYKSLCNKFLFQKRGEIEVKGKGKMTTYFLVGQKG
MVWTTDIVGFTAMSSKMEPGELVKVLNDLFTRFDHLVERYGLNKVKTIGDCYMVTSIPSYQDPDSAVAAMCHFALDMIDALRDFNGENPVNNLDLRVGINTGPVVAGVVGTSRFLYDLWGDAARVQVTESVVSAVCKDEFEFESRGEVEVKGKGLVSTYFLESRLKPSSEYVMDGYVEDLAVVETRRGRRSLNDSVRRRSTLQGALRAVQTQMEAFNDSRLLGAQLERCQRLQCREYGIERE
MGLQPDDRDISPFEHTEDIAGAAALRGPATGNAKVPSDRLVGAIITFRAVPGLTAEWLQRVVDCHLARNASRGHLVPEMPDCPLVPNGATATVTSTGNGFAVSIRANDASAAEEILRRAERLASQTQQRRTE
MRPVSTLSPHPHSPPPEPLCDAEEEGPETEADTPSRPRPLPAPRARTRGPAWPLRQPRPAGPPPPPALRDLPRPARPPPPPAGPPPPPPCGTSPPPALRDLPPAPALEQGSPSEDGLPPGGEGGSGGAHTPTQKKKVSLLEYRKRQREARGSDCSSPVTAPPLARLGSAAEDGPAAMETTPEPEGTPGEMEGERRRDQQLPDPHKHTALFSCARPRVGRGQRPLCVTLRPAGQRGPTGPQ
MRTSWFVSTIIAVLYLLTPTFGTWAYLGTETYVSRPGRSSSPDGTWSAAWDGYGTVWFSPYYLEASGWTEGDATATLTPWFSGSSRYALAWSKVWGRSGYRNVPNRPGESDAIEGTICTTIDDLRLEYYGDALGSSNYYYPGGAYCEAECQARGFGGAHYSQLSAEGTGEGQSASGGYHDARTEYPEDPGISCSSWVQISGWEPPIPGVSGPMGWYWGYLVMYGEIEEEFSYTDEEMQGVGYFSLVSEVGGECQVYGSLWYSDPQAWPSFYTTGWYHVSGTTEVTLVGDITYR
MDINYGCCLFPNKPCAGPAEASVWERAVYRLRGIVTIFSTYPF
MAYFDDSPEVDVLAEASANTTCVNNNDETEKHLQEAHEMLSTLREENVQLKESLAQLTDKVQTLQQRETIMTSNVSKESEELRRRIHTLEKQLKEGGGAAPVHSGKGTGSSEEEMEKIKVLKAEVKRLNAKLKETREELRKRDMTGDVSVEQLKAELQLLANEVVPTKCKLAEYVAMADRIGIQYPFSDEMERCAVLRMKAMRPKSPPKKAHSNTTLRASTTEKNGKAANATPKKGTSSKKVKVRQAQEDAADAEDLR
MAMLSLVPTRVSIRPVCAGHYVCSTHSSLLNPVAPIYCERSRWARAKLTVVASTEEAPKRGRGRPRKTPVEAVPAVEEDDEQEQEEQVEVAASSRPERPAAPEATASAAAKKMKLTSDQLSQLWSACSRALMKLGKGGAQESHIRSLGELVGAHTLVKVQLNGDLSGLQQVAGDLASGGNAVLLGTQGPHMLFAKAGMSGAELLAHAGQESTKIATYHQGKLEAKKAKEAAREARESGGAPGGSGSSSGASGAAASGARGGGAAKDKGIDRLISQVVAPARGGPLTKGKLVQEWDQLSAAIAQAEAEADAKRAGGRASKAPWRQ
MLGRSVSTGTRSRPEALHSMSEFPHTIRFKEHLYMTHKIMVVDDDTDLRITLQEILIGKGREVLAAKDGFQAIELASEGNIDLIFMDIRMPGMDGVETFLKIKEILPNCTVVMMTGYAVESLIERALSEGAKACLNKPVSIDEILEIVDAFMPELPIAHRIMVVDDDADLRTTLQEILIDKGREVLAAEDGFQAIEFASDGNIDLIFMDIRMPGMDGVEAFLKIKQILPDCIVVMMTGNAAEPLIEQALSEGAKTCLGKPVSIEEILEIVEETMPA
MWSLIPRIRSRTRYLAVPRTRAITSPGVDDVSATRPLAKYDWPRERTASLNTRETFWAIPIIPSQLGRADPTSGATRLVPLMV
GTSAPAGIPAGAEVPSGGADVVDHYTIEGPKTSHTDYTTGANVRTVSMDYKEIFTYSYADMVSTTQEFADNWTFELSANGETKGKGGIIFAEAEVSVGVGLKVGAGVAWRDIDTKSLTTTFASAKQADWKAGQRAGYAPAGYLRQYTTVYYHKGGRTSTKNWTAFEVHSYEPHVFRNGDPPNLVTLKTVACRSESSC
MELVYHEKQDGLLCGQHCLNNLLQGQYFTAVDLADIAQQMDQAEQSHMAELGMHTDEFRRFMEQPSVNMDDSGMFSVQVLTSALRVWDLTLVPYASTNALARAARDNPIEQQAYICNFKEHWLTVRKLGHQWFNLNSLLSQPELISNTYLALFLTQLMHEGYSIFVVDGKLPPSEADETLRLHPAQQTTKPRLLSEVSDERNNSNEDSDLKAALAASLANVASSQGEPSHVEGHDDLQAAIQMSLQKASTLHKPHTPTEDDLEKALQLSLSSEGEQATPTNQIVAGPSHEEEYLEAAIRLSLGQHSPEATASSTHDEPDVEEIRKRRLAFLSKQKTP
MDRTCSCDWEKANKLVKLKINCNNNSLGQLDIRWKIEILKYGFGWIYTIFR
MLTRKVSKIIDRVNVGTPSALDQVSGATPGTHALPGAQDRAGTTATAVSGLQSTAGGRPAAFCHPTSSTTPSAKESFRKAAVEAGGRPAAFCHPTSSNTPSAEESFRKAAAEDDMTDDLSDNSDEESEPSPLAVLRSKVAPTQEI
MAKLPDQNNRWLPVVFSASFVLQMLSALACFLAAVVLPQTDPTFGRFSVQLLMVLGCLFLLAAGINLFWGRRLAQWTHWLGRRSRFVLPREGIVWLLMMLVLAVGGILGQSHGNRLLIVFGIMAGMFIFNGWCVMAMLARVSVRRTLPDSVAAGEVFRVEIQAENRKPLISSRLLRVSDTVHHQEDGGGPGTEAEPAVTFVRIGPHLTQTGSYQLMLNRRGLYHFGPLRLSSRFPLGIGERARSVADSATLLVHPRIGRLRPGWKQEQRLAESLSTARARTGLHDDEFHQIRDFREGDNPRFIHWRSTARRGRLMLREFEQQRESVSIVLLDLFQCPEFPEPLQELAISLAATLCLDQIRSSSVGTHSLAIAGRENA
MRYLLAMFLVLSAYPAMSQTPMGNVSRTITENAVQSTSDAVGKAAAAEQQQSEAAKKKAAEEAEKKDRTAGEQKPPLPPTPPLSK
AHRAMLVNPDKPLVPFVPNVPGRLGAISLSRDFVTWYKAREKLLEAKLLPEFDKFETGLATFLPGKDFAEDVLPVLTGRMAFISAPQDFSNLDGKPGVQLPAFGLVVELAKPQEGADLFNVVTQTLLAIVNINAGQEKRQPWVQTSESYHNVQINFARFLQRPKGDQLPTAYNFQPASALVGNRFIFSSTLGMCRQLVDALTGEAASAKPASATGAVLPNFVQELNPSVGADLLEANAAVIHAKSIQGGKTAEQSARELDGLCKLLRHLTPIKFDTVQYPDHMRLELHGGWK
MKRTLSTFRNLNTLKKEQLKKVFGSAIPTLNTLGYNDGYADGTDDGYSSGYDDGYDAGLGY
MSILQSERGGRVAGHRGDGEPPCTAAAGWLPESLSSLSKTWAGRWFKHHFRHGKKHSAQPPHNQLPCALVGGLRALLSQKVCQGYRLKVLRIPPSVP
MENRTDIHAGMGVSDIDPSWPSAAYHVSSDQTLTNLTMNLSTILNNVTTQAPPEVAMERLSEVVRKISYHYLGPIICSLGMICNVINFAVLMQRQLKESPYTYLTGLALTDFGALTFSFVFMVISHKHPGVYFWRFYEAYIFIPLTNVCVTASVMIVVLLTIERFLFVRHPLWAKATCDRASAKVKILIILCISLIHNIPRFLCFKVSEHPKKPGFYYLDSTKFRRSDHYLGILWYYNISIHFLPLLILSAANAYLLYAVMQARIQRKTLQIRNNKEAAWHKEQVRLTITLISIIFLFIICIIPSAFADFPIAYFFFGKEKSETEFRSSDFYLILQYIANVLVWCNLSLNFVLYCAFNDKFRRIMCLTARRWLSKLNIRTRRNGAVLLLVNFKSADRSITRNNSSQTNSFSLQTKVSQAGQELLKNDKEDHQANNSTPLFVKSDNKD
MRRLLLVVLTTISVPALAETLRVECSGCTTLRDFGNFGAAALYRAVGPMGPAVGADRIWVENPGTGESVFVDLDTPISMLTVLHTQLPLPDLTRTEINATRADGSESQTWVLPNEVVAAIAESIGLAERRDTPEVTPKELDELPGFSPAAGGWHSDGAGGLWWGDWRFSVTGNVEWVRRIRIVECTWGWDCSS
MCTVGPTRFTSLLCHFSNRYPGISLQITEGQPNQLSEKLYAGDIDVAIMASAESFPERFDLVPLYSEHYVIAFPTGHRFSGMEEIPFSTLNGENYLQRANCEYDSYLSNICNLSCPELQVCHASEREDWIQNMIAGGLGICFIPEFSALIPGIETRPVVDPSVSRTVCLVTMAGRRQSPAVSSFVKAVREFPFPESKFETRHEIAA
MEFAMDDGFREFIIEGDNITIMKSPLISGSHLPQTGHIVQDVQCLLRGVCWLSKHTVDDVIWLEDSPPPALEALYSGFNPFFTMNETLVLASKKCRVALA
MEVYVRARNDNSAWSVCESKERQLYVEIAFLLQDKKQSTYDVIIDLSHMNALRVKSRSYSLEALECAAADVATGALSLSKAAKTYKVPTLHDRMTGNVARGSKWGKGTLLSASQ
MPTLIIGFFYTPSAPVCPPGFAAVKFCERSATSATRFPTIGSHVGAPHVGRCGGGRRNVAGKRSEVPGKEAGRKRARLFLTFSRDLRHEPNVQRSSPGSQAWGAETRRANGRAFTVRYLCFCVNALAAISGAKRMFHGDHDGTENEDGEIPFVNGVDRLELFFFNVLVFHTRAFYRSFALKNRGREAEKWRLARRFSGSVMFFF
MNQYLVGLSDKLTEALPHNMQFYSVDAVSEQQARELFLAKVRTDITGEDFGLIILNRDSIKSI
MGPLEVTAHLTNDLFPLKLGKELVILDVDNRPWDIRNANDMSQLSWGRLNHYLYAKTHGYDYRYLQAPATPSGTHATWVKVEAIWKVLQEGYKYVVFTDSDVVFPYLNLPLEYLLTEWNVTSEIAITAGYAPDEPAKYDHTHHRRMINTGFMVVQDTPVTAQLFKDWIECPTNVKYANCSDWKDVFWHEQSAWSELVRYDYLPYTRELPCDSVNGAPEHSLEGDRKCTGKYVRHYWVAKDQVKHAVQESIANLVVPGAMADLSKYFDLSPYREATEQ
MSSIDRSKFTDSKQHIAIIFSLALPAILETVLQVFIGIVIHILLVSLGRRQ
MIYSKKIILSLLILVVSLPQNDAQGVRGRVHGGHYLRASSTATEDKYDRTANAHTVNVIGGGRHSHVRYTEKTRSSSTEESSARAPVVDSHAKLLTISRPKVPSQVETTPSKKKSQKMSSRKAVLSFENPVQKTALTMKQRD
MSGGGAATPNAAGGSKGGAQVVNTGQPSRTRMMAPGINLSSGGFGAGLPTNMGGQVPVMPTLPEGPGPMPMPGGGAQPMPGGGAGGAKGGGRVANPTTGQSYADVVMAGGGGINPGGMYTGGNVGAAPVAPASSVPDLNMATATAAAPGLFNYLGNTVNAFGNQTTPTATGVATPVAGSATLGGVPTTPQPAGGQPTTDGMVYTGGTPNFDESTGTYTDGRTTTGGAAPSGPEALFNNTLATIEATTNPTPVTETPQPRFNLVQSSADAIQNATQGTQGLLGFSADQISSGAAGSQGYDAVATSAEQAASQGYGANTVGSQGYGIERAGSRGYDAREVGSQGYTASEAGSQGYDAIANAAAERARSQGYGAERIAGVGPVRAERIQGVDPIVAERARSGQIADTDLSRYTNPFENQVVQQSLSDLERARQIQQNVQGAQAQAAGAFGGSRQAIAEAETNRAFAEQAARTASGLRQAGFTQAQQAAQSDIASRLQADLANQRSALQAGTTTAQLGQQAQIANQTAGLQADTTTAQLGQQAQALNQAAANQAAQFTAGAANQASLQNAQLGTQAILANQAARNRAAEFTSQAANTAALQNAAARNAAMQFGADAANRAQLANQAAVNQAAQFGAQASNVANLQNAAAQNQASQFGAQAANTAGLANQAALNQAAQFGAQAANVAALQNAQLGTQANLANQAAQNQAAQFTAGAANQASLANQAAAMQAQIANQNAQLQSQNFNLAALNQLGNFGMQQFDMANQINQSVAQQGALEQAAQQAIIDAARGQYAGFVGSPQQALQTALGAFAGSQTGQQTQTTSRQPGLFDYLTLGAQISDVRLKKNVTPIGKARNGLNLYAWEWNEAANAVGQHGSGAGVIAQEAQVLRPEAVTRGSDGYLRVDYNKVLV
HFTSLQQPYAFPSLMPSPEQHISSHISGSSGCHNHLQVLCYSEETGGMHTNGV
MPQSYLLRGEERKASRQRSLIPQQAPYIVETVLKPRNFNLVPLIDGSCFQAQSAIKSGLAQLLHKAPKINLSLPWMEILPHLQMTGIIFQMHMAYQLCPPEL
NRTRATDTGRGLELNTSNDEQSAIFSHFLAVRYSSFYGCSHLNTNSQADLNKLRKTS
DAALDCVAAAGYDPVYGARPLKRYVQQYVETPLARELVSGRILDGQAVQIDVRDGELVFQNA
MDVAAKKLLASEGCDPQFGARPLKRAIEEHLLHPLATKVLAGEFKPGDLIKVSAKDGELVFQKKK
DGTIVFHALSKSNMKQIVDLMLSEVSSGLFEKAISLEVTDKAKGWLAEKGYDPHFGARPLRRLIQEHVEDKLSDAVLAGDFNPGDIAIVDLNDEGEIQVTCQSPIPVSPNQ
MEKGPTIETSHLKCDSEETIDTESKHDSNLYSTEIDSETVEKLKGDAIGDTLYSEAFVAKTLLQLSNLKWSEKVEEDLCFLWDMTLEKDVCDYLLKLSYPNIVCEVIQKYDESRLLEIVIGILANVCCTVDTSDITDDHAKLVLSVLETDDALILIQVVRFVKALAYDRDQLLFLDDGVLEKLNFILLNSCNVDLLLNTLDSISKMTSDNKLSLTVVKAEFIKSAVVAYQCICKIERERLSLSEDEIETNQQRTSLTYLIQIVTNICSYINTDDKNNNLFHEAQEFIDPFVDELCKILNYYSKDECVLPVSEELQFYVKAFIFIFQTLRIRYSKTIFKSIISITYFLLSNKCEESDEFLEFCNYFVYVGSLDNLKSDFRSFEIKVVRHILSNIHANRDSYDCVNEENVNALLMEFVGKR
MTDVLLALKRIISTYSDLPSERVGEASTLAELDVDSIFAVELILEIEQLFGVRLSLDEIVADETLGMLADRIINHS
MLLQFLLLLIEVQSISLKFKIPPGHQQCIKDNVSQNTLIYGQYESSTLLYTFTLNVVNQQEIENTIIEYSSQVVTQFHHVMQESGEISLCFEVDDYSEIATFNLFYESGAEIYDQDQLPKKQHVLNINETLEQMEQLQQEISREQLLIVDREHIRKLSFVDFQSHIVKFTCITLSILLIVAASQALYIRRYAIYKKLS
MNNKHKLGILLAATSESIFTIGTMIANIKALMAVDIFYIVSDNFSKRDKQAIFKLAKESKVEFIVFTKDDFENKIKNAAKNPLYFQRLNRFLKRWTFMAFALFEGLKYLKECENIIYLDFDILLLRSLEHFKKFKKKGYVLAAHRGRTTMQQTTGYQVEYHNLCVYRSGIVLFNDGIKEPLKLYEELYIWFASNLLNCNDQAGLSYLIFKNHLKVKNLDFGYTGSVHYRANKNPHIIHAFGPNNRFWNNELVFRLWPMWKEYYLQWIQANGTPYNKGFVAKTSYGYERFRYHLSYKLGYIIFKNYTSLAGRIKIPFLLVKATIRHKREIENYHKIIQTNPHLKLPPLETYEDYKFALKEQQSVPYKLGASLISGCKQWHMGGLFKFFKEARELKVKYENKERK
MKLSKKYRQIFHPISPGKPQARLPGVFFFWFFSFGQAKEKNNKLKIARHFKVACSVLTLFFDSIDKGNMFILDNNDSPLNLRDDAVTVNVRN
MLKTKIILGYLGFIPFAAFTILPWILGENWLRPSLISLVIYGAIILSFLAGIIWQSSKKQSDLIIAIVFSLLGFAAVFLFTVNIMIPLVVLTICFPLCYLQEKRTSESFTDEDYASLRLNLTTGVTACFVLSIFSAIGLFTQ
MTFTVTKITIMIISRSRRQLEEGAGNHHRILQIQYTKNTPENIPRIYNKSKFNMLAVGVGWWRVAGPVAPQPVGRRRGRATERQRAGPEPQGRVGRSARPLVEWAAPREVYQFCWQLLNIIQKFP
HRRLRTIRTLAEAGVPVGVSVAPQIPFITEDMEQVLEAAHEAGARSAFYTVIRLPWELDALFRQWLELHYPDRAARVMARIQDLHQPGAVARAQGRSYDSSYVTRMKGKGLWADLLRQRFATCCRRLGLNRERIELDLDQFRPGLLRGQGSLF
NAELMRRAFPEFAQRYEQIRFGVMKADIARCAYMHAHGGFYFDTDYKLLRPLDAQVLSQHCVLPVEEGAPGQEDFKIGNAVFGSEPGHPFWRAFIEHIFTAHAPETLQDHREIPMISGPRGLTRFYNAHGRQFAVILLPPRDAFHPDCTWYGFGHW
MARSANRSAAIRHEPPHLEEAPSLDPSAIERRYLLEKARREARMLCHHDARSSSARFWVVIGTLFLFTAVLILLVWADVQRTFGI
MGTYATPAHHIKFENCTFRNIDATGNNDLLKLSGLDSFEIRHCVFLNGADGGSGMDMVGCHDGLIKNCFLKTWVPIVSRQKEVREIYGLKQIF
MAVYKPGYPLIGKGLNEPSTQTVVPVMTREGQVIAFVTISKTTFTGTETSLTLPSGNAPLVSVQLAPRAQMGDLSYNTRLEEFMAT
METHSLPLDMVCTFVPSVMQPMPQPFLLHLSPI
MNLYPNENTDFSELTESASISNNLAVPIPKTVITHNLSVPTPQPDVSPNLTASFIHILMPTDKSEQTIEVQNVD
MLKKSSATLSSFILFVGIILLTHMSACFLVNSSEIGHQFSHGSTPSQQTTAAKKKETVTHSLYWDYIEQYGKQHLNVIPAEVEDINFSSLTLTSTLFFLLFLCAGLPSYKSQKYKTPKNILDFTSLLISWIKPIKGTPS
MYLPVLTILVCFFVFFFENENQHFSPFFIFFSLLYLTLFFIFNFIFFPCSSYFVLSQSSFCQIQLLKYIASCISFSDQIQASTSTLLTGH
MLGEVEEAIKAEMKAIEKADGFHETVDGPDDETRYILHEDRLPSDRYHELARTITEAVLDVSPREVATVGVQGIGDFLRDRDEEAVKTLLESGVSYVESEHNDGTIEGQCVATPDVVEAVLSLHIPDLIQAYFIDNEGEAIAARFDDTIQYYWLPEAAYRQLGKRLQSDLFSAVITHEELEQIIERERPDR
MKSRVCGKGITSIGNMVKQPDKFTADIISSGQGDVMVFVEDPERNKQEAHMTPDTDKNKTYSVEYLPKVTELHKVIVFFAGQHISKSPFELNVDKAQEDASKGYVFNITYVGVHIPSSFFKVPAKDVVDPSKIKIAGPSLGPVVLAHILQSFTVGSSKAGVAPLEGGPYTVSIKYTADNILQSPFKAKVLPAEDSSKVTDSGPGLSSYGVPASLPVVFAIDARDAGEGLLAVQITDQEGKPQRVTAHNNKDGTYAXTYISDKTTHYRTTWRTLPARYPTSPLCLDIISTKFADEHVPGSPFTVKISGERRVKQRITWTSQSLSVAIVGSICDLNLKIPEVNRSDICAHVTSPCCHVTQGEIVPVGKNSLTVFNFSQEMGIHTISVKHHR
MVWTLILHYSISMPILCCVLGLCPDWDQWDQTKPVDNAREAMQQADDWLGIPQVITPEEIVDPNVDEHSVMTYLSQFPKSKLKPGAPLRPKLNPKKARAYGPVETISAGMGEVLVYAKVTANNDKNRTYSVFYIPKVTGMHKVTVLFAGLHINKSPYEVDVGMAQGDSTGKKDAVVCQIEDKGNSSYRCSYKPTLEGPHTIYVTFAGGQISKSPFTVNIGEACNPSLVRAKGRGLQPKGLRVKETADFKVFTKGAGTGELKVSIKGPRQHIPRSPWMVRWFGGGQQKVRAWGPGLESGIVGKSADFVVEAVGENAKIECDDKGDGSCDVRYWPMEAGEYAVHVLCNNEDIQHSPFMAEITAAPNKDYYPDKVKAYGPGLQSSGLAVGKPTEFTVDAKLGGKASLKILAQVRGE
MQYKIEVVNSRTFPVYLSSLIETYQNIFAEPPEFQQWSNFDLEQILSSYLKMGNLAVALAKPAVGIAPDNQVVGFCASIPFKVSKLWESSALFSNNPVSLSANFFQDCFQIDVENLDYIADLGVVKAFRHQGVGTQLMKAIGALKEQPTLLRVSVCRPEAYALYYKLGFRDMGVKQWAEYRRIDGTIKSSEKILMFRFSAGTDFS
RQLEDKLVLDLMRRMDGQLVSDITVDQKVCRSRNKQDRLGVVQPFDPENQFCLLTPSLSSVLTKKM
MRKSTTVISAALLASALSAQAMASDNPLLPSGAAPAQAAAPKAAIAAAGNGLTPFIEASTRFRLSGESDTAEFTFWASKAEAQAGGDVVLAYKNAVSVLPDTGLASVEINGKPAGDFRIGSPFDFTAQTFKAAPGMLRAGYNVVRLKVTQHHRVDCSLQASYELWTDVNPARSGFKPIDPGIVTDLADLAKAGRLENGATDLRIVTSAKNDAGLMNAASSVAQAVGLYLGRPDLAVSLSDRPGQGPGIDLYLMISGDAGVSVGPGGEPGRIALTFRAPSAAGLHDLLVATVKKEMMAGLRDLNARKRFADVTLDAGQTATLKDMGIRSETFSGRMMRTRFEMRMPADFYPADYGSVALKLSAATAPGLEPQSQMLVRVNDVVVNAFPFRDTDGQNFDEKLIELPLRAFRPGVNRVEMLAELPRASDQACAPDAREDDKPRYMLLDKTSISVPELARVTRLPDLGAMAGTAYPYGDGKAFPVYLDQATPQAAGAALTLLTRLAQSAQSPINADFRIGKPSGPIAGDALVLIGSSDSQEQNDAIGSAAPSSVSFEETGAIDIAATGGEDQLIAAFRQSASDADTELSWSSRFGAMADRLGARFKRWLNYQSETSGAPDLQNALVTIAQTASAGDGATTEIRANTPEDLAFGVQRLASSEIWPRLSGGAAAISSDAATLAALDSAAPRFSDVTEQSVGNYRRIAAAWLSDNFKIYVGLVIALIGVFAAWLGFVVQRKGTRSDA
MDEFEVVEVAAAAVPEGAADLCEGPRFDVIDRLLEGDDRYILRNEAFQPAVDFCIAWLDEKAKSKKH
AGHAAHAEAARAVVRQLAAATPVDAVDEVTRMDLTRELELDVEMHDAGVHLSDLNVIASPAQGIREVFDISPTATVDDWEHVATRLGNVAGAVDGYIETLREGVRRGQAPAKRQIREVLGQVERQAAPDGFFRSFAADARPDAGELP
MFREDIKRAAEDNGIRFLLHFTRAENLNSIFRYGILSVSKQKSLSLEVSRNDPNRYDEFLNATSVSIHLPNNILLYKYKNKFNCEWVVLGIKPDIIWEKRCGFCNENAASSNVSKIPLNKRMSVESLNGLFNNISGKPSRSKLNIDKSLTTSPQAEVLVFEDIEPSYIWGVAFESNYAKNKYKENIPSSIKIEIVPELFRFAIRPDYLHW
MFDNKALIRRFAEEKEIPYLIHFTRVSNLESIVRYGLVSRDKIDQGLYQGMVNDNERLDYRRDTVSVSIAHPNDKMFYKYRNIDSEWCVLGIDVKVLWEQDCLFFKHNAADASVSHLPEQALRGVGAFQSMYDEFPWLSSRMEQGLLGCDPTDVQAEVLVKNYIPTNSIFGIAVSNRQVKKNIAHFTNHLKVAINEPNKILYASRSYRRKWQ
MTARKDSQVEEQLRHTQEKLRLKEGEAPCGSWWKVWMFFFLLLIVAEVVMLWLWYPPFREQVEDLYSDIETRIEDYLMEMASPQHSGCFRPI
MAASKSPRQLRSPWLLLLLSVFYGRTTCAPISPGTSPVPLSTVNETTVLNLNVTSLQTSDKSADTNVTDLVAVNITSLSSTPPIEITSTTPQRLADNKTAAVATTSELSKLVKLTSAPVSETPEELTVDDGLLFEEKSSVVTTISYTKGADVDDYDLTNNDYDLTSNGVEESNPDDEQPNPVVEEDNHDYDDDLNNYVIKAGVTDSDVEEDSHFFLHLVLIGFLIAVVYITYHNKRKIFILIQSRRWRDGLCSKGVGYRRLDQNVNEAMPSLKMTKDYIF
VLFRIKILSFVFLIFALSPFASIAQNNTFIFAVTGEVRQPDGTPAASGLTVAVTNTTRNLTRTTTLGKRVEGEYIVNFLSTTGAPVAKTNDSLKIRITDADGGLIVEGNHKIVSTEIQALSAVINLQIKLQDVFLSRSLSTVELFPLKSVVADGKATATIYVTLKDIRNIP
MNQKSTPPPRRLIITADDYGYWPSYNEGILASVAAGALDAVSAMVEREYCDPAPLLESGVEIGLHLDFEGRWGARSGAPARTALRVQIERFASLFGRWPSFINGHHHCHARPELATPVFETAKQIGVPVRSVNRDHRQWLRERGIATNDQLLGRMQTNEPADPLADLRDLPDGVTEWFTHPGYPDSDSGSEYDMARREDLDTLLRHRVRERFDTPVWGDAERTVHAEAFAYPGGPLEEHREREARERDARDRGEQAG
MWKNYTDGIIILHQGKVVYERYFSELNETDVHAVMSLTKSFTGTLAAALVAEGVIDEHKLVAEYVPELKNSGFGDATVRQVMDITTALEYSENYADPNAEVWSFSAAGSPLPKSEDYDGPIGYYEYLETVKKNGQHGEAFGYHTINADALGWIMAKATGKSVDELLSDKIWSRLGVEQDSYYQVDGLGTPFAGGGFNAGLRDLARFGEMIRNKGEWQGKQIIPQAAVEDIEKGGSQEAFAKSDHTELKGWSYRNMWWLCPTGKTENEHGAFSARGVHGQAIYIDPKAEMVIVRLASHPVAANAANDAYSLPAYQAVAEYLMEKN
MKKLTLAILTASLTMTAHAQSDKQYPTAAETTPDKLGFMAGSPPPKDKVLSFFDGSATQFPATRYTYSHVREFMPTKTMPVINKDRHSFDYAIDSQIDNVRFVPMGEKQPITWRESLDKNYVDGVVVLHKGKIVYENYLGELKPNGQHIIMSITKSFTGTLAATLVHEGVIDDKQLVKHYVPELADSAWGDATVRQVMDMTASLDYSEEYADPKADIWEYNMAGSPYPKPEGYQGAKTFYEYLTGVKKQGRHDSAFEYKTVNTDVLGWVIARATGRDLAELMSEKIWQPLGANYEGYFLVDSVGTPFLGGGLNINLQDMARFGEMMRRDGHFNGKQIVPKAVIDDIKRGGNRALFSKGGYPNLKGWSYRNMWWVTHNANNAFMARGVYGQAIYIDPKAQMVIARMSSNPVASNAHNDKYSLPAYQAMADYLMKK
MKLASRDDEVKVEGGDGVAAQDDDVEVEGGDEVTSQDDEVEVDGDDEVTG
MFFRVFFLAFIFTLTSCATTDPEPESKNTIESPDVNFIIGNVTSIYNIKLSVGNVDFENETFKEDWFSSLSYEYTKDKRYIVGTAKAGSYIGITSTKAQDASGTPLGTFTPCNKTLVFRLPYGGADVYVTDVNYEWNNVSISPIYSDQIEQAKKAFNTNNIIQARYLQLNADLYNDCETLNPNPVIMTRPI
MRSEILLLWPQPGTARPSTNASSAVNLGTGQISLNSQQAMAKINGTYMFVVSLTDPGVYNWLDTSGLREGTFMLFQLISVLPSETRYVTPEERKEQLGERATGYALRVWFGYLSMLDTHRWHDEEHIPIL
MAKAKGKPDVLGFGRARMTKKKLRLLGDQLEQGDAFFQDQLQKISNVLDAVLEEVSSFRARLVHEKRRFESLKTVLHSFVGKYSTKLIEEDVAPVQHAQLATARGEPLQTEEFVAEGTMKTGHRLSRKRKRVIQYEDNTDENTEIRGSRSSSSLSFLPLDGTPLRKRLRRSTTALEHQLKTPDRNTSPDHDTHHSYPSKWTPLSHFTDYPTFSGEDGHDVQCKSPPHPTGRKPTKDYSQIEYNSPNEQYVVTRDYRSTDWYKESEIEEKERDWRSRPRLATNLKNCTRTVDTSYEFLVGWEDFLLPYSGADLVITPRHPEYPSYLRGRRRQ
MFFPHGNEHNRKQKTGNRKLSIPASLTFPAILVPLPHLIMEPGYLVEFFDQRRILCGIVLELKGERLHVLSQTNREMTLARKRVLHACPSRLSPQLPRQQLLDRLEETASKREALKASIQLEDLWELLAQEEQALTAQEMAELWFQEANPDQVAALERALLEDRFLFKFKDEHWVPNPPEVVAQLKEGARREQERLEEMEAAAAWLKAVWDGEQAPEPKSKGQLVELLRRMAVFGLEGPDYAQGKAYLEKARLTAADAPFQLLMRLGVFQEDENLDLYRLEVPREFSPEAHVGAVLLRDRPPQDPYAAHRLDLTSLPCFTIDGERTRDFDDALSLEETETGWRLGVHIADVSSMVQPHTLLDREAQERATSIYLPEHRLPMLPEEISEDILSLLAGQERPALSFSVTLGPDAEVLDWEIRPSRIRVHQRLTYQEVDGLLAQDPRFAALARLTDLLKERRLARGGYELKLPEVWVVFSHQGEIQVVVEDQETPARTLVAEAMVLANWLAARFLAEHGVPAIYRSQPEPREAINRQESKGLLELYRDRRRLSRVVMDLLPQPHWGLGVECYTLATSPIRRYLDLVTHRQLLSFLCEAPPPYNPEELGEILSLIEPAMRRAGLLKTRRLRYWLLKYLAARVGRKKEALVLEALPHRYRLLLPDIHLEVFLPAAATLKLNPGDLVLVRLERVNPREDQIKISLA
MTDLPDLQKNRTAPTWCGSSLFIAPSERTADGDRREENHRGGSLDLHVRRVANPKRGSRGMVVEPEHYGRVSNAGRIGRKEEARHGRDDSSKPPSGSYHRHPARHTIRLYTDAV
AAAMAPKVLRQVTPLSYRMASGKDADEEDDGESQSDSEKEVLASRKKPRGATPLNISRRRDRERRGAAEPVLIQPVHGPAERAVPSLDMRMVGRELTSREPTCEDVRLGPWPPEDDHGGG
MIRIDELEELDTVVGLFGTTLCDDVGGPPCVAVIVGIIVSN
MLVMASVSVLAGCSTVGGWTESENKAPKSEPVTITNKAAAPASVYPVPSDRELQSMTTKLSGGSVEVFDLDAPAPMDGNSVPSVSRDYAGSGVAYASDSSVTVYPLDGDMSTGLGAVGASDSAYFPLTPSPSAAGGTSGDAAAPRVGGGVSSVYFSHGSSQLGSGDVNALRSVAETAKFAPVDRVSVEGHASTRVEASDPVEARIINLRQSLKRAEKVSEALIEQGVPAEKIKTVGWGDTAPGGGGEDQQRRVDIVTGGGY
MDSEKPSLPVQDYFPGQVPEEPEPEPMVKNRSNRTALLSGLALLAVLPVIAVAALRTQTLTGFAGSPKCRPITKVMISPGKINVSTSDPEVNLSVLAYDSTNRPVWHGVRYEWGISSSNSIGSVKSKHDLGVFRPLNPGSGDLYVKTSNNCTKTAVIGSVAVTVVKSPVVPTQPIRKIR
MTIRNFLALATLCLIWGSTWLVIKQGLQDLPPLTSAGIRFLVAAPLMAGVAAVLGRREGGGPPSGRLTLVLGLGNFGISFGIVYYCETVLPS
MLPFATVTLIWSSTWIVIRDQLGVVPASWSVCYRFAIAAIGMAVVARLSGASLRLDRRTLLFAATFGIMHFSLNFNLLYRAEAHLTSGLCALVYALLMIPNSLFARLFFGERVSRAFVFGSAIAIVGVGLLFLNEYRASVTGAPARFA
MADCHFFMPAPTKIWIIVAFAALYLIWGSTYLGIRFAIETIPPFLMAGTRFLIAGVIMYAIAWSQGIGKSTWRNWRTSLIIGACLLLGGNGGVTMSEKYIDSGLAALIVAIVPIYIVVLGWAAGMMPKPIPILWLGLVGGF
MKLNFFIFICIAVLAACSPMNLALQGEDWEGHDMLAVSGRTGILVNQKLAFGEYQTLDVRRSWTKGPNGYSGIGFGNYGTGEYLNIIGREYIDRKQTIRFDLSDGADLGSSVFCVSKFQASNLLIGDDRGLLSVGIDLLELKNGNSSSLFFAQIYTPAAAEPWQLILNNDRVQRQPRDCAGTISRSNGDYYTIFPVSSVLGKNGQALQMPFGAMGLEIRDATGRPLAAVNLSDKGQVYLAQGLDREERFLMANICTALLLQEVIG
MASCARPVFKSAVKAAIKKQVEGGNFQDHIPKTMAVSDALKKTDLASINVHEIHISEEGHSVSEGKTIHGNEMVKNHLGRSGSICFVVRRPG
MCKRVQSFHKPNQSSNNKNLISSYSIKVQSSNAKTTAEATSNLKISNRHSNFRGEVEATERGPNRDSKGSSVGGRLDMFRSAFFRLSVRLCIRKVVERGFRIPFKSAYSLSLSNFDMCTKCISQKNSTWCGLEGPTPSAIPSNATYDVPITSTQAEFEPGG
MLFQVAILIVDFCWGFLVCPVLLFPLPGELCMGFICGSETGMHIGVVLLFQTMIQVAFLVTCTLHYKYTTIVRMTNHRQVAEKLAVRLCYWIVLEIPVAGKVVKSIQRQSLLSELLNDNKNIQGVTYSFMRFRWIPIMLAASVVGSMTLTVGGCAFFVFQTVRMLSMKTVSMSEQTRKDFRRNQRRETIVTSLPSFANR
METDISTEERINLSGQGPNQSIVLYFYVFPDGKFFSKAFQHPRNLSDGE
EIQLRKDLSKLRDEKKRIEERDYSTTAQASSPTIYTGQVNEKAQKVMLPKENFVGILGQQNLLKGDWKSLMKAGSGSTLKEFICRENEIASAMTFLKSQWNRTVRDSKAFNPVCAFHGCPGSGKSRILDELALNLVSVGALPVVVTLNINMTLNEQNXLNEQDMDNFKNALAMRIIYSVCVDAEKI
MNTPEVLTNFRLYYGGAKDMMGVVDVTVPDIEPLNASLKGAGILGEISVPILGHIGAMAMTINFRTISQDVNLFNMPVPHVVDLRGAMQVQDTASGVRKVMPVRISGQGSTKKTSFGKFAVGEGNDSSVELELTRFNLMIDGMESILIDKLNNLYTVNGVDCLSDVNKALGL
MSSPTSRRHRNRGSSDEHGIFDDAKTYYTSERHNNRAGPQWVAGTNGAYRVEGAFPTREPRCVHERSGVACSTYNLADLESPDEPSTSHGRRFLVNVQSTLDSLQEQEDTDGNMQITIEDEGPKVITLRTAGSAGHNKFDIRGTYMLSNLLQELVLAKEYGREQIILDEGRLNENPVNRLSRMVSDHFWDGLTRRIDASTVEIAAKDPKDWTDNPRPRIYVPVGAPEQYEFYLQVAKDRPEINLDVQLLPEVITPELVRGMNDKPGLLAVAMEKVKDEKTGKETLKGLPFVVPGGRFNELYGWDSYMTSLGLLVNDRVQLAKSIVLNFCFCIEHYGKILNATRSYYLCRSQPPFLTDMALRVYEKIKHEPDAKDFLRTSLLAAMKEYHSVWVAEPRLDPVTGLSRYRPEGKGVPPETEADHFVHVLEPYAAKHGMTWQEFVKAYNAEDVKEPELDEYFMHDRAVRESGHDTSYRLEKVCANLATIDLNSLLFKYETDIGRTIRNVFGDKLVIPAEFAVGPLTAGSVESSAKWSGASYVDELLKMVSGGISSTAAMGKGVTEDQFH
MLCTINFVPFLSSNQVTRDTNFV
DLERRLMGLGGEDEDKKEEEAPAAPVPAPAVAPAAPEAAATAAEKAPSSGGGKGALLARIMAAQERARAAQEQQAALEATLAAHDKAGSKSKEDSIMKALEGKEEELLPPPSIDTVAPPPPPTFGAVEGTLPPPATAAAAAPPPAFGAVESTLPPSFAAVENNLPPSFGAVEKSIPPPAAAAAATAPEASAPSFDLHAAEDDKLAAPAPAAVAEHNLLHEGFDNVLAPPPAESSAPPPPTFEQIEDRLDEQLMDDEAFMLGEDGRPLSAEERKKMMEEQRAIMAAIQKESADNAAAIAAAQAEAFDQRSGPAVARVAGGGGPPPREAERATAIVGAETATDENGERTVDIGAGQNVALHGQERTKKAIAEGTAILVECMNCNNWMQVTESATLMFCPVCSTVSPVQKQSDVVTKDEAIQLTRDRQMAERLQAEINAELEGGRPRSSSSSRSAQRAPNSTANLQRPGLFGFMSPSMAEDQTQPSKQSASSSSGGAASKSWWDTISEYVSVGVSSQEHQPGHIGVSRPPGSTAAGMAAASAARSTSSPSNVERQGLLNASVDRSAGAGLSPPRTMASMQGGGGAARVAESKPLFSCIADSITSAATAVGESLTATNLSQDAEGNVHGVDSSGLLVTNVGREGGGGNYQQISDRDH
MPTIYRIRLPRTPHPKNKDEFLLRVIEFGILGLLLFSPLPAASVFPWAITLIAVVSILLTICYVLIENKPEVNPLLVRRLKWPRYLFGGFLLYVAFQVIPLPVGLVKLLSPHAVVLREAFSFQVGEWGAITLSLAPFHTIREGLEMLSYILIGFLVIQTVTTSEKIKRIIYLCIGMGVFQALYGIFELFRGDPHILFMQKTINLEKATGTFINQNHFAGFLEMIIPLALALILARIDLFSLSGMDLKEKFLRITAKGFTSNVMALICVFVMCLGLLFSRSRAALFSVFLIFFLFFVMSLLYFG
MKNWTLGWWICKLIKVGFSFSGEACGDSVMEELKPLPQEITEETRRGPRDSGNRQFCSSSDSKKDPESSQVQQLGATHRAVPRPPESHSAGEREHWECEIKPKPRPANLRHAVATIIITGVVCGIVCLMMLVAAIYGCTYAAITAQYHGGRLTQTNEPVKTEGKELFDSSPA
MSFEIRRVDEMTNRGPLKARCALLLGLFLWMATLAWLGGCAPGAPAPTRTRAPSVGEIMRMITKTPTPTTPADEPDKRETKQLVLRAQARLALLRSYRARVVDEAGRTLVLFEYVRPDRYRQVTDTKEQIGIKDFLYTRTRGSPWTRQEWPGIGRLMNEVTIAPEFIWDVENQGRESIAGVLCYRVRVVLRVGDTQLTDTYWIGVDDRLPRKMITQVDEHTYVTRLLYDFNRDFQIEPPKMD
MRDYFKLLKSTLETNDLKDKPERLYNCDEAGLSLNKSSGQRVVVPKRFKHAHSISIATSEHISVHCCVNAAGHSLPPMLIFSGGYPGGAYHKNGPINAAYATTDSGFMDKETYFQWFEKVFLQHCVKERPIMLLQDGAISHISPMLIDSALKNDVILLCLPSKTTHILQPLDVAVYKNMKIETAKQVSNAKMLKSDLWVSKKNVSSIFKVIFERSFTMACITQGFKKCGIYPFDPNAIDKTLLFRGGNDVNPDDLDLSLNDEPTNESELSAIEDNTTSNTENEPEHIVSAMPSTSNTGVTTVMDLEVGADGILSPIIHTTSEATQSSNEIESSSTTICPPELALSAIESALTPRKKRKYENAFSSNTMVKGDVVFHTWKNLKISCTMSSSSSDSTLPINVSNESINSMSSSSLNVQPSYCPEPSSHPLVKAGLIPDDLVSVLVVPKTKDVSGRTKRRKGDGKARILSTKEIQEELDSKEKKKKEDEKKQVETKGASREKKSRENY
MNDKILPSSRFTPKELLWGMKWMDRSLTDTPGETTKTDIDQHFALCDSLRAQGHTEVLKKTEIRKSLFDDKIHPVIYKEGDMVQVYESKLDSSRDREQAFTEMVFP
MWDWTLRLELAPLRASQEIKGLEVAEVATESIRSGGVVKHDRDVFGRERVELVPAAGDEEDGIPDLRVMDVRHHASEYHYTLDPKFRGKLCVNRSTISKLHL
MPEPERGAVPPIRPKANFDRLVARQILLTVLGGIFALMPASIALISGPWQLLLFAFSGLGQFAFTGGFTAAGLGAFLVVAVLAAGATMAEQPFEIDPATGTTTDAFGRRWVRDRHGPAKVVSGKAGPLGIIVPAFDLTEGAAAGPPPPHAGGPTRRFLHRSFARFCGARGAEVDGLILPIGYLIDREAIMAAIKPVPPPFRWW
MFGRKEKKNSLYYLLPGMTRSNREKRKFLFWCSVVVGILVSALAGAALYFVNQGPGL
AGCVLPLSENPDLGGRYGLRHRAALGISEQSDALCIVVSEETGKISFASKSKLVTKVDIETLKRSLEKILEE
MNIFFTIVIVLIVFCIIGVCFYYYYYTPKYANANTYALLLLSGPIQFTETFQQFMQISALISPRQTLYIPYLGYGLSFSWQMYIPVINDNKQWQSSNNQLKPIISINDSPQIGYHPRKNYISIVVKYNDTPYLAKFTELQYKQVKCQTWVKYTVVIASNSIILYENGNIVKSKILDSVPVIYDVGNKIKLGQLNHNCLAKFKNFYMYPYPLSFNEVQTI
FEMSSDGQAQQQRGRQISPDEVDDFDELLAEALDDFENPNLLTGAITRDQQQKGGSAQQQQAGAAAAASSESSAGLRENASTADLLKPLAGLSLDGLRRGAGEGSTGDEGEENVDFDQLMGRLESEFGSLDKAVKDLLEPSEEDENDPEVRTLKEQLRSLTSKVDSADPAELGEALASTLRCLDESVAAHNRHADAVHLPKPGGDQRAAAGAAAAGTAASGASAGAAAGEATGSGLGAAGASQEMLMPFMENMMHTLLSKEVIYPSLKAIRDGYPGWLEANGPGLSSAELETNRQRAAIVGQVCQQYESLGDAKPSEAEFDRILRLVQRMQELGPPPEELLGDKDLPSVMPQCPQM
MASEFVLGMYPEANACLQSNRKSELDGIDATASKNIPLQQGYPPCKMVDRVSEIMKEACQKRLRKPRLVAICFTMGFAWEIPYFRAQLAKFLTDQYGYDVRNIALDIYLQRETTGYVWSHIRPIPSLHHVLPSRHLVFAEEPSYYLALEMMEKDIKRKIIHVPLDTKGILPEDLESTVKQFYPKEGFVPSKERLYWSMVYLISCFQNPTGACLSEDRCKAVVAIARKYHLLVVSDDLYGPLHWSFDTDGRTPSHAPKPLKYFDREEDEGFFGKCCIQQQLLKDNGPWTPNWMDGGSTGGSS
MVSGALWRDKRVVEKFTVRYVRDVLERTEGNVSRAAEMSGLTRAALQKIMRRYGIRSEDYRALSSHSRA
MNGVTIFENELTSDIIEPIKLDKKSLGKTNSLELSVSSVGLKFWTTNEYSFENVKIIGDITDTSKQQSSNIFTLSDSEFSSMDKAVLKFTPYCSNVLEVGALDVFINNKKIFSSVPKCDESYKQSIPKSILNEGENNIIFKTNKGSYSVEQIKISLEFKEPKVKTYFFELDSSTFRQIRNEDKDVELSIKFVEDKNRKRAKLDVNGHIETIETEKALFTKNINSKVSEGNNFVRLEPLEDLEIVELKIELK
MNFVTEMAFVKIAAVLGFGSGLVLSLLLVLASSLQIIGIDDLSVVVKLPFISTLGLILNALLGYPLYKLYCNKVKGQVVSGKFLELDGEDV
MTRLCQSIPDSNAALKEQMKTAVRLEPGVLTYYAVADKKKPASITILEIYADSAAYQAHILTPHFLKYKKTVEHMVKKLKLEDVDLIGIALKPTK
MKIQGYSKDFKQRMLDIQLDYERNHPDAEKFTADDFRNPLLNGRKNILLAVDNGRVLGFSRFFARQNFSREFFHHIWLEIILEHFADPDLMSALYKASIPLIIESTKGFFPAAGKRICVKIADTEKTKSDFFSSVGFTHWIDFIYMEKDLSEEISSYSLPEGLNIAKLKPRREPEILQYLRVEQICFPDSPLEYKYLNYFFSRAEWRREGVIIAAIDAGGNIAGSVMIYPDAQNSDKCYTEEIFVVQEWRGKGVARALLATSLTYLKKLGKTRAILSVTSDRTSAKKIYEEAGFKFRLKRRVLALEI
MARTAAQNKRIRDAKRELILTTALNQFSLKGYYSTRIQDIAEAADISQGLMYYYYRSKEAIYVDLVDDSLERINETARYVREMTRPFGEKIMYALRILFKTIETSYRFRQTCRMIAQAAYQADISDEAKVQLDKKRDISYRIMAEIFRKGQVEGSVVDGDPMELSILFWTSVNGLAIYYATRDIATTLPDYRLVAPMFLKNYIEIADDDKDPQLGG
MMHFQSLSKLTILLHVLLLTNVAFAVPISVRSRDVDLDARDMVFEDLIYRSDGYDYDLDLRDPRDMVFEDLVYRSDGYDYDLDLRELPPRDLDFDEVLLSRAVNKIAEKAYQEHPGTEKQALGTRTANAAKQGAAIGIWQDKDKAKSDKKAGKTPAPAPANAKQAGIANNIAKAQGQKKVASERKAKQNNAYKQKISHAYQQDSEGKLGTMRKSEKNKNAKAAGDRAITQVQKDNKADRQAKWNAAKKTPAQAAAAKAQKAKDRADRKAGVPSAKPAGPPTQPKKPKNVKPSAEDRKAAVAHLKSSGQRMQNTEGIPHRKDTYGIPNGQKNGVMQHKNVTGKDVRKGVFMSNVNAPNPIAPPGATGGGRPGSFRNDPYSPTHPDKNLAGKPPFPGVAPNAGQEYPVLAGSPVGWTGRGPVGAGRVITEPKGGAGGKDKFHGVIAHDPSRKGDKTDHYVIPKKHDHVAAEAEKARLAAEKAKKKAEEKKG
MLTLLCRQVDQGVAILHPLAVPVLFPMLWLTLHPLDGFVMSPKPFDSCERCFAPPLGIIARVRMFSMRVVPGLVRFICAAICAYAISTLYPALGMSMYTLDLSAWFLQPFGLSGRPLAALSGVSVSFAMLCMPVCTFDMSEWFPQLFGSSECRFAPRLYLQCVQSHRHSTLGESPLGEAQLGHSVPLVV
MGVFGAAIGGDGKGGGVFVTAGPVGVTNCTFSGNEVVGGQGGWGPNTTFQPGGSAFASGLATDTGSVAVVNCTLTGNRVIGGPGGGGFGLNPGPTGEAHGGGIANQSGTLSLLNTIIAGNTATTNSTPADGFGTLASKGHNLIGSTNEISGLAASDLQNVSANLGPLQDNGGSTPTHALLMNSPALDAGDSAGAPATDQRGVARPQGTGVDIGAFELPRDNILLDGRYVVSSPVTNIGSVQVSFQTSFTNGSLLYTLDGSEPSSDSTLYAGPFTLTNSAVIRVIAYSADFSQSSQAGPIQVVIVPLYSLTITTLGQGTAAADPSTGPYPSNTLVTLTATAAANWNFLRWTGDAMGQSPTIGVTMDRNKSVQAEFTQAPVYALAVAIEGNGSVSMNPPGSSYSSNTVVTLTASPAAGWVFDGWAGDATNTNVTVNVTMDRNKQVAARFVPTYSLSLDAAGGGTFTIAPPAGPYPSNSVVTITATSASGWVFLYWLGDATGTNPTVSISMTGDQCLRGVFGTSVSTTAAGNGSVSISPSYPLHPYGSVVRLTAIPAAGNYFALWGNAASGTSNPLGFTITNANPTVSALFTTLPAGQFSLTIISDGDGEVSVSPQANRYGSSQSVTLTAVPEVGQTFIGWGGDATGTINPLVLTMDNNKTITANFTKRPRLTMPECFGYMGGDGFRVLLNGEIGGRYSIEKSSDLQQWSSVAIVTNALGVIQIDVPSSANATHEFYRAVVAP
GVSFTLNDLTVAHGGPNSTSRGGGLFDNGGSLIINNSTFADNTAISGAGLLATGGTVSISNSTFLANNSTVLGGGGGILNQGATMSITNSTFANNTTSVHCDGVGGGGILNSGNLTISNSTFVNNSGGCGPQGVGGGLFNSGGSVIISDSTFANNTASGFGPNSGGGIYGVVNISNSIVANNSNGNCAGGVRDSGYNLSSDSSCGFTGTGSLQNTDPKLDPNGLQNNGGPTQTIALQSGSPASDVIPSANCPATDQRGVSRPDNGETTCDMGGYEFVDPVDNDLALTNMPANITTNATSSQGAVVTYTPPTVVDEDSPLPLVNCSPASGSTFAIGTTTVTCTVSDSDDINSPVSQSFMVTVNPVLSVRVANVIASEGSAFSGVVATGSAYGASNPLTATIDWGDGTTSTVSVTPNADGSYSVPGSHTYAEEGSYTLSVSIKDSGSLSATGKGTAQVSDAALSLTHFVAGPLPHRSAGVAATFTDADPAGTISDYTATITWGDGSTSTVKVVKNPVGQGFALAGLHSYAGAGTYSVTLTVTDQGGSQVSKIITIIVK
MHKIEVVGTKLVLEYPENGLEFSKEQFLAFSKYVLMYNSKVLSYDQFRTRLTYAFLNLKRSIDANDDKNLPAIENILSISKLANGFFSKKTQDGQKVVKMDFYRQLVPTIKANGKIFYGPSDALFNTVYGEFIDTLSHFNDYSTSGQIEHLDMMIATLYRPKRMFGWFRNLIGKYRLDKRREYNPELTAKYAKSLRGLNLHEKHAIYLYVSSSINFLLNAEALDIGGGNTLNIASIFEKPDGNQKGSGVGMLSTLYSIAETKVFGNINEVAKQNTIDVFAFLVDQKHKMKENEKRLKNANARRTRAVR
MIKVQLNVLKVYLIERVKINIINLMIMCYPEHTILLGENYWTDSLSSIENSAKQIQKYYKKYLNINLTS
PVLRDHVVEGRPILPGVGHLDLVAEASGGLAGRACLDVRWIVPLALSGADETVAVVQDGDRYEIRGADDAVRSCGRLAAAPAAPAPLDVTALRARLDEGPGEESFYRALAGQGLPYGPFFRRVRQVWTGHDEVLGRIGEPSGDDPAHALHPGVLDAALHTVAALLVRRRGEHARPMLPFAADRVDVFGTVPTTGWSYVRETGTDRCEVLLCDDTGAVRVRFEGLTYREAKPSTVIVHRPVWTARPAEERAPAADRVLLILSMCIRDSFRSAPAASRTPNSTAPWTGPAHLTWSTSWPRPPAASPSAAPGCTP
MYTGSDEQHVMYEWNVMDINYLNIELTYQRIVILYARVPLNIYVLESDIWVVLKRNTLMKGLENEKKRGNQHLHCNFLTNNPPPPANALKYDLLNTISKLGIDCKNDATVAMLRRPTNLETCFSRRTGGRCTTIRTITGFITANRLSIKSTLRRKNCYCALVVDYFPYRSVPCSQRQLSVIEKRSSTMETLEEAPIQDNIEKALVPLER
MISRLIICTTLLVGVALGSKPDVASDRFFGLGGKGGGGGAGGFNLGGLPIGTYPIWKFHKYDGLSLKPVPIGLDIGTGIPSPPPQYMTSMPIPYENAPPQGGYGGGPPPAYGDSAGLASGESGPSFSPSSGVGGYSSSAPSYGGSSSAPSYGGSSSGGSNYGAGPSGPGGYAGYGGGSPSSGYGSGSSGSHGGGPSGGGYGGSSNYAAPPSYGGSSGGGGGGGYGPTSQGG
MVNSVNLPVRKREEKCRRKEGKSQCRRGVPKPKAPPAAVSLPTKSPSPASTPLAPLPPPVVPPPMTNVTLPIPTNILKDPTAMTTNVPSREGKAIRRKIKDKVEKDVPKTTIRKKKNSATATQSISIDQRTSSENDGPVGSRNEKKKKNSQTSSNSGRKKLSTENKSDGSKEPSDNEDKPKFNKDLAKNFLKHMMESQRARRRSADARLETMPESSQMNISAKAFRKKKGSGKLPQDSNIFKPNGDPVWVVSDLPLEECLKTEDGVTVTNPELAQALADENLTMDERNYIEYGGEYMACNLKKGLSLPEDYQFDPLAPEETLEANNKYINGEAIIYNTAKNWVDLSENSMKRFAERQDGMDQRVRPRHSLDNTTTTTETTTLSLPPTLTASQEKLQLKTCVMSIVTFNIKQNITVNYDRRQPITSVQRFRKRMNNTYSSEQTTQVESKEKI
MSKKLNGNGLWESSRMMLPQHKVAIIEDQLRHSYVTQPDLDEQEVEQIEGVLARSFRESRFVTLKLFGDRKVIELQGVVTVVQTYRREIKLAMGIGEWQWVQIKDIISAE
MSKKLQGNGLWESSRMMLPEHKLELINSAKTAGVRLPIELDEHEWERIARAMSESLQLRKPIALRMYHAYEESKVIGMIDRIDSFRGRFMVDGEWFDIRQIEGVERDGEDWE
MYYDFPEGYPEFYPQELIDHLEKDDGEILSESMVDEIYTHLGSLGRFCQHKVWSLDHEFYNIEPMRVAYATIKSCRFGAFSYTSNGSLTQEKFDFIGINLGTPAILLSNF
VAASWYEPAFSARRWYGALGYCKAQESMSGERRGAADFTLKGVGTSGSSTARSGSNIRRSSPLSSNVALQRDRSSPSRPTGWQPYILRGRVDSHAHDGLHRTFFKTGRVAEIFLGTNKSMRDRTATSASHRRKHDEGLQDPSRAAKESHRDQITRRFQLSVNGPGGNLVPSWIRPGDSSLQRFSWPSSTTLPKDSLYKGTAAISSGRTWDKAMEPLRGAKSVQEEAASDHSQTLPSTSSSDDGKLSQAITRRKDPKHMNVFLPAFSNETRQERRVAARGNEEEFQSDSEETHSSRMDSPLTQPDLLECDDDGDSQDSRDLQMEENYDEDDESDVQDSGRSDSESESVVDPGEQDVDQLLDEVAAADVSGLKGRVWHSCFFGRPPSLHFLHDWYHNAGEVKSGDEDEKKEEGRKQEEATEETISESWSKKDGTSSWEDAWKDSRGRDLFSLLQEKGWMKLKMTNKSSQARRAHENAGYAVLRKDQNPTAARTSHFNCWWAHALSPHDSRWKKLQAHQKVNHFPGTFCIGRKDRLTRNLMRFKRRVGKDKCDFYPSTYILPASYTEFKNDFQSSKGVWIWKPCASARGIGIKIVTRLEQVSKKKPGLIQEYINSPLLINGFKFDLRVYVLATSFNPLKLYIFTNGLVRFSTKKYKRIKKNSKAVSRFMHLTNYSVNKKSSSFQVRSDADMDAWTGSKWSIRALWRYLREELDYTQERVDKVWSDIRSVILRTFIAAESHININTSLLHLDRSNCFELWGVDILLDSALKPWLVEVNTSPDLSASSPLDKEIKGSLFTDTFTIVGAEVVKQKKHHGRSRDPQPETRVRPTGSADESRRKPSNNLQTTSTSWFSDLTPLDIQVLQQSEDEFRRQESTQFEILYPHPSMCEIYEPMFESSRSLNRLLHHWVKCRENPPPRLCELLDMKPSALHTRREEPQVCRPALISATQTVKL
MNDAILIPLQVFGLGFIISMGMAVLIKVLLDVIKHFTRNGEEKQG
MRANGVYNVDSTNLSRVKKVFLDVFFAEGKPLGLTQLISLADLVPSFHVTGYHPTTYATQIDSQHLKADGSNVVVKFKVANMFGNGITKLTADRVKVSLDAVLIALNHPSYFANFTDLGNGLYSFEVLDTALNSPLTFSNLTIQAGYSDLSIAQNLVVSKQAAWIERAAASNNWTGIDESFFTTGGFLGVDSSNEAYIKSQLVLAYKAHGNELTRESIQRIINGVGLLNSSTVVSIGDKDEYRLVFKQNVFGSAYYSVTARNELGYLTTGGSSSSAANYNFIFSRASAYPVVLSYVATETEHIVYASDNSFVPDFEIIFMHAPD
MSTSTLILDPGTNGGAQVTPDRFPARIQLTFSPQAQAEAFYGLDGQRPSIPLKPGQTIDVVVNVNSLQLQYRVVSGQAKLQWEL
MKRILFYISGHGYGHATRSIEVAKALLERQPDLEIVFETDAAPWLFPLNLQRGYQLISRQTDIGVVQRDSYSADKLATLQAFAEFYRSLNQRLPEELELVRKNRIQLIIGDIPPLAFWVAEEAGLPSLAITNFSWDWIYSPYAGEFPEYRWIIDVIRQAYGSCDLLLELPFAGDLSAFPRRKPIPVIARHARRSPEEIRSVLGIAPHIPFVLVALRQADLERVNLSPLKALREFRFLFFAPVPQSDNFISVAPDAFVFPDLLRAADVVVSKPGYGIVSECLANRTPMLFAPRKDFAEYGVLERHLLETRTGYRLESEKFFAGEWHNGLQALLTAKISWPNIATNGAEVAAGEIQKMLQKI
MASETRRNGGGFFGPVGSAISAVVGIWPYGRGMIVGHLVTLRRFVRTFTVGHGATLKRRGGGDLPIDLWWNRPEHRKGPVVDQGPTTEGLFTVEYPDERLPTRERSRVLPVLIFDDEDGNVRCTSCNICAKVCPPQCIWMVQAKSARGTVVPLPEEFYIDMDVCMNCGLCAEYCPFDAIKMDQNFELSNYERHRSHVYSLEDLLVSSAYYARTHPEAWSSPEEAAERAKVARKKEQRLQKAKAAASEPQPAGA
MVLVLLLVMIVGLTSGLLLVGRTTTDISLTTKVTDSNRAFNAAEAGVEETIRYPVTLEQGDPSPSPVAVASGVTYSVVNTDIAGTGIYPSIKQDPVQLGETFTVWMVPHDPTGDLIEDRAQAYLSTQIDLCFTDNTPEPAIGVTLFYKESGTYKSSYIGYDPDDGVTGFLDVVGLGSCSDPGYNKRAQINFKNDLKVDVNSNANIIPLALRFQPFFAASSIAVNPTGVALPKQGNNITSTGMAGDAVRKINVIDPYAIPAPFLDHVLYSTGGDVSHSD
MADTSELTVPELKPPLQNTSAPRDKEPEGDLEKLRKWQEDRVTRKLRGEYESAVLHLSEVVNSNIDTHLRLASVRVEGAAHTRKSFLASLVHPYVHAEPLVLNNSTLGSVLQTSREIGHLLNETDIFASVVAKLEPSRDVFARPGDIDLVFQTKEKSRMYLKTTGEIGNNEGGASVTGRVRNVFGGAEVLEASISLGSKTLMAFNASLSAPLTGNLKTRGELSVFGLERDNTSYCSAMEGVRGLKAVVRVSLD
RQQLQTLKETWNKATEGETFKYQFYDDYFDSLYKKEERQSKALTLFAIIAFVITCMGLLSQVLQNTQNRIKEIGIRKINGATIKEVMLLLNKEFVWTVVIAFIIATPIAYYAMTKWLESFAYKTALSWWIFALAGVAALLIALLTVSWQSYRAASRNPVEALRYE
GKTSDESVRIRWYTVWYDYIETLNLEIVEGRSFSRKFPAVIGDEVNFQFIINEEAVKLMGVESAVGLNFELFGKKGPIIGVVKNFHYRPLQTKIEPFAMFILPFYNREILIKLRPENIDDSLAYIKKVWEKTVWALFGLNYPFEFSFVDQNYDKLYKTQERIGALLSCFALLTVFISCLGLLGLASFATERRTKEIGIRKVLGASVPGIVFMLSKEFVKWVLIANVIAWPIAYYAMNKWLQNFAYRIDMSIWLFVLAGLLALVIALLTVSF
PGMGRLYRGDINTCYILSFVGLLIVVIACINFMNLTTARSTRRMREVGMRKVVGAKRAQLIYQFLGESILLSTLSLFLSMGITELTLPMLNAFLNIQLSLNMAVLPILLALAIGVGVLAGSYPAFFLSSFKPNAVLKAMHNNKGGHTLVRKALVVVQFAISIILIVGTLVVFQQTEYMRTKNPGYNQDALVMIYPKGYQFDAMKMELLRHAGVHKITITSSAVGLEHEVNQRQVKADGLSEPVNVQSQNADHDFLDTLEIPLLSGRNFLPQNRVVYPYATPPHSHEVLLSETAAQQLGVEPGDPIEFGDEGTPIVVVGIFKDFHNRSLHHAIRPLMLVNSVIKEQHRFTMRVQTQDLPNIMAHIETVWNKFDPQRQFKFVFLDAYRDLFYRTELKQRQLYVVTAGLAIAIACLGLLGLIAYTAETRTKEIGIRKVLGATETSIVSLLTKEFLLLVTVASLLAYPIAYYTMNDWLQNFAYRIDLSPVHFVVSTGVALVVTFITIAYQALKAARANPIEALRYE
MAPATRQMTGGAVYAHLLDNVLLLPQGHPICLSFAQQGYESADDLLCIFENELETLEYIPLAPADGPETTAP
MDPHQMCKKLQEESFKENDDLRTRVAEIEAKNAEYQDLIEGLRQTIEEKDAKIKQLEDALSNLQNKPIKKSIQKKKDKRPTKK
MSKEKVMRIGEIRGNLWSLLDARNPDTGLEVNWLLACVAFLTVTWGTAVVAANV
LGQRLGAWLNVFSWFSPYGNSLGIGITVVGITYLSLIVGELVPKRIAMAQPERVASLVAGPMRGLSLAAAPAVWALNISTERVLQFLGLVGTRDTTVTEDEVKSLIAEGTKAGVFVLQEQKMIEGVLRLADRSVRVIMTPSTKIVWLDVKSDRNTIIDMVKSHSFSRLLVCDGTVDHPVGFIHTKHLLPKALRCEDIILSDLITPLLYVSDRTTVLKLLNRFEKEKVHSAVVVDEYGTTEGLVTLTDVIEAIAGDLPEWGEDQGPQIVRRDDGSWLADGTIPTDEVEAITGIDMGNRVEMLAGFVLGHLGRIPKAGASFKHGNAKFEVVDMDGNRIDKVLIEMGPESDEAPNT
MLSDIASELGIVLVLLVANGVFAASELAMVSARRSRLEQQAADGDLRAKKALQLADQPDRLLATVQVGITLIGTFAAAFGGANISKPFAEYLKTVPALAPYADSIAFTVVVLLITYLSLIIGELVPKRLALLHADAIARNLAPLLAWLSWLTRPIVWVLTTSSTVILTLIGQNKKPDSSVTEDDILYMTREGRAGGTVALHEEALISRVFDFSDRTARMLMTPRPDVVAVSANTPLDEITRIAVEHGYSRMPVYEGDSLDRAIGTIYIKDVLPSMLGNDQRQLRELVRPPTYVLEHEPVSKMLTLFRRTGSHMALVVDEYGQIAGILTLEDVLEELVGDIRDEYDSNEEQTMVKRDDGSWLIDGSESYEVIATRLSIPINDDNDFVTIAGYVLNELHRLPNVGDHVTWDEYDVEVIDMDGRRIDKVLIKKRA
MTLILIEITVILLLTLLNGFLAMAEIAIVSGSKVRLLNLAEHGNKRAQLALQLKESPGDFLSTIQVGITFIGILAGAFGGITLAEHLAYNLSSVPYVAPYATTISVVVIVAAISYISLIFGELVPKRIALSNPENISAIIAVPMKNILKIAHPAVRFLSISTNAILKLLKVRPSPEQPISEEEVRILIHQGILSGVFEKAEEEMIDAVFRLASRRISAIMTPRKEVVHFETSDSTGTIIQKIKKSGHSHYPLCEGGLDNIFGIVSMKDLLIQSLTKKELNLRSAVHEMPNIPESSSALKGLDILKNSGKPVGLVIDEFGGLLGIVTLNDFAGAIVGALRDITTPTIIRRSDDSWLVDGLYPFDELKEKFPLLDEWKKPRTYTTIGGFILDRMGRIPQAGDILETSNYRLEIMDMDGVRIDKVLIILKRSNV
MSEMALVSSRKFKLENQIKKGSSGAKTALELAENPNKFLSTVQIGITLIGILLGVFSGDKLTANLTELLTKVSFIAPYAKTVSPIIMVIIITYFSIVFGELLPKRLGMKFPETVSTIVSKPMKWLSVITSPFVWILTFTNNLFLQLFGIKDHGGEVVTEEEIKSIIKESTEGGEIQEKEHEILERVFELGDRRVNTLATHRSEIASLDISDDYEKARAKVKKNKYSAYPVTKNNNLDEIVGILKLKDMFGVSKDDFSLKKFIHKPIFVNENSFSYPLLEMLQNSQAHFAVILDEYGTTAGIVTINDILDELVGDTPENQDEDYEIIEREDGTWLIDGQFSIYEFEKFFEIDIDEEIENRYVTVAGIFLDKYETIPNVGDKVEVDDLILEIVDKDGNRIDKILAYRKS
MDTLLIVFLTLLNGAFAMSELALASSRKARLAAMAEAGDKGSVTALKLLENPTQFLSSVQVGITSIGMLNGIVGEAAFSGDLGLWLQAKGLSEGTANVLSTGLVVAIITFITIVFGELVPKRIGQLYPEAVSRWVSRPMAFVAQAAKPFVWLLTHTTQWVLKLLRIDTNAVQHVTEEEINASLEEGVDAGIIEEHEHQMVRNVFRLDDRQVGSMMIPRTEIVWLDAGSTREQVLALIEHVQHSRYPVCRGGLLDVLEAITGEFTTPTAEDSWAVRREDGSWLFDGLIPVPELKDRLALKALPEEDRGRYNTLAGMIMLLLGRLPLITDAVEWSGWRFEVVDLDSKRVDKVLVSPLPEPPSPEPT
MELLILLGLILLNGVFAASEMALVSSRSARLAARAQSGSRGAQAALKLSADPSIFLSTVQVGITTIGILSGVFGENAIAERLIGVFEQMPLIAAHSELLATICMVIVVTYFQVVIGEIVPKRLALLAPERFASFVAAPMSLLAKIAHPLVSLFSLSSNLLLRLLGANRSDEPAVSEEEIRTMIAQGAASGLFKPVEQTMVGNVFRLDDIEVRAIMTPRVDIRWLDVEDPHEVNHAVLREGRHQTLPVARGSLDEVLGLLDAKDYLARCLEGQPPSLEVALHPPVYVPESISPFQLLETLRRKRSHLALVVDEYGSIEGLVSLTDLLEALVGELPERGAEPGDSAVQREDGSWLIDGMLAIDRVEELLGGDLRLQRDEADVHTLGGLVMHLLGRVPQVGAIVELPGLRIEVVDMDGNRVDRLLLSRSATPAVPSDFDC
MELFVILACWVTIGAFELTEMALVSSNRRRLQRLAEQGSKGAKAALELLANPSVFLSTVQVGLTFGAIIAATFGGGPMAAELKPYLEASGWPWLQENAEVISRMGVSFFVGSLTIICAEIVPKRLGLSNPEGLAVLLARPMQFISLLVSPLGSSLSFCANIILRLFGIKRSPEDTMSEDELRMMVDQGMASGVLHAAEHRMVHGALSLDLVTAERLMTPSNRVVWLNLEDTDEVNWRKVVASGHTWFPVYRGSPDRPLGVVSVKRLWANLSLAGSASIKDLLTEPPTVATNCTGTQLLEMFRKDKIHIALVTDEFGRVRGVVSLNDVLESVVGELPNEGSPIAQPKPIRRRDDGSWVVEAAVSLDDFRESTGIIGRFPGEGSGRFTAISGFIMRTLGRIPTEGDRVEALGYIFEVVDMDGHRLDKILVMPKAVPPTVG
MTPGGALPSLILTALLDPVSQDRFQVARQHLFPPERNQVPAHVTLFHQLPGAEEASISRLVATVCEGRAPCPFATTRLRFLGFGTAYLLDMSAVSDIREHLAAAWEPWLTPQDRRVWSPHITVQNKAAPDEAKRVFAKLQATFQVERGAVIGLALWRYLGGPWDLLVRCLFTATEC
MRRPSGARVPGRPAPDRSALLHERRRDGFPARVMDAPSPSGQSETAAGPLPLILTARFDERAQAWFEARRRAYYPADRNKVPAHLTLFHNLPGDQIAEVEDELAEECWRLDPVPARATHLRFTGQGVQVVIASPSLEQLRARLAERWSLSLTPQDLRPYRPHVTVQNKTSAENARRTFDAFCAVFAPFDCEIEGLDLWRYLSGPWDRVADFGFGAAPG
MMASNYYHNYFDLLNTGEGNNTLSSSDSDILPVVAGIALFVMVISIALAAIFYADAHGFLVDPIKFL
MPTVRITATAAARQAIRHLSAGRGAPVLIVQSSGCSTPMCYPDGEFLIDPGDVLLGEIEGSPFYLDRRQAWGEATVELDVEPGGPEGFSLPAGLSGHFVTRSTTCAT
MPTPTNRVSITPQAAEVLQHLIDIHGPVMFHQSGGCCDGSAPMCYPNGEFRVGAADVLLGHIHHETPFWISADQYLLHEDVTAVTYFGRLGEQAGHDPAPFDYRCSVGTRGWQ
SDLYSLGIVAFEILFPMHTAMERHNSVKNLKIGKIPENFIAQCPKW
MLASAIVLVLAAGPEPAPAPEPEWPPIQRPSSMQPRPSTPPAEAPSPEAPDDATGPAETPRSPAPPDDPGTPPPGEPDVVPPAPDDGSETEEPPAWTQVDPSPAPEEPPEWTRVHPSAPPPPLPSARGEADAPFARPSSRADRPQQSGRGMLIAAAALGTTGLIVKGIGTSMAVTSERSGRPFNDPMFAYVASAGLYDPILGGSLALLGGGMAARGKLLAYEDVYEGRSLPVDPVPGIGWGLFGAGVGAWAITRILGLTACGADLCRITVWEAGYYGSLALTVPGIAMAARATTYQRYRREHDRPSRLSLTPLRARGAWGLGLVGRF
MRFKKETTNDLKPKFMIAEKTFDIKSVPGNIIAEHLELEMKKRDELGDLRLPKARLKALLRK
MARHTRNTTLDHQYAQHSLDPTLRKPLSVVAAARITSIRQALCWSVLILRHKHAHPLAENHPISRGKEAGKTYMHVDCCEQVVRRRGGRTRTFCTMVGFEKYPPMQMLCYEDSKIGGAGWMQDRVRCCLELYYLCAQAGVGVTGDGAVGTMQISNLASWGDVYGGC
MPIAIGTDHDVNIARNAYLAAKMLTGVRAAVGVRAMSKFTGIVDSPPRRMISKSGLARR
MSTPDRRRNRSLASCEPCRKGKIRCDHQKPICGSCRRRQAQSECWYHPAPLTKQTPRVIRASGVSRRQRKAFPQGSGEPSDVSINIPTSLASYSTADESIPGSSGTSRPMSNEPTAHGEQISTLIHIVSWLENLPLIERLLNRYFASIHNPLLAKPVALQTVASLREFLAASGYIQEETEQQIVIRDIQQLAAHVFHTSLSEIRLAPSMSLRDFCAEFSGENLRVETLGFLYTVAARASIYDTQAMPESKNFMQEMSWYSNASLHLAREIAPQTSDMIIWLALDNLQLITMLEGDASMSVRRRIGGLATDIFALGLNREATYSSESIPFFLAECRRKTFSAAYYLDKLIAIFFNQPPCISIRHSDCKAPLDLSDEQIFSLSFDDPAMESIVDHDGWNRNGGFRSTTWARVRYIFAQFREHVVEYQLSSSSANEAELRDLSQRCHHAWNSLPQHLQYSKDCWSSVLPAHVSLMLCKVYLPFLHTNFLICQKLGNGAPSSQPELLAIALEMLEVVVQVASARSRPSLLMRDLPGLMLTYGVSCATTLLSALMDSVQKSTGNLPPGIKASTLIRNLSVFISHLENLSGSQQCNQALCEEAAKSLSSQLDRVLDSLSSASEDLALTTPLSMGFAASEYSHISHVDAEEPSSFQIGNAESFDWATWIIHADLEIVGCD
MSTPQRRRNGSEASCEPCRQRKIKCDHEKPVCAACQRRKVQSRCWYHPSPLSRPRGAHSRRSRPLQPALITPPTLEQPFNSTTDTANSLPSVHNGSVEDTLRAHTWFSVLNESRRLLPSVIVRESYRHHAGKVNLDHERDTQYIISLLHHNFTQVEKLIEDGFSFTEISVVPSPVVRKFIASLKSTLSAHEHPPVDEAKHAQDTAELARAVITTSSSCVKISPSLDPNAFCDLFCGVTPRVEALGLLCALAAHIHFDFIAAWFGEKRDDKLANQLHRCAIMSLRLARELSTQVNDVMLWLAYEIVQVASSLEACASLAVWRLMGDLATDMYSLGLHRESLQSEKTVPFFLSQYRKRLFAITFNIEKGWSAILNSPPKIPGRYTDLQAPLDLTDDELFTLSAEDLKQVVASKLDQDGWKKVGELTSSTWARLRFKLGQIRSELVEYQFRLTDTDNESELRNISVRCHEAWESIPEYLRYDKYSAAAADLPDSIRLKLARAHLSFVHVNFHIQRVISKDRGGPPTPELLEVSFKMLEGVMSAVIDRGRAPYVCDLPADVLFYALPSAVILLAALQDAARDPERSLPPSVRKAALFRHLSVLVCQLECLAAPEDSTYPFCMHAAKIISRRLDDILEEKMTCSVTATAQTQSATGSSQDRVPADSPDSPPQCSGDDITGPLLQAEYAPTTANLSHLDGVDFETWAANWEFDTGLTNTEWGVF
MTDVKRSEAAFGLVKLQSMKVPADEVPEIVPKKKSRVLGSFVDVVSSGQIPHTVEARPRKKPIVNPHLQLEPFTETESDDDSIMSLLSSKDEMESDFGSQITASTYRLVIAQHEIKERMNKIKYHEELRSLKQRNKDLEERIEKLEESRRRLEQNCKDLEDINKKQEKNLNQVTESKEAIRKDLDDKNCLVIALENKLKRYEILIQSYELRVKSAIHSLSQPFLETHVFDMLDVKVKPEIDVNSTSVPQDSEKNKPTISEDMETSSGSSKHKISDRQNRRWTNSENVTILEYILKTIRSGSKIEKPNAPKYYTKMISECKLSPEITHNLLRNKVQNFRRAFEKARKENTECSYQNYLEEIWGNRSYDSSSE
MKKARLAGSSRFRSVSAISPERIRSRGLPSVKRFAAIASRSDRTESIESALVLFMGAVYGCIPGSANPSAGSDRRRRIWNESPVRGILKNMKNIVLYSAALAVLVAAGATFTAGIGGNAAGRRQPSPGRPGYSASNFVEENRALEVFDSITLPGSGKVRIRIGAEPSVRIRADGRSIGRIVTEVRGTELVISERAPSIPFSGPAEIDVVAPSLKAVRISGSGDLEILDPIEGTALEIRITGSGSVSAEVELHELSVDIAGSGDVRVGGRSGTMTLRIMGSGDLDASDLEGDTASVTIMGSGDGTIGTFASIDATIAGSGDLRYAGSPRIKSSTPGSGELIHR
MPTTCGFPNCKFRSRYRGSDDNRHFYRVPKKPAVLRERWLEAIGRTEQTIVSQLRVCSGHFHDGEKKEGDVPVADPSVDSPIKVELPPRSNKASAERRAKRAKLAIEKQRGSAESPQSSNSSSACVRELLNSSPNSSRAAVANLVSSSTANSTAASVSGAAMAAAPTSPTSTPVLTPTPTPAPAPISTPMASVGCAVAPVHHQRPLVALLDGRDCSVEMPLLKDHATVAFCDAQTVAEVHEKVLNEAVAALMWSSIHLGREELAQFRQLKLLVQIGPGSENIDLAAARSLGIAVSCISGACVEETADSTICLILNLYRKTLFLANNYAKDSNGAVSIDRMAELASGATRIRGQTLGLVGFASKPPHRRCLGLSAPKLGLGEANFRPLLLTFSAGLSNPSRGSAALQLQAGRRFSCARKGGALEG
MKLTKSERNWILYDVGNSAFILLFTTIIPIMFNSLAKGHLKDSTYLAYWGYAVTISTILTALVGPYFGALADKFSLRKRFFIVFMSLGALGCFLFPFTKSWMVFLALVIISKVGFNGSLVFYDSMLIDITSEDRMDNVSSLGFAYGYIGSVIPFVISILISVKHEALGIGMDLAMKMVFTINALWWVLFTLPLLKVYRQKYVRTEEETDKMHLIQTFEKIRGDRKIYMFLFAFLFYIDGVYTIISMATAYGTSLGLSSNGLILALLVTQLVAFPCAIYFGKISKKYETGVLIKICILAYLLISIYAIFLNNIVQFWILAVSVGMFQGAIQALSRSYYAKIIPKENSGEYFGIYDVFGKGAAIIGTLVVSLVTQATGNQHLSISTLSIMFLIGIILFFKTDKIESKN
MGPEAYDVLCDKISPELPNTKTYVELVDTMKSHFNPEPLEIAENFRFLQRKQHEGEKVKDYLTALQKLAITCNFGQYLKKALRNKFVFGLRAQNIQSRLLEVKDVTIEKAIEVAISMETSHRDAAQLHNASGSVNQITYKNKRLNNTSKNQSTTTNTNVTNKPIVCFRCGINNHTANKCMKKNLHCTSCNAKGHVSKVCLKNKANANQVEEILSINTEHINYREKFAVTLKLNDVLMEFEVDSGAANSCNLVG
MPTIGKIECFSGEATDWKAYAERLEAYISANNIPADKKVNTLITVIGQTTYKLLTNLCAPATPGSLTYDTLKKKLASHFTPKPLLIAERFRFHKRDQHHGEPIQTYLAELRRLAMTCDFGNTLEDTLRDRLVCGLNNSHIQKRLLAEDKLTLQKAVELAVAMDAAHKDAIELQKSHNPNNTNGGKSDVIHAAKHKTERFSKKPKAKFSQKSTCRNCGGVYPHRQAPCPAADKSCHHCSRKGHFAKFCRADTKKKANYVSEEPDYAFSNTTTNSNSRLMAFGANENLIERLDIR
MIKIDPAGEGARPILEQDMLDPQERPEPKILNEIERQVAEIGDDLWNDTKVQTVLKTWIHT
MSLNHGAILKPGSSRNNKTGNWRNARPVYKHDKCTGCTMCERICPEGVIFQEDKKKFNVDLDYCKGCGICAEECPVKDIDMVPEEK
MSKSTPFVLKTWKEMPIGGIISEPKTSLYNKTGNWRALKPVLNKDKCIRCLLCWTHCPEPAIIRLDDDYVSIDYDYCKGCGICANVCPVHAIEMVMEE
MPEILKDKCTGCGICEEACPGKCIAVGSDDIAVIEEFYCENCGICAEVCPEKAAVLPWHSWQQWNKA
MKGYKEIPEGGNIIIPGSSMDYKTGLWKDKYPVRNIKKCTNCLICFSFCPENCIKVVKGKIQDADLKYCKGCGICAKECPFKAIHMEAGCFIK
IIEDKCTACGICKKNCPVGAIEGEKKVPHKIIQEKCTKCGICLSVCKFDAVVKK
MSKGRGGLGLVVEAIRSLFEKPVTRDYPASEEVVETIRGMPVLHSEKCVGCGLCERSCPSGAITMVVVGKRKIGNKEVPLRNPRFDYFRCIYCGICSEVCPRGAIEMVKKFGLIYTSKGGEK
MVGRREAVVTNDCVACGNCVTYCPVGAIEIAYGMYANVTSKKCIGCGRCERACPADVIKMVLRTEVSV
MSKIMSFTKIALKNLVSKPATTSYPFEPKEYPERTRGHVVLDDDPCILCGMCMRSCPPGAIKVDRAGGTWAINGYDCIQCGYCVEKCPKKCLSIVPGYTEPSSEKTIQTVKVEVPPPPKPAAKPAAPKPAADKAPENKE
MPCLPVIDILKCNNCTSCLSFCRQGAFYVDVDGFVKIDYRACSGCGVCAYQCPYGAISCVESVGADVISYSERATVTSSTLSSFK
GAITIEKDMCIGCGLCKDVCPIGAINIDELGQANKCDLCEEYDCQQCVEACPTGALTNDQSEIIRAKQDKLADGYKKIQSLLK
MVSSDCVIPDAPWYFGEIGRVETNEILVDQPVGTYLVRDSTTQSGYALDVKEANGVKRYLISYIPSSKKFRFGDFFYDSFEDLIRQYANSKSSSFRLIRPAPKPVYIGLHNLQSKNETDLSFSRGSAEIFSPDVAAQLSGQTDRNRLPDCRKGEFLKLPATAKVIRARNPCIFWTQHLKVQVGDSVQVNKVHPDGFCEIWRPHDQDCCWNPLLRKNAVPWCLHVALKDPVTSNLARLLLGKRNYLPNYIMLPRVGKLVLSRTALLLCDLQEKFRNQISHFQAITEVSGRMLQAARILGMRIVVTEMYPKGLGKTVPELGDLSGIPVIPKTSFSMYTEDVANVLELGKEIDSVFLCGIEAHVCVQATALDLVERGADVHCITDACSSRNMVDRMVAFHRMSQAGVYLTTCESALLTILCGSHHPSFREIQKLILTPSPDSGLLSGVAPANPFVGLNS
MIVYMVTGVANAEAATSLSAATATSNARTAPRFSADARVRAVPPIAPGAIPEARPVAAPHTSRTTDASPSMTAAPARGPQALFAVLAAAAAAAAFAVWNRLRRPATPLSSQAIHVPLEECRIAMAATTGESSMSSALESLPVPPGPKQGPIRNLRDSISYLINPDRFIATRTDRYGPIFSTYLFFRPTVVVGGQPAVADFIAKEKKAKITRSTLPPTFEELHTAYGSLNMDSNGEEHQLLRRVFRDIFGPKAMEQYLPFVEEEMDVYVADLLERVKKDPEGPVYLVPELRTFSLNAFSKIFSEEGLTDEQVQLFDTYNTGLLALSKSAKPFVAAKEALATLVSDMRGRLDKYKARRDAPGSPQNLVLDAYIEAGCPDEQIATAMVLLIWGAYIETASLLVKSIVTMDRAGPEKEALISSILAESAEKEANWMQLRLTQGVVRESLRLNAPGGGGFRDSDIDFELAGYRIPAGTVVTADPRIGNRDASLFPEPEKFAPERWVPASGDATEPASKCPFAGSALNRGMGSWFPGGIGAHQCPGVPLAEAVGTMFLVKFLTAFKSWRTSGSGLTKAGDVKYVQVPIKIPVDDFGLVLQPRAQEGVSNGSGFAETRSPEALVTGA
MKIALTCWLDFTADGNTFFVSNAIEAGLASYSFNNGTVTLLDQTAAQGTGTGGADNGPDAFASTDGWIDMWISDDGQFLYQLYGLDGTIGIYRVNGQNLEFIGEESGNLPDTNTQGIVAI
MTDDGVLWITDVREKWNSFRVDFEGGVFDASKVAPGVRALGLTSVTVPDGELAKVEGLESLAINGGSAERIDLRGCTSLRQLMVSHVRGLTELVGVEELTTLEELDLYALPQVQSFPPLWRLTGLWRLDLGSMKGLTTGLSPFLAAPNLREVQLASTFPIAPGDAELLRDHARMVGFSWWDPRGNPGRGRP
MLILIKVKGKCTTDHISAAGPWLKFRGHLDNISNNLFLTAVNAENDEMNKDFIY
IRSLHGRQQLLFVNTLGLESKQFRNRLGGITKFLDDGGIVVVQEPEFRTVEPKEVSISEKLSVKIERRADVDKGGYDSYVFPEDPAHSLWHNLRPEHFRWFNGAPGGEIVSEYVLTPSLPAVTLASCGLGLRIPAVMEIQHEKGKVVISRIQIRGRLNAERRSLDRYARRYDPVAAQYLINMISM
MTSVPDESEFADRFRRTVDQELSAALKQAPHPEADERVLLLAPHRRGWGRPIAVAAVVASFLAVGAVVAASTMRDGPRGLRSASTPTMSTAPTVVAVSGPTEAVTGQVGVRMFAALGPVTLSSQSGCLADQDGRLLVLDPSWSWDPETETLTSVPTGERYHLGDAVEMAGGGGALDTGRVTWPIESVPLACADYSGPALFVWAPGAQTSSSSVPPEG
MQTLIDNDFFKQIRIIDGVLVNIIKYKIINRIWSNLNLRKELNKIGDGLYQSKVDKEERGTVICSKGDYIIKPYNSAGYYVIEKGDKENWEYEIKTTGSSFSGDKSTIDSIIKAINIVINDELNKTK
MTNTSSSRLRSRRDAATRAGGVGGAIALALCCGGALAAAALGLGTVAAFLIDPWFIIPVVLLAAGISYRRSARRKPTCEVRPTAQERKRR
MPATVVVGWARCPPKTGETPVPQDSHSREHPLPPTPYSLLPTPFFKLVMRRKF
MAESLCSARPALCMRCSIPTGSSSLFVKDKPNRISWTGRKEGDDGELGGMRWYESSRTEYGWMGNDMKARQQCVHSPASDHCYGGLRICATAATRGTKGPSSIESSLTLISYTIRLRCSNMENRIFDSPIMIVPLNIGG
MSSLSKIKLGDLFDVKVAGDLQKKFFSKKYSEETPFPIYSNSLSEKGLYGYTSKPRFNGGSITITGRGDLGHAEYRDTPFDAIVRLLVLIPKNEVDCKFITFYINHILKFAIESTGVPQLPAPRIKKIKIKIPNDVKEQKAISGILTKVDESIQLAKESINATENLKKALIQNLLTGKLKPDGTWRKEDEFYIDEKFGKVPLEWQLKKVKKCFDFYPTASYSRSKEIEKGDVYHIHYGDIHTSLNRILDLNDDKLPKIPEALSKKFTYIKEGDIIVADASEDWDGVGKCIEVINTNQKKIIASLHTLHLRPIAGDFILGFASYVFETYKVSINIKRLATGIKVYGISKPNLSKVLLPIPPENEQIKIKEKLDVLWDNIVSKNRKIKSLERLKKSLMQQLLTGKKRVNVKEVEKLLNQTKM
MVAKYDRTADTLDGTAIDNPMMKNNGSKCPAPENQVNMTVGQNCPGYEPLVSGTMESSQCVVSCNSCRNFKDHRCVVDLYDKVLARIDDSK
MGRRAWLTRQQAGKSSRSIVLVVPRSCSRLLTGEATMTDQDAQSNEPATDLSVLDRVLTAFTTAVESEEGLADTAQRLVDTLITKKDLSEAAISQALFGGDPA
MGEYEAIFKRKSVRKYQEEPITVAELEEVYELISRHPKLYNIDMELHLVENGSQFLDLLKGVIGSYGKIIAPHYLIATSEIKEGYLENVGYALEYIVLKMTEMGLGTCYIGGLYDKKNVKR
MPVHFDLSEIGSAPVRERRPGEETHATATLLHREQDKAEEAQMKLKIMEQVHTPIKPTLFEELGQLYHSIVDHHNIVDNHSVIDASSEHGSHRRLFHDAEPQPPMHTTEDEAPASAAAQEPPPRKSKLALNMAQTSPTPPVTDDVDGQTDIETSRSITGASTYFHTTAATEAAAEAVRARIAERQRRRAASNNGHAVDNAHEASAMPEALDKALVMPAPYGEQTFVFYDCTPLGLQMSKREHKSKADTHALIIDGVLPGSQAEMLSVPLGAAVTGINGRALKADELWEMLKWLAVPDTANRPLHLTVYVEPPPRRRGFHIL
MKHYLYVACMFIAALAFTACSDDDDNSVKLANVTLHFNAPSELQSYNPTIGIQELTLKNIRVSS
MASPIVESSQGHAKGNLDVGELLREVNGVSGSRLGSHGVSAIFRAQGGERLIQEVDGAPLYPACPGGMDTTLGYATTGSQSDLTLYKGAQSVSRGPSFAAGTVEVTRHTPSRTDDDGVHGTLGAGWRSNDDATSESLSLTAKQGDVWAALDVEHSERNNYEDGNGDTQSGAYRSDNGSVRVGAFATEDVAIEAGIAVVRIDDARYPTMDAPRSDMDRQYLKLTIDNVGPFSHTESQINHARVVHDMDNVTLRDVDDSRDRAWT
MSIPGYGEKQYGLIIPGKKQEQVSKVGKSAFTEESDDEDDARFKQPNFDPKLETLKANLRKTTKVTLEKALEEDASVFQYDEIYDQITSEKNQMLMEKSGAAAKKPKYVEKLLKTSAMRKLEKELLSERKAQRELEAEKEMFADKESFVTGAYKVCLGYFSSSSSSSSSSS
MLLCPLGAVGLLRLLVALLGLLVALLGLLVAVCLRLALRLLVALALLTVRALGQRTETASGVGGVVIH
MSWWTTGRALVFLVGVLGLVGCGSERKDGAEQEASPPVGAEPASPTDKTTAALEDPNATLHEQAAEAVDAEKYEDAIRLYTSLIKGNADDAIAHFGRGTAYSGQGEHDRAIADFDEVIRIDPKAAQAFYVRAISWAQKNEVDRAIADCTSAIEVDAKQRGAYELRAELWTKKGEPEKAEQDKAAAAELPAPASAPTP
MTGLQCWTEWFDRDDPSGKGDFEELYALRNEYPGKICNSPLQIEVQTVSGLTVDETGNVIAVADTPIGFICKSSDQADCMCHDYRVRFMRPVDFCSQEGTFFLFLTHCILKENPGEICESPLHIYARTADTNIPATSTGQSIFIPHALDGFTCRNRDQKGSRCRDYKVRFGCPYRGYN
QLDTPSITGDKETLSSLRRENPGKICPNPTNIEAVTLSGHSVEETGEKIFKYDTKSGFVCRNRDQRDWKCQDYKVRFSCSFCLGFSVFAVCWTRWYNRDHPTGSGDWEHLSALRKENPGGDLCADFVYVEAVTVKDKTPALKTGQKFHFPGKGFVCRNEDQRFSNCSDYKVRFGYYCLGY
MPPSFPASPDNFVEWDLATCVVGRVQDWTKQEHYPTDGDILSPRQWAWEFLRRNRAYEQAFNELADSFAHVPAVPRADDTLKHYVCEPSPESPDQTYADYCHYGSEHHRVFPIADLVRFHWSINELIDPKLGRDSLPASFRFVRDDVSIIEPRRALSFDGLHLVEATCGTTEVLVRLDLRGNIGAQIASLKQQLVRFTEPPPGFAEQEFKTGTLYLEFPGEIDQTGEWDEALHPHTPRRHDPDEPVLIRTIPADERSPRQQNLRMVLRCADAIAQFVQNPPKDLRKFIPKLGKRSAQETGDDWFEDGQFYAQAATYIYSYLNSTPKETQNLPENAISREPVDNWIKLARFYILDRGYATLASRNFTANRPIENHGK
MDNTIKLFAAGLVFLILLVIGASTSNSFKYYLAENQGALEIWKGKFAPMGKKMVIALPGVLAPEDLKDVYSADDVYPLAFQYFLDKADALLGVPGIPDFKGIKATLKTALEYGSTNTLRTVAYDRLDNIDRLILTYKADVAASRGTIDDLDSAIGFLKDADKLTTDRVQEEMIAQKITAHEAAITALEEQAAAEQAVAEAEAEAERQAEAVVAEQAEAVVAEQAEAASEAPEGSEETPEPGTEPQ
MDNDIFDIYVTNISIDRSDTPNRSDRLATQDALWRFINNFILFEPIMERIGLVDLIKIPKYCESHDGNTYVAFIRFQNHQNQCEAVEFINSLMNFRGRQLFAKLNDIRSEDGQWSRSNNRLKLFDNQRLYFHEEKTKYIKKQNAIIDGRESITIQKLRAELAAEN
MQTLILSAILIIVTFLTYDDLNNKSSNKRAVVEKSVPVVVSVIGDPCDDGKEETINDIYLNNTGLCVGLIERDEITCKGDKIGSEILVNGEIYLVVDNKTAKENLDKIETLCLSNVTDPRILDLDHRQVRNFELEDSSFKHN
MAQAKLKELKEQLKDLLDKGFIRSSISPWGAPVLFVRKKYGSPPTFMDLMNKVYKQYLDMFVIVFIDDILIYSRSEDKHTNHLRIVFQVLKDKQLFTKFSKCEYLFNSMAFLGHIVSEKGIEVDPKKKDAVKRWPRPLSPSDIRNFLGLCCYYRRFVEEFSSIASLLTTLTRQNAKFLWSESFEKSFQEFKDRLTSAPVLTLPEGTDGFVVYYDASRIGLGCVIMPNEKVISHASRQLKEAISACLDALAKDLENSKVDSNSNENTSQNRGWKQTPEEEKVGLAFLYLEGNAQLWFRQLEIDMAQPSWDEFKRQRNLHFGPPIISQKLGELTKLCQIGGLTDYIAIEVELHNPLDLGTTMSLSRLYERKEQPVCSQLLDACKSKTSDFSPQQHARFVKNLTRSEMEERQLKGFCFNYDEPFTR
MSLKRIWALMPGTLKAIPFNGYRNLLKSDSHEEVANFCLEHIEYMIEHEGEIGAFLLEPIGATDTHIPPNSYFKRLREKCDRHGILLIFDEVPTALMRSGTFYVHQNFEIEPDILVLGKGLGGAVIPQAAVLTHTKYDVAEEISLGHYTHEKPTLGCAAICSTIEYIDDYKLEENCRVQSEFVKTKLVELYDQYEKIGDIRVAGLLISIDLVKSRETKEKNDELAEKILYFCLNNGFSFKLSGGNCITWHPPLIVKKMS
MPSVPGLTRLLPQHGGSLNGAFTTTNCKRTGQEQLFMVPASVHLMFYEAEEKNGSQSLEMMHGNTLPRDQSDHSPREVSDVSSSDQSWSLLEEVQSRTKSLATEIKVVERTIEAVSSKVDLVETKIDQNQEVLLARLAACLDRIEKPCRENQAEQHVPDEKYQILLQKVAALEADSARKMEESQKQIRDLQEIVAEMKADQLTPPGPTHKTFYVHWKNIAPGGYVIAELAFFNWPLSMTAGKLLEEVVCRLSRRSEGKDCDLQKKLKEGKPLLMLGDVGGSSASRARAPSKGVDFEEALGDDVPMELWTRQLRGSFHRSVLAFPPKPRSGCTWTALGAGRLLLLGGRSQGRCLADVHILQLQGPKMPSKTDRSPKSEDRGEDSDSEAEAIFFASYRAACGSGKPPKAQKSPQEMVKRRGSDSETDSEEEPERSKMSNLSRAMPCSTQEVLAMASQVKKNTKPQREEPKGLPAQSRRKSGGDDFPEARWRQPQALEEHTPPRPRAGHSAVLQVPVLCGETPAVLVYGGLGDGGLPLGDTYELRILETEDQSLEFVWSLLDAGGKEHCETAPWEHQSAPRPRACHSAVFWSGSQRSMVVFGGLGMGLEGEPKAQGDCWLYLVSQRSAQAVGGWKRPTLTGGAPARRWGHGACMVGDAMLICGGMDATGQLGDCWLLQLQSMRWEQLETSIPKELGRCQALWSSSEQAAVIWSCHGSWCFVPGKEPEKEKSTNASPWSSKFPKLDDLRLPLADAMAPAPAVGTPKASLPPVRAGPWKACRGEAEAFHQSWPQQVTPRKEKRSVNSAVGRERPRKASREVQGRELGPVKPPRARPPMKC
MTTPVTTYVELQVTSHFSFLRGASAPEELFAAAALLGHSALGLCDRGSVAGMVRGLSGQDATGVRLIPGSRVDLRDGRSLLLYPKDRAAWSRLTRLLSLGKARGGKGNCWLDWPDVVAWSQGLVAVLLPDDAEERTRLDLGDLSESFGRDAYLALSLRRRPGDFARLRALDTLARDMGVRSVAMSGRAPAPMARGDDARSATPRWQEAGLFLGAARPSAAPTRATPKAASKSDTAAPNVAADRADTRPKPAIEGGDTADAHAEDRRAPHGLFPLAASARAAPRGNVFREE
MTSAATPRIDVLHVTEAGGGGVARHLGLVLPALSQRGLAYGLFAFGQRLGDGFRVSAEAQAATGLLSALAFAPGADVLAAGVRLRSLVQQWQPRILHLHATRAGLAGRLALRRNRPPAIVYSPHAFGLTPGSTVRRLALKLSERRLARWTDRYALVSDAEYNDAMLLGLPASQCVVCENGLPDDFAGTLLDRAEARKRLHAPLDSIVLGVPCRLAKQKNLDCLLRALAVLRQTPSGLPPNFRVFLCGDGPEEQALRRLVTVEKLDAIVHFSGLIPDLSRLLRAFDLAAIPSSYEGLSYALLETLAAEVPLIASNIPANLPRPELHECLWTFKAGDEQDLARQLAAAFQNQDERQRRAQLGAALVKKNFPLNAQINALTALYQQLDNSGRS
MLDPGHGGAVNMAGSSHNNAVSVSGVAEKALTLDFGLALR
MSLINVTNIKIGNNVCSIKLPLIFQIEFECLEDLKHDVEWKVIYITSDGSGYVNSTSNSEGNTDNSVDNMMEQEKEYLVSNNKGEIILDAVCLGPLYKGILEFEFRVNPPNFSRLRPESVLGMQAILISGNYCDQEFIRIGYYTNNVYDEESLVENPPDLPILDKIVRCIIDQPRVTRFPIKWDNDNLIDFEGNNLNYVINSDTDSNTTEDNVDTNTTDTMDGNTTEDNVDTNTTDTVDTNTTEDTVEYTEEVRKRRYEEI
MHIKLSHIAISTTKLGLKTKKRMRINAMMDGIKEFSAMALLFFLFTSAIDGVMITPTGYRVSSMVEDNSGDRAYDGLSNTYALTGNGFEAWMLFIFPSNQTFKSVDIQGILPPSTEFRCGEAYEPMGRGDTKHNHLKRSVTSSSQSIVALSDFINIDLPRCVGSKVLVKNLNGTASIKINELKFNI
MANPTIIIVPAACQTPAHYQPLASALQAANFTVAVIPLPSVGASPGLKSFDEDVAAVHKVVSSLVDDGDEVVVLMHSYGGLPGSAAMKGLGAKERAKDGKKGWVKRLVYVASYALREGEALPSKGDLEVMRSYGEGFDEKAGTILISKDAALYAMFHDIPVSEGEHWASLLEPHSVGAMWSDQTYAAFKDIPSTYVVCELDRIVSVEEQEGMIGNAKAVEPSAFDAVERLQSGHEPIFSKVGELVGVVERAVR
MKKSIYIYTNGELHRKDNTLSFAPYGGSAKSLPIEEISDIYLMTEMTLNSKILELLSKYGIMVHFFDYYTNYVGTYYPKENLLAGNVLVQQAKAYLDESHRLRLAREFVQGATDNILRNLRYYKNRGLDLAEIMDAVQILREGSDTAADISELMGYEGRIHTAYYSSFETITGNKTEFEKRVRHPPDNMMNTMISFINGMIYGRCLNEIYRTQLNPTISFLHEPGTRRFSLSLDVSEIFKPLIGDRLLFSLINKNQITEKDFTRELNCLHLKKRASQVIARALDDRLKTTIFHRELKKKVSYQYLMRLECYKLIKDIMGEKPYKPFVMWW
MYQYTTIIKMEWALGLLMNRKDLTITDVAYELGFSDSSVFSRAFKKYFDVSPIEIRKQNSNNCKENFLTSRYNKPVDEKQYN
APIAKTKHARGQLSSYQPDQIVHRVATAFAETPDCGGGARERRHGRLRIDIGVDLPSLLDAVVDAIPSGGMLRLGMTNPPYILRHLPAVARLLAHPARSGSDAVLGEMRREYTLADFCTVVDYLRDRWRVPGGVTIATDVIAGFPTETEDDFEATCRLIEKYKFPVLFINQFFPRPGTGRPGCTREATPAEVKDRTRRLNQLFRSYTSYDDQLGSVQDILVTDVSHDKQHWVGHNKRYEQILLPMNQNWLGRWVRVRILATGKHHMMAEPVDCSFNRSSSAAGGARLQPAVSSSSTGHLLLLSAGFALLSGCLLAYRLRKLAEADEAETVL
MPEHLAARNHPSRLHPSSAKKVRFWTSRGGATTDTRNSK
MKLMKLNSVKMLTPEKVTNLPLNWKKGWIYVLILTVAFSACLTLFNNLVLGKREPFYALEAVLVICSLLTFFSVLLLNIVACFKFKSSGKRKIVLLICGLIISILLGVIFSEVFNKKPASLLNLIEKLWPWFWVCLTYGLFCTYLFIIGINDK
MSRHQLLPDDDLGPLYDLLIDEPPEIRHAIGALVYDHLIAPKFNSSQSGEGSNSSEVHLGRMLQILREFSTDQILSNYVIDDVWEYMKAMKDWKCIISMLLDENPLVELTDEDGTNLVRLLSASVK
MPQIDLQAYWGPTSFNLDCEQLRALRDYLATGQTGVVTIGAWNFKWQAGGLYFANSGNPEKYYIDMTSSAIIAVIDAAIAQHC
RVRTDNGTEFKNKTLANFFDEVGITQLFPTARTPQQNDVVERRNRTLVEAARTMLTFANLPSCRCYLLNDYEDVGKLKAMGDIGVFVGYSKKSVAFRIYNKRTRKIHESVNVNFDEISEMASKQFSLEPGLSNLNETGKSSNPSVSQVSESSKKDLEDLFHNFYDEYFDSSNIMKSSTTNVETSINEEVFHEVSESFQRESSLSSLNDDVQQSPEEVILPQTNTQSISNNMIPNGDEASTSHNVFNELLEIKDSLLQSLLTKCVQESRAKMIFNKNIFRTQKYNDI
MKGLCVSFLSLLCGVFCGIKTGCNKSGQFLLAFTGFISDTSTGHLLDILKKESVKGVFYVDLQSVKTAKDKMLLSQMASEGHDVGLEIVAECKETKMADVKKKIAQSVSDLEKITGVGVKYATIAFGKTDGIMAAEALGLYVHRGTVDISLGTAKKEASFFGTLKKEIEGKQREKSFIATGIICDKADPVFWSKLFDIVRAEKYSVCSMAECVDREGMKVKYKKGLDNAEGSSGTRRRLFVASCSVLFLLMVVSL
MVTPAVSRALLLVAAAALGAAQEKPRPSGLTEHVNVDLVQLNFLATDRKGRPVSDLRANEVEISEGGVPQAVALLQRYYLPKSASGEPGSAVPPPEPLAPAPARSVTPGRWIALVVDNYATTTPTAIRAIEALRKFLETGLAPGDQVALIHFAGKIDVLQGFTTDKERLERACDTAVSVTNRAVEDRFGSLDGLISDMTSCRGRSNSLGCVEKYAAAYQDARFREADALMTALTTIVRSLAPIPDIKTLVLFSEGFSRDPAGDAQDAARVSLGARAPQLVIAGSGTEELDAEYEKLSEAAASAKVSIFTVNPGGAAKNSLVSARRGGFIDEASGALQIDPFRRSEQNRQAGLADLAHRTGGTATQGTDAFRDLQGVVNLSAGLYTVAYYPRGDIPISRLRDVRIRVLRKGVRVEGRRELPRPESYPPLTGELTLAPDPCSDRGRRAVVMKLRLDRSRLLFEDLEGKRSANFSVFIRLLVGDAAEPAFQDYRFLNITNTAKEFDSHAEPDPVIEETLTLPCKAVTVAITASDAGSGARGEFTAALLP
MKRKTRKTCEHIRAGELSPHNECDPCNDMQAHLDLLAAHLSDNIRPEGEGILWPTAEIFYEYQGWVHIVPTEDALGPAVVTRLNRAAPDGNWHIAATPGWDGTLSIPLEVSVAGDTIWTPNLEVCWTGDLDKDTQIWANAIRSVWPRILRILRGPSIRDVAPKTPKGSRGGKGPSIRLFDLDRYLDL
MAQESWNREKEETGCQVPEGLIRCANNCGFFGSPATMSLCSKCYREFVLLNSPKSSFDKPQQQQIQGEVSIPRPDVGDEEIRSKYLAVDGSGPSCSTAAHQLEPQQQQNPPPPPPRQTNRCFSCRKRVGLTGFRCRCGDMFCALHRYSDKHNCTYDYKTAGREAIAKANPLVKADKILRF
MLGPIFDSDRFHSYESCSLGVITNVISKFSGINDEDFSILWTTGCQRKLQCINDEDFIILWTVGRQRKLHVRRKAASGSLWTEKMESSKETSCRAPEDPVLCINDCDFFGSAAMMNICSKFPKDMILLKQENAKLAASSSKDVVRRSSSSDESELAVAGAAVASADFASQISQVKSKEGLKKFRTCRKCVGLTGFSCKYGDLCCAVHHYSDKHNCPFDYKNVGRNAISKENPIIIAKKPIKI
MSHECPGDQFEQEANQARLCSAGCGFFANPACLDMCSKCYRERTAEDERTAANGKAAAAALNSSRMDIGKPASPVLPPPRPAPEPVPSADEPKMEAMRAIEEPVQEEAHAPTTSASAEETSEEEKRPAQKNPGRCFSCNKRVGLTGFKCRCDYVFCAAHRYAEAHECKFDYKSAGRQQLAKNNPLVQAAKIDKL
MGDFPSASCSPFLAVSLSLLPPTFPRREAPPHRAEPSSSRFQSPHASSASATAVAVAASTRITVEMEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKEEQAKLAASSIDCIVNGCDGGKEPIVGGCTAVAVAHVEAKMLVVQPTDVAGTSKDVAAIPKVKEEGPNRCATCRKRVGLTGFNCRCGNMYCALHRYSDKHECQFDYRTAARDAIAKANPVVKAEKLDKI
MTSFGYLLVSLNSLPQPISCLSNVTWFIANRSKEYSSVSLLSSLRRSRCVDNMEHDETGCQPPPEGPILCINNCGFFGSAANMNMCSKCYKDMVLKQEQAKLAVSSIENLVNGSSAGEKGMVMVDPVDVQPDTIEAQSIALPSSQTSSSSDMPDVKAKVGPNRCDNCKKKVGITGFKCRCGNLYCGAHRYSDKHDCLFDYRSAGQDEIAKANPVVKAEKLDKI
MNHRSEFEYENAGIDLICRGRAWQLANASCRGPEVPKCAIFHELQRKWNRQTDSRSCGILKCSKTESFPTPPTQPPHAALRPYQDTFSSPLKLLQLSLELSGSGNILKFWVILRLICQKALHFLIYRIFAIMESSKETGCQAPECPILCINNCGFFGTAATMNMCSKCHKDTIMKQQHTKLADSSIKNLVNGVSGSNVKEPVMTDATDVHAGAVESKAASKHTSSDLYSGQSSEVKMKDGPNRCTACHKRVGLTGFNCRCGNLFCSVHRYTDKHDCPFDYRMAAQDVIAKANPTVKAEKLDKI
MQQERESAELCLNGCGFFGAPGSGGMCSVCWKKTMSDRQAASVASPRAAEQKVIEAAPLETLTAAIAEPADNNSAVLIEETAEKPAEKLEQKNKKRCWECKKKVGLTAIECRCGYVFCTSHRFEDQHSCSFDFKSADRAELARRNPGGGEFSKLEKLYQTRLKTKDEGERAGTAVSGGSALVDVILGRRLRISQRAERRGHRDSQKTA
MTDNQRTPLLCANGCGFFGNPTTRDLCSKCYREVVKTEQDTAVEKREEQQAASPASAASNSTGSEPPKEPQEAVEPMTDVGEEAKPAAESVQEAPAEAPAAASVEQSKDVDMTDASAPAEASGAKAPEEKAEAAASTEGSQAPAPAEASAEAEQPKVEEPPARPVQENKKRCWKCNKKTGLLGFECRCGYSFCGEHRYAEAHSCDFDYKSFGRNLLQTANPEVVGEKVTKF
MDRNSLREFFHKKVWQVNCDRDGQGRSYGWHDKHWNDLMEAIDQYADARSAPPQSMVPSKSSALVWAGKEAVKADPSNWDDELSWRLMNEERHRGFGRTEIEAVVDAMRNDRLTWDVTPYLKPSGEVDWVTVLKDIHHGAMSEFIRTPYGVLFMDCG
MTVAERKKSNPAIAAAVILIGFGVLAYFVPSIMLALGERSQIAAIIFPIVFVLGFFAIFWLRSKRQNKD
MYSLGMSSDTQLNEKEILTDMLQGAKHMAACYINAALECSNDVTRESLAKLAREKVRDAGLIYKVMSQKGWYKVEPAKDVRKSTSINPDNIQ
ILSWCSSRHNADLSEATLITTPGVVSGIGAVINALTDENDGILIMEPVYHPFRRMIEVNKRRTVVSELSLNDGYYTLNMKDIEEKIVNEAVKMVIFCTPANPIGRVWTIQELKQVADVCQKHGVILISDEIHMDFVFPQAKHHMLVTLNPAYRDFVITLISASKTFNLADTHTSQLFVYNQTYVEKIEQIYARLGITRQSGFALEAQAAAYRNGHQYVDDVNAVILENKKIVETILEPTKIKITKSEGLYLLWLDFRAYKKDVNDIMDLLLNKAHVWLINGEVFGKSGTGFFRMNIASDPMLVKEACTRMAAVFNNLI
MLGTPNAGSPLADSANICMPATLDIRSGANATKAQMNPNVKYYIIAGDWLHDFGGSPLIPGPDDGLVAVSSVESEKYFQSLGRTSHSHAELLGEQEYNMTRNVLVER
MSRRVATPINVPSNAPKRARVSSNIAGSSSTVVPSSSLSSAPVSASASASVPFPVPVSAPVPVSASVASFGSHTGAEAVGAASALTPEMMAAQMQFFAQMWQQQQLQQQQQQHPVQQPQQGQQYVDVAEINRIKKQCSDHIADHFREYLVGRGIKFDTLKSITDKANRKVKNVLRDEVRKHQRFNGLTDSQVFTMIRSKFYYMVDKANGKAVTTPTSACRSRVNAKLLNRRVAYNANAAAYQARFPFAEKILTVDWTSDEENGPEDEDGRTFIVKRPSFRSERVVEFHKELQKGHRELLKKKGAPPTIRRRVQYVEMEFPDKLDHEYYPSWAFSSPALGFPTSVSGPSSSAAANPMP
MKDHWLEAFGFTIGQTVIINAQQWLLIIRIEEPF
MAEDDQSRFLLSLYEGNSRNRHPQKQGTRRCDTRHKWPKSQRGSNGSFELDHAVVKEDQRRLQGYGPVGNKTLVEVIDEWMPEKGGWLSDKELAAVAVFKAELLKQAADSEKKGGYTREAANYFDALSKLETEKSQEIWNASKK
MNVNEIVRIVPTLKVNNRKLNERFYIETLGMKPLLEESAFLSLGDQTGTERLILEEAPSMRTRRVEGLKKLARLLIKVENPSEIEALLSQMKSLPRLFKGNRGYAFEIVSPEEDVILVHAENDVRDLVPLETVPEFYSNTSIKYLSQFEVSMELRLPEGTESLLDPEGVAQVITFTKGQGADLVVENNVTWDLTMLKFLVRNFDLTSLRQKFEKPGYFVPKSEKFFLGKDTNNIELWFEEA
VAEANTASLTVKEALKDSTRIRYLDGHLKYLLKAIQEGVNIKGYYMWAFLDDFEWNSGYTLRFGFTYIDYKNNLRRYLKYSAYWFKKFLLN
MDASKRTGAISRTESPLSDADAATVSDLSAHRRAPTSVADANAAFRAELITDYIAARRTGVWSDEVRLLAEARRYD
MKKLLVSVLIVFAIMVQPFAAAASNEPVIDTSRIDEGVISVSHTAGQRLKVIVARGDKEVIYDLRNDGIAETYPLQLGNGEYTVSVLENTTGNKYRYIKKQTVRLELEDEKQVFLASVQNINWRYDMKAIQKAKELTAGLKSDSDKIKKIYDYIVNSFTYDYDVLASLPTGYVPDIDKILTSGKGICYDFSSVFAAMLRSQGIPVKLVKGYTTNVEGYHAWNEVYNSETGEWIVVDTTYDVVIKAAGRAVSMAKETAHYSKVYEY
MRKILVASLLTLSLICTSGFAFADAAIVYDFDQAETGVVRVKLDDRYEADTIKIMVAYEGQNPEFYDYDRFAEFESYGIDEGIGTYQIGIYQNTSGNSYRSIGMKTVEVDELDSLLPYLTSVQSIRWDAEDEAAELALALTEGIESDWAKVQAVHRYMIENITYDYDKAKAVQTGYLPNNAATLENGNGICYDYSSLMASMLRSLNIPTKLVMGYSNTKDVYHAWNEVYDAEREEWILIDSTFDASLYQHGFAVEMERDLAEYRVEKVY
MKVASRVSGTPIWRIRQRRLPRTNGTKTLHTLVDQDIGRSAVHPCRLNTLAYRFIECHKEFRWIPHITTPFPPKECYASGMFSGILKKAKLCRPPSFLASVARDASNAWSCKSFVVKARVVMKRRSPLPIIINLTD
MRNESSSMKFNALKMARSSKEGKAKSTHVNDTATGPLGIIDEINFTIAKAKHNKAYVEKFASITNRLSKVLNGADSAFIRQSEFYSDLKETLQKIWHHINERASQSKLIKKLLMGKDQKMYHEIERHVDQLITRIVFAFAQRVQVHKSE
MSAIDTVATDVILRDGSTLRLRAPRTEDEEALLDFFASLSDRSRYLRFHGFPSLQPKLVRPFLDPDWEERGALAGWLEGRMVALANFVRLRDPRRAEVAFTVADDHQGRGIGTRLLERLASLAADAGIEQFVAEVLPDNRNMLVVFRDAGFDVTRELESGSVEVRFPIASTAHYRAQVAERDHVAVRASLRPFFEPSCVAVIGASPRRGSIGGELFRNLLAGDFTGAXXXAAPTRSRAYAGIGGSPTSPTTSTSSSSASRASTSSTRRRRRSGPACAPSSSSPPASPRSARRAGPGRSGCSSSCARTARA
MRNYQQKIKSNIFIMAVFAIMLLGGGQLFGQTIRIASMAPQDSPWGRTLNRIAAEWHEISGGRITLQIYHGGVAGNEADVLRKIKINQLQGGVLTSSGMNSVTNKMLALSIPMQMRSKEEFLYVFDHVRPQLEASLREERLHVAGWTFGGWVYFFSRDPIESPESLRGSKLSVTPTEPDMQRAFQLMGYSPVPISTNEILTALNSGMVDSFFTSPLAAASFQFFAIANNMLNIPVAPFLGSVVISTRVWDR
NERTQSLRAFAQQFNSARTQALSAAARIRLAAQGVTLAQSNLDASVARYRAGEAQIIEVTDAQTSLAAQRLAFFQALFDYQLALARLRQAAGQ
MHSRVFSSSLYAIVASLVLLFVIPAHADDRGFNRSSFWPLKVRDLTADDFFSVEKAISYWRDLKTHEAFIAVGKQCDAGPAAKWERKFKAATVGGKKVTYSEEFKALPEAEQKIIKDCYDFQQIAYFFSDFHDGWSKFHVQNKGSGVWNDNAGWEGCNRDPAMALLKTDCNSAPDWRGPIRRKRDEDWRAHMASQKK
MISVLTNIVKKANKEDKCSTLLAFLNDKLSLLSILLKKCFNYSNFTLKTGISDLYKTVSVTPIW
EWPIGLGPSEDHQPRYVYGISTPETAKFAAVMALAARVYQEDQPELANHYQVAARQAWTFLERHPAMMVDEFEGDNSGSGPYLYSEWDRERSLTTDIDDRLWAGTELAITTSDLNYDTEIDRYLNTFDYSLFEWKDPSPLGLVNYVLSSSETATSNVIEQIKQKLLARADGLLKKMNENPYRVANDRYIWGSNKMVAEEGLTLVYAYRWTGDRAYYDAAIDQLDYLLGRNPFDQTFVTGIGTHPVQHTNHLFAKAKKIYIPGLMVGGPNADAQDNIAPKEQGMLSYIDSEDSYATNEYAIDYNASLIALITELNTL
RHIGIVGYGVGWMSICLVIVDMAHVNSEVKKYKTAPLQHRDLLEKLFGSLSATGILHGLQVVCQMYNLEGHIASKCPWVYTKCKKATCNGIMKLMIFLTENNYERKFLKCQHSICGSFQWLLDVVNQAKGAEGGSSSSNGYFGCGQSNHWVRDCHCVRFPCTAHGSNHLMKIFTSKTQQSFGQKFIKCEDPICNNFIWLTDAIRE
MGEPLSPDRVFDFPKDELEPHPACDFFAPRPLHGYAGNPNNNNRWIKVGVPLLKELGVVADEPMVASIADEIAKPVDEAEEQ
MKAAVLVRTGSTDDLKKNYILEEVPLPEINENEVLIQVKAASLNHRDLWITNGKYSKIKLPVILGSDCSGIVYAKGKNADKIKEGDEVIINPGINWGNNEEFQGRDFSIFGMPENGTLAEFVKVRFEYIYKKPEHLNFSEASAIPLAGVTAYRGLFVKAGITENDRILITGIGGGVACTAFKFALGIGAKVFITSSDERKINKALNLGALSGVNYKNENWAEDLADKSQKSIDIVFDSAGGFDFSKFIQLCSNGGRIVSYGASIGDSGNINLHKLYWKQLKIFGTTMGSQNDFMRMLGFVNEFKIKPVVDSEFVLDDIHKAFQRMSNSEQFGKIIVLP
MLASALTKRDGRTVLETRRFPDPAVGPGRALVEVRAAALNHLDLYSRALHEAEKSAAEKIIGSDAAGVVREVGPGVEDMVPGVEVVLNPGLSCGSCELCMAGEESECPGFDLVGRGVPGTYAELVVVPASNLAPKPAHLSFEQAAALPLAHLTAWRMTMNRGRLEPGEKVLIHGIGGGVALAALQLAKLAGAEVIVTSSDDTKLERARELGASHTINYEQTPDVAKAVREMTGSKGVDLVIDT
MGEIVMKTLEPKVQTFPLNRVIFDLDNTLINAENIKAALFQELDTFGISSTQAKTIYENTKKQNAENVFTLDMFVKLTTEAHLSRTQETVSVQKLQKKLKKIAEHSVVPYSQEILTDCVKKKRDIYLLSSGDQDWQMKKILWTGLAKFFKRSHIMIVAGDNVFQKKCHAIHKIFGKFFDGSHTLFVNDRPDETKVILESFPHIVCFLRYEERNTRFPRETFNALQQSFPKRVLVDTSLQPIQTLEAEINENLK
MRLGPGSQADPLTGEILPPQPQQFGKGAPPMFRNARTVDRRVFRDVLLTGLENHVSFGKEFSHYTTNNSDSITITFSDTTSATGTLLIGADGVHSRVRKQFLPSHIPLDTGGRIIYGKTPLPPKLTDTFPPEMLKSMSIIRDPHGPIPSTTLLEPITFKLPTASSTPLPHSIALPHPYIYWNPISLPTPPLHPLFTHQSPSQTSLLPISTHPSPLPTWPTVPTITLLGDAAHAMPPTGASGCVTALRDAGNLVRLLEERGVKDGIKIYEEEMREYGSATIERSLEAAVKMFGFKAREEWRNVE
TIIKYGREFPQTRPYTPTTHPVTFPPHFNGRSDVNRTIVTMTFLLLARDVNCFKLFAS
MIEWMQKHKKSLIPTIWISTIAFVGAGFVGWGAYDMNANRAGSIARVGQISITNQELNIKYSELYNRLSAMSDGQFTQEQAKNMHLDQIAVDQLIGEAYFLNFAKDLGVQASDKDVAEFVLNSPNFQENGAFSKELYNNVVKNSGLTKKEFERNLQKALTLEKLGKALKITPSPKIVEAFAASQLMQDKVSAEIIYADANVTFSDDELKKFWEGEKSHFMTEKKYTLGAYFVAPSKADVNETELSKFYEEHRGEYRSLD
MREYVLASRQKDIFHNWPFPDKFLQIFLKHGIDNVLPPFDPHLLAIQSLRGNHLRKNCSQQNKENAGGSYDNLHQITVEEEQILKDECNLKSGETISNICCPDFPLSSSSNTSKHEENDPHLSSDVTSNDIVSRDQPSANIPSSFLHVPDLCDNTVSSPKGLQLKCIMDKGKEKHRKNSVTDVLAEAQPCRKEDPCRIGRSHYGLRKRPHEHSVKARKKITRVEHGIEGRNQDTKEHCIERRNFFTKAEGSCESEVTEEGLNDKLQADDSDGAEIRMLSSTRWVVRFKIRGSSSTSKI
MAGLTGEEAKKQLVESLKQEATTQALALQQEIIDDAKQKANKEARKIIIQSIQRTAAEQAIENSITVFNLESDEIKGQIIGREGRNIRAIEAATGVDLIVDDTPKPSSCHPSIPCAAKLPA
MANKSNYYPRTLRLQSREVQMYAEADYSEGTTTYMWIMSKIQELAQSIVDEEKSRLAASISDSPKHLN
MVTFFSVLLILVGLNAFLLLFSVNSNKKRIEKKDINLPEISTENIYPLNILETKYKKAV
MDECKLISNVFKSLAHPIRLRIVKLLSEKELSVYEIVERLGTSQSSISQHLKILEENGIIVKKKRGNSVYCILKYQCVLELLSHGKRIISQELNEAHDIIKNS
MDPTKEEIKIAANLLKAISHPVRLRIVKVLAEQNSCNVSFLQDCMGLPQSTVSTHLQKLRTFGVVGVKRKSTEVAYSLNNQQVKQIITLLFPKYVTHHDDM
MEANLKNNIESNPSKSEEKTLQIDVETLERAAFILKTVAHPVRLGILKLLEKEERLSVNQICEGLEVEQSLTSHHLSNMKLKGILFSKREGKNVYYSLRERNILNLFKCLEGCDCIMF
MPDYNFWADLLDTWQASSDWIKALTILTPPASLIGILALQLWYRLQVKGLGIGMATGLGTGAIHRPPAAYAPGEPVGEQVLGALIDLRNDLQSGLRSGGTRSLPAPTATQGEDGQSDLKSRLRRIITDEYHRGSSPEEALRRARDILDRQDGD
MAISVLQGFTPNNRSNKHDLNLKTYNTIAIESLVVEHGMTNNMADSTRTRPVVTNSAVGIASVLPAFLFAQDLRVTAMDNFQIDWVENG
MHLGAARFGDSAIRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAVRRFGGSAIQRLSGSATRRLGGAAIQRFGSAATRQPPRTAYALPHPLTPPARRPPQRACAPPAA
MSNKTPLTAKTNKLLGGKAPSEYLANLEAQGMSRQRIDEILRSHLIEPETLRNDDFEGFFEARTQALLAMIGKAMGKSSTVEASEGLANGKAQQNGFYSHNGMGELS
MPESGEFIDIGLVLHERSGLLADIRHAVQTRLHASAADSEIHRTIVRMNDRVSHRQRAAGDESFLGGCVAGAIGDYVHGVDFTPTPVKNVHGILILGGELRTVTESCASG
MSGVRATRVVGTVAVVAAVAGSWAVLGPLAPGRDVAMPAAGASAEDVVEAYLDAIDGHDCATARALWVPERRDDVARWCGAVEDLGEFEVRDASDSSGTAPGATPGTVEVVVLASYDLDWRAFQDDADPPEGDTVRGYHVVRSGPGDPWRIAEEEARG
MAETKFPESQQPTVADLITSISNSARSNDIDSSSTKIDFLLSTLNGTYRNFMLNIEKATLSFITSGQRGPYSFGPMNSFNRLIVHNIAAYYNLDHVVMNEENKNSNNQNSGTTSVKAVHIYNNESKFNALPDPLLVLENKHVNGTLEIDKNNIPVDAYQSNLQAQNALNKQTYQAINSSLYNSSNVGINNISKDQFIQPVSENGFDASQDELLCSALSDVRNRLFLCQLEESVIAFVNNKKASTRTLTSLNSFYRLLAHKIAEYYGLARITNVEANNSVIIEKTQFTGLRKKLTDYDIKVKESVQSTLNLSAIDLVSDVTKNTNSGAKVLSNGLDSGSTTETTEKEDLISEDEILRNALFSETTRMFLLRLEMSFITFIKTDRKSRKDKKKENEIEGKPNYQDNSLTLDPMNSFCRLLAHKLADIYRLTHISTIDGESVVISINDMTKIPKKKLVDYKEKTKKEKKDKGEKSTKKNKKSPSEKKNKKNGEISEKATADGSETDVNETAKEKKKKRKEKDLEKGSSGAGLIFFKRPQSDQNASTESLALSENSDTNGISEVMSSSVSLSSLSGASSPTIINTETTAGNTVNLGSSSNISLTSTYYLSSARSSPAPGIAKGAGSLEEREAAYQKARARIFKDDAYDTNLDDVDDYSNNAAISAEMKREMDEKMMNLNLNTESNINNNSNNTRPNPSLQGGLVSSSLPSLPALTTDSFNNMSPSKFSNNEHNGNKFNPYNSFGPNQAFTVQSQEPFSQLNYRASQQTPTLPYEKQHQNQGQHRSYQGQYNQYSPYYLNPNEKNSSNSFSVPNQYYNPPPVSQPYPSQIFNPPPYFSQDTRQPQSLHHQQQQQYQQFQRQQTPYQTSPLSLNYATGSYNNNNNNNNNNNNSNNNNNNNSNDNSSHRNNSNGNNSHNNNYANSTIKNNFVDNQFINGDPGKFNYNGRQGGGFNNGPQYQSYGNKPYQSNPNFGLPYPSQQ
MATPTQATTEAPQEPDPFYYDYDTVQTVGMTLATILFLLGILIILSKKVKCRKADSSPTCKSCKSELPSSAPGGGGV
MQFATILTFLTVGALASPAVQAPAKEVKCEIVHIDSDADGIDCTLYPSKAVRYNGTDNTIIKHFKEKTSHRFNCYVKGDKVKGIKAWDYANEEGCFVPGYYTDDKCTDDFLGKCAWWVDPPVTGGNGANL
MLIIIGGPGVYMRVTGQVNDPAIDLVGNLAEQFLVKDKYVAAICAAPAILAKADLLRNVKATCYPATELIQILKDHNAIYVDKPVVIDDHVITSQSPETVNDFIALRTS
MGIAVKLENEISCRSKQKICLETADSIVKWLEGHRLPCAHQANGLKLRCTLAMGADAVRAIWRWNLRFPSNFFALNVTIITLICIPIKLPMDLSTKILIPLTDEEDTSHAYVKIMSIIFLNKMIINLLPSLALMDDNQLLSNIVAFGILLITIGLNVGI
MSEIPDHFQSILRKHLPYADAGELADDDDLAGLGLDSMGVVRLLADLEGVYGVALPDELFNEETFATVGSLWRALSVFTEFTEFTEFTEFTEFTEFTETTEFTGFAGFDGSTGPTGSTGFAPFAEPGGFAGLDGFSGFDLPVDAGGEPGDGFKRLADLARESSAGPGASAGQRGGDGTPVDLAVGVEGQPLQ
MAKGAFPASVLNKTQPSMPCPSIRYRPKSGSLLPGEAGHGFFGIVCLYRRNLDDGGSAGIRFLISR
MTTNRAGSYGSVISARDFGAQGLAPDANFDDLQVPEPVTLGLFGLGLLGIGLTRRGRA
MLLLFGHAFTEFLYLSVTSQYSCFFIMAKVPHCFIFQCILSAVFVYINENKISFFLLGCIQ
MSLDYHLADIELDDDEDGLPSDFDNDSHDPNWTGSPVKTAKSMAAAGKDTSPRNIRYREAVAETVRKNLYAVSPDKLRCVITLVGLILAKDFTGNPTDVEACHGIEKGTAQKWLRVLEWYFGLDPSTLNVNSRWNIILLRSDIHKWYDSVGFILIPTDQDVLNAVNEIVAHNSKCQDPRERRHFQSTTILKQQRFSDGEDTGDAILHKYPFNDVCFQSIESHLNPFYALAHARHQINKGMKRGLLNMQEVMLKQANNTVYEALQYVITITENWEAPETIPESFRNAGLKPPTPTPPSGSRRSGTSRTTASVSSSKRGGSLSGSASRARGSGNIGGRSAEKLPSASLVSTSSSRSNNSRS
MSKPKPRSRSARIKPANKRRRLSSPESPEKTFCHIRARQHRMAGDKDATEGFHLCTGTACRTDEVVCSFSELQAVKGQLRRATQQLRRCQMKLAKMTVSANRHKRLDEDIQKLSAREKLIFHQWLMKANAKSPTAVR
MSPGSTTERVKWGLSFSPGEDLALARSFASTTLSTTDMTSDLYWEAVADIYKNQVETRIPRTAKSLLNRSTTLQRVVESDRLAEVMTLYRNTNQVQNTKGDLVPAPVFKSTDAAMLLSQCPKFLSMIGGPSATSRGFCPSPHRDRDARLTAAHAGGMVSGAAGAAAVDAAPAAAAVAVPNAGRATAGVLCATSGAVGGQREVAGATSADGAGAAECLLDADEGAGRDCRRESDNADPESPTTVPDAILDQEYCSGG
MFVGKQTGIFGAVWPCVRLGFARRLRGATWMQVWSVDLLCAIGFTMGLLIGGLSFPGEPERAEEAKIGILAGSFLSTLAGWAMLRLAPCAPSLQDEEERQNAEIAGDGDVMGLEER
MNNVKKIAVMTALAGIFGLAGVSANGVGYVNLDMATKNHPQIQAYNNQVNAVVNKYAPQIQKEVAEAQKITNQAQQQAFINREIVPLQNQQQQEVARVVLPLFQSVGNAAEQVRAKRGLTVVVSATDAVLAIDPKDNNVIDITADVIALLKK
MNKRKREIFLAVSFFLFISFIGCGNKPPKVPVVSGPAEAYQWSAPTFSFSTTDPNKDDVRYIIDWGDETPVETTSEYASGETAYVSHQYIKAQTFQVKAKAMDVKGNIQETWSSPFLITILANERPTKPTIASVIPNLRSVTAGESAYFIASGSDDPDGDSIQYQFIWKKGTVTTGPLMASGGSFLAGYNFPEPGEYNIRAIARDKKGGVSDSSEPFSLTALGEGQVIAAYFDTVVGIGDFRSPAILISGADSILVLSAPMTDEPSYYSLFQLKLGDLGKKRAEGLGEDYVFDGTVAIDEMGNIYLTSDENRLYSRSSNLGPRWRYPESEDSTLDISTAPAIAGNYVVFGTGAQRKVYILNRDNGSLVGMVSVGTGVRSSPVVNNANEIIFADEIGYLWKITTAGVVKWKIYLGGSFEASACLSGNMIYIPNSTTSGKLFAVQDLGDSARKVWEYTSGHSVSSSPVIGTDGYIYFGDDDGYIHAVDPNTGGAKTGYPIQLTDRMGSPVIITSTPAFTQDGVFYIVSYDEGLLFAVNLDGTIRWYKELAIGGKVKRMAYEDVDPSVIIGPDGTIYCPTSDAVFAIKGRSTGTPANTPWPRWRHDNRNTGNVRTSLPR
MRPQIVFRLHQLAGIRLARNVCTSLAEERVTTNELCYEPNDTPKTGLLSSAEIKSAAQRCTNCKKPLKPIATANSSRFLHCTDCNSFYTTSSGESASKWNVPKLNETILRRPPYPREITKYLDKYVIGQDTAKKTLSVGIYQHYRRLANNAVQNVAVTTQELYFTSTNAPPSPNTSGHPEYHHQTEAMMQPAPRATQSLFNLDKEDEIKLDKSNILLIGPSGVGKTYITQVLGQILDVPIALCDCTSMTQAGYVGEDVESVLQKLFINANGNVEKAQRGIVFLDEIDKIASVGESHGGHHSYRDVSGEGVQQALLKIVEGTTANVKVFGRKGPNSAEQITMDTSEILFIGSGAFNGLDKIIGRRLEKKSVGFGTTNQSLSIGADDKDQTVINMKRDKLISQSEQIDVIKFGMVPELVGRFPVLVPFHSLSRADLVRVLNEPKNSLVAQKKHEFAMDGVELEFTPESLEEIAQIALERPTGARALRSVVEKVLLEAKYEVPGTDVNGVLITKECVRGNESYVRRQKATKTPSLRVGMAAS
MAKKGVKFCSFCGMEIDNDTLLLHGIDAAICEHCVERAMEIVSEENYAKPRKKKPFTIKLIKPKEIKARLDEYVIGQEEAKKVISVAVYNHYKRLMHTQKKDEEIELDKSNIILVGETGTGKTLIAQTIAKLLEVPFCIADATVFTEAGYVGEDVESILTRLLQAANYDVDKAERGIVFIDEIDKVARKGGGNPSITRDVSGEGVQQSLLKLLEGAEVNVPPEGGRKHPEQKFTVVNTKNILFIASGAFNSIEHIISDRVNTNPIGYNQKNKIIDKNELLKYLTAQDLKNFGLIPELCGRFPVITHMNPLDKEALIRILQEPKNALIKQYTKLFEYDQIELKFTDDVLEYIADCTIELQLGARGLRSVIEEIMMQAMFDYPSKKVKTVTIDKAYAMKQIEK
MQAPSRRPGRPDRIEQETAFHVQRIPVLAPRAIEERIRAAGYVGQNRAVRAIALGAYRHVAHLRRLHLDDAPRESLPPKAPMLFVGPTGCGKTYLVEILFRDILRLPTVVVDMTGFSETGYVGQDASSILTRLLYAADMDLTLAAIGVVCLDEFDKLSSGQNSAVFAGQGTTKDVSGLGVQRELLKMLESIEMPVPLEYTHADYAPKAIMNTQDVGFIACGAFSGLKAVIERSGKEHIGFGREPLSGDQDRIAVSYSEDEVELARTFMNYGFLPELIGRFKRIVPFSSLTRGELAEILSTRVLEQYVNEFRFEGRELAVSDDVLALVVEEALKKETGARGLEAALARHLEDAAFESWSDPAVRRVHLRVADGRIVHDFG
MKLIPFYRKQGKNIDQYTLFLDKRTKHVYKVYNRDENNILYWVAFVLVLAIFRAVSSIHLPVYHPIAVVAYIMIGLIISITLGILVYKVYYQDLKEVYLTEPELMEYIKRGKNSLKIEVWFTGIMFIFFILTILLFMMNYWIVWYLVNITIGFLLTILISGLPPERFRLSKKSFVDEG
MALKLQAPVVYAKIVYLFFEKRRGKIIVQEGGFYLESNIELSTLLNTMTKGLQIVSESPSL
MGSGRTLGPSGSEQAQDYIGSGYHWVVDLDLEKFFDRVNHDVLMSRIAKRVTDKRALSLIRRFLNAGVMEAGLVRR
MNRLFHTAPIDGSAWEQVLTAAVTVYVIVEEETWLRLQWKRRMRAAASTRKSAHND
MKLAETDLILSNEIINEYHPEISEIYMILAILETYYGRISNNSIQKTYNLNKITLKDIAKIIQNNKWYKNYNSLLGFCFERFVYDSLVSNYSEISNWMYDTLCKLSPIGRGSESFEVVLWGTEKGNEISNSILNERLSIIDSDDWIKYNNGIYFFKPLLSSLVHTNSGKFKNLAKADLFVKLSNSKEWFGVNVKLNIEDLKMEKNLNLPIGIALKTNNLSRYKKMQTNNSNSLIIGEDDLIFVYPKTENFGEKLLHYFNYINLFFEEITRDNTKQIKNFYSWPNSIINLIDYIDEPIINLIKYFASQLKNEGFIPSMYIIEGTGIIFLSNENNLSIINSDDSSNNLEENLLDNLIIT
PHSTAVAVTAASNRAGNPYVQVTPASASPST
SMAIDEISIGFISCGGRANEHMGQFGKFDGVNVVGLCDPDESRVGKALQRFPKAGGYADLRRLLDNESIDAVVVATTNHWHCLAAIWAMEAGKDVYVEKPLSHSQWEGRQTVAAARKYNRICQVGTQQRSDPMQQQIKDFLHKDKALGAIQTARVNRYGVRGPIGKRDTPLEIDKSVD
MIHRSDRRRFFKQAIAVASAAGFSQSAAFSARSKGSAAAPGNKITIACIGVGGRGTANMRGFLQEDDARVVAVCDPYEDKRKKAKALVDKTYGDSACSMHKEYRELLDRKDIQAFMIATQDHWHAVIAVAAARAGKDMYCEKPLGVSVKECQAIRGAVRKHKRVFQTGTQQRSDPRFRLACELARNGYVGKIHTLQVATPGPNYKPSYKGALDPQPTPAGFDFNHWLGPAPEKPFNPGRVGWPDWYLIWDYCAGFIVNWGVHHLDIANWGCPEVGREPFEVECAASYRNEGLTDNVASWKATFTYGSGLR
MALAGSAAGRAFARNDEITLAVVGINGQGSSHLTCFSAVPGVRIKTICEMDERLYGGAEKLARSLGVEKVQFEPDIRRVLEDKDIDAISVATPEQWHSLMVIWACQAGKDVYVEKPVSHNIREGRKAVEAARKYGRIVAAGTQQRSFPHVQEAVRLLRSGVIGEVYMAKGLCFKPRESIGRKPDAPVPQGVHYDLWLGPAPQRPFSENRFHYNWHWFWDYGCGDIGNQGVHEMDTARWGLGKNTHPRKIHSVGGYFAFKSDQETPNTQLATYEWEDGKILQFEVRGLYTNDESRLMIGNLFYGSEGWMHLDIEEKAK
MTSPSRRDFLATTSAVAGAAALAGPASAAKSDANDRIRVAVIGVRNRGKNHIEALAKAAEDDNVEIAAICDCHLEFAEKAADKAEELVGYRPRVEQDLRKIMDDASIDAVTIATPNHWHALATVWACEAGKDVYVEKPGTQNFDEGEKVVAAARQNSRIVQHGTQCRGSANIQEGMQKLKEGVIGRPYMARMINYKFRGKPLGKHSKRPVPKELDWDLWCGPGPLVEYSNFNFYRNNWTWDFGVGDLGNQGVHQLDMVRWGLGLDRNPDRVQAMGGNLVYPDSDIETPNTLACSYEWGNGDDKLMVTAETREGCTNVEGGMGTKYPFVDHKNAVGVIFYGTEGYMQIADYSSYRTFLGRERTPGPYAAVEGAPMMDADHVINWVKCIRSRRKEDLAAEIAEGVLSSNLCHLGNVAYRAGQTLELTGGDFSNKSSLEQYLTRDEYRAPYQMPQVI
MDSFGDYIYLIILAVAGLSGLLKKKKTDSSSTAPSTTKRSWQDVLRELTPVEEETQEIYEEPITKVEPVKVEPVTIMSYETTDDSSKLRAKRNASQITSSNKSYSDQKKVVLAIQPVNEYSINSPEEARRAFIYSEIFNRKY
APINVPVITAPISVPTSISAPDLNAIPTVTDVVARASDIAGTSSAAKSGAGSASGTGRAVSQSTGDVVSASRGDGSAWGEEQVDVAVRQQGAANLKYPDRLRAANVSGTVMMRFIVGANGRVEMSSVKVLDSPHDEFTAAVKDALRSMRFRAAEVRGTKVRQLVEQSFTFRLG
MALFRRFIEPGRLCVVQYGPDEGKLCIVVDIIDGNRILVDGAGVTG
MNRDGKINSKRKNNLSHKVNRNPNFTNMPQELTRKTLYSNKQKKKKVYILSFIILILLGFLYFYKTQVQNNIRKNKAEHYSSTILSNNQSNSSSTKNSFVKELTLTEAELKKWVMAILDLTPPPPTKYILTVDINEDDNLAYVYVGIDQTDGAGTFRVNENGQLEYMPYMGQFSGVDDWILISNKYLDTTLAKEYFKN
MIISASVFVLACVALVPTQAQRQGAAGPAGSGQGASQIAAAGGGGQRGGGGGRADAPLIGPGNLVTGVWGTDPVPVDSRGWGWMTKSYVSANYKRPFYNKAKEMLFSDKQVTSYTISTFDPEFYCEVRKHYGYVWFEMQHSTMSWDNVAKMIAACPGPDGAAPMIRMPDQLES
MGWRTPASCPGTLARNTPLFPGEGGVSRHAPGWLRRLARVPMCTPGARCAPAWDGPVRRVEMADRGRVVRGRTSVGRTWAGLAGAVVVGLIAGQARAADYFYTYAKRPLALGLDASRIAVFDADAPIGAERGAPDAAATEALRARGLDGAEPERLAVRGWASVPLDGAARDAGDIGALTGGLADSGAFDFVSPVFFDEVGPVIITRDLLVGFYPDITRAEAERLIADEGLGEIIDSGFQGQPGVYRVRSRSRNGIEVLDASNRVSMRAEVRYAEPDMIMTTRHEFFPNDPSFGTQWGLHNTGQSGGTPDMDMNAPEAWDTTVGSSTVMVVVIDDGVDLAHPDLNLAPGMDFTGAGTGGGHDPNNACDNHGTLVSGCISARINNSLQGTGVAPGCRVASAKFSTSNVPCDGSGTFQISWMTGAINWAATIGARVTNNSNGFGPNSSVDNAYTTTRNQGVVHFASSGNGGTGSIGYPSSSPSVNAVGAIARTGARASFSQFGPGLDFSAPGQSIFTTARGGGTSTVNGTSFSSPYAAGVAALLFSRNDLLSPADVESILASTAKDLGAGGFDTTFGHGLLDAAAALASVGPAGPPGAFTLALPSDGATNVSRLPTLEWIGAPAAQSYRIELDDDPAFASPMVDDETPLLELTVPEPALAPNTVYFWRVTAMNNLGMTPSTPASFSFRTISDPPASFNLTMPADGATGVGVTPLFTWSAADRAESYRLRIDDDPAFGSPHLDTVTPLTSSGAGSPLAPETVYYWSVEAINPIGTTASTPSVRSFTTQGTPPGAFSLSAPGDAVNIATLTPVLQWTASLGAASYRVVLDDSSGLSSPLLDQSGVTMTSLAVPPGLLQNSVRYFWSVTAINPFGSATSSPSTASFGVLIVPCEGDANDDRIVDFDDITAILSNWLTAGPSGDANHDGAVNFNDITRVLSKWGLPCP
MLSLGILLSLSLQSWSNQSSPTYYYYQGQKVNLPLDYTRLAVKFQIGLATADPVSVVSNTGVQIISAEPTGVNQRYLVTLKTPLSTVAEVDKNIKTLLNSSSIDFASPVFNGVVPGTWVTITPDILLRFKPEFVSNSDLLLSILAPELQIISKDFGNLNGAYKLKSSSKNGFEVLAVANRLATDSHIDWAEPDAQFSGGVALIPNDPGFADIWGILNTGQFGGIVDMDMDGDSAWDHTIGDSTIKVLIIDTGVQQDHPDLNQLPGVDVTSEEPGEGGPVNECDNHGTAVAGCVSAIINNSLGTVGIAPGCKSVSARTFISNLTCDGSWSAEVSWTVDALTWAEGQGVRVTNNSNYYGFTSNAIKTKYESMHAGGIVHFASAGNFASSSIVYPASIPIVNSVAALGDSGTLTWFSNWGLGLDFSAPGIFMLSTDRTGEDGYDPGDYTYFGGTSAASPYSAGVAALVLSQNPGLTSDEVESIMRCSCKDLGPLGYETT
MPCFTAMRAEIALMSGSAFAVTHHAFSSGAGRTSDGNGSHASTLKSPSYTKSVSWQHYPLQEEDNERGVAHFVEHMMFNGTKTWPGNKVIETFESMGLRFGRDVNAYTSYDETVYQVSLPTTQKQNLQQVMAIFSEWSNAATFEKLEVDAERGVITEEWRAHQDAKWRTSQARRPFLLANTRNLDREPIGLMDTVATVTPAQLRQFYQRWYQPNNMTFIVVGDIDSKEALALIKDNLSKLPANKAAENRVWPTKAENHLRFNIINDKENRVNGIALYYRLPMVQVNDEQSFVEQAEWSMLVQLFNQRLQERIQSGELKTISGGTARSVKIAPDYQSLFFRVNARDDNMQDAANALMAELATIDQHGFSAEELDDVKSTRLTWLKNAVDQQAERDLRMLTSRLASSSLNNTPFLSPEETYQLSKRLWQQITVQSLAEKWQQLRKNQDAFWEQMVNNELAAKKALSPAAILALEKEYANKKLAAYIFPGRNLSLTVDADPQAEISSKETLAENLTSLTLSNGAKVILAKSAGEEQKLQIIAVSNKGDLSFPAQQKSLIALANKAVSGSGVGELSSSSLKRWSAENSVTMSSKVSGMNTLLSVSARTNNPEPGFQLINQRITHSTINDNIWASLQNAQIQVMLPTY
MRYVAFTLCVICCVAASARAEDFFPPPWDPTLPNQTYQHWIFPVSPYEPVEQFNPYGPPFIEWPQTAHLEPVEDWKGIEITTWHVGGEPGEISEVNIWVPNNKEPNQYKLLHWQMTSDKSPTPSGDPPTTNPPGTSLPTGNPAIGLGGTWYVYDGLIRIEPNPDGEWLTFKLVACTHIEEIVIRTVCVPEPATMGLAAIGGLLLAIKRRRA
MHTHTHPDINPNPSPSVQLTLQGWGWQIFLSACFLLLLPTHIYICSVSSKEPHHLLLLPPLLPSLLLSFSLSLLNEREIKAFRSFNRPFHSPSVANSSFRRRDHLRLIAFHPLLVRSFGGLARGVSLFFLSPPPPPPFFELFKRPCQEVACGHPQMSSRLGRP
MCGNENGSVGAAPHPAQNANNPATSVYSQVQDFLSNTNRYKIIESTLREGEQFSNAFFTRENKVKIATMLSDFGVDYIELTSPVSSQASYDDCKHICSLGLKAKILTHVRCNMDDAKRAVECGVDGVDLVIGTSSFLREYSHGKSMEMIQKTAIEVIEYVKSQGVEVRFSSEDSFRSDLVDILALYKAVDKAGVNRVGIADTVGGATPRMVYDLVRTLRGK
MPFDQFAIIESTLREGEQFSTATFSTAQKLEIAALLDDFGVEMIEMTSPCASPQSEADIRAVSNLGLNARILTHIRCNRDDAIRALDTGVHGLDIVIGTSPQLMQHSHGKNINQIIDLAADVMCFIREQAPDIILRFSTEDTFRSREADLLRVYLAVADLRMVNRLGVADTVGVAMPQQVYQMVNQLVRLTGLDVEFHGHNDSGCAIANACAALEGGATHIDT
MIIKSMFRKEPSFGQLVEYMSDIDKSDEQYNVYQNLYSRKQDEIEQEFLRNAAYVAKRKNGNYLYHEILSITKAQKLDDKQQKEILRDIAYQYAQKRASENLVFGTLHDDHDNHLHYHLLISANASGESKKTRLAKAQFDKIKKELESRVLEHHPELE
MGDSHLARVRRSLAVINAEVRNLAVGGSTVVDLETQLRELDDAREGREGIVNLSIGTNDAAPWRGISVDTFANGLAGTLTTLGGEDVIYLAPPGVVEERLPADAPWTNAAIDDYRSAALDVCRIHGAQVIRADDIVKPFGPNAFSEDGVHLSGTGYRALLPVINTLSASENPVPQGR
MGQLLVRGLDDRLIQTLRQRAARSGRSVEAEHRALLEQVLGPEAETFADVAARLRAQSPPQSTDSADLLRADRDREQGRDY
GGGINTVLPLVPQIGMMYLFLSFLEDSRLHGARAFVMDRPMHFPDWLTVFLAQGIGGGINTVLPLVPQIGMMYLFLSFLEDSRLHGAR
MKRTVAIVLCFLLMLTGCQKRTADVPQTTTQPQEQSSEPIHAVWVTCYELKQMLCQSGETAFQSAFEMAAKRCEQNGINHIFVQVRPFCDAFYCSDLFEWSSLCRDENGHSPSFDPLKVMVTTAHAHGLKIHAWVNPYRISYEEGKALPMSLSAYESYVGCTANGTYFDPSAGAVRELILNGMREILSGYSVDGIHMDDYFYPTKDEDFDRKSYAEACALGNALSLADWRREQVNFLLRGAYSLVKSYGADKIFSVSPSADMQKNRDEDFADVTVWLKQDGYVDWLIPQAYFGFENEKMPFEQVIQQWENLPKAESVRLVCGLAAYKQGKEDEYAGTGHMEWKQNTDVLTRQRELISSHAKWDGYCLFSYSDSFSE
MLNDNTVIWFGVAMLSVVMFFVSFYEIPRLIRTSLPKRTKVYITISVMGIWGFLMLLALAKAGV
MTQETSDSYCATGADIQQMLSTDVGPVFKMVWDAPNHSPECLEQATREIATLHQNTGNTQQDSSSDDRAKHPRVRTFWLEAMLNIAVDWIKENQEQFHSDNQAHCLIHLIKAGQTNGHTRQKHLHNAVTALTAWRDETTTKGK
RLQFSGRRFLSRSDRYLFQGGLLDLDDGLYILLRRGFLYRHRLLEFNRLFFYGLPEGGRGQSDGGHSQGIFCLL
MTAPTRRRRAVKRGQIALAFKREMARLSTLPGAGDGSGKVTSSSGWRKPISRAARIGQASSIS
MGCVRLAERVVALICCEKLHKIGELDEHLMPVGKETVKYEEELDLHDEEETSVPGRPGSTKRRQCYPKAVSIVNDSSTLDIDFTFMEDIEKSEARIGIPSTKYSKETPFVFRLEDYQDAVIIPRYRNFDQPHRFYVADVYTDLTPLSKFPSPEYETFAEYYKTKYNLDLTNLNQPLLDVDHTSS
EGDRFLQAANACRYWPTGRGIYHNDNKTFLVWCNEEDHLRIISMQMGGDLGQVYRRLVNAVNEIEKRVPFSHHDRLGFLTFCPTNLGTTVRASVHIKLPKLAANREKLEEVAGKYSLQVRGTRGEHTEAEGGVYDISNKRRMGLTEFQAV
MRKINKIYITVTFFLLALIVTVVFFYFKNNYNGNNTFVTGFKLSTVSVIPTDAIAVLHFNRISNSIDFLSDTSHMFPPLYPSSSPVGNLINLSVYRGVSQLTVSFHYSAKNEMSPLVILYFDSDSSFTSNSSYILRKMSAIKESSYNGVDILAGGGMKFFKRGRMVVASNSLIILQSSIRHVKSGASIMDNSNFKEIVASTPNGDHMCFFNIQQFGKIFSSFTEYPYWKYADFFSKISSWCSFKYEINGSGVYLSGDMYNTRGRRDFATIFQYAEAGSSQLSDVLPYNTLVALSISVKDFDKLLNSFYEYKRSYKVIDPSLFENDKQWFMSQNPEELVLALLPYKSSYRWVTLIRSN
MPHNGPLREQTTHRFPYKHHSIRKAEEDAHTFSETNVTPALLIAAALFKRLPDEDQREIMATVNAQMLGRPTQASQALLVMKMQRPMLFGEQWDFMNAVDRLRGDFSRGEGR
MRYYTATSSGQLPSGSSPYDRDTRSGTEAHAWYLDDKIDIGNWTITPGMRFEHIESYQNNAITGTHEEVSYNAPLPALNVLYHLTDSWNLYANTEGSFGTVQYSQIGKAVQSGNVEPEKARTWELGTRYDDGALTAEMGLFLINFNNQYDSNQTNDTVTARGKTRHTGLETQARYDLGTLTPTLDNVSIYASYAYVNAEIREKGDTYGNLVPFSPKHKGTLGVDYKPGNWTFNLNSDFQSSQFADNANTVKESADGSTGRIPGFMLWGARVAYDFGPQMADLNLAFGVKNISTRTTSSALMTTTTKASMQASRARCICRGR
MYSNTYFSRAAVSVVAGALIAIGAHGSAWSTTGSMPAADAVATGHSCDVVVVANTLCQSGQHRHGTAPTVGRAYLDLNPRPTA
MNSYTFLLSDVTKSRALAYMTLLQSTRMPGSYLAAALEGIDIKALLISNDLQQGPSRRSGVA
MKPYGSPTIRHDVAVFDKIFGLPPINLSVICPQGCPTFNPKSSNEVGWSFETTLDVEYSHAMAPGAKIDLVIASTNFGDAINNAEQFALDNHLGVIWSMSFGAPECGFRGDNSQFLQSQRIFSEAVSQGVTLVASAGDSGAQEGCPFPSPLYPSSNPLVLAVGGTHLNVGPNGQYVSETAWNDEEDQFLLEQGVSFPYATGGAPSIFFASPSYQEGLSITPFDCAQSSITSCTVGAPFTPTTRVTSDVSYDADLDGGVFVYWSAIPTQAGFYIVGGTSAGAPQWSAALAIAYQYSHIAPGLINPYLYQLMGTPAFHDVTQGSNTLRPGQGFLSTPGYDPPTGLGSPNVGYLVVELAHLLT
MILEPKKPSRQSPLPLILLFSLIITISASSGLEVFAHSSSATYYQHKAVPGVLYRSLGQGHPYDLIELCQQKMAPKRCYGVKQMQHAYDIAPLLAHNITGKGRTIVLLDAYQSPHLANDLAAFNKLFDLPTPALTVVSPYGVPDWDSNSKTQQAWSAEITLDVEWAHAIAPDAAITVVEAKSENDEDLVPALQYIVDKDLGDIVSMSFGEGENCPKGNWFSAWHAALVAATKKNMTLIAASGDNGGAQQGCDDKSWQKTVSTPASDTLVTSVGGTILDADVVTGAYRGETVWNEPTTQSASGGGFSTAVSRPPYQLDAVLPDVGRGLPDVAYNSSMNRAVLSVWSDGPAGPHGLYLFGGTSAGAPQWAGIIALACQAANKRIGALNPMLYTIGKKPDWYKIAFHDIVSGNNTLDIGTPGSGIQTFKGYEARPGWDAATGWGSPDVANLIPRLVQLAKAQ
MKIAAAALLLAPHVAVFPDVTALHHLVQSGSLLASHGVVATCDVCDSEVVTVAQGSLVPLATPVPIGYSAAELAAAYHLPDASVGSSGTVAIIDGGGYPDVESDLAHYRTEFGLSPCTSASGCFKVVDYQGGPPLQPSGSEEEKQLSAETALDVEMVSAACPSCKILLVQAPAADFYLSALHPAAAAVPSIAKAASVALGLGASAVSMSFGYPSTKDIDTGSVSSVFSHPGVAFVASSGDSGYLGTVHGFWPQNLPSVVSVGGTALYQSDTAFSSAAWNQAGSGCESDLPPAHGQPTSVSAFCSGHRAATDVSAIADPATGVAVYDSYGIGGWFVAGGTSAAAPFIAAMFARAGHTATVDGPSTLYSAPATAFTDVSSGQNGAAHSCQTSAPQLCVSGPGWDGPTGIGTPNGLAGF
MAGLIMGALGGAGQAAQRIGENMQRGAIEEDLVRVRSEVEQERAVALEKARLQMGDQQRQQTLQRRQEAKTGIINTAIAEKYADAKPADPSTWTAEQQAAVDQSKALDRKTMENDVNVDVRAALQTGEISPKDAAALSQRDAANETRMAIAELRNQAFQARTEAQLQAALARLEAGIAKAGGGNTDFDKKINLLKKGGLSDREIANFIIERKQPSLEDLAANFMKADPRAGTSKAMTPEQAMDKARQLRSLTRDLETGEEEPGPATPAATAAPANRRPLSNFMK
MTDIQVLVAAEPAESAEPSEPAGPGARTLRPAVDDTVLRGLLRGTVFAARQDDEEQAGSGHGRRPSANCRIAMQYLD
TILVLFPDFQWPSQHFMANYAAHVDGILSLAEYGISEEEARRTLFWLRRCPVFMFPQVMTLSGVDAVAGKLVARKHGTCEMDDSPCITTICPDDNFHVLPVKAQRGNRAMSVEHHVLQDLIDGPVSMCEVASIVDHSNREAVCTALIVK
MLAAAAADLAGVGSALNAANAAAGTPTATVLAAGAMRCRGRLRRCSPGMRSGIRR
MYIYRIYLQSFFFMFCFCLLSLYPAPSDAYCATLFSLTFHKHNLFSLILIHYQSPSPSINCLVSYSCCYLLMFLFPN
MVAQRPHIAKQHFDFTRFSSIGRALIVGVIAGIVVSIFRFSIEQGLRFVQWVYAQIHTNWLLLFPWIGLSVAVAFFVGWLVKNEPNIKGSGIPQVEGQLAGELDYSWWSVLWRKFVGGILGIGSGLFLGREGPSIQLGATIGQGVASKLKQTGSDRRSLIAGGAAAGLSAAFNAPIASTLFVLEEVYHNFSPIIWTTALVSAMASNFISLNFFWPCTSITHSVRP
MELKHKTNTYKTLFHWHSFRLRLVVEGIGIGITADLLIVLYRYALEKAGILLNYIYKSISSNYILALPWILALIVIGYIVGLIVKYEPMIGGNGIPQVEGVLLRKLDMTWWKVILGKSLGGVIFIGSGLSLGIEGPSVQLGAAVGQGFSKV
MSDAPAAVPNEVSPSTIVSPGNAIAGHLARLGLRTDFDEDRFFLILSIFIGVFSGLAVVCFRVAIDWSRIALLGPLPHPGALRIIGAPTAVGLLVAVLVIHFFPAVRGSGVNQTKAALYIFNGFIPFKNAIGKFICSALAIGSGQSLGPEDPSLQIGASLASALGRRLELSREKLRLLAPVGAAAGLAAAFNAPISAVLFVIEEVIGRWSAGILGSVVLSAISSVVVMRWFLGADALFRIPVTAFVHPGELIAYAGLGVAGAFAAAIFSRAIGYFRPRLRALPRWTQYLQPAIAGLLIGLIGWLGFPQVMGAGYEFMD
MSASSEPEFPKTTAQCTFSAFTNLQQRPSLLTDIRLIHLKPMLERVEFLGGMEGSITRMLVSQFTQILISRGEFVCRFGERGSDMFFIFAGVVDVLLPSENNNDAATPIANANWSGRICVHRRGSHPSVQVGPSANDITRGELPKKSEGGYHKVNEHKDGDFFGDHGLFTNGTRNAHVQAQTSCILYNLSRESMELVFARYPEWKWKVLPTSLVLSRADMMNERAERVKEKMAQVRWTRAHSLQSSLDHLAVVNKFKKIIIRPLSKELSSVTRGVPVQSPLHIFWLRLMVFCTVYIAIITPYQLAIDPMDRLTVTTTIVNVLTLISEAAFVVDVWFSWHVKESKTTMELYEQNVYKKERMLFDVIAASPFYGFLTVFNCSSRLKLLRCFKMLNLMGYLDELSRSRVANELTKFSQVSLVYLLVVYWAACAYLTVAMEIGFGSEWESWLPSKALEISDPQNPSSAQLMLRLLRGLFFATTAFVKKARNIAPDSASAYAFQIAMSFIGLLTMSFVIGELASLFISRSSLEVGFRKNHITVKMYLERVRVSDKLKARTCAFMATLWASHAGLNYDELFADMPREIRSACVLHAVKIPLNWFVMKVIGPICGDADANVEAFALSLAEHLHFEAYSRNEDVITEGRIIRAMYFVTKGFLNMQSSSLLDRPVGLRDGSYFGERGLLDCTISAYTVTTARACDLFL
VSKLPEFLNAKRLIDLGGGHGLFGIGFAQENPQLEVVVFDQPGVTDITQEYINEYGMQDRVKTMTGDYTKDDIGSNYDIAFEACSFGGNVDEAKSFYQRVCNALNDKGLFITQTFTINDDRTAPLSSLIWDLKEQMTGHSHMHIRTNGELFDIFEKVGLAGERVIDMSESSTMPMRTIIARKKA
MKTVFPIKEYHVEGRKIPLVESPLLEGIEGLRHGFSTRLGGVSEQHLSALNLGFSLGDEKERVIENYRLLAGALGVSAEDFVLSKQSHSVHVLQVGKKDRGKGIFSERDYQDVDGMITEEEDVVLSVFSADCVPILLYD
MQSVVQKETIVLQARFHAPSTIFIDEIDSLCSRRGSDSEHEASRRVKSELLIQMDGGWLLDVALGGCHSGVVFDAGITNNEDPAKVVMVLAATNFPWDIDEALRRRLEKRIYIPLPNSAGREALLRINLKDVEVCPELDINLIAEQLDGYSGADSPMSAEEIRNLTKEELELPVSRDDFEEAIRKINKSVSREDLEKYEKWMSEFGST
MLEVSGASINDMYVGQSEKNVRALFSLAKKLSPLVIFIDEADALFAARGQSRSRPSHRETINQFLREWDGMSDTKAFIMVATNRPFDLDDAVLRRLPRKILVDLPLQEDRESILRILLKGEQLDASVSIEDIARRTVLYSGSDVKNLTVAAAMAAVQEELEQAALHTGSEPYVYPERRTLLKRHFDKASGEIAASISEDMDSLKSIRKFDQKYGDQRSRNHKPKTMGFGIMPTPTDAEDARVRPAVPA
MYEKEHIPYHNSIGHGCNLLKGSSSIPSESRNFQGWCH
MEGRGEGGRGLVEERDYLCNTHQGLFRVGMDRLLLFFIVLSIAGATVLEELVGSKGANQVIKLKANYNGKYLSGACFAGADKCDEIIGIKMVDGSPGPAEYEHFTFEEVNDKQVALKTFNGKFVKQGVYGSAAIRSERNALTPVKNADGTWSFESSLGKWLSAHRQDGIVYFMPSNLNHNGVDSLYCRSSTYDLDGFAEHGKLKVVVTVQVTETTGIPEPISIDFSKGRIDVDIKLLIDGEGIYLNKKVLMEAPPYFNRVLTAPNEMFDGRCLRIKDSYEKSFALNYRIQRMLQLFSHQCHQNLSEAKDNIMLNRINNDSNGEGGTTS
MLYYILIYSLYIEYISQYDSDYTGIEQIILKSPYAFSYRREDEDEFTIGTEYILNSNTYNTHILNSNTYNTHNNLTIKEYLRDNYEYINNFCFYIIIHSITSNNCILKHNNKSLKCITNTDVNSCISVCIKIELYIKQVFIQQSTIENATESAENLLRAGLYCKIFKVEDAYVNIENKEEPKDMRGIYGLLLEEAEE
ADDGVMPQTIEAINHSKAANVPIIVAINKIDKPGANPERVIGELAEHGVISTAWGGESEFVEISAKFNQNIDELLETVLLVAEIQELKADPTVRAIGTVIEAHM
MARGIFSKYLAEKLNCDIDQLGKMGYKIFSAGTLGMTGVSASTEAVKSCAAKGINIRPHKSEALTRELVEQCDFIFAMEQMHRDRVIALDQHAAEKCVLLAESDIADPIGQPQQVYDDCAELIEKAVKKRISELVL
MSNQSLGNRLRVLRKEKGLTMVQLGKIIDAPQSAISQWENGINQPNRDRLKKLADLYQISVNELLHGTQKEIINSFDLISEQALKEFTEIKSANGDKLSQSRVNDLRIVLERMKKEFVENEIYKDTRYTPEFIKEQILNVLQQETWNGERNDFGAYSYLKTLAVTFQIKIGEYLGNAFTIEAIENGKISDSVILEFKELSESLNEKERHYLKMLDNLNSDKQDKKD
MPAGTTPRQVFAAARSGDARGQAIVHRLARSLARDTVFRRVTGVGRTTVDR
ASSSNEAVHLQQRLRSLSTELVTLRNRLHVNQPAAHATSTAPAPAPAAVTVQQPAVPPRSAVNATPHPNSADTIISTKCRLSEKKHLHHHHQQQQQQPVQTDPSPPTAVTLKSAVQKNEQPKQQQQQVAMRAVGCAELEDLIHLPGPLTEDAVLKCLQARFCASHFFHMSDITHSLPSDNVAKLHPTIPGSDQREAKITYFGSLRDEERRWEETSPGCSQDFYRDGSSPLRASPQYAGFHLITCKFRWWKKNSSPRLVLLASTNVGPILLSVNPYHDVGNPLTLTSTRGVALSPKLLKVVQEAVRQQSETGYPQAIILSGTSGSGKTYASMLLLRQLFDVAGGGPETDAFKHLAAAFTVLRSLGSAKTATNSESSRIGHFIEVQVTDGALYRTKIHCYFLDQTRVIRPLPNEKNYHIFYQMLAGLTQDERVKLNLEGYSPHNLKYLQCGDTRQDEAEDAARFQAWKACLGELLLKLYSALTLQGDWPFSFDVEMQSH
MYLYLGLALLGLGLLILLLFLRQKRRRSKELSNTLTLGLEKTHDQLALRLSELVSFGKSINENFYSQLETILLGADVGVKTTQKLLRYLREDVTASGRSDVNLLKSYLQTEILRILNAHPTVSLIPKKPDVFMMVGINGVGKTTSIGKL
MSRIFISAGHSLGDPGASTAIGTTESQEMIKTRQLIIDELKAQGYQENIDFFSVPDTYSLKQTIKWINQRAKQGDVALEIHGNACNGQAKGTECFYIVGNNERKNNAKLILDELCKVVPKLRRHGGDQGAKPDTDCYHGSLGFCRQISIPSLLLELCFVDNSSDMELLRDNRKAFAQGIVNGLIKWSGGKIISPDNYPVIDIEINGQLYADKGILVNNNSYIPMELVDEFKIEIASDVRRIDYGSVVYLKAVDLDDVTVGWKTNTKTVTLSTAVIPPPVNAIMGKGQASAAQLDSFLRSHNTDNHVGFFNKLAEVYVNEAEVEGVNHDIAFCQMCLETGFLRFGNDVKPQQYNFAGIGAVGGGAAGASFANEKEGVRAQIQHLKAYACTQPLKQACVDPRFHLVARGCAPTVDKLSGKWAADGNYAKKIMKLLRKLHTQIQIRPTLSLEICSPEDGETFDFGDRIIFEGTAAPQIAKVELYADDKWLLGSCQVNNGKWSIAYQFTATGQRLIMARGLDNNGHLVDSAEIDVCITSDINSNLQLSPNFTLGEFIQSETAERHNIDNTPTMSEVEHLRQLCLNILQPARDALGPISITSGYRCPELNELVGGSPYSAHRKGYAADVIPQNVSTKQLADWIGSHCRFDQLISEYGTRQNPAWIHISVDPRERMQTFRIP
MVGLVLVISALGACSKKASVEPRRPLDTTPAAGSVVVSDASAGYALSIPTSWKQMPTDVARFDGEADTLKAASPAPAKLTTGLTQLKSVVRNGASVAAIDPDTGATANLIVLDAGGQKATQIAVGSANQLRGNGATDITRQAVTVDGLAAVRQSFRTPFPGGTGPVSLAENQIYVVRRSQAFILTLTGDSSALDGIAASLKLA
MVPIVKKVLSCTLLTLGIFSACSSSALTEYKTSTTALANATKALPVINVGVLKFGTINWEMDVIKHHGLDIQNGYTLNIIPFASKNAASAALQSHSVDIIMTDVFWVAKQQSMRKPYLLYPTTKLTGGIYSRQNHPTFAQWLSQNPRIGIAGGKYDKNWLVTQAYIKHQDLEKTKLQLTFAAPPLLNRMVQKENNTAVINFWHYTARLKTLDFVPIITTSEMLQALNINTDVPMLGWAFHTTFASDNETLLTQFLHSSHQAKQLLLGSKREWERIRHLIKAENEDIFIQLYQDYPKTLLNNFTAAETISFADAYSIFAETLDLSLDSPTNVFWPKAQSVWLEATTQTVE
MSTCAHSVSYKVGISNVLSAFFTSPSKFGRQGLGGGVIALKSDMSKVYDQVEWSYLRQLLHVSSKFGRQGLGGGVIALKSDMSKVYDQVEWSYLRQADEKNAVFATMDSVDYDCNTSHDAIQSIEDGLGIQFTTSLGLYLGLPSQISNNKNEVFLNIKNRVWKVLQGWKEKFFSIGGKEVLIKDVA
GSRSGSPAYIAPEQVNGKALDGRADLYSLGVLIYEMLTGQTPFKADRVDEIIAKHLHERPRDPREINPGISPELARLVTKCLEKDPADRYQSAAEVKEALDRLRDRAKPGFFEGTEGLLRAAGIVGVVGAVALAAILLFGPPESWKRSIAVLPVDSVGMEAVNPAFLDGLQREVRDRLSGVPSLRVLPSFTVSSFELSGKTTPQIGKTLGVRYLLKMTLASDGDTVEAKIYVYDARKNVSPKPMSYVRELSNYRALQDEIAVYTANALGVELGEEQLTKFSRRGTDDIEAYSSFLEGMRLLDAGGEDSINAAIAKLERAVEIDRDYPLGHWGLGYAYENLYYSSRDEDKDPAVLDKLYEHLRRASELDPSIAETNLGLGWYHFNKLDNRSAFDSFRKALQLEPKNYLVNRDIGAFLMSVGLYRQSVRCLKKAVKLSPRDPLTWCLIAQCLYFLGRCDKALAYVEKALAVDENDRTANYMSAVLLTLTRHLDKAGRRIEALERLDSTRHTVDFLREIEAALRSGPGRPHDFESGQPQLSPQGTYMYLLFGMKDEALANIRAGIEGGLVEGMYLYSYPSLVKNPWYDGLRDDPRFEAILAGQKERYLRELKPLEKF
MIAKNGWLTERRQRKNTRLKNKALYLQGLKTLLLTAIYLVFGFCPLRNTLSAIAHATPANTTKKIPEYGKIIAHDDCCITIVAKAIPTTGRPLSGPPLFLTSLIAAFLLCNFAVGANNRFHPLPVAANSHQLPIYLRNRSLLI
MVGLGGIVARKTTGTPMYLFKDVHGDTTMLMQGTSQKGTYDYDAYGKQTDITGTADNPYRYCGEYTDEETGFIYLRNRYYDPSIGRFISEDPAKSGSKWYVYCENNPVKFVDPWGLKPTREEAAHMSEHIYHHDKSELTTPLNVQMNNEASNWYLIDVWYGREGLKMGFYAKLNSTHDWSNPLEYCVVFKGTSNLNNWINNAEAYLGTHSADMWDAMNFSLGFVNSHSGYEITFAGHSKGGGEAIAAATYTHRDAITFNAANFNFQAYGLIEADRTGNFSNYYVVGEIISQAIETADIGNTIWLSTQYYNKDGKIDRLANHGMSAIFSAFNKR
MTGTPAHDGADRLTHVDQVRVTYHEIRSPWSEEDRTPQHSLLLMVSPSGPVDLDELRRLAEMIPGGPDYILDQNVHHHSWGADATVVEFVVQAAASGVIGSIGWEALKSFARAVTGGRKSEPPRPLEESEARGWAEQMAVRRFPDLVLDDLVVRRVELREPNATVTLGCRDGSTVTVELEIQDGLIALGAVARDYPSP
MDLEEQFFQLEKLDNFSAVPAISKTEITDPLILSKINNLLVTTGKNIQAIEHSKVMAQQNQKLLKDVYRVTIPKGTELLTKNSGQTTGLLVKEGTRNSIKGFANLEKINLTELASGINPATAAFEVASMVTAQHYMAEIETELKAINGKLDALVNLQKNDIVGNLKSISQEVENLSKYSSENILDKDTRNIKRKSIEDFRVQVNKEFQKSNDFLNQNVEFLNSEDLKIKEEHIADFQFWTQIQTFSLNLLEELAKLEFQYSSKNSNARKQAFSIYEKNIEKAQNIIKQIEAYSIYHSGIKSIQSKLSGWGQKKIDEKINFPIRSLQNIKYMKFDSEKLIALESNSVELILEDGKVYYLPEKIEEK
MTDGLPPTTLRIGLPSGSMQQSTIDLFGRAGYKISVDGRNVFPRIDDDKLSAVLFRAQEISRYVVDGIVDCGLTGHDWIVENDNEKEIVEICNLTYSRASSSPARWVLAVPDESPIQRPEDLEGKIVATELVNVTRKYFAARGVKVNVEFSWGTTEIK
MRNQYVIKYAKNTQFVIGVSDKISGASVVLLDTKATPDNSFYLWDLQDDNTIALNSASARLVIDCGSLTSGSYLKLSAYNSGKVTPTQQWYYDETFYYNLNNSDFVIDNQYRGESSNNPIWLYPFNGSVAQQWLLAPYAGRRNLE
MVYRYSVSLTPTGAQAEPAVTLAHVYSEFTLDLPPQWQPQPNTEDNTLSFHDSTADAALIVSVDFIQTPPDQLQTMAEHVIARRIAAMSTAGTAPVQVLQQQIRPHTNGKALELSFIAEAANEHLQLCLGYVSARKIMHFAMFCAPDRAAAVALFNATVSHFKPRLP
MFPCPAASDLLSPSSVKSEPLPDTQVSFRPAEEDVPSVLNAQGLNAAGTSNNSAIAVIQGDATHIQADLYLVAQSVDELCSSAARELLTLTEKLGDTSRELMLAKTEISVQKIELANKDLELLTQEAEIKKLKQERNTARTERNAAFAHWNKAVTDFNTSQNQLKEEESRAESYCTMLRNLRQEFQISQQSDYCPAPGNLLMAERTRSGNPSSGPLSGLVVPDLARNRPEHLLKNPLTHSREEKCLLDISIPPRVLGGVGGVNQQVLPEDFARAVVVHARANLALFIVSLWIHVLVRLRQELAGTFAADLGPVVGVLTSWVEEYIGYFLRTVDEAFCTRAALFSNLPTY
MSVEAPKTVEETPVVDATKAVDEQPETAVAAPVENSAAPVETAPATEPTPAVATEETGATPVTEEVKKDEAVESVPVSEGNLGYKEPGFFKKFIYSKHFFWFSEEPSSTESLSAFLRQEKEKADTKHSSAAWARETGKGLLFYAKRPEDKASPAGIINLSEASAVTKEGLQFSFRVGTHQHRFEATTSKERDGWLVAIEKAIEEAKELKDELTARESYKKNVEEYSKPTIAAPVVPKTSRSKSKEPKKSIDTATATAATTTAPVAAETASTSSKSSDEATKTAKKDKERSQSRKRGSIFGNFLGKKDEHAEKKEEKVETKKEVKEEKNIEAEEKKEEAKASDEPTAKPAVEAAEASAAAAAVTVAPAAVVATSDKKEDKEEGTPSPAEKKSKRGSVFGSLFKKNVTSPTTEKTEKDATTSDVPPVSETAPKLDEPIENKPIDTAAVTAPADNVESPAASTEPTKDATTPAAGDTATTPKAEKKGGILGFIKKTEAKLEGKKEHKEEAKEEQAGETIAKDPVAAAAVPETSTEPTTTDNAIEAASKEERPARDNQRRTSLFFKNKKETTSDAEGTTETKREKSPLPGKFIGNLVRRASKAVKSEPKEKSATEAAPAEPATKEVPVETTPATTEATESNIVGDVVPETLHTTPETAAPAVAPEVKATA
MCLQCEAGFLPALAADGSIRFCVGGTELMGDSRMASTCPGGYIRTGDLCWACRDLFPKCVGCDREGRCIQCERGYIPIHGHYRVTCVRLCTSLGCLECATGLYSMHQHIQDNTVICRYSCPLHYYPLNYFPFRCEPCHPSCWGCRGPTDRDCLDCKTVGFWDMRANNIYFSTTESLERIRKDPDKSLLNADYSFHSDIGIDQVCLEAVHEDYAHTCDPIAHFEDQLGHCLPCHQECLGCTGYGPAACKKCRHGIRDAEGCVPECHLKVGYHMTSPIPGMSFCGWEPLSSSHLPPGYAPRIEFSIEYPAVHVGVELLVLAGFFCYFTVHAMGFYASMFFGELDDADLICWRYEDIVQEAALRELEYLVFLRKTRTGSSSSFGPTSPPQARSSDTVPAVPSSSSNSKKALGPLAEPKPRVIVRPNLRTQARLNMRLKRNLELRKEEAKKLKAKSKSRSKSLSLGTTQESHQGTLDAKSFSRETGTGERTGTKTTMYTIEHPATVATTMRTVEQPAPATPHTSERRTTSAATPCPSSTDLGSHSAPALIDYEWNGGNCMVSGPSFGAENITYRCLSCPIGYDTELWTSSEGHTCKQSYWFGAQLLLPRNFRDLEELRWKPREGYCSRYEPTCLPMDRTILEGVKMIAAEWLQLVYLGVLTLSQTFVLKTSHVVLKNLTSATSIYSHEFSSWEQTMQYYKEWVVQEGGMGT
MTSLGHLCDRAEDPTLVFLFPREQFLDHVIEQHGRHVLEDLYQLGLGIWRSHRSTA
MAGKTITGILGGAFWGAAFGALLLALAAQQVTRRSLEPSQGDADSPAVSEGVESPAAIVTPEAEKPAAKILDEGADPRPVERDQTGTVPKAPSVPDLVDAPAPGVDDPEAPDVDADTPGAPLSGEADGTGAAAPAPDSPPDADGEPPAMPNAPGPAATRMQSPADPAGVAAVVQDATAPASPPLEAGQMPADPSAPDTASPARTVPDAVSAPSAEPTPDAAAMDTRPPAPPVAPAPAVVSDAPDAGAAPTLDTDPGGAPVADAAPRRAGDPPGVTVVVAADDVPSPQVAHATPEVGRTPDRMAPQRADDDAGRPPSRTAALARAPSPDAPVAPGPADGPPMTIGDAPAPTGPDPAEAPAVITDRLPRIGDAPAADGDAVEPVAEIETVQTDALSRNGEPFETETGKPLVAVILLDTGGPPVSLSLPVSIALDPALENAAARAAAYRAAGHEVLMVQTLPGGATATDAAVALEANLKAFDMAVAVMDTEDSALQSNRDGIAEIAARLTETGHGFVSFPKGLNTGLQAVARSDVPAGLVFRQIDDAGQDRRAVKRFLDQAAFRARQEQAVILVGRNRPETTEALVEWASGNRAASVALAPVSAALKAMESTER
MNSGQRFMFFSVLLLFAFFICFVKRPDEGEFGYFVLALQWPGTICQGTRHCCPSNGCCKKYLAPTEFTIHGLWPNYNDGSWPACCSKSEFDIKKIASLMGMLEKYWPSLSCSSSSLCSHGKGSFWAHEVANEFQILVCFFFTINEIFMPDDEKHGTCSYPVFRDEYSYFSTVLDLYFKYNITKVLVDAGFLPTNNNKYPLEGIIATIEEAFGASPLLVCKHGAVEELRLCLYKNFTLLHLSCFVQPRDCLIDSNAPNGTLHSGSSHCPRYIRIPEYTPLNDNADAVEFCPHYPSRHILAAATYTLQEGVQSNRSGSISLFSVGIDIGLKLLYHVETAGVFDIKWNPDGANMHPLLAQADADGYLRLHGLRCCPEVSKGKGVLKEVSGEKISSAMCLCLDWNPSSTSVSVGLSDGSISVVTVREAELQPTQAWKAHEFELWAASFDTHQPQLLYTGSDDCRLSCWDLRESTPNPVFQNSKTHKMGVCCITKSPTNSNVLLTGSYDEFLRVWDVRSVSKPVNESSICLGGGVWRIKHHPELSGLVLAACMHNGFAVARAEGDRVEVVETYNKNESLAYGADWQRGFCMMKNGTQRASLVATCSFYDRLLRVWLPESQMFSLVQILYETCLCIWLLLYYEPAVEYLATTRTLPRLIEVVKGSTKEKVVRVIVLTFRNLLPKGTFGAQMVDLELPIIVQSLKAQAWSDEDLLDALKQLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPVHKDPVFWRENIISFEENDFQILRVLITILDTSHDSRALAVACYDLSQFVQYYPAGRGIVNDLKAKERVMKLMSHENAEVTKNALLCIQRLFLGAKYASFLQV
MPNDQESKPLRAHETKSRYIPAQVRHELWQRNNGQGCEFASNDGARCGSHHALQMDHVYGFARGGDHAPENLQILCAAHNRYVWRLARGTVKEPRAAYEASARSKTKTQPKARCA
MIIPLYIYSTPSSEKSVPPSRLETTPLNKQASIQDLVQREEEDTTTDLEVVYTSIKSTSAATFKSPQSTKGILEIYSSLDGQERVEFMETLNARERHALYNMLKDTPKDLQQWEKLFKTPSETNRATLAPNHPQERSPSNSSLLQNISSNTEKEALNKSIHHLRKIIHSKDLITMVPAPLRAKPEEYFTSIESQFSRLLTALEDRQVNIATSQDKSSQAAPPPLNSVMGSNSNKTSPHHQQETCSNPPLQQRHQSRPPTLLLYPSGNPIEEK
PPPSPPPSLPPSSPPPPSLPLSPPPPSPPPPAHPPPLPPPCPTGRSSREGTASCDICEEKYYFEPFTGDCELCNTLIGIDCPAEGTTVASMKIKPGHWRASERTKTTYACKQRNSNRWTPCLGSRNTSQGRRLAGSEQGVLCADGYGGPKCEVCVQDQYYFAERDAR
MNARNISTGGYAIFVACAIEIANRELNLGLIPTWVLVVGIVAGFCMMMLPLANAERVRALATWMAVVPTGIRKGWFPDLLKLALAVFLMPIAVMLVVQLIYAGLFVGGLLFSWFDVEAAREFARVVMRGLGS
MIFRVTSQTGTHESPRTLILIRVPLASRAHVFEQRTRHLFTASMSLVALKRTVRPAATLCGRRAIPARNFAAGGHEIESEDHQEYAYVNPKWFEGLEEGIPVEHPLKMGLLFPPKFTGKNAPTAEEYSSGTVKVPIVVDSLEWTLSSPPPLHQFDEPPIIVEFPEEDH
MGEELVSLDRLAPGSSGVVVAVQGEARERLLDLGMVEGTTVEVARQGDPTLYRLRGYLLALRKSDACRVLVREVRNEKGSYRSLGR
MTGYLFLFSSAYTLIVIPELRRIEGLEEELISLDRLTPGSSGVVVAVQGEARERFVDLGLVEGTTVEVARQGDPTLYRLRGYFLALRRSDACRVLVKEVGNERNDYRSSGW
MNVILQSISQPAAHRLMLYASSPHLRAFPLEQNFCECAKELGLGESAYKGPGLVLLQNCRLTPQEFVKHVMGCFLRGYIVRIYWCDYITTSTSNFLLQVKDLVLKLPRDDVPSTDSFKKGIESPSKVQNTDCNIESLTMSNIKIRLQTFPRELAAKLIDEIPELDGAMHGFSHSFYAVKTDGVIRYGIGKGEDMYLVACDREALFKGAVAKACSKLEEALHVKGIKLTQDMLVLDVGAAPGAWTEFLSKRVCHVVAIDPGKLDKTISGGVTHICKKAQDAITDLVLWTKGRNFDLLVCDINKHPAEAAEIVVPLLKFLKDGGFVILTLKFHGRGKYDIYIALKRMWVFCRLHNSADNCSS
MRGSFRAEYLNHITVEIDKVSAFSSQISSAATEQQAVIEDINRNINLISSISHDNSMKVEGVSATSKNLLNRANQLKNLSQTFG
MAAQLLRKSSCALLLLANAACFFLHIFEVQGTPLRPGCCAHRRRGSTSPASPCGSQEGDPCCSLGWHEDITHSVLRHLDGHEPGTGNLEAASHAFRAAVREVYHDDRDLSSGALVHSTKAFRALLQRLATEDRHAAQPDFVPQESQSVAQNVNTIRQQLWRERPVGDFHGRGDPPSGPSLIRKLWKSTTGGDLQAKYFFIKDETATAAAALKALERSLARPDELYSPELSPYGTHTQLSNSPNYSNPLLYFLRPGDLPEHMPFDMTHSHSLSLSDHVTLKRWTPPGGENRKTVLTFLSERSLRIWNSVVGGREKVEASLKARLFPHSLTNRGRLANHGTGRRETARLLLAESDARRRPGRVPAATKPEEALGRLSMKIWLEVLALGRGQWRPVGTEARVIVEISWQKAPSKRVIC
MGKGASFSYLATLDDVIASRGRNLLIVDGKGRRRHRRFGLAGQCDLARRPGRAEVRRGVMRAPE
MNPLFASHSGGRRRQDNPDDIPGPEETEDLPLAPEDDFSVIPDEERVIDMPS
LFSVEPVIKPKPAKRGGEKNAGKPRRKKNKGKNKKKGTPCEMEYKNFCIHGECIYLEHLQMVTCKCHQDFFGERCGEQFMKTQRKNDVADYSKTVLVVVAVLLSSISFITVLIIVIVQ
MLTSVAFPIVAPETRNRRSSDATPRELTNKSDCPQCSHKYYSETSSRRLPNYPMAVYINSPLLYPIELELWTEVPQITELFETLRAQRATRDTSIFLGLFKFIRSDEDACKAVRQWILYTVALDVPPDIAIPACIEVLWLRFCQQDDAASRKLHELQQEWCRQCKETSLREAALPSTIFISLPESATYVANLRIRMNDRLLEYWAFTLRQYFRGSQTKPSLSNVVQILRQMRAVDGGVFILEWFQAGIRSSGLARVLLMIVDPHSRDEVLHPDVQESDGIYHWRNAFGEILWRWTHPSVLETFREEASPFAPGRRGAKHVPIQQVLLMMSHEAFERQLTFAPENIFLVSAQENWNREWVKWVAPTLLSMQNLARFLCSLDLTWRTHHSQNLVPGAYIQTPVSSWDSYQIWMDLCGLSPQALAQISSPLLQHTLLKAKAERKYSKLSRNLAHMKTRAVVSPSMPATPPRRLGDHNPAAWNLNYSTPTPRLFVPNGTCPACAGLPPSEQCVFHWPVQMWTHAMLKLSQLENAYVTCAPANDVLNNKKKDVYSPELLGFREICSRPNTLARCGRDIQLLCEGGLVQNTVGGVRFEAMQRRSNQPSTLQADIPVPTLEDMQDGHGYAGHYINREKTPRPERLQATGRLYHHGSRVPMGGIAGDGYRRTAGDTARSMEGIDAMFATAQDNDTLRQCVSSFAPPHVLEEMKSEERAAALNFSGRTCVNTFYCWEYGAPMHIDKDGKWSICCQLFKNCLQDEFNFAYAQWGVYIRTEVNCVWFFRPADLHGTILPRKSSLKNGAISRGTHTTIRQRDMTKAMLYETIRARYGALFSYWGSTTM
MTIQPSYMAFHKTKFIVSLVGGALHLFDSYGMINLFCLSGFTVNRHDHDMKITTTYNSTGAALAAQCYMQSRTFQVLKEQIAGNMHHYPENRLILHAYEANLRNHAKVKENTSENNRDITSLKTIKPHI
MKFKKLWLLWMLLASVVTYGQTTVQKTITRTVTVEVDTNVASKVWVREYIQRFKLTPVPPTEQVPQIKNPPTGTVPAIILPPTLSETPTVNQQVKYIVNGTGKSIDFNDPDGISPNVKQRIKLFVQGENGVDAVRLVFNWGDYNPSQGVYKNEGLIRAIAWVQSLRPNNPPKIRLLFVPILQGNDGRIPREEIQVDANGNLMDCTYNSLFTTVPSYYSFKAMVMLNDCYDALIPFLAQNYANDIEVLELAAGQSEEHGLPYTAQGAGSDCGTYSGIGDYSVCARNAFKMQYGHDLPNVGVTQGYNWNMNYSDVHYRNAALFWGKGVFDVWDRFRAKVKQHSSFAVGAVVADLLNDQGAKWVFHGFALPKMIEKCDYFYHTYNLSSSEWHGNLLGTDLLEGTRPQQIISEIEYDPHDCGTNNGFGPIDEAFCEQSLSKIIQHGAKGIHFSMDWDEGQILAWKRVMGKVKAKNLQPISRTKAPTIEVKASEIFNSSYFLDQAWRSLGNKTSLPFEAKPVNIRLINDLF
MELTGEYVGCDGEPRRLRVSCEASGDANPLQSLSAGVARMKELVAEFFGPLVERDAPGATVDPEDAVDGSNVEVAILCRDGYFACRVCMSEHHFSVF
MTIWCWNSLLTDDPALLFKNYSRRYFPDKGNSAFETADALIETAAVIHVAGPHAIKLAWQSHYVGHLRAVNNNFLDTYQLKSRNAVLDGTVERIARRGDGGYRIDFRYARTGSAAGSKVARRTVVAPGPRRRTYPGAAVPPRSARPGR
MKSSKYLRLSIISSILIIIFQAFQLNIINIVTPFLMPIIWRVIFGLYIVVTIITVYHLLKHKDWQPIFIQIVTILLIFYFPFTETAVQINFKMNKSAREEVVRMVEDGTLEPNVSHNSDLISLPKKYARLSKGGGDILIKGEGNRYFILFFAFRGVVDNSSGFVYSFHDKKPTQNDFGSHFGYIDKLSENWYFVVLN
MKSKQKYFLLNLVISISFISFSNAQLLSKIPSTNLDKSKTAGLYNKEKQKTGEWSYYRNDKSLFAEVNYLNGKFHGTHSVYWENGTLFQVSNYELGKLEGVSKKYFDDGVIAETRFYKNGLKDGEEKIYEHSGLIFRIKNFKDGLYHGQTIYFDDGKLFSREQYKNGKLIVHKQNFDWEGWFEDVDLRKKVEFELIVNEDETNAIFIIYEPDSYDDDETHVMYYWKGNVKIEGYKVLEDGNYDIIPSFDEIKVNRGSGVWKRYNSENINSGQATFENGWEVKRKTYFPNGKLSYESVLDGDVKMIKTYYQSGKLRSERRDLKRYKNKRFDDYKYILESKEYFEDGRVKKIQMPGYSSSIYFNKVKGKWQKYVIEYDSKAIRHGYQVARIADSGSLHTWYNDKDIRIMQGRKNSSLKEIGIWKYFDDEGKLLKEENYVNGKKQ
MTGLANVMFIRKKDHKEHTGNSGTVSLTLVHSKDMAQIILSVVTHLMDEGKAVDVVCLTFSNAFDTISL
MSKTIEIIRGDGGDEPPCLETGNPRFGDLVARRLKRRDVLAGALATAVAALFVRPGDGRAAKDRLDFKPVPVSRADRVAVPEGYRVQFILPWGEPILGRMPAFTIDNSGEDQAMQVGSLRIPMKSPGHSEMMPPIDSDMMSPRARASLAAK
MSRLLCDSVATPNTRRNGDRTVKENTGANDTHILLGAYLLGGLHDSERQIFEEHLASCAQCQVELHATSTIPSILNTLDTKEAHLALIPEPELISAAPSQESFQEPAGTISLLSKLASVRRRKRAALGAIAFGSIAASVVLGVFIAPIFAPEARPDVSYSAVNDIGTQINIGMNAKAWGTEVQFRGNKLPTSGLLSLWVIDSQGAAEKAGSWQATTTGSTKLTGATPTPLKEIRSLELRDPEAKVLVKLDARLSKD
MKYYFDDIEAFKEKFKEYYPLDRCECGGFQEMEVSSVDFAIGDKLIEIAGCPILKCGKCKKEIVGHRVVNAVYQTFFEFEKHPGINSCKTTMRSDNRFEYAQKADFIYDSRDLNIPACDFDLDPTNKEGYSLPVYFDRKVLNGFYTDNDYELDFFSESYGEIGKKGSDGWRYEWKIPFGINKNDRVILFLGDLDQIDDDRSIFMFKTYNVDSDHKLVETELYQAQMNCIFSEPILEERIIQLRAGFYNRMIKQVNVDLSHLEDEIKQKKESVAKPISYSEREVSTNIIALDGILNEGISQDGLREISRKLNVEGNIEQLRTRKLLQGIIAKKEGVEKAKDIIAPLFHLNDLRVCFAHLLPEEQIQKYKNNIVKAYGLNDFSEYRKMYDSLIGELYELYKYLNVVDFSDILNEIKLLE
MPARAAMALFPKYKTVIPAQAGTSGRKGCQDKYRALIRKGPIERHDAIPKHPRYLAHPSPWSLSHKGRGDAVWVYPSLEGSIYPNQWVGNSHRPLAPCGRGTRERGILKLHDAGQRSDSIGALYPPLVLSCPRSYACA
MKTNHAIYVVFTAFTLIAAACTNYKPVKEDYYKDNEDTIKKDSLNPGKRVDVKDSIQKY
MSFNLFSRPFSRATTSRVLALCTLPLALSTLSHGVAAEETPQVEFKGSSAAFNQLVDQSRKARVIAKQSVWSEGPVCLPDGSVMFSEIPRNRLMSWSEEGGLKVERSPSDFQNGHAVDGEGRVIAASHGKRGIERRNADGEWEMLVDRYQGKRFNSPNDVVVASDGAIWFTDPDYGLKSQDESYPGGEQELAEHVYRFDPASGDIAQLTTKEVAKPNGLAFSPDGKVLYVADSSEEHHRIMAYDVRNHALTHEREFVSVSPGGPDGIDVDTKGNVWSSSKEGLHIYSPDAELLGKVLIPSEPATSNVALCKGSNGEHWAYTTSGMRVWQVPVSVVSAD
MTRTLACCLLFLAACGDDDAPLDDAGRGRDSSVGVDASFDAARGDDARVATDASTDAFVGVDAGPPPVCPPGSESLVLELGGVSLTRVEGVPILDGFADGWSIVEGPVFFDGDLLVSHFQGGNTPASRVYRVASGGVSVAFSNAGTNGLALGPDGMLYGASHVMGAIVRFDPSRPAAAPTPVVAGYEGARFNTPNDLVFRSDGQIYLSDPDYQAPASRPQAAERAYHVDLDGVVRVIEGAPSKPNGVALSLDERTLFVAGSNGLRRFDLAENGAVASGPTNVDAVSGGLDGIGRDCAGNLYLTGGGQVVVLDRELRRVGALSAPGATNVAFGGEDRRTLFVTTLGDARGLYSARLNVPGLPD
MLRCLLLLPFLWLSCQGTPTAPQTPPADTDAPPLALAVSPVDTAVTEALFGKAPEFTVLFGGMEWAEGPLVLPDGGVICSDVPKNQILRWTGSGSSVYLEGSGAAPNDYSGEPGSNGLHLDAAGRLLLCQHGARRIARMDAPLDDPQPVFVTVADNYDGKKFNSPNDLVVAPDGSILFTDPTYGLPGQRESPLRELDFQGVFRIRPDGSVQLLSDAYVQPNGIGLSPDGKTLYVADSDRSRLTVTATPILDENYRLGPGDTLINARSLVGKAEGSTDGLAVAKNGRIFATAPGGVWVIEPDGRLLSKISTGQRVANVELAPQEDYLYLTSDYYLIRLKLL
MMPPYAVVTFGLRLYILMKTPDPAVCAKHVLIEASACEGECKKCELRCPVSDRVPFEKLAVEQFNSPIFVNMIALGRLLSKIGINIETVNFAAEFPSQFLDENIKAIRYGYTYQD
MVGKDRPGIVAAVSGVLARFHANILKTRAATVFNDLFAMVMVVETRYATIGKAE
MTTRKDAVRRDVEKILKSDSAKMFSREEIINLIAKDGDAVESVLAELEVASSMKESKQDIFATCMAGTVYYKWNGSARNV
FRDRDCYVVFVSMNTKYSLSFWRNTPTRYGGLGQVDIPLLSDCNFTLSRDYGVFEEKERICLRSSILIDEQMIV
MYYTNYSGKVWQTNDLTHATQEPHARSFSSTGFSRMDRIFADSSDEATYDIQSVFSDSSDAESSDSTSTSSSFKYKKEIVHNVLGEYRSFFCSTQLNMNDAGSTSISSSNKNEKLPMLKALQTSTSSSSSSSSTQSDASEETQVKGAQMKDCTTAETNKDSCALFEPLPPASNEDKSEIETENGAAPTNERSLLTNDSSSSNSTPSITKRKSKSEMVLNNIQSCLYQDRSCISYGTIATLLEQMEKPTRYSKEPPFPQKLHQILANPDNKKCIIWLPHGRAWKILDKTKLEENILPHYFRGCKLASFMRQVNNWGFDRVHFGPDENAYYNEYFLRGLPHIAAMMHRQPNGKLQQRMQSVYSQIRFTPDFHEMSKRHPLPDIDGQFPPSRGAIDLSSITSQYSSSNDERFKLSHRGGSE
MSLFPTSADQSNELSFALFFSADFNQTAGVDNSQTLSRYHETGKQRLTNDDASHESQLSVYFILQQINQTSSLLLCFSLRPLIEQLVFITVERFRETMRQENRGS
MKQYCYSCLFLLLAIIAGVSPAQAQTKQPQAKPHHARLLAANVSRVNPAALAAAAPPPPVAAPVVLAGRVETLAGALPGAVVRLSRLDQVCVTDAQGNFSFTVPAGMGPEAAVASYAGFADVAAALQPGTTLAVVQLLTPVNISLPKQQQLKAYLKTARQEVRYDLRQIK
MAVKVDDIKANTSLTDRRRKLVVLSSVAQQEVENISANTKKGIAMKMSRGEMVGFARALGYNYDPEIKTISINEEEAKIVRFIFDEYVKGNGARVIAHKLEAMGALTAYGCKHWQETTIIGIIRNEKYMGDLVQGKIFTVDPISHRRLEKQGEVNKYVVKDHHEAIVSEEVWNKAQAILEKRSPSHSNPLADPEHRTKFSRKYTFSCMMQCGFCESTLTRRTRNHQTKYKQVVWHCVAATKGGKKKKRLLDMQLESKIDMNTYLATDDELKKEIVQKRAELSKLQDTEKTEKEMRRHIDDMREYLKDAQPLKKFDCQVFESIVEKVIIGGYDDSGNADHLKITFVYKTGFTDDKDGRDFKHSRRHIGGPKPGK
IIEAGVSANSPNHIDLDPVIEAQIIRITAKELEGAIYVRLEMAGQPLDCPTVHSSELLVSADQCDCSLGEASTCIALNTVVYVNFTQVHHITGMELEFGETVNGAQFDVMYYTGQKWATVYLANNVSYWCSKCNFFIYYND
MQEIVDPKIAIGSECVPHDINRFIFEGIGDAKFSHQLPETSQKLAPIHLLPRRGGPVEVRRGGFWGMSNIAAYTPLESFLLFKALYARGVSAAVFDDISADLINYEFIKDDATYDVTRLSPASLQELFLHLLRDELKLNVDTSDKVSDGSLSPNKRRKLHAPTPRNLQEAAEHLPKVPNVLQRLYKEWRAHRITSILEDEQRFEQISAEIAKAEKEAAPTTKNGAGDAAPQSQPAVPSPTPRTTPAPQRITFVKPEADTTPTGIGDEVEDTNPRAGTVKCGCGLRAGYSAVATTVSCSAAKVHANTGAVPSTRPSHSTFGSSHSTCDLDSSNHPRPSGIYPAACSITSCCPCINDGSYSTTSRCAHFAAVPPRDTRDGA
MPLDDSEEGEIGARTKTDADVFDLSGVSVGWRSDSKPSTSNRKLETDSHKLKQRQRQIDYGKNTLGYARYVELVKKSERKSCHAWTPDIHAALSKRAFDGVVRKWRRLLHEYDPPVENEDEMLAVPVDPLTRPQGHLKPGEYVPHELAELAEQRRRAKDEAESAIREGRRLPVERLAPLRAKDVSVKPTSRLDASLPRTADMSPGWDAVTPTPFKRAGLSVSRFNADADVDMMDMDCDVGGARTDPESTTKPRSIYDDWEGEDFAGSVFSIDRVTPLQLTLAIVLIESHFKSLVPRSDHHSPFVTMVLEATILCLDNSEHVRNSDYAPSRLQVREFDDERR
MSALNVMVSSDVTALRKGDTPKTTRSQNENNSVSELAGQFHHLLSAGLISQNNRSGDINKLNETVKTDEDLEITTEDLGSMEELLSLQISILNKLQELISSKNQETTPLPEEFYAVLEQSFLMLEILAVYGSQQPQQSSLPSLEEVSEQLASLSNRVVLLVKDFLAKQENQQATELNETKENSSMKELLMLVEQRLKAQIPDRRLPSEQQDKTIKFTSLPSWNEANQSMGPVSITTLQQPKQAVLQWTIDTTTFDKAREQLLQKLEGVLSKAQSRFVNGNQAMTIRLTPDHLGTLHIKLQETQQGLVAKIIVHSKSAASLLESQLATLKQNLTTSTINIDKLDIVFHEQEQRFSQQHKETFQERQQDRNSSEQDKNKEDAPSFEALLIEELEQEKSEGEQ
MCPPPWPPQCPPPHRPRLRLRLRPRPRSRPDFPRRSCDGCWRPALKTSWQWQLSSLPVKPYPNVQMFDIDGFDAAEDGTIVASLKAGRPDRGLVCYISAGTFEDWRPDAGRFPEEVLGSPLEEWEGERWLDVRAYTSTLGEIMKTRLDMRKAAGFDAVEPDNVDGYSNDSGFPLRAADQLAYNAWFANEAHKRGVSVALKNDVAQIPRLLPYFDFAVNEECWQYTECTTAQNGGDYGYDQFVKAGKAVFQVEYDLAPSAFCPRSNAQDFNSLKKKYELGAYRVPCRGA
MGIKGVLAFVAAVLYGAALIDATWTPPQIGMGWQARALYAGTWVLSFNKIPNVATPNVPVWDIDPEQLTSASDIATLMSALKAHNRYVICYVNVGSLDTGANDAGSFPKSIIGNAYPDWPGEYFLDIRSSVTRSLIKARFQRMASYGCDGIEPDNLDTYTEKTFAPAKPDLTLTDALDYMTWISTTVHDLGMAIGLKNGGDLVEAHNLASVFDFAIVESCAEFSGDCAEYAPFIQAGKPVFAAEYTTAGSGGCPVIKSATSSCAATNAQDFEGIVKTCDLGTEWKGCQTYDSNGYRTSAHAQISPSPTPLRQPPSKTSSWGRRVATTNGEHVRVQSGGSPVSGALAGPTVQIVGAAVGLVLGVVGTVLAMQVWIRRRDARAKPPSFYTVQLPAV
MLVERAGDGRRELATPTAGELKAAEAAHMQPRRSLGDIPIGQETSVLLRHGFRQWEDLYPRRQRSMVERLLELAPACSTDAGVVAALRSAILGSTEMAGHLSRWDRYYLKSYESMAGHRFNFTTLPVEPNVWGTTTSGRGTTLRRLVQFVKAAEWLRTNTDRQLSVEGPVPSTAALVPALLGQNIDGDPLERPDAVVVVGSSQRQLLPTGSVDLVLTDPPYHDDVQYGELSRPLQAWAGLTPPDSSGDAVVNRATGQLVADGSYTALLTSIFRESARLLRDDGHLIFSYANRDPQAWANVIDALQGAGLRAVGCAVVHSENETDHAKRNVRACTLDLLLDLVPVSNLAVEKFQPKLGDSDEEEFLGIVAEYVLAIGALSTDWRHEFLESVSTVNFIRPLRRPRNT
NQSAFYHCGKACETANDLEILRQTERTQTQGQASRFDEDGSGALMHIHAFARETSTPCLRLICSNTFECEHQFQALQ
MDCPRTRWQLKPRRQRHFKKTKARLRPSKPVSSTLDRFIPHRHIDISEFQLNSSIKKKKTEQDKRQQPSSSSMSQSYETMGESFTTSSSDESLMSVSTSSSLSTSFSDDALDDTTCCGDPFSEEVAAILFEGYDKKSGDVLNFKSRKYKAKGRDSLFSTSRHCHGCGNIGTMTSRRHAYNHRRMYLDNLQPERIIGMGQRYDIADVQTDGDVLFINDDDVHVWNPISNVSCELIFKKSDDVTKISCAGWRDNAKMFATATTLAQNRAFITLTNLESRIWREYYDEFELESSPLCIQWNRIGITCGCEDGGVYHYDDRCRSNRPVLMFDGHCMPVVSCRYNKARDLLATGDLQGDLMIWDMRQPKIPANSFKEAHKGRISGMSWCPWQPDLLATGNARHQPTLKFWNLLTGLKSRERRVSHEVTSLQWLESEQELISSHKGTPEAIVFWNYPSLERCGTLTNDDDGTNSTGLVSVKKSYQMIATSEESDSIYVWDLEQTRESMTSQRGQPSTVLSGRRSLIHLGLMR
MSQDLDILKKNEELKKFIEKNNINDEVLETNYLVLSRFIEQLVYCYEKAPLSECKQLIQGLQPKLSYENKQIYISTTYCSHWQYENENYKLKENIVFADYDIYKNTQKISQFLKENINTLNPSIKYFLEKAKEILLNKNPQKGVYLCGSPGIGKTYLMKILANSFAFLDKKVILVTVNKLIKTVKETFNSSNSNDYNKFLELCTRVDVLILDDIGAELVSNWSKDDLLFGILNTRLENNKLTFFTSNFTLNQLEKLYLKNIPLNNDMIDIERIRTTRIIERIKGLAEQLSIKGENKRY
MPTDTMVTLHVLVVGHAIAGYHILLHHTRLEIGIVLVVCRRRRQICARNDPKSAFEIGFIFSIGEKIDYLRRKGGQFDAESSIVGFEMTYFPDNKGRSP
QLEDTLWAGLTDLHIKTPMGITAENLAEKYEITREDCDNYAYQTQQRWKAAHEAGYYTAEIAPIDVKAKKGKVAMAQDEHPRPQTTLEQMAKLAPVFKKGGTVTAANASGVSNGAAAVVIASEDAVKEHKLTPLARIVAYHASGCDPSIMGIGEGPH
MRHPWLSYGITAENVAKEYGITREMQDELALHSQRKAAAAIESGAFTAEIVPVNVVTRKKTFVFSQDEFPKANSTAEALGALRPAFDKAGTVTAGNASGINDGAAALVIMEESAALAAGLTPLARIKSYASGGVPPALMGMGPVPATQKALQLAGCNWRILISLRLMKHLLHSSLPLGKPGL
MCGGVTAYKALKVANLAKGSWVGISGAAGGVGLLALSYAKQMGYQPIAIDGGEKRRLACMGAGAGVYLDFEKEDNLRSAMHLQTNGKLCSAIIVCAGATAAYEEALNCLDYHGTLVAVGIPPPTAKISLHPLPLIDYGIRIVGSIAGDRVDIAEAAEFVRKDLVKPRITEIGVHELENYAG
MDNLQRVKVYLQKNEPSFEGFINQSFRFIGLMELMGILTYTRELIQGNQTAFKFDEGLGNFPNNGRLRSPGE
MSSFQQFDQLPEAFQKEVLDFMSFIAAKNGIVLPENDVGGKEHRWSEKVPVYKNPGEPVSETVRKMRDEEKW
MCFVVFTSDKYLSLLHGFSELFNKYWDPNQHVHVLGFKEPSFKLPSNFEFISAGKEEDFEPKAFCGPFEPILKDLPGETLTYFLEDTFLISPLRKETYLKAKELIIEGKAHKVQLFWGGPEQYTKTLPYDETFRVFPQNLNYRCNLAPSVINKEYFLQYFTQSFTVWEFELYNMERARNDQANILVSWRDPISPWFNVVRHGRFNNEQWTRIEQSNENRFAWNKFQFIDNSDMDIFLRYKNWTAAEQ
MISTLISHEWKRAFRTKRIWIVLAFCLFSALLDPVSSKFLPKIIEAVGKLEVMGLPDQNAADALKAYASDSSRLAMLAFALSFMGMATDEFKRDSGAGAFVFTRQVRSSSLVWSKLIVAFGVGWGSFLVGYLVATLISIPLFGSVPLGAVLKSIPLTGLFWLIAAALLVGGGAAFRSALAAVATAYGSLFFLSIFELFGVLAAWSPTALGTSVGPLAAGESVVSYWRSLLVGGVCAGVIFVWGLVRRPN
MAGGGPCRRLGCRSSGHRRCAACRQAGLRGAARRRSNRSRGGTCPSAADNHRPYMRTHAMSVEFDKAEVMTFDCYGTLIDWESGLLSVLQPWLREAGVAVPDDIVLLVFGMYSERYTQMRPALLYPEVLRRSWLDIQRHFGIPLNEEQAVEFSKSAGTWPAFADSPAALNALAKKYKLIILSNIDNASIAQSQKTLDAPFHAVVTAEQVGSYKPEKRHFHRAIELLAKEGIDKSKIIHVGQSRFHDIEPARELGIPSVFVYRRHKKGGTGASIAADVVEDLLVNSMQELAEAAGCA
MEINESWALIIKDTIDLISHHDFTKISEIILNTSSQPNSRPHIGTATTILFNFALAKYFHEKTGVQTSVCFDQLENTPVKEINYKGETFYFYLDEGKAEDELDKNMQVYKRIFDIATQVSGIPYHIRSYKNFQNIPIVRETVIHILKNFNEFNKYLKFENENFVLRTKCPQCGIVKKSVNNIRVCNEQLIINSSCFEHGDYKVEFSGSNDTYISMGMQLRDLIKGVLCSEMLPEKLYIMCDSRDWGGEWNNIIHCMSKNLLKINHVTDRLFSPLVVDSMGRKISKTVYINGGYSELEGKEIFLDFEKFLEVFGTQGIEKIYFEVERWAKIPKKMVRNYSIEYIEGLFFK
MHQSKLAWLSALVAVQVVLAVPQGSLTTPDVVQPVDPALKNIPPHVRERWYRCSDKPGSPTGSSSLPCSREINIEASIRAKTLGDSLEAVKMQRYRDALLDDASGYKAAQECCFKCKAANGIHSEAESALWRDFYSEEKTKEWSQKVDQAASKNGTVESRWAFLQSRANLSPYPATITPEKQAESDKALTEEQYCPGAPKSAPELFQSNEIFQTPIGLVTFLVEASLSASASVNASVVTAERYCAPCLAVGKVGDMRTVCGAPVKDIVQVTLERTPATEEHISKPKDCLTCPEAQTAPAGEVLEKCLAVPAKKADPALKAVVEVETHNEIALTAAINMVMSNSHPPSAPSKVVSQLALPLRRSPKPRQRPSPKWGRRPLPTTTRTSSARGGSRKLMDGFI
MKKKLLLLTLFLNSFLIFSQAPPNFTMEDCDGNEYTFSELFSEGKAIVLDLGSQWCGPCQSATQGIQDLYEYFGQNQFDVLFFGLMFEDNSYNLASCETVHEWDEQFNLTYPTLPAGIGNNANIMMEIADIYEVYGIPYFIAFMPDPNDPTKGIVIYDDNTSSMGYYNGIKTALLNNGYEKEEGNLNTESFSLEDEFLIFPNPANEKIFIESGASVEIESISIFSVRGEVLKSIKNEVKSINIGELSSGVYFIEIKHKNGLAKFRFLKD
MLPLQLLRVKIAGFEIKPRYVLLSDRYIDLANGLIEVFKQCEGGKREKLDKLVEKFEYESFRKGFHFKLVRGLTHLLYRKTVFRKPETMVDPLKARLMVFRMVSEEFSGFVLNKEDSETVFKKVSDKLRIPVEEVRKAFYATYEEEEVVEKIPDLSPVDLIREYNLSLVQALLFKALWLEVRFKAPGYLIKNILYMVKRFGLMYLAKKVEDCVKLRIEGPAVLLKQTERYGTRIAKVVPLIFQADKWSIEARIKWHGRLKNFKINSGLRYLLPKVKSVKVEFDSTVELEFYKRFRNLGSGWHIEREPEPLITGKNIMVPDFCFEKNGRKVYLEIMGFWTREYVEKKLSKLKRLGNVNMLVALDEQLACSSLLREIPFEVIVYRKSLSPTEVYLKLKHFEKPGKRKESKITVDSEILEKLKSVKYAKLSQLLPLFEEKGINGEQAIKILEELGFEIIWKGLDFSSVWVRKTT
ESSESTRRELEETKASRAKLCEELQRAEAELETSRDGLQPRDEPEAETERKRIAQLESDLLLLREQLQYLETERGMSMPACPFCSSINHFQSTPVKKWELSYTGFERPSGSARIEHRPVAGVLQEELRKAEADLRQADAESPAPPGPPQRPLPATIGKDVEEAAEAEAEAETRPWLQARLGELEAQNAALKRSLNQRPIVYQFAPPDAGIDSPDEQGEDDSLLEVEVVSTSQSFSALGQHFAQSLARRCLWFWQHCRKRRWMWGLEQQLRWLTRRILERPFLMWVFYLHVLVLWCLEVWHQALAKPLPADPAMALESAVQAARGG
MNFWKGIPTVQNFGQYTRVLFNPNIDAAAELKQRMLDRTISKPHSIYAINETTKAHVLDQWVYKTIRHTLSTLGLCAMVTITDIDLFVYFHFRFMKLYLSCHN
MVLRSLGSATLSRLMEREDRRDVDLSWLLFGPIDPQVIEPKVDEDLPLAAA
MVQTAQQVAQEVNVHAPGPHKRLVFCFDGTWNRLDASTPTNVVITAESVLPMADDGTAQGNLL
MACAVKMTQLETLNSFFCERLMAVAAEIFQAVKDTLSEYQDEMDRSKREIVYLRKMLAEVSISAGADAQTNQADEFPVEQQNSNQEPLESSVIQVKLELSTMEQDSETQQPLCEASTCCVPAVSAKASEPPHYKTGAADEEDVGVCTDSHVTVKLEQCSDELNSCAIQTESLWSQFRNAEDEXGPHPSTQFDFSHQTFNLRSPSTENVHHYKACAKPFRGGLLKTHMVVHHKARTYRCDLCGKCYSTSYALKLHLRTHTGERPYTCKFCVKTFNQKAHVKEHERIHTGEKPYSCSVCGKHFNRTYQVKVHIRNYHPDEVATIIRSRQHK
AREAGARRRKRTRRRKEEGREEEAGEEKGGEGIERERREKERKGRREKREGEEKRGERGGKEGERGKREKEKKRERGRKGGREREGGGGKKEGREGEERKERRRGRGKEGREEEKKKKEKGKGGGKEEEKEEERGKRRGKGRGGRKEGEKRRGREGGRGRRGRKRKEREKEKEREEEKEKRGKRKEKRKGEERRGEERGKREGKEKGGKEGGREGREGKRKKEKEREGEGEGGGEEEKREKGKIQ
MPGRTAEVKIFSSTQGLGFKNLRFHIPYQIGIYIFKAGAHEAEAEAEAAQLPRSLWLVWGSAAWGPSLLQFCALWTVTRLCHPRTSSFKYPVPPSSWTQAVQHSALRSACLPSTHCQRLCSRRISNDASSPWLLSRGRSPSPSGRHRHRSQSRRYSPSRERSKSRTKKSSSKKYYDSDSMDEQPRVSSTLRSSSTKKRYDYDLSDDDRYKSDYKSDYKSDRGRRTPRDRYYLSDEESIAPRQSNTSLGSKRADLYLNDSESERARRSASRALRSLASSRFHQYSDAYTDSDDEGLAYGDLYYDYPVSGSERRSRSRERLRKAVKKYYNSDSSDGYTSHPIVSAPQRPRFRRLQFPPSVPEAPTSQSLKQTKDEEPAVRVPQYPTIPRPQYVPPEHYLKMNKTTEPSQTFATPPTQTVPPEHYLNMSKSKQPSHHLATPSSQRLAGHNRAHSTGNIPATPQQYAAPAQFQYAQPDPNFKYILNPKRLPHLLPLAWLSK
MQHPLIKVVGVSAAGKSTLVATLEQLGMRARCTGQEHSYVKDMWRRLHPPDILIYLHADLGTQLSRRPWGRYTEAGHREELARLAHALAHADLVIETSPMTKQEVVQTVRRFLDDRHTSNIRCASQG
MAKLKTTRPPIARRQPRKRGGGPTAAGGYNYQAAVTAIALAYAGRGAPLGWLDGLADDTPREVACETGRGGDDIRLTLVNGDRVEIQVKKGLSAGAALTDALTDLATVLADGSAAFGVLAVCPFSSRTVAQGLAADIIRLGQDPSAEIDPLAADFRDSLTAGGLDIAGVCGRLRIVTVAALDGNAAHVAAARAELAYLCGNPAQARSAWDALYRFASIMIASRGRRTVAGIVALLRSAGIDLSKGEVHATAVLIDRLIRWTADTNDSFSILGLGQNLSIDEAWLPLTLRVTTETIPATTDTQTALDAYHGWSARPGAKGETCDADSVGRFFRQAVKPGDLALTDPFFLMADDQVTSGGPFGEAHPHAGLETVTFMLNGFMEDGTGRLEEGDVEWMTAGSGIVHNKDTVVSTGMRLFQLWLILPERDRNMEPRVQILKRDQMPVRRAHYEAVVCSRQILRWLDASSRGERRKPAATDGGPIGWPHHALRGPAPGYPSGRARPLHRRIGARVGRLLPELSTRGIPAGRIFARIGPLHGRSTITGRHLSARKSGLRRPLRSASASPRRACSRWPTRRLAIDWRRRDAGRPSTTSTALGSGIATLCSHKAHYRDAGESG
MDTVQDMTKGSPLSLLMGFSWPLMISDILQQLYNIADSAIVGQLLGVTAFAAKVHRDCCTGWF
MTLEGVAMAGYDTNRPRPTDSGSFVGLPGDPVVARPSSDLETDEEDTPDAVIVPEEDDDTGPTLQSVPQAVPPLDRRVPMMAILGTIGGLVAVLFVWRRLLGRRE
MRKICLAVILSILVMPLMANGTSEVSTEPQATAQFVGNAAADEAKTVNGTIGFWSSMAATSDAERALVEELSLEWANKHPDQKVEIQVIPGDAINQTMSKLLTAAAAGNAPDFAQVDSFWIGNFMDAGAIKPIDQFIPEGESDQFFDFTKKVTQRNGKQYALWAETDARLLYYRKDLISEAPRTWGDVIETALMVKEKNGIHGYLTPGKAEGLTNDSFLPYFWAQGGQLFDPDQDWKPVMGEGQNRDAMIKAFSFLEELIDTEAMPRDIAGMSHPQLLAEARADNVGMMITGSWVLPQMMSLIPDAESKWGYTSYPQEKADQYSNTNGGWAWVFFSEDDAKRKIAFDFVWDTAISKSAMSRRCAAYGYLPTRADVYEDDFYKNNVFFDFLKEELNNGNSRPPTSLYPTISTYIQEIGSEIIIGDMDPETAVDEIYKKSMAAWKEAQSRK
MTIRPVCILLLTTVLSLNATAQDTSDTPQPNRRSLPDLPGSFVLEFGFHRALDAPDDFDIGFWGSRTVNIFYQLDKRIGTTKFSVHPGLGFSFERFKFANDYKLTS
MEKMQSNTHTGYLRTIQKHMNPTKEYTHRRFDRHEIFSGDDRTLVLNMIQSADRTTKDYQSEMTNMLFGLFDGYWYDRLMTDLHESGVYKPSDLIELSELLDRVEDSI
MTCRLGKNELFFGICSPICCYGGKQLVFQKRKEKSASTFPSAEMWRNTCKLLSNPNYNHNLLKSSSYSASNFNVVFGWAPSQATHYYSSPSSATSGSLELGISSHKSDGVRSFSSSSAAVPAIVDHLSSPLTSALQLGRHYSRCYWELSKARLSMLVVATSGTGYVLGSAGSAVDLAGLCWTCAGTMMVASSANSLNQVFEKNNDAKMKRTRLRPLPSRRLTIPHALTWASSVGVAGTAILACKANMLAAGTWSFQSHPLCICLYTAEADSPSQHLGWGCCWCHSTTSWMGCSFWRDFTELHDSPCCFVFLANTPFYGPRISLSQ
MTVAQDWQPTCAQFGIEEIWTGAFASGFGEIGDGRSFSFRIEHYKLVVDVYRPRLSGPVPHAEDVVATASRQLFGIDVADERSLGAAVRDVVSDLIR
MTSYSEEDRALLDAIARYCRDVLAPAAAEIDETGRSATCHLESLSEMGLMGLSIPEAYGGLGLRPPQLLGAVALIAGAS
MRHTMSLKTRLVALTVGLFAVFMWALVFFSATVLQRQFERVLADQQFASAQRLAAELDDKLAARIQGLSRAAEALPVDLRPEAIDAYLARFGDLNSDFTAGMAVIGLDGRAIADYPVAPGRRGTFFGDRGYFRQVVATHRPCIDKPIMGRALRRPVLTIGVPVFDAAGKLRAVLTGIIDLTAPNFLGAISQRAMTGKGEFFILSRQDSLIIAATDARRVMTAMVAPGVNAMVDRIVGGFEGSTVAVSSEGIPKLYSVKRITAANWVVVAALPTSVAFGPLKLMQNYLYLLAGAMTLLALLTIWWLTRRMLAPLEAAGVAMHRMTTGELPLASLAQPRADEIGRMIGNFNRLIEDRQRHETALERSEQKQRRLNRALRLLSDCNTALVHAEREQGLLDEICRLVVATGGYRMAWVGYAEQGQEKAVRQVSQAGFGEDYLDRARISWADTESGRGPTGAAIRTATVQVNQNFFTNPAMAPWRESAIEQGFGSSIALPLLREQRAFGALTIYSDESDAFADDEVKLLTELANDLAYGIEALRERAQRQVAEEKLAFLAHHDPLTGLPNRLLLRDRFERAIASAERQNSGVAILFLDLDNFKQVNDSLGHDVGDRLLVQAVQRLQTCIRDTDTISRQGGDEFVILLAGVADSGAISHIAQKILDSASAPFEIDTHTLNISFSIGISLYPNDGRDFDTLFKNADAALYHAKDSGRDAYHFFAAKMNSDALARMQLQNDLRRAVKNSEFLLHYQPQIDIVSGRIVGLEALVRWQPPGRALIPPGIFIPLAEDSGMIIPIGEWVMNEACRQAKAWQDQGLPPLPVAVNLSAHQFRRGDLLGTVASALERSGLSADLLELELTESILLQETDLVMKKLHSLKEMGVKLSIDDFGTGYSSLSYLKRLAVDKLKIDQSFVRDLVDDADDAAIIRAIIQLGHTMQLSVIAEGVETEAQLAFLKSAGCDEAQGYLFSRPVAAEEFFALAVSLSR
MDIFLRLRRQLSNRLALLVTLAVVLTVVALGVYFDVFLRASFLETTRARMQHVYQRLDYNLSRIEEELKEGAAFAAKNEQLIASVELINRYQDKSSYSVALIDEEKKTLTLELLARVKLSLNSDMALYGADDELIAFAGQQAGAYQLGYVTFVEGQPQLLKRLETVREFRRAELPKDDDLTQLHVANHPQEKSAQEGLITYLRRGKQLVVKSHQNVFDAMTNRRVAHLELSYVLDDAYFAQFSKDMDAKLTHAFESPLAAQAHSLASRSDVQSLSVSQSPDQYLGVMKKDTLGSPVYFTVALDKTRENALVNNQRVEFFLLLLALTTGVLLFMRQMFQRSLARPLARLMEQIRQIKQGNYAEMHTLATGDELEEVSFSVNTLALAVAQREAALEQSRRDESYRANHDALTGLSNRRDFSQRLLQALAAAETRQGEVALVFMDVDQFKLVNDTLGHAVGDQLLMQIGQRMQRNVRPGDTLARIGGDEFTVLIENVSGQPDVARIVAAYLALFHAPFICGEHEISVTASIGIARYPHDGADNGSLLKHADLALYKAKDNGRDTFCFFTADLSERASDRADMIHALKLAIEAGDQFVLHYQPKVSAVTGQVVAAEALIRWNSPDYGFVPPGRFIALAEESCQIVAIGDWVIQQACADLAYLNRQQIELQHLSMNVSNVQLRGHDLLGVLRQAIEKNDLPSRQIELEITESYIAKDSGLAIESLHAFRALGLQLAIDDFGTGYSSLSYLQKLPFTRLKIDKSFVDGLPDNPDSVSITRAIMGLARNFGLAVTAEGVEHEAQLRFLQQEHCDEIQGYYYAKPMPLAAFIAFYQAQSEIMKNRSLAPVLSA
MPKHQNKWEYPLLAGTAGLGIAAVSMLFSSVQYINGISRSAENNVVYEVLTTAPELARLQMTVAARFLPESPVTDDDVALRFGILENRMVVLGTAESRRLRQDGDEAIALIERMQKTVREISPRMQHLKTSADAVAILSAIEPLNTAAAQLAALTTSSSSGRIAENRAKLIGIFWQLLSEILGLLICGILLIALLRRARRVARHSASSDVLTGLPNRLSFNSTLSEEFGRGNETGSLIVMMIDLDHFKHVNDTLGHAAGDQLLSLAATRLLTAVPEALLFARLGGDEFAAIFRETDAERFAVDVAQRMLETLHQPFDLFGSLVTASGSLGIAIASPDDLGSDDLMKNADLALYAVKDATRGAYRLYHPALKQAYLDRQILASDLERALEKDELELHFQPVVSLGSGRTSGFEALLRWKHATRGRISPAEFIPIAEETGFILPIGRWVIADACATAATWPDDISIAVNLSARQFGDPQLKASIVSALSKHGLRPSRLTLEITESVLIQNDHIVLATLNDLRAIGVRISLDDFGTGYASLSYLTRFPFDAIKIDQSFVRCSTGEEDSLIIVQTICELAAKLGLSTVAEGIETEEQLAAIRATGCDEGQGYLFDRPLPASECATRIALEQLSWVREGATAALNTIASIPRPAEI
MVAAILLYAVDADGPLGEVGYLLTYAAGAALAWVGVARHPPGRRSPWTYVAAAATITMVGDLVYEVVLGAPAGATISDPLWIAAYGTLTVGLLQPVPLRSPWDEADADALIDSLVALIVGIVVAWEIAISDLLARPEGEPLDQIVAAVYPFLDVVLLAVAVRLLLRGDRSRPVVLASVGTLVLLVADLTFAATAEGMADTRVVLAWMAALLLLSSSTLPSDRRYKGNPSGRVRMWLVLAPVGVPVSFLLWGQVTHDHPNTWLTPISVVALLGLAAIRAQRLLRAVEEARAAIEAREHRFRALAANAADAVCIANARGELIWGEDNLARLLGVEPEQVRGATAADEDLLVDPPAVQMLLGRANDAPGVVFSQEIEVRRPDGTHRWWEASVVSLLDDVVVDGVVANLRDVTERRRIEDELRDRAFHDATTGLANRALLRDRVEQALRRGARRGTGPAVLHLHLDGFRPLVDQQGHEAGDRLLRQVADRLRTTVRSEDSIARVGIHELAVLIEENHAGTDQAATTAERIIQVLSTPLAVDGREVTVTTSVGLAVGDVAATVDDLLRNADIALERAKGAGEACVAVYEEAMGAEVAERTVIRATLPHAVARDQLDLLYEPVVRLADGTVMGFEARPRWRHPDLGVIPADRFLPLLEESDTAPAIGRWMLDAACIAGAAWPSSGPGGVVPDVGVHLPARHLLSEGITDDVRRALDISGLHAPSLVLEVTESALTSDAGRAAERLDALTALGVRVAVDDFGAGSSSLAHLRQLAVHILKVDHAFIDTIDEGSEDVPPIVRALLDLAATLGLAVVAEGLTHVAQRDGLRRAGCAMAQGPLFSSAVAVEDTARLVRAGHLGPPTDRPVPASPGLVT
METTSKIEDFLMSNLSDNRMDKAMLKKLSSAVDKLRKHDVVVERIWRYGQPAIDGIVVSSRVRVKDFGRLSNIFDIPELWKAEVFPIGLPYPEILQVNMRIGEQIEPPRHGFL
MKQNPGIQGDQQQQQQQQKKITQLCTCFISGFRFSTQTHTQTDSSYSSRPRYTTTTNYTRVVYKYPIFFDIVTDQE
MKRYGSLPALTIAASVLLACAVSATAQSDMGFDINVTLSKKAAARLAAKKEGIVVFASYYGDPKRNAEKHANEIGQISLEQKDEQVEIPGTGGHAHISGAKVDTKRLDWLAGPTKVNVNIASARKSSSDNLLNCDFIDGPLSDVQKEPVTLHCGLIEENVDTKLKP
MIYIMFKSLILLVFCLSFLPHVISVPVFNSEHISQEPSESRSGWRNVSVDFNNLDDYRTKSNYSSRSPLIVAHDFELTNVLQNGSTSGQFGANTSVRPTSEELSVNLSTNSPHNEWGRNNETSISDTRTSDAPQNNSRVEDKAVKPFQMRIEDQIFYGGLITYSHMLHPARICRVMNACIRNDDTLVLPRWMQRHDNLLSFHCGHRKLSFSLEDNSPPPSLKNLDLVGLTSPRPSMPDFVRDFAPNTVVFDLIYGDHELKQSCHSRKGTSCDSFPSLNQSLNTAIFLPSRLEAINEKRSWVREFVKLMKPPNAGKQSRILYKNIDVTESKELGMQCFRSAFFTRGPYNKFHVMNDHMRELNFLKSHGIQKEARILRQDKSNDIVEEASCDLNITISNRKADDGDSKLIGRYITNIPTLRAEILKQAERIPGLNIKLDTITLEGKSLWWQINAMQKTDVWIAGHGPLLTNMLFLRQNSSVIELQPFAYYPQTYENLAEHLAHVRYERYIANPDVEAFETCIRQLYTSDHPSYKDAQTIFERFRRASMKFFQSDSTHSLVLHTLAEEGLDYVKACARMQRLTTDAHVFAVAIVRHARVRCGLPKPSTNEADSGDAK
MIVRLPVDCRLWSVEPDARDSDEEILTRIDNAVIACCQRGLPLLLSLHPTPVPADRRTQDAFVAVWERIALRYRYVPNTVLSFGLVDEMRMTQDQVIRRTVAAVLAVTPDRPLTDPGSDS
MAGGGGGATTSSAAAATVSGENKTAAKKQSQSLIAATLAASRSASPTTAAAAGGRAAAAAARTDAIQPSTPASSSDAQPRPKSSRTTTAPQPATPPPLKPLMSRHVAEVVSPQPRRISRTPLSPVTSPHLALNPGISAALAPPSPDPHKKSRDAAAKRPPTPPKPRGSGNKSLDQEQSQDQDKPALRDRSYSSHTDGSSRGSTMSVPSTPSRELVSHRHTASGSPNRTQLDNLTDAIVAGSLASARLTPHNTGPTSLAPPPLPKRQKSPRLLHTLRQPHNVLEDEEDHHKKGHRALLRKGKHAHHEGSRKKWREEIRPRERKRYEALWASNRGILLTDTRVMSPATSLSSDLDRDVSQCVANVVVREVWKRSRLPDDELAEIYDLVDRSRTGMLSRAEFVVGTWLVDQRLKGRKVPAKVTDSVWGSANGVRVKGPNGK
MGDEDCKTILDAVRTEAKTIPELSEECNIPLSTAYRKVNRLQEAELVAEKNRLPEDCRPKNVYELRFDGAVVTMGEEGFTVEFGGESSEPTAYDRIADLPRSAVGSD
MPKLKTSEKLVQKKLSGIFTSTKRDKAEKDKDKPKKNQNIEKSKREGPTVVVNEEIPATSIFVEQKNHVLDRQSISELDESENEAFDRDDLINEDDVPREASIDFIDLESDPEESLRDIEIRSKLTFHNDVYNPMESLLHAFDLNYKFGSCVGLTRLERWERAQNLGLNPPEEVKNALTSEVIRQNLKLNECVFYGRV
MDNLEEVLQALDEFQKMRPSEIPRELEDYLCWVAKTGDPVYQWSLIKTLFREKLTRVMTEFYESCPTLDLAPPCPNVEHFNYDTMKSNLLERLESFANAPFTVQRICELLTAPRKEYNRVDKFMRAIEKNILVVSTREPGPISRRNENGDNGMVNGSVDEDASVTQPPQDVEMEYWEKDCPSTVTISVHTVENETPLLHTVVPTSTVVKNVFTAEELSHDKAESTSSKSDIVVSSFIPTTSEFSGVSGLNSQSQAQDSQIGPTIQNLSTIVPETSGIIGDVSEAIMNEDTSSQPSLELENEGGDSVDTSRKLQTTFQTKDFSSNESKSTKFYVDNSKVNEKSEVEAMTIQMQDESSKSNDVLVKSDVELKAALDNKEPVNPVVESLLNNNENLESAIKLGTEVENIQSIIDTSGFSKESLALESTSVGSTSVTNSSEQSTHNLILPADNVSTEGTISTSEDMSVDVENLKDQSKETELVVDSKPLVEEPCPNATKNFNCNQEKSNLTITETAEDENRVVNSIVPDPIAIVEEPKDTESVNIKEDISPIVEITEDSENPNNVSITCQSESKKKSTEDNNLAQLQGNNITSTINKIDDSDAMIENVTTKKEQEAMELMEIDEEETLSVFQQDDEPMEQEAVQSSRS
MAKRKSEVEKLQEDANSILQPRRRSSLNNKVETVTVTVEVIDTQTQTSGSQRRKSAVARLQAEADSLTKPRPSRRSSLNEKEDNHEEEEEEEEEVSEEEEEELLAKKKKTTTTTAKSKSIAKPAAKVKKPAATTRATQSKSSQSVVSTRGRAIIKKKIDIDSSSSGGGESDNELLEKSDDDDDDYNPESSSESEEDYNPADDDDEDYEPTSQRKKRVTRSPSSSTPSKSKKSSTQSTPRSSQKRSASSSTPKSQSTRSSTPKKKTTPKKSQSTPSSSKKKSKKSGNHSDSESDSDDEIIGDLPETKFDKLDVDSILTLNFMSSKKKKYQIAPRETKQEKIKRKQLEIEKSKKEGEEWMRELRQTYDPKDMEEITRQQQKGLKAKEKQEQEEQEEEEHPNGMEGIEVTPITIKDINSNNNDDNDDVDYGELDESLQSDMSYISPKIKNIINNKSVNNSSNNNNNNQVNGNQNRLSVNNSVDYDEFESSLDNIQQSIEEQSQSENNDIEKESEKTIEKNRPLQQSNESQPITAEQVQPELSREEEQKQQQQPEITTTTAETTKATTECEKEESKEREDETVNEDKQSDKQQQQPVEPIQDNNESIKETTAAATTTSKEEFYIKEMVYVQNEYDVGETSLLNKFPKKSTAKPQDDGNVEFESSQQSTQDENKIPSTQFCENEYKEYVDAIGGMYEPMTFEEYKADMRRKSDLNSQTTT
MSYDASADLADLAAAYLVGFARAQGFNDGNKRTGLACALVFPRQRRHAARGRCRALCHHHEGGDRSGGRPRKSPHFCAHDCPALSDPGRMSRSPLPTHLSSRSGSLAASSPPS
VGMGAMAPLVLLVVLMAATAGILPRAVAIATDKGATAAMARGMGHQVSFHQAMVLVDTRAK
MNDRLSIFLICLMLTAISGSMNAQQNQTQDLPLPAIPATLRTPHERASYLLAHFWDSMSFADTLRSRNPGFMEQNLVNYLSLFPHAPAEARTEAVHLLMQRAEADKPAYLLLAELAEKYLYTIDSPMQSEEHFIPFLEAIAHTPLLNDTEKSRPRFLLSAALKNRPGTIATDFAYFTPEGDHRTLHTTPSAHCLLLMFYNPDCTHCRQTIALLHDDRLFKQMLHDGLLTVLAINTENTPETAPRPEAAPRAGNRLPTDWMAGTASHTLTDNELYILPSMPTLYLLDKEKHVLLKEALPEQVLSHLAKESRNQSLHGE
MASLPLTLMCFMMAFSQPTEPQLVMEAHLYMKIMESVHTIFNNTCVIIMYTIEDPMQALDPYDAAQLMSILQYSSRLHVRTFAYAIKTFKEMIGDEYFNLKRPLFILINDSEDMRTQFSTVIAPWISMAYINWVVFFREDTSVDDFFSEMYVPLDCIFLIVKKSKDNSTYTLTEVYHIAKGRELISDVYGSWSEARGLSITKWTLYQRRSDLRGQLIRVTTVEDPPISMIQRDESGLMTGIKGFFGTIVQILQENLNCTMVYSETDSWGARLANGSWTGAVGQLVRNESDLAATELLMTADRLQAIEFTTPVFSTRCRTFIKRPYYTAVKWTAYSDPFYVGIWLALLGVMLIASAFTIICFRVSPSQKLASNADEEDASFSEIFFHVFGALCAQGHESVVLDSIRVINLVVHITGVIILAAYSAALISFLAVKVFVMPFTSMEGLLEDGSYKLGVIRDSSDYNIFQNSSDRILQRMFEEIMDEVENLPRNYLEGLNFVCSKDKYAFMTTDNMFSILEHQVPCILEPLDVIMQTTMGMAVPSRSPFRGIINSNILLMRDSGVLQRVIATELVIQHAKQTQGWSSVEIVDVLPLLLLILAGYFCGLVLLSLEKLQYSKLRKVKFSFARKLQRLSQPTDK
MPASRRTDPALQAIEDELLALEPTAHRPAHGTPRQTLEGLIAPAFWEVAASGLRYGRERFVEILLECASRPHDERWVPIDVCCQQIATDTFLLSYSLWQGTRLSHRASLWRRGTAGWQTVYHQGTVVLPVATPA
MKLQFFSCQIDPGLAVTDADAQLIQLSSELVQELKSLEEALLRPEVRRSRVKMDALLTDDFVEYGRSGRVYDKAAILETADKSFDGRLTLHRFSARMLAPSVALVTYSSLLRHVDGSQSHSLRSSIWTRTKKGWRLVFHQGTPTIPAKE
MRFFNTEGPVRPEDHYLLPPLQRWDLDEVLTLIEQKKYFLLHAPRQTGKTSCLLALVEHLNREGRYRAVYANLETAQAAREDVALAMADIVQTIADEAQRQTGASGLDALAREVLALNAPTRALRAFLNQWCERSPQPVALLLDEVDALVGDTLVSLLRQLRAGYPQRPQAFPSTLVLCGVRDLRDYRIHASSESEPITGGSAFNIKAKSLRLGDFSSDEVMALLDEHTKETGQVFTAEARDRIWTLTLGQPWLVNALAYESCFDIPEGRDRTRPIDIALIDRAKENLIQRRVTHLDQLADKLREPRVRRIIEPMLAGTALGEIPVDERDYLIDLGLLRRTGGSGLEVANPIYREVLPRTLAGGPQDSLPQISPSWLTPTGDLDPEALLDAFLAFWRRHGEAMLGSAPYHEIAPHLVLMAFLQRVVNGEGALEREYAIGRGRMDLCLRYRAVTLGIEIKVWRPSASDPLPDGLVQLDAYLAGLGVDRGWLVIFDRRPGLAPLAERLRVDETTSPSGRRVCVVRA
MNLRKGILLPVLLTIAGLIFQPGYCAFAQGMHTTATSMALGGGGSAYVPGYHAAFVNPANLMLPERDTRITLGVLGGVRSSAGGSLVNISLYNKHFTKGHVLDSDRVMDISDDWFGTSRNAMGYAGADVSVVPLGASYRRDRMAFSSAIRARTLSSARMSKGFFELALTGLSSKVFKDPKNVDLSGEFLGMWEWSFGYAMEVWRNSERFEPGAQRIYAGIAPKLLFGMGYARIGLESSLQVTGQNQGQARVHHEFDYKLLTVGNLTDDLEAYYQERRVRGNEDALLSDFVDDDSFSDLGGVFGTGLGLDLGATWEWYRGDIDLPVIGSGPQIIRASFSITDIGAISFDDNAGEFRAADTFDWEGVTVDFDYIDREHDGDVLDYLEYVVKDSIGSDIYGNFSSRETSSHRIGLTPAFTFGGAVTMGKLGVMLDIGKGINNRGVNSRRFYTALGSQYMLINAIPLRFGIRAGGGSSVNLSFGTGVDVRNFEFSFSVMTTPGSERRGGNIGAALSGFVVRF
MPLRQTAANWGDFALHQFDRFVPPQQRQDAYDRAKAFAIERPFLFAFLLAQGFFSAIPLILFTTFTLSTLFTFATIFLCATLFWMGIALLVLVPTLFLTGSLALFIWSFGATAFITSRAAYATFLVMTEAPKLERREHHREVKVTEDEVGRDGDRKTDQVSTVPSASSSSGASWTRIESQETRDVKQEGEEDGRPGAGGPSE
MVVSEAAAGLLGFTQRQVNRVVPPESREKAYNHTSEFAIARPVLFAFLASQLTFSLIPVLLFLSFAGSVLLLAAAAALLFTLFWTGVALALLVPTLCATGFVGICVWAWAVASYVAVRWLYGVLQGVTTGSSDYSQQQQRRFNSNNNNNNNNNNNNNNIGGIKQQQYKSSPPAVPIKPYIADHHVVNTVAKQQDENGSAPVAGY
MSGSSDCEEFYDAEDLTPNRSSRKGKGSREVQIARTDSSDNVSAKENEPEVKPDLEDDSADLPEVPQECVVSALDKNESVDMEKKITGNQGRRRFQELRQRMQTDDEDTGLNNTSPPDSQTSSVEGVFAVPSKTSHPFRIIEHDTVSLQSMTSLGRVGRILGGSIDNTVGTIGRDSATLPPAVLSTTSGGKDDDSLPETASLTSQSSHNLSTDSALAAAQKYPKNDREGTSKISQSFEKDEASQSLLVTVPLQEPDVIASTKNTNTCNNINGKSFDGGLESSVPVPVAPPRRKKKSKPQTPRNLTPPPPTEEAYPQSSPLPSPASTIESLTREFEHSLDIRSATKGQYVVKPQDEDRAKAEGPSSEELERLERLKAELLSANSSQASGSPVGSISSSSIGRTSGGTRKKGLSPRGSKERRRSAGDEQGMMSQLNMLVRTRTDSGKQLSDLEILEQVTVLNLDTGERVPLSIAEDKLPQCINPLSLHIMRLTSEYVSNSSLEKGEKESDEESVDSKKLEVPSGEEVDVNKISRAAQLKKIFGSKLKKTMNKAKSIAQEVSHARHKEDVMDIVDDVYPGEQYIKLKASGSHKGPYEFDSLQHVQDLVGEHVGPVWCMKFSSCGRLLATAGQDQVLRIWVVRNAFAYFQVII
MGSKAIWLIVLAIILVTTSKVAARKLDQSSITSLKKGETSEANDAKFLGGGFPGIGGLPTVGGVFPGMGGLPTIGGGGGLAGIGGLPGLGGPSGISGGGLPGIGELPKIGTLPKIGGPSGIGGGGLPGPSGIGGPPGIGGLPKIGGPSGIGGGGLPGLPGIGALPKFGGPPGIGGGGLPGFGLPGIGGKGGVFKTERLP
MRRTHFHYEQPQKGEMSFRSGDVFHVVDTLHNGVVGSWQVFRIGRNNQEVQKGIIPNKARAEELATAQFNATKKEMSANESRGSFFRRRRNSHRRSKSLGRDHWDDVVFSDSVSKFPAYERVILRHPGFVRPVVLFGPVADLAREKLLKDFPDKFTSPQMESQMEDGTGKNTKTSGIIRLSAIREVMDRGKHALLDITPNAVDRLNYAQFYPIVIFLKAETKQVIKEMRAGIPKSAHKSSKKLLEQCQKLDKIWGHVFSAVITLNAPEAWYRKLRELIDRQQQSSLWMSQTKPIYSRYTVWDLSHPPVYAHIPPLVLSLPPLPNDNVNYDENKNYDVLLSHHQSISSFIEKFPPLPQQPPNSGVIPLNVLFVELNQFVVLFYIETRRPEEALSDDFLFPMTSRLSYASSPESDLELSPAPVIPGTLGPPSRLKSSSDPSIATQDDTTAPPPYSTNYQQSFEQQKRRSQGVGDSKYGFSLSGQRSNQSGSPEYLGSSFEPRSSHHSPPDLPPRVDRNVKPINQVPRGTIGRSVQERLGVNKTDSILDMGNYINATSHKANATSSLERAQPKAGSYDSMSSYDSYNNTNGNANYGMANLNTSTGRLGPNVPDDLKSSNIPTRAHDPYRFTRSTVQPISTHDAQTRTDYAKYSRSTDYKSISISQNKPPGTYKPIPPPKPKNYRPPQALLAQTENNGNDGSNSYQHSKSYSIATSHVHNGVEINSNVQRNSGQYYYNIPSPGRHNDSNIVNSHHNLSHLTSPTHSHSLSHTHSHSSPPVTTTHSHSNSTSQISLGLPHNRNSTNHNGYMHGNNHAPAGPLYPSANPGHNREPSGLDLASSREQRGSAFELYRKPVHHYNMR
MQALAERRVGDAVNAETALAFREAVNASASKVLDLARAVRDEPTLANQYAFRRATATHHAIQMELMGARAEAGRALNAFKIPAETPAAKLRQIDDLIADAGGANSAQELADRILDAAAKGDVALNEMIKGGWNGRTVEALKLIYTNSLLSGVGTPIINLFGNAGMLGLNVLTRVAAPRMARVMGGEGATQIGEASALIHGYQQALRDIFKLNPIEAAQRIAANGGEALRRDGLWRGLAPGLDDAVPGGLSLRAEREEAGSAVGRPFSAGAWNVEEDSILGRVLDIMQMTFSSPSNFNALGDDFFKTIAARGELHAQAFRQVMSEGLEGEAARTRLAGLLESPTDDMLKRAEQEMHDLTFTRDISAREFRGEREAPASTIGQSLMDLRAAADSFGPWGTLILPFVKTPVNLVSMGMRYSPLAPLSRRFRNELAAGGATAEIAKAKVAVGSAFWSIWMGMAMDGQITGRGPNNQGQKEALMRSDETGSPLWQPYSVRFGDRWYSFERADPIGQGMGLLADMAELMKNTDWDNDPQDSVLSGNWDEVAAHAIMALGQAFFDKTVLSGATEFTAVLAGGRPADAERILKQRASAAVPGSSWLRMVRRGQDPYLRETHGVVSAIMNTVPGFSDELPPSRDLWGKPRTYQTGLGTVYDAIVPVQTKAAGGSAVDVHILDNGVSVRMLPRSINVMGENVSLKNRPDIYSEYVRLAGEPAYEHLNAVVAGGHADSPYYFSLPDGPGDGDEYQKGDYIKDAIQAYRADARAMIIDLYASDLESMAMAKVRTRESRGGAW
MTDMLISESDLPAGSLVSSQNTSPRGKVTADDAACQPLANALLFTSTSAGSPAATVNQVVIATGAGDGAISVRTALVAYRDPDAVLAIDGVQTAVKACAAGFTAALNGTRTRFTVAPDTRAGGGQQSTGVVLTDTVDGSRDSNDVWLVRRGDVVAYFVAEGINGGSVPLAAITVVTAPQVRKMS
MTTYQENYRYDASTNLLALHHSQNGTTQERTQPVRTRNNRQRLYTYDEAGNQLRTDALDALRYGADGQICCIEWQQGGYRLREDYTYTQPGVRARKVTRTWNAAGMLVALETATYIGQVEKRASYRGANLSYDGEHCTGYATQHRWTVTRIKDGHGQVGMLTKNELTGEEIVTYNALNHLDSNELVVDENGNVLRYASYLPYGETQEVLEASEDAKSELNYSGQEQDSTGLHYYGYRYLQGSSGLWNRADPIRFESGQLNLYGMLEGNPVKGRDEMGLMSLTNKQLMLLVAGYFRRNKAARLKELLRLTYLYFPRERDGKQLQTKEKKYKYQRISDISRGYTNFFEFNHVYQQLDLIHDIPYIIHMNTYMYGEAESLIRRVDQYHRESNIAVIIGINARQDKDVNIKLHESEIHKQMKKLGVRGGVFSFKWSKPKGKGGGYDFPNIEGRVLVMEHEVADAITQKARQFTNKYHKGYQVIHRWLDDFTTKDPLFSGNKGNKKLNHLLNRMQDGYADIVHGTYGFDADDMKKLVTSDELLKSKKYGKELDKDKVKKRWDKITTDADSELLFYVKNIMEKQNQTEKRMREKMMKNNDLPYPSELNIHFISELLTENLFKHMLREAKTLDNEKGVQMMEAIVFEKATGRAKRRELVKDFVTYKAMKGRYLNPVLGPLVGPITRNLSTQSNMGDEFKEAPLSDQSHLSKDDFMYQFTRQAESHRTKNTRKKLNFNYANHINRQLENLRNSLRSSQAFRFNDSGFEVINDWYKMVDGAYGRNANLTLEQAWLDDIKKIRNTREYHNDSSKHTISRMLTNKHGWIRMKKSNTPLKKFPKNRH
MSTEEPQPLNNENHLYGNDLPEEVLGPGSTSGSILMQGPKQPWTKQSMLQVDNFVNFEDETSDNEDSNRTEVDAILDDDFLCGNYLPSVASKSLSKPTSNHNSGGCTKTEDLEDCNPDWSKEELEAKEICYLSEGVFNLPGEVDEWASHVEQVHDHSAKESAKPNLRQLQISTRTNHSSQEGDSHLMESQDADEVTTFQLDTEFDYDNVSLTPKYSFPIKNLNSLELH
MDEIGVDNHISSAEAENETNNQDAYTYQAIDALMNSPEQTYDEFMENFMFLKKGDVVRPTPVPVEAPVPQVAASRDNVSVQSVAQERVDCDLDVEILEEGTQTPSIFTRQSSDGTASVQLDNFVEDEGEENLSDEEDGCKAVWEDVTSEENTYQASFTPTDAKKEKVPSPVSTAEHSKQERMKASIEIHDNHTQDAIPFMPEDTGVALYPGEVEEVQQSPREKDIRKISQLDFSTATGGPVVAENKESQGEKDLPTDPDEVQPFSLDEDFDYDNVVLTPKFSSEEMEMMRIARQNNGFI
MKQLKTAKILNDTGCYVYFEDYIVRPNDAMVIKRTDDGSRQYSVSLIYGDEQPDGSLRNLQLGDHLVTFNDDAVLVPGSKWYLTMDGLLDERAAQDSPTGSGSSPTSNHGGLANKWKGRLMSLIKH
MSLKITLLSLAVLGYSVNASDNVSDKPNQNTAQDPTAPLGWQKKDSSTSTAKKTVVYRLPTLKSIACDHVNRCNAVLNNSVVETGDKLNGYQVRQITDEKVTLVRGSKLWTLELFSLDIKN
CVRGGIIDLFPMGSVLPYRLDLFDDEIESIATFDVDTQRTLYPVPEIRLLPAREFPLDEKGQTTFRQNFRERFEGDPSKSRIYKDVSKGIAPNGIEYYLPLFFEQTATLFDYLPQNATLCLHHDVDAAIRQFALDAAARYKLLRGDPQHPLLEPRELFMDGEQFFIRVKDFARVDVIATSAPSPQAPDGTTSHSTKPASGQVAGYLPLGEGNSDSLSPVERVGVREGSKNITPCATSPIPPVAVDRRAEVPTQKFADFLRDHAGRVLLLADSLGRREIMSGYLKEYGLAPAVCEDFASFLDGTEKFMLGVAPVQSGFVLDHDRLAIVTEGELYAQQARTRASRAAKKSNVEGMLRDLSELKPG
MLPRGALLDLFPMGSNQPYRLDFFDDEIDSLRLFDVDSQRTLEEVAAINLLPAHEFPTDQTAIELFRSQWRDRFEVKRDAEHIYQQVSKGTLPAGIEYWQPLFFSEPLPPLFSYFPASTLIVNTGDLEASAERFQNETRARFENRGVDPMRPLLPPELLWLRSDELFSELKKWPRVQLKTERLADKAANTNLGYQTLPDLAVQAQNKAPLDNLRRFLESFTGPVIFSVESEGRREALSEMLARIKVAPKHVLRLEEATGNGRYLMIGAAEHGFIDSQRGLALICESDLLGERVARRRQDSRRTINPDTLIRNLAELHIGQPGRSPGTRRGPLRGHDYPGSRRHHRRISDADLRQRCQAIRSGIVPASYQPLRRRGGRKRPPAQAGRRCLDPGATKSG
MEAKLGPLFVVDPTSPWHRQVVQLTLSDDRLAAIDPCKEPTQVYLLPGLVDLQAWTSYPQKPHETPQQLAKLAQQSGFTDVLLGGWEGWYEPEILAQLRQAIEESAVRFHLLASWAQPDGTLAPIESLRAEGAFGWALPPLWPVPWRSLYQALPYLRYLGGPIFLLPHWEGLPGEVGVPEAEELALTGWQGLPPETELLALHLIAALHRREGGSVVVGPLTTSQGYQLSTSLSLPCFTAATYLIASAEKLLTYDAFWKVHPPLRPASDQAILQAATLQREWVGLASGHIQLPLEEKQCEWATAKPGQATWPYALPLVWEALKTRYPEKWGLSRLVEVWAHRPRRLFGLPPARVEVGTPLAFTLVRLYETPQPLPPPWEDFTSTLEVVGLLTRSSDADSLQSQIL
SARVLSGPGVCGFAAFFGTSAAMQWLLQWVLLIVSLIAFAARGDDVCQGEGACSGLVEGTQGQIGAERTQKDFYDRATHYDTVWGGRLRPGYFPHLVSGGYIRFNVSQAADMMTQRMVEIAHINHTSTVLDMGCGFGASCVMIAQMTGASCTGLDLSTTNVARANSEARKLPHLKLDFVE
MNLLANLKANQNQLLEAVLHSVAVEPSQAVAGQVYYNTKDKRAYVYTGAVWMAMDAKDASPTAVSIVKTINDGDSLINLDKIKDLADKLKATNLVATINSGTENINADRINGIAGAITAGDIVTKINEGTSKISTSKIDGLDDKLKIDTIIQALIASNKTIPTSKITGLDNTLATKITDAQAQAKADTALQQANTFTNQRINQILNGASSNYDTFKEIEELLKNNDNLTTVLKKGIADKTGKVAKEIGNGTATEFTVNHNLNSQDVVVMVRENKAPFAQVITDVEVTDVNNVKVKFAKPPKANEYKIVIVG
DSSSSNRNAGSTPRRKVQEDNAATDAATDVLLNYVRREMDAYLSQAQKAAREEVNDNNSRRRRNEKSGGTVRTTKKKTSTASSSTSWRREASKPTQNRKMGSAIVHRRR
METSQASLGRFIADIVENSLLHIWENLGISQPVAYRLIKHLNGFGQLMNSAYNTVLSLGLYK
MKPYRLALLALGSLSLLSPTIWASTALVLPGLHEQGIDLRDTPVSAAAALEWELDNADVVFGAYQNKSDNERVNAIGYMYNQKLELNSSWQENTIRNQAGLNGIDYEDYFLHFSEDTIIAEVDKTHGENTLLNRKPMIVGYTASADHAGFSLYQQPPWDADVFEQTNNGGALYVYHSEQFDRLVFKFSQFAQGGTFKIEYPSAANAFGQVSQWKSVNIKKDKTENMTKNQTVIWSVPSDWIRATTHDGSGLSYGGGQYFGSTFLRDGGRLYAIKITWQGDNVDHRPRLKEVKLKNTFKIVNLSDAPTTTYDGQSIEGWRKIRGFDKSADLNQDNYLSWSEYKNRTNKQATARFRWESRVIPFGRMWNQTSSWALTNLGNPDFANAMHHYYQAEWAKQGLNGAYNDDTNKLLGANQFYVYSGGTVDELGLVAGSQAADDAYKAQFSAFLNKLATLDVDALIGLNIGTANLFGRNGQNHLVKAGSLYLREHYLFPSTGFSGYAGLAKFWDNSALAKAGQKVIYQATTRYGRVQYFGNTEENWKQDQYSALAAFYLNHHPDRSYFNQWNSSYQYGSNNTTADNFWKAGVPKNIAYQPSALLAIDLGAPANQVPENMMATPLMMSTSTPTPSDYTVIGDSTMNEVVHADLPDGLAYVLPTYTFFAYQSETNVVPQGPTEMVLAREFSKGRVLYRTDFFGKSADYFSAPKITIHLDKPMRPIDRNGNIGKYVSQIEIGGYEGLFLLY
MPIKTEIVDFLDKNLSPKSMVERNIDVVLYHYGFTGEVAPTLETTAQHFGIGTRERVRQILKQSLLSKANLDLLPTLNACNKVIGRKSLWLYSELKEAIKAEIGDAFGTSLQDLIYLIQDLGGAKGLTFFGCKFIELTRPAVSRSDECFITSKAEAKVYQKIMRSTRTLPGVLGIAAVGFIDPAPLLMEYYRKAIEIDPTSWVYHDGDKMFFMYEERDNFLINSAEKVFAVHREVDVKRLAKAMHNGLTRRGTKYAFPAVDLVERYLRESRHFDIDGDTIRFKGEQGGLTDIEFDTVDFLKQVITTSFRPLRDFLLSKGHTKMNIYIATNYSPLVHVTRNEKDEKQYALVGEPG
MAISLRPFSTKTPLRSEIYFSKPVVVLLVLFLVYTVFNPSESDQNVRWQKKRCPTVKQTKLLSNNQRDANSYVKLLALSRQKFIAAKQNMSCEAIKGRILPPKQLPPLQFGVAFARVVYKDYEFLEDELRSSYHPQNYFCYSIDKKADRFFTAGIRTLAKCLPNVIVTSD
MAGAYLNLREYADGTCVDERGMGPAVGAPLFACHMAGVDPGRVCAGWLVVEGPNHPTIRLAVIVGVLAVEDLQPGENWPPLYASAVEMFEVQACHARMQPPTPER
MNSDLHLFDQLFEEGEDPSFHLAPSLHSLQELFADWLYLFLQAWKLPTHAAVCWEELRGLYSLTERISLQYCRSDQLERELRQLGVFDEREVKRLTDRLQVFLKIVRSSELQSIRLCTLEMLELWWQALPEAFAWDQLKLMRSWVLMSHGERRLGGFGGAFSKVSRLCQNIQGSG
MHKNNSPDLSTTTLTNSFESSAPNPLDINKLAVASSSNTPIVGQPLLVYDGSTKKLPNDAASAPNGPWFYYQDTTAILAGTATPSTTNSGTKLATDNLAYAGYINYSIDATTTPTKPKLVTLNNSFPTLDPTTGYIISFTAQLESETAHIGADKNLDGKDDRAGFSVIVIGSDKKGIELGFWSNRIWAQNDGAAEPDPTKSNTLSNTLFTQGEGVDFNTKIKAVKYDLAITGNTYSLFADNNRILTGRVRDYTPFQPASYSVPVPVIGSIKVTPPDPYEQANFVFFGDNTPTAGATVNLNNVTIKAVAKEKHDFDGDRKAEILWRNLSTGENAIWKLNEFAIDSSAFITPEIKDSNWKIITSGDFNGDKKADILWRNTATGENSIWLRNGFNDFDLTSKQFITSAPIGWDMLATGDLNGDNKDDLVWRNQTTGENAVWFMDGFTVNPQFFSNKVIPTDWEITGVGYFDDDNKADVVWRNKVTGENALWLMDGAQLKQARFITTVADKNWKIVAVNDSNNDGKDDILWRNQTTGEIALWFVNTTQLDGDKFSITGEFIVDKNAKRLEVTSADWKIEAYADYNGDGKADLLWHNQASGEIALWWLDQATATRTEFITFNGSIIKIDKKWQITL
MRQQVALGELWSDRVQDISVGSVPSLVMTGARILEGGAGQSLTVNLNLYLSTPAPPGGAAVDVDVVSGTAMAGSDFVAAVKRRVTIGAGSNSITVPVVINGDAMPEATEWFKVVLSNPSGGWLPSPEATIYIENDDFGLLRNDFDGDGRSDVFWRNLTDGRNILWWAADYNDQFNPGAVASQSWAVVGAGDFDGDGKSDLFWRNSANGQNIIWPSADGNQKRNVTAITGLDWKVVATGDFDGDGHADIFWRHATNGQNAIWWSGDYAARTMEQAVSTTWKVAGSGDFDGDGKEDVFWRNTSTGANVVWWSGDYAGYTNLTGVTNQAWAVVAIGDYAGDGVADAFWRNQTTGEHIIWWNGSYANQVRETTVSTAWKLVASGDYNGDGESDLFWRNGATGANIIWDSGRYASRRNVTGVTNAAWVIQQ
IPFRILISHHFQMTPTFCWIFLLVLALVGRATSVPRPLTVDACALLCNPGAETESERERDVRLFPRYPKVNCKLQSVSNEHQSFAIMSHCLKLCAYELSIASEVNCFALRSSLQASIGCYCDALTPLSPNTMRLHETWRLNFLVKELASDIMSTIIKSPLDVIILCEIRNELFKDANIIHGQLHEICSNPEKSSSMDDSTEDTLISHPRAKRNIEESETDEFDYDDGPLELVEDMQKDENIESVPRHQEKRDSPLPDNLDLSREQTDEGFSHQDQDNPTLGEHSENNPNCHAETWLTLRRDVISITDIDTILIPFIDQRVDMVSIRLILTFAVIMVAFVISANAAYKKPPFNGSIFGKRSNTVTDYEVTSRALSAICEVASETCTAWLSHQESN
MGDLFMSLIHTCELNRVNPFDYLTELLRHAEELKRQPSEWMPWNYRATLARLTTPAAA
PQRYRNDKIVRKSSSATYYSPHYRRRSLSTSPPTPSPPPRFTSPSKQNNYASRRMYQESTPPDRNYDTSTYESCSRYRVQSPRRFRRKDTFSSDNHKYSGNSTCSELDYYSSRNNNDRPWTKLFQSSKSRTLHPIDIFYSVANMSTQNQIPGTESFPVNKKNRDDNQVLQNNKTIFDCSQTPEQQK
MLLRIQREESLRSFIARNIYANSLSPATKELKRISKNSIVSSEVLKIGKAMGWLGCYGMNRLLHNHTFYPRFAFLHENEDISYSESEYVYWSHRFELAKAPSRFCPECVRDDIAYLGFSYWRRVHHPDINVCAKHNVYLEAQCPFCSEPFSYEKNGLDVMWKGCKGLHLSGAPSRKNLEVSELKKAEFFRDVFNYESVISVDAVRLALTQRAVLKKPHIQKELLSAKYMHECIEILKVEFKEDWIIKNSPISSLSADNVWAATVALYEGFDDFINHVKCYDYRPRKVESLWSTYEHGTYKFAQYIQEDYVYGVGHWSSTLSSKELIEYRGEPFGRPRLYPCCNDVPPFYQTGRLQPTPVGWPPPSVPILAKHPSEY
NVLVMGDVKTPGKYALRSGGRLTDAIAAAGGLMPTNGDFPFARVSRANGAIVRVSLQNLLHDGNQQQNVELDEGSVVYVEGPTTFDVEVVGAVDRPGYIQMREGDRLATAIAKAGTSANSNADLNHVYVTRTAANGQTASHEVNLYQALINGNLWYDPVLKKGDIVYVPQSRRPGSAGGLLYLLQRWLIP
THVLNSLSPIASNSIFLSGGLFSMLESPVDLRGMLGGVDGRLASSGFLASLFPAFNQAELELFAKAQVEDGRIPRYIGNLHGGYTDLDPKLLGAEWTDATSAWILQVAHQYQSSGNTAFLGRLWPGIIRAVDYLVAQDPDGDGIPVEGSSLEDVAPGGSGAAYASGLYASAMRAAVKLAEAQGDAVRAGAWRERSNRADAGAVQIVARYSSDGTTVTNAAALAGDWAARAAGIPSPWPDDTAGAALHALYQRHVQAFPGPAIPMEAPANGESLPGDTSSLPALMDSYLAGAALQLGFPDQGMDILSRSYKVLYEVSRNAWHQSRRYNAPEGNRARSRQHRTASAAWNALPALAGFALDLPAGRLLLDPKVPTALEGRLHVPIFTPRFWAWLDYDASASTGTLSILRTFESEGSTAPVTITRVGRRLAHDGSVTGESELEPPAEFHEGASFNLDFHGGRLRLSLILPPEPEAEETTSTLDLDDLTTAVDELTSTSVEEVFDPEADPDAPVPTSSPEETSAVEEEHVVAPPVPESTPASAETDIEEDEESADSEVEALEETEAREETEREDDVTTAVLSVMPEETPSQRAPLRRR
MNKITIDDKKYTIKFSNVNNMLTVEFIGVKKY
MLSHFNDSGFWLVSRLMEMDEKTTLKTWTVMETLLGGIAFLIVATLSFIL
MSDMSPLRRKIGAIRRDAPPPPSPERVWRRAVVHGLSRGAGLTVEVERPGRATILPEDAAPLMGEGMLTLLLDSAAGPGVLILEPGPMAALVEQQTLGRLGTRPPRKRTPTGTDAALVADAVDCILTTHEAMIDELQMAGAVTGFRYATPLSKPRDIMLGLRDTAHDHWKLPLKFPGNESREGTLHLVLPNEAAKTDDPADKSANWAEKMEARLMGSELQVTALLGEIETTVAAMRALRVGDRLTLPRESIGRVSIVGATGARIGEGRLGQSGGRKAVRLEQPGAAEFLSPEDDTMMLPDGMAAAWDSE
MLLKNLLSVLALPVAVVQAAGFNCASFWPSISPGERNTVNGNCQTYPGYPKTIGQTKVTVVYTDEWQGNLGIIDALLGEAITQSIAVYSTLTPPPDMVIILGAAENSDAAVDTFMPTSDGPCQIRSFNGWATGQAVALSPRAMQAVAHEIYHCVQAKMVGLGSADSPTLWTLESSARYFSNVVFPYANREVQDEEDYDPSKPIWQQANPYISSLWFQSLERSRGIVYLHQFVMSTIFASGDGERARLSSIPGFIDDFYLFALLFSFSRIFDTSGQQLHIQKAIEYQNTIWSVNEDASEGTTVLETTPFTISGFTLKLEAGQNVKLYASTTAKQRVAWRRKGELYWSDLPSVGSSGGSEGVVIIPCTSDPQEIRILVVSGENKASDKVQVQYTQTYKDDSCCKQDPKKRDTKECPTSLASSSIATSSAPEPTGTGSGSCAGSSIAMDPCLLGHSWSLDLPSTRELMRKQLAKIPDVTINAVDVSGSGGLDFDEKNVTFTYTALTTRVDVSVEGINLPVDVVIDGEASGRFFIKSGGSGSGVACLAYTRGSGTARGTVPFLGEQVYDLAPGGGYLQDMDIAYTCSNGRVTIASAGSQSPLDGGATWGPLAYNAE
MGVIMNASVIVSLIDQASSRLRRIGNLSDDLQRRVSRLQNMRLTGLVAAGTGALGLVAVTAAIKEATDAAMEFEDVMNNVKIAAFGKDLLDKSKAAEVQKTVNDLTTGFEKLGMATKFSDTSTAQAAVGMLRGGLNKEFLLGSKDKNGQYNYSGLTAAMYSAQLGETDPFAAGDFIAKQKAAFNLSADRTLQAVDYYTRVSAASTVDYQNLMSGMMTASGVGGTLGLSPEDTSLLVAATGTYTKDGGSAGTFTKDFLDRLIPHTKKQKEAMKQLGWLNPDGKSSVFFNQDDGKSKGADFMFKTIQEASKKFKPDQFQNLMHKIFLEQGKNTALALANQSKVYEEIKNNVNNQLDMYQQVDIQMGATKNVMDTLRETMNVVKRVFGDPFLEPLKNGLTYIQDILEKHVVTWAKTHPAFIKTAAMIALVTSAILLFGGLALTAAASFGILRISLLAVGTTLSAVVLKFSLIAAGLAMAAWLIYANWDRIGPFLKDVFQVITQAGERLFSTFKNDAIKKFTDFLKKNEPTFQRLGVLFDEAMNLIKKGIELAAPIIEKTLVVVLGVAVSTILDAAQSALDFYNIIADNWSLIGPIVAGVAGGFATYKLIVIACTFATKAFTIAQAALNLVLRMNPYALAASGMIALIVYAQMFGSKYGKLTSIILAVAAGFATYMVIARGVAIAQGILNIVMMANPIGLIALAIGALVAIGVILYQNWDYLCLMARRVTIFFQELGLKGLVYLGKLFRDYLMPLIVNFLKVLDQVFGTNLADKLTKEVTDAINDAEKKLSALTREKYQIQIEQTVREVREDNSLSLQEKAQLVRDIRINGSHYSGLSYVPFDGYLAQLHRGEMVLNKPQADAFRGNTNGGAVTLPVSQPSQQPIQVVYNPVFQGSARDDEALQQMVADSFAQFKREIPGLVEKAQKQKARNNARYVPGMAT
MDSDRTLRGSCSCGRIQYAIVIPRSSVTQAEVYFDTSSDRRRNQAAPLTAWLRVPLDWYQSSTISYFPDETHSAIRRTFIPPNAPHSQRHFCGFCGTPMSYWSEEPPEEAEFLSVTIGSLSSGDQGALEDLDLLPADVDTDVESGSAAATATTTATSAGTGSPAPPSWASSVSTQPAPRSVEPHMSVVTHRFGVINGIPWFEEMIQGSRLGRVGKRRRGVGISADSSTRVEWEMSEWHDDGSGPVVEDLSAGSDHYAPPRAPKRKTPEVGGSE
MPVLRILAVVFCCSVFLAGTVPVRASDASEQGPGNAAHILLTQEGTTRKLAIDEIERRLPTVEIEGRASPDEPVTAFQGVLLSDLVRMIGAGEAEILVVRASDGYAADIPRKDWGSWPIVFATRADGAPLTLRKRGPARIIYPVDRYPELAGRTYIDRSVWLITEIEW
MESRVVRGKLASHTVPRASPGLGSNPNNVTVSSGLESSPAPRGRGVVGGGTAAGWGEKPKAEKEGRGSDDLVAVGTADCAEPMATAGRGGTKFPLANDDQS
VQKLHKRKSDGKCDVCNLKKELDPGNFTVNSDEMDEE
MAGTVYLKTDRFEVKLEGIAFKARDVEPLCTMDFLFPKGKVWKHPPVVGLDVLRHPRDPNIALLLLCFGTGCAIIRFYVGKQLADPILKFLQDKRISFVGFGIPEKKDLFPFDKLGLKESDVDVGFLAAKLLNDPKLKKYELADLARRVLGIKTMVGVTKARSLGMHRQIKCAICEVFVSTVISMTLLHPKHETNLVNSPKKSLLAKNLDLSSIFIEKWSRMATRSKENNESQTKEGKNDVHVHCTREVQSSCDDPNKTGASPSNKPLKGILKCLSQGSSLPQSCSSPPIFEGQSGFNLKRANSKGHNVSFGVNKTVHI
MQSPHFDVSSYHGAVYGSAPVYETSGFRLHHSSYTADSDSTCYAGYTSPGVHAVQNYGCRDPGAYTEAASFYYASGGAASFDNSNSYKIQNGQMGVSECENVAATNVQEDNFLLKPHESLALNHLQSAYSNNSIHNSNNNNNCINIHEAQIHQAHNYQLSTNHHHKQHRSYDIPDNIVVSRLTPNVSDIFGQRTLKLESLKREHSPLDEQQNTPPASTSTAISINIEQGNKQYATSDKMNSTRSDISEVTLSKCSSETFLGKDTISEKKEEESGDNKPTMSYIALIAKAILESEHKRLNLGSIYNWIETHYPFYKNKGQGWRNSVRHNLSLNDCFIKAGRCEDGKGNYWAIHPANIQDFMRGDFRQRRRSRRRGRKKDCDVSMYPGSNGYLQSHGSSLTPGVTFNQAALSSIYSPYTEAERRAYRLDEALLRQSVSNPFVKWYQQGVSTPGYGVPASPHSCSAGIYANSGALQWPQTYSDTSSQSMYPTLSSSFSR
MTKKTDIRVIRTRQNIIQAFVDLANSEGIDAVTVQQIADWAMINRATFYAHFTDKEDLYEQVFRLAMATFTPLRKPELFRHGHIQADLVTRRLTDVFKEVKRQRQIFLLILNNTNSTKLLDQLKQMLKSNISGVLLKLGIRRNSNVPSELVLTYLVSTFIGVLQWWLRSPSKMSAQHLAKLYVELMRNGHLKLVGIKVE
MPNLTTAAGSLTNSGMKESPKKSQDESVKDIWMNLESEDAEGDIWGQTPPPYAYNEDNPAGRKLLAQLQENWIVMQQEVSTLVRKLSSLVVELEPV
MAAREKTLWVQVMEAGFGATLEAHGFRRVSPRLYRLEGDGIVWEQFTYRGPKGYLNAIREGHGAVVDGLDEMFRQAYGGRPQDYNVYPVRNGYCGRQYHAYGAIDSDLESVGLKAHRAPEGEAEALLRSYDEMRRQYEESRDRSRWARLRRFLRLDRRRKHFSSNLDKITPHFQRNWHAYDANFWGIGGSPMDRLCDSEQFVPSQTELEELAGLLSRFWTELVWTYRIGRQLSIHDRAVAEFNRPPRHCWFDRGSTLFNHLAGRHDLARSHVMHAIECGRMTPAQVRAHLEEHRPEFLEREPSDYGTPEWDMDSEDLAVLRCKEWLEYRRKAAVEARRLAAAIGLTV
MQVSDAFEKFAEEFREHPEILDSALIGLGKILRILALLNDSGVLDALNEALSNKELLDNLKNSSKNSLTNLILLSNVLGAIDSAKLKAYSNKLPKAIRKSLEEFEKQHKGIGIFGILALARKEEFASLIRALEVFLKNLK
KFIWKGVIKEGGKSPMEEGRRKKEGGRSNKSQGSSNK
MAQIDLSTYQTVFDMIPVGLGIASLEGKLLIYNAAMLAPGGYERADIDSLSSVVELYYEPADRARVLEIARDQGKVDNFRVRFKRKNGEPYWTLMSLRPVQFEGTPGWLASVLDIDIQVRAEEDLSVKMKELEELNKVMVGRELKMAELKEELQKLKSQK
MHLELQSELGSLLHLILTAALAVIYAKNRSIVILPALMLASLIGVMVGLEVHPFIIGISNFAAALIVFIAGLELDPCFIRVNKERALFIFVFEAVQILTFYYILINFFPIEIATALTAIMVASNEAFALELKKYKGGHLAQFGITLSVLEDALAVFLLSIGFFTSPKTLHLGVLETIIVTSLFLIPLIYFVSGLYVKILNSLERKDTKILLAILYLVILMAIAEALGIPEAIPVFIGAVSLSFHGFDRETFNAIESYFVLALTGFVSSLPYTVYKATEAELTLDLFIQATLLGAMLAVVAYVVRLAIVFTASIMGGLNVDDAFSLAAALANTGEFGLIVLSTLVVTTGLVTSNIAYAALFAYAFNLTLVSEAVKRLSGIRRRVLELMKPKLYDFLVKVSEEANTVVETLAKDIQLKESILEITITVFIVYLVTGLSGIYPNPVSDYIFMLFLLSAFIASTQEVFQILSSALSRIGSSRTFFAFFFRLMVLYIVVAPLLHSMKYFYSRGRFLQLIHPIDSPLSLLIIIVLTIAIKNLAEKTAKFTLGHSVSEPENVSEKKQD
MAKTSLGKCGAMLELRESEAYLKAEQQSCSSHSLCIVHSQSSNHLHPRAETKTFPHDLSCKTQPQIMKMSFFGKLKNR
MGIELSGAGEALTAIVVSDELSKEVGKSPSGHKDDHSDQNDHANKDCANCGTQLTGAFCHACGQSAHIHRSLLHMVEEALHGILHFDTKAWRTIPALIFRPGHLTKQYIEGKRTSFVSPLALFLFLIFLMFFIFSYTSTESSNALLSSPNTREEIVKELSNAEEKLNQQLAEQVKQGPFGADAFELQSEISDAQFEIRKLKDVLNESDGKKKDLVALNKELAITEANLKLLREAKLKLKRKGKESVAAEATSSVSAIASSSASASLEENAASSSVQNANEQTKDDSASKATLPIEKLQPWEINPRIQLAEREVKYLKKQIAAGEKDKAKEQENSQIEDALKAIKNGDDQSPDTASDTKASASNAAGEKAKKGIGTDIDIQNSSSIPYVADLIDHAKSNPELTLYKMKKNASGLAFLLVPLTLPFLWLLFAFNRKFVMFDHAVFALYSLSFMCLLLSTISILSYFGFEMITALLFAFVPPVHMYAQLRHAYSLGTFATLWRTIALLFIALISLSLFAAVVTIASA
MEEVWVLRNEDRVKCGSPSFNDGKARASWIRESAGAGQPNEGSCHRRLASSGRAGVLRRAFGWRWASEKSKKRSKQMDMSRRWTTCRCRCRCRYCPPPAGEHMSQLCFRY
MLSAGSDGSDGPTSAAGAFTDGGTISRARALGLDPYRALRNNDSYNFFSRLGELFCPGPTGTNVLDFKIVLLY
MATKTYEDNKKWKNDLEKAGFSHLNGDRIICIDCGLTPRLGPGDSPTELHKGLYKDCKFVKENLREKLPRNKAETKQSSTELGDDLLEKQAGDILLYERKGQSALCTGGITHAEYHDENKKDFAGGYTDKTNNNGRDKSLRYEMGKCPIQESMTSQIDNSDACSGISNRDRYQEVYKKTQCKTCRKSLKNCAVVLVLPCSHMHCKTCAFGQQKCSCDTPITDQINTFWS
MRAVPFWLKLVGAFLAVIIVAVGGVAILANRSASSEFATYVNRGRMNRAQMLAPLFEDYFQRTGRWQGAEQLANSLPWPGQGTAMHGMGRGQGGWTASPADRFVVADPSGQIVVDSDGALVGKRLSAEDLAYGAPLEVSGQLQGYLLMGEFDQYSALEAQFLARLNQALLVAALLAGGAALLLALLLSRSLSGPVHALAGAAKALAEGKRGLRVDVAGSREVALLGKSFNSMAEALEKQEALRRQLIADIAHELRTPLAVIRGNLEALLDGIYRPTAETIVPIHEEALLLTRLVDELRDLALAEAGQLPLHIESVNVVELARGVLSGLTPLANERSIALELVLPGAEPPPVAVDAGRIRQVLTNLLANALRYTPQRGRVQVAVEQAAPEWVEVQVSDNGPGIRPEDLPHVFDRFYRGDRARTRDGGGAGLGLAIAKQWVEAHGGRIRAESVLGQGTTFAFTLPVARAE
AGGRPDDHTGAPGSPAPPAPLGPGQPTGLTRVPERDTDPGSEAGQVGAALNRMIDHVEASLAERRRSEERMRRFLADASHELRTPLASIAGYAELMNRGTDRIEPGLAWRRVNAESARMRSLVEDLLLLARLDEGRPLQAAEVDMAALVAEAVWDARAAGAGHVWQLELDLDGPVLVLGDEARLCQAVANLMANARVHTPAGTTVTATVETTATTCVIRVRDDGPGIPPALLPTVFERFTRADSSRTRAGVLEGGSGLGLAIASAVTTAHGGRIDVRSAPGRTEFTLELPVTGLPPAGTATVARTAPVCSPGAPPEKSQARASGAEAGAPSSRACSRFSSPNPRRA
MPNLRPGERFTRTFYGRLLLGEVVVGLVLLATVGAVMGSVAPGLFDYYLVEHGPLDTADDLQHAEVAFRSAVWISLLIAVLVASLVAVAIALAMVRPLRRQIRELSHVARQVEDGDFSHRVQLGPDAGEEVTLLATSFNAMATRLGEVEDARRQLLADLAHELRTPIASLSVTVEALGDGVLDADPATLATLTEQAGRLTRLAGDLRDISDAEGALSVHRTPCDVAELVEEARAAAAEDYARAGVELAIEGWPRGRVMADRQRIGQVLANLLSNALRHTPSGGRVALAAGTGGDGTRISVADTGDGIEPEHLPHVFERFYRTDTARTRDAGGTGIGLAVSAAIAEAHGGTLTASSPGVGQGSVFTLTLPPD
MSVRQKLFLAMTSLIVGMSLLTILVTVLIVNGALRSIHIADRSEHIQAIEERLITYYEANGGQWGDREELRNVILNKAEIGAEAADILLLSNSGERLLTIGNEEMGVIRGLGLKRALKHEGTTIGTLYYYDPEAANVRKIQLGISSSVTVLLIGFSLCLLVVSLIAAFLVAKRITSPLRILLPAIERLGEGGLGTQAPVLSRDEYGKVAESFNAMSLHLLRAEEARRSLVADVAHELRTPLSILQGQMELLQQAGEAIEPHKLLPLQDELIRLRKLVDDLQLLSLAEAKKLALHKRESSLPSLIRRILDRFAEEANDRSIRLTFTDKTAHAAVSFDEHRMAQVFFNLIGNAIRYTPAGGSVQVTAELEEDIRGKQLVVRIADTGEGIGAEHLPHIFDRFYRTDSARSRNSGGMGLGLAIAKELVLAHGGTIEAQSVLRQGTTVVVKLPASVS
MGWGRTGLERVILADSNSFVVADTYGLRLGERLSEQELAQGTAIIVNGQRVGTLWLTTTTPPGVLSQEDLFTRSVTVAIILAGIAVLILAGLAGFFLARRIAGPLSKLTKAVHRLAAGGWEQRLVLTGDEEIVQLVTAFNDMAARLEKYETMRRNLVADVAHELRTPLTVLRGQLESLQAGALEAKPEVIMSLHDEILRLSRLVHDLQELSLAEAKKLPLHQETFNLVEAVQKVLNFFQVEAEGKNITLTLNAEGQEIQVKGDRDRLTQVLINLLGNALRYTPPQGQVGVTIKEKSGEVSVSVADTGPGIAAADLPYVFERFYRPDKSRSRAQGGAGLGLAIAKGFVEAHGGRIWVESQPGQGTRFTFTIPVSRYNSSS
INQVIETAKSITADRLHDRILEHHAKDEIGKIISTFNEMISRLDISFQQMKQFSADASHELRTPLTVMRSQLETAMNSRTSVAEMKKIVANCLDETIRMTGIIENLLLLAKGDAGQITMKQEVVDLSSLVRQTYDESVILASQKEISVTLEEITSISILGDAERLRQMLLNLIDNSIKYTPECGRIHLSLSTDDGNAKIVVADTGIGIPENEIPRIFNRFYRVDRARSREMGGTGLGLSIAQWIVHAHGGNIRVESKLNEGSEFSVLLPLNDR
LGEELAGRAGIALENARLFGEATRAVSARDNLLSVTSHELRTPVTSLKLQLQMARRQLKAGKVEPAALERSLDISIKQVDRLNSLIEDLLNFSSVSAGKMRYHFARNDVAAIVSETLERHAESARIGKTPMKQTGLASLEAEVDPLRFGQVVENLVTNALKYGAGGPVELELREAGLDFTLRVRDQGIGIAPDKLNTVFERYERVGPTQGISGFGLGLYVSRAFVQAHGGTITVESTPGKGSCFTVKMPLRQGLA
MTIHSLTAKLLLVSVIVGLTGTAILAVLAATVTAGEFGDFMFRYRRSGLAELLADHYATNGTWEGLPREFSNRSLAGPSPRALGKAPFLSFLLVDNTGSVVVSGQGYQVGELVPEEGLSEGISIEVEGESVGALYLQSGAFDVGPAEQIFLRRVNFALAVGAIGGTAAAVVLGMVLARSLTKPLRELTAGARAVAAGELDTQVPVRSSDELGELAEAFNQMNADLATARDRRRQLTADVAHELRTPLSVILGHTEGIRDGVLEASAENLAIIHEEAQRLERLVEDLRILSLVESGELSLELGEYDPMALVEKTAASYRALAREKEIDLEVVSDGREVPTIRIDMDRMGQVLGNLVSNAVRHTPEGGSVILAAHAWTGGVEIQIKDSGGGIDPEDLPHIFERFYRADKSRQRDGAGSGLGLAIAKSLTEAHGGTIGAESQLGQGTTISLRIPNVA
GQTLASDAQINNSLLGYASRHADWSGVAATVRDLAARNGRRIALTTEQGAVIADSASAGTALPSRVSAVVDPLAVDVTLVPGAAGDRIDPRAVGPFLLSARDRARLTKAAEVYATCLGRSGQAVRLSTSNSGRPVVTTTGQPASQEPHPMPSAPIPCDASGLSTPTTTEKAALKQLNALITTCLSGRTKERGTVGLDFTWVSSKNASPTVSSCIATARKEQLDPYVAPAAYLYLGSPTEAAAQRIPLPSIAGAALLVLVLTVGVSVMAARRLVKPVNALTDATRRMRDGDSSARVAVRTTGEISELAAAFNEMSEHLQRMEEQRKAMVSDVSHELRTPLSNLRGWLEAAQDGVAELDPALIASLVEEILLLQHIVDDLQELALADAGKLRLHVEPVHLADLLDQIATAHRGRAEAAGLTLTVAGDASLTADPVRLRQAIGNLVTNSLRYTPSGGHITLRARSEPAHVVIEVSDTGIGIPPEHLPHVFDRFWRAEKSRNRRTGGSGLGLAIVRNLAEAHGGTATVTSQTGVRTTFTLRLPGT
MLVLLVAITCTAISAHLTRENIKQSTIAQSLLTEHQQLSSISYRLFKQLTDGVIFGQQANQANVRKKRSLIEQSLNTIRRLEISQREALGFEVTQGSVEDTDELEQIIDDIIRQFEALDFAQNEVPLGQQEQLRSLLEVTIDNQFRESINAAVTRQSRVVAAINSRIDTLNTAMVWFILGLGALAVPLIVYGCYWLLNQLYQPLVIFREATNRIAAGHYDQLITVKMDDEFEALAIAINQMSERLHEHQSNAQRSRKELEIEVEQRTKELTQANQKLTKIDSRRRQFIADVSHELRTPLTIIRGEAQVTLRLKSASQDDYKETLEAILEQSISLSRLVDDLLLLTRAEMNQLHLDIQVTQIIDLVRVESEKWQRVHADRDIQFVNQSALNEISVAIDKSRIQQVVAILLDNAIKYSADGSAITISVNHNEDQVEVSVTDCGTGISAAEIENIFERFVRFSKHEGGLGLGLPIAKAIVEAHGGHIQVTSEKGQGSSFTFTLNKVES
MRPDHRSARRPWRDSDWCRWDAGASEPRRGSDKGASRRELRWRRRAAWELMHYRRHHQGRSLGGNLLAMFIVASLLSILLAALVLETAFNWHRSMALSHALSEQVDWIESHLAFDAAGTPVALREPPDTAWVYTAATRDWKYRVVDGRGVAVLSSDTGAPPFAPTPADAARERFDVVVDGLPLHVVSKALTHAGHRYVVQAAISDRAAALFSGAIVGPILQNALLIAAVSLLLFAFGIHGSLRHFLLPLRRASEAASRIAPRNLETRLSEAAMPRELRPLIRAFNEALDRLQQGYRVQQEFLASAAHELKTPLALIRGQVELSESADRELLLGDIDRMARQVAQLLHLAEVSEARNFQMAETDLGGVAGEAVAFLQRLAQRGNVHLDLRLADALPARRADRGAVFVLLKNLIENALQHSPSGAVVTVDVRANGLSVRDEGDGIPPEHLPELFKRFWRGAARRDTGAGLGLAICHEIALAHGWSLVARNGTTGAEFLVSFDAS
MIRSVHGKLAAIMLALFSILGFILIIITLFSNRMYFQEASQRLNRSIAKELVSHRVIIKDGGVNEPVMVEIYNDLHQVDPGVQVYVLDRFGHILASSVRSDELLLEHVALEPIFMFNSRKGKLPIYGDDPMDLNHRTIFSACPIPTKGDVEGYLYITLGEGEDVSIGKMLAASHIARLSIYYALLGLLLVSITGLILFNYLTRRLRKLTSSIETFMPPDDGEISLPEDAEKKIPRDEIEKLQMVFDAMAKRIKKQVWDLEDGDRLRRELVTNISHDLRTPLTSLQGYLETLLLKKNLSDEERERFLETALKQSDRLRRMVADLFELSQLDAHGVRTHPEPFSVCELAQDIIQKFQLSADKKGVRLISDFSSHLPLVVADIGLMERTLENLVKNALQYSSDGGIVTISIHQEDEEMVVQVQDTGPGILKEDLPHIFNRYFRAGDSAEDAPEGTGLGLAIARRIVNLHEGELEVLSTVGIGTVFSFRLPVLSQERMACGTDS
MEPASKDKKLRIHSIYIKFLAIFTITIVLSSVLSGTIMYKLVESYLIASRMDDLKSAADTISDYVTQYLTSDEYVGEFVPVEHDTNEYFYNLYSLMKISNQTMGANIFITDDRGYVGFSYPLLPDMADKRIEHGSRFLNDSIVANLILDNGRYRFPNKEQYVPSLRTDGYVVDKNHYHGLFRDEKVPFLTISRRLVYIEPETRIKQVYGTVCISVSTPEILEARQKVILYFMLSTCIAVLIQVIVLSISTKRITEPVRALQEASRRLAAGSFERNVIRTTKDEIGDLVDSFNNMTIALENLDRVRNDFIANVSHELRTPMTSIGGFIDGIMDGVIPPEKHEYYLKIVRSEISRLSTLVNELLDIAKMQSGNMEFHFTVFDINVDIRNCIIKLEPLINDKELQVELDLDNEQENVYGDRNSLQRVLINLIQNAIKFTPKGGTIKVYTKRLKDKVEVNVEDTGIGISKEDQAMIFERFFKSDKSRSEDKKGTGLGLSIVKKILIAHDQDIKVDSSLGKGSKFTFTVARPPKEHS
MTLSHLDPRNSFFGKIFLWFWFVALTSLGVGVFVARIAAPSADIQPITVAQNALLSQTAQRIERRSRSVNDVRQALRFISGRRGRLAFAIEVESQQFIFGFPPPLRPSRDVFLAQLTGQTASIITLGNSQFMGPALFSVAGTEYALFVGDTQAKAGSRLPLIVTVVLVALICSGLLCYFLARRFAAPVSAIQRATEALAQGQFETRITTLSHRTDELGRLSRETNKMAEQLQQLFAEHHRFLADVSHELRSPLTRLQLAIGIAEDTLQNGHAEQVGAVQQTLLPRIHKEAEAIEAMIAQLMYVSRQQVQMHAAQLKPLCVTTLLDECIDNAQFEAESLNKQIKRFYPADHQNLFVEANTELLCSAFDNVLRNAVHYARDTIQVRVEQATAPANGIRIVIEDNGQGVSAEALGQLFKPFYRTDSSRARDSGGVGLGLSIAQRAIHHHGGTIEAQHAQPCGLAIIIALPSSA
MYSLTKTNVISGIRRHLSSIKVKLFLWFWIVTICAIAATRFVSIQLSQQYVEIDTDKGDLRRLTRITDVIHQAQPRNLAKFIHDNRHRRTKRSFKHLMVKPLNNNQPVIGGHPEHPYVNQFIQANVFDNTTTWIFPHSQITGPVQLEVRGQQYLAFYKRHTSRIRTIGFFMQQLPYWARIGTPVIVSFALCWLLARSLSRPLSNITRVADRFGQGDLAVRVENDDKRSDELGTLAKAFNQMADKLSDNVSAHQRLLGDVSHELRSPLTRLQMALALAQKHKDDHETLEQYIARSEREVARLDEMIEHVLTLSRLENSAQAISKQPCHLKPLLDHLVDDGNFLGQDKQVQVNLNALGNPQLNLDEALIASAVGNIICNAIKYSPSASKVLVELTETAEHVVISVIDQGNGVPDLVLSKLFEPFYRVASARDRLTGGTGLGLAIAKQAVLAHRGEISAKNNSEGGLTVTICLPKV
MPSRPDCAGRAGRPDRADRPDRAGRAGPRSRPAASRSVPVSLPVSLPVSLRARLVVTVAGLVALALGLVAGAVFGALQDWRGHHGARLLALDTPEALLAASDELTERVARVLAVSSAVALAGLTLLAAHLVRRGLRPLDRIVEAAADVGAGDLDRRIETGPAGSEVGRLGHALNAMLGQLERAFRQREESQERLRRFVADASHELRTPVATIRGYAELFRRGAASRPEDLAKAMSRIEAEATRMGALVDEMLLLARLDQARPLERAPVELTSLVADAVADTLAIEPDRPLRAEHPGPVEVPGDAARIRQAVGNLLANVLAHTPPGTPAVVRVRRDGGEAVIEVADEGPGLTEEQRALVFERFYRVEHTRGADHVRGGDRRRGGAGLGLAIVAAVAAAHGGRAEADSGPGKGAVFRMALPSG
MSIKKRLLLSYIAMTIIPIVLFVLIATTLVSAFYKGMAGKDGGKGMPAFWETANQHRDLIAGVKFMTQTDPDRFTDSEFLKTTDEQLNRLHTGLVVLKNNQVSFTSPFVSNLHLNTEIQELQTSQTRERWGKSRYSIEKYTITYSDGTTGTVYLLSDLKSFFNEARKFFPLLLLSLLLVIGLTNGFLTFLVSRSFIKPLYTLKHAAEQIKEGNLKQEVELNRKDEIGELGASFEEMRIRLNESIHLQLEYEENRKQLISNISHDLKTPITGIKACVQGIQEGIADTAIKQEKYINMIAKKAEDMDHLIEELMLYSKLDLNRLPFHLEPIDLHLFLQDCVEELRLDPRMEEINLTFIVETDKPVPILGDREKLRRVMMNIIGNSLKYMNKVQKEIRVELFDDKTKATVHIRDNGPGIKSGVLSHIFDRFYRADPSRNTETGGSGLGLAIVKQIVEGQGGSVWAESQLGEGTSIYFTLPKTNNEGEQE
MKFRNRLIVSFVIIIFLPMILAITLIFSARRIKENLGGRSFLINEDGIYLANSYELLNSYTKDEYTELKDWATNHERWLEDDAKRDAMNARLQGKYSYLLVCVGDSIVYNGGKDEEVTFRIDELPSYGAGSVGDGVSGYYVDGRSVVVKQVDFVTTTGGLGRAFIITAAEELLPEVRRLMWDLIGSVFLILLFTGGVLITWTYSGLVPNIRRLIRATNQIREGNLDEPIVIRGNDEVAVLAKSVEEMRQRLQADAKEKLQDENVQKQLISNIAHDLKTPLTAIRGYAEGLLEGVATTPEKQEAYLRTIYNKANEMNTLLNELSLYSKIDTNRIPYDFQHIPVRGFFDDCTDELAVDLETQGASLQYHCSVESEEEMIADPEQLMRVIHNIIGNAVKYHGERSLEIDFRVKDVGDFIQVEIEDNGMGISAQDLPHIFDRMFRSDASRNSAVGGSGIGLSIVKKIVEDHGGQIWATSKEHVGTVIYFVLHKYQEVRNEQ
MSLLEAVMALRPLWDQWEDGVVMVDGSLRLLLVNAAAASLFAPSGEPEEGRHVLLALQSSELEQTLLEALRAGHAQQREFELPYPRQRFLRVRAFPLKEAGQILGCVAFVRDLTELRRLETVRRDFVANVSHELRTPIASIKALAEALLAGGLEETQVAENFLTSIVQEADRLARITDDLLILSQAEGGQIRRAPVDMGEAVQEVAQRFQPQANRRSITLLVGIPPLPPVLADRDQIVQVLTNLIDNALKYTPKGGSVWVEAQARDDEVEVRVRDTGIGIPEAHLPRIFERFYRVDKARSRELGGTGLGLSIVKHIVEAHGGRVGVESEVGKGSTFFFTLPCAPPDVRGG
MHLRSIRWRLVTIYVLLVIIVMMASGTLIVYLTRDNEYKVIKNDLRHLAALATAISAEDDASAEALEKQYMNLLMQGRLGQPASDSIICMLRPNGEVIYTTSEVETAKRILTPQTMAAAMGNTLEEFDRVGNIRENDRMMGLALPVKDNRGEVAFILYFLKGTEQVEENIRRTVYVIAVSVLLAIGLAMFLGYVFAGYLTRPIRSLSRRAKDMAAGNLKNPIPVLSNDEIGDLTDNFNYMAKSLNRTMEQMAGEKNKMETIIHYMTDGILVFDEEGQIIHKNPASMRMLDFRGAKNYEEVFDEALKMSYRELTGKMEQGQLKFTLEKENQYFNVAFARYFSDDRVARGVICVIQDVTEHKKLEQIQKEFVANVSHELRTPITTVKSYAETLLDLPPEDIENRERFLTVINSESDRMTALVQDLLDLSKLDNKKIGFQMEQIELTALVRGEVEGYQIHARKKGQQLLFEAEENYYIYGDPGRVSQVFRNLISNAVKYSGEGSQVKVSLSAEESFVVGKIQDQGIGIAPEDLPRVFERFYRVDKARSRAMGGTGLGLAIVKEIMQYHGGRVEVTSELGKGSCFYLYFPC
MLRGLRTPLLATSFGLALVAAGLGAAIGLGSLGNALGVVVIAAAVAVAATATTLALVGRAAGRFAERARAIVRGGATEVASRPGGSPDGDDELGAWLDFLAADVRRSRSAVSDERDMLAVLLAETAVGVIAIDAAHQVVIVNDAARSLLGLAAAPIGLPILDVVRVPGVIDLVRAPSREPRELALPSGARVALRAVRHGDRLILLLDDVTVLRRLETVRRDFVANVSHELRTPVSIIRANAETLQGAAGLDQSMRTTLVDGLHRNAERLARILTDLLDLSRLDAGQYRLDASPIPVAQVAEAAIETVLPRAVDKGITIHRELDPALSALGDARALDQIVVNLVDNAVKYTPRGGNVWVRSGPGPGGVRLEVSDDGPGIAPRHRERIFERFYRVDAGRSRDLGGTGLGLAIVKHLGESMGGVVEVAAREPAGTTFVVTLPLSPPIPAANQV
MLYLWALVGTVGGLVTVDLFSKMERELYLERACLRESNVKLEAARSSAELANQKLQIACEQLQRFTANASHELRAPLAAILSNAQVGLLAFEGDSVQPRQRLQKVVEIAKSMSNLVGNLLFLARSESSPETLVEVDLREWLGKLSAEYRVQATAQNINLQVNLPATPIKVKAEPDLLSQAVFNLVQNACKYTPAGGSVEISLYAGYRQAFIEVKDTGIGIPEVDLPRIFERFYRVDKVRSRTTGGFGLGLAIAQQIVQVQGGKITASSVVGEGTTFTIELPI
MMNNMQDEFIATVSHELRTPLTSIRGFSQTLLNSWDKIDDANKKKFIKIIEDQSNRLIHLVENVLSVSKMHAGSEVLKKINVNEAISKLIPLFTEQYKTRHFELELEKHLPPARLDEDKFQQVMTNLIDNAAKYSVNGKTVLVSTGISENMILIKVKDEGVGIKKEDRDKIFKKFSRLENHLTSTTQGNGLGLYITKQLVERMRGDIDFESEEGKGTVFIVRFPVYNQEEALRCSHMS
MSLSKWILSRLQKGFLLRMTIINVIIIAIFVGISGWSIYNTACFLVANMDTGAVRQSQFRQTLFQYLLIFSLCAIILGSLLNYVFTRKLLQPIRDLIETTKALKEGKYPKPVSSGNRHELDEFIGHYNELVNQLEENERERKKIVTDLSHELRTPLSNISGYLNALKSGVLEGNQELYESLYEESNRLTNMVQQLDQLKEWDHVSHQRLAKAERVNIETVIRSCISIFQRTMEQRGVECDVEVEAQELYMDQEGIQQVLNNLLDNALRYYVGGAPLLVKGKLNQDTYQVSIKGPGTGIAEEDREKIFERFYRADPSRSRETGGTGLGLAIAREIVERHGGQIGVESEGSEHTFWFHLPVKGALE
MTAEPQSLYRKIVENVRDPIFILDAQRQILFANNAAKLQFGQELEGQSFVRTIRNPACLEAIDEVLYGAESRSLQITVESQYRIVYDVTIVSLEGGNGGKQVMVGLSDISHIHEAEQMRSDFVANVSHELRSPLTSLTGFIETLQGPAKGDLEATERFLALMAGEAQRMVRLISDLLSLSKVEANQRIRPEGVVDIIPVLQRLLKMLAGLAEKERKTVELEVPDEPVRVAGSEDELTQVFQNLVENAIKYGRANSTVKLKVELSEREPGIRGKAVTVAVIDQGEGIAREHIPRLTERFYRVDTHRSRDKGGTGLGLAIVKHIVGRHRGRLRIDSEPGVGSQFRVVLPVTETPA
MGKTVKEKLSFSLFYVGLLAALIAMLLTAGAFRTGIANQAVQDLKQQGAIVASAYGFIDSVADLEKLATPSLRITLISPTGDVLFESDAKDKTLDLHLSRAEVRAALQNGEGSSYRLSQTLETGVYYYARLLPDGNVLRLSVRKSIAFSVLSDVYPKLAILLAAIFLLSLFFAHILSHRFVEPIRRLAENPDSLQFPEGDLLYRELAPFARQLKRERDNTLREMSRLKFERNRFRTLIEEMSEGMILLDSQSDVVLVNGVAREMFGVDGDFSGKPLRAFSERPELLKAVEKALNGVKEDAEIQLAGKDVRLIASPVLVGGEVSGVIAILLDVTEKKNLALLRERFTANVSHELKTPLTSIAGYAEMMESGMAKSEDIPEFAGKIRKESARLISLTNDILKLSELDELDPNALRLEKWNLREIVGEVASELESLAKARNISITLPQRDFFLRVDKRKIFEMLYNLLSNAIRYNKDSGKVAVSFEGNAVVVSDSGVGISEEHLPHIFERFYRVDKSRSKETGGTGLGLAIVKHIAEIHRAKISVHSVFGEGSRFRVEFPAESVSG
MFNLLDSDFVTAVIWGLIFCVAILFGLSVFFRNRFHKKSKELQELINKRTDFVANVSHELKTPLTSIRGYTETLRAGAYRDLEKAASFLEKIEDNVQRLDALIHDILDLSQLEATDAFLHFEDIEMDRLFHHFKEQFRFRLEAKNQTLSMDSSVKLLRADKNLVEQAVSNLIGNANRYCPEGSQIQIKCAPIHLEQKDWIRIDVIDNGPGIVETDLTRIFERFYRADKSRNRAFGGTGLGLAIVKHIMLSHKGKALASNNADRGMHFSLLFPASPGR
MRNEIIKRNTIITVISLVIFFFVSLFITSYNNRKKLENDLVYISNVFCSQVLATESESEILQLINQYTTNPQWMNISIANSLGTIIYDSRTDNPSGNLTAKELELANSLQVDQKRLYLDKTTQSIYYIRKVTNDIIIRTSVPSENNTGYVLNSVFYMLLLIIGVLVFSIIYNKKTSDIIIEVFNDITNHLRTVNEGNYERINPDHKFIEVKTSLDEINEINENISRSILKIKSESAKINFIINNMQQGIMIIDQKERLLIINEYALKSLHLEAKPKENAKFTEIPLNEEILQMLSRCLSKGQNKTFDYHDQLNNKIYTFTITHLENAWLDEGETIKVIVVLIMDVTDERKNEEAKAEFIANASHELKTPITSISGFSELIINGYGECDEKTRGFIERIYLEARRMQETIDELLYLSNLEYHNNQLPLSEEVDLVRVVNDSVETHREMAGRNKIAFELALEPVSFKGNSTLIRNLVDNLVDNAIKYNKDFGSVIIKTKDISSKAQLIVADTGIGMDEKHLTKIFDRFYRIDNSRSRLTGGTGLGLTIVKKVCAVHGATLDVKSTLHEGTEFTITFNK
HELRTPLTSLQGYTEALADGVIEPTPEMLRTVQEEIVRLSRLVTDLDQLARGEHDPRPLARAKLDLSALVQRAAAIASPELATRQINIRIDDAASLPSLMADQDAIGQVVSNLMQNAARYTNDGGEVAIRLRTDGGWVRCEIENTGAEIPSEELPYIWERLYRVDRSRARATGGTGIGLAIVRQIVESHGGSVGARSGNGRTAIWFQLPTVAS
MKTLFGKTLGSLLGLSLALCLVFALLSVTLIGQIHTEINDKALAETARLLSAYLPDPADAAELRRWAREAGGASSYRVTIVTSDGRVAADSKADPATMENHGDRPEIIQALSGFQARATRKSNTLGMEQRYIALPIKDGEGKIIAAFRLSLDTPAMESRLSELQFLLVTVIAFAAALAALVAVFLSRGITRPLGLLAAAAESVPRGERDAPGSRAGGRFELKDRRQLARSSREIRVLGEALDSMAGELSLRAESAEAKEREVEAILDGVSEAVLALDAHLNLRLANKAARQFFDFPQASDAGRKTKPCLLEISRSPALEEVARKALESSSAAEVDIELYRQGSQRSFRVLAAAYGMQSGSREPEGVVLVLNDLTVLRRLETIRRDFVANVSHELRTPVQLVKGFAETLLGGALEDPDKARRFSEIIGRNAARMERLIDDLLSLAKLEQQERESLETKSESLRELVLEAIASVEYAAMGKNLKLELDCPEDIRVEVNGGLIVQAVFNLLDNAVKYSPAESQVRIRARRIDSRLRIEVRDQGPGIPAAQLPRLFERFYRVDKARSREQGGTGLGLAIVRHIAEVHGGSVGVESWEGEGSLFWIELDANAGARLG
MARLNSRYFVQLAAPVALVFLMLGWLGFLAPNVAFAGGVIGCIGVLFAAARLKSAMIEMAESAVAVEGSLQEEFVRQSRLAESYEVILDAIPDPVLVFRRDRRIIHANRAVIDLFGYDPIDSDLTTAVRNPDVIDAVEEVLAGGGGMSRTVEYTRGENVEQYLLAQLVRLSSIRQIDPAAVLVIHDLTAIRRTMEMRADFVANVSHELRTPLSTIVGMVETLQGAAKDDPAAREDFLKIMEQQGYRMTRLVEDLLSLSRIQANEHARPTAIIRISEILETAALMLEPESKKNNVTISVETMDDLPNVRGDGDQLLQVFQNLMHNAVKYGGEGSTVRVVPHIEVPQTLSVSILDQGDGIAPEHIPRLTERFYRVDKARSRAMGGTGLGLAIVKHILNRHRGQLVIDSEVGVGSTFSVTLPAIGRGHQRWGGSSS
MLSKIGGWVLKRFSLRTRVFLVGSLLVVFFAAWGFHWLAQIREDMVSHASKYLARQQPLIKELLEARKAQWDSPKSMDPLADWLGSGLQLRLTLILPDGRVVGDSQVELGQVDLLDNHGDRAEVRDALRFGSGSSVRYSKTLGVDLLYVAALVGSPENPGMVVRLAVPLEEETAAAREGYKRILAGLILGLVLSGAAAHLVARVTGKELGDLARKARQMAQEGTQEGEFPVELCEVGNVDRAITSLGYHMESKIRELQDARDSMETLIEGMVEGVLLTDKEGRILLVNKALKKLMEPRVDPMGRTAAEAFRQADLQEALEKCISQAEIISLEIRTSGASAKALEVHVAPVGGTGAVAVFHDVTERKRMEEMRRDLVASVSHELRTPVAAVRASVETLLEGALEEPAQARRFFEIIHRHVLRLQKILEDLLDLSRLESGALGPRREPVRLGDIAEAALGAVAELAQAKGLELSKELPQGQVMVKGDPRQLEQALVNLLENAVNYTEPGGRVSLRVSLEGAEAHIAVQDTGVGIPSEHLPRIFERFYRVDKNRSRAMGGTGLGLSIVKHVVQSHSGRVDVESSPGKGSIFRIILPALGPSGVGQPSSTG
MTALPPGAWTVLLTAAALLVGLLIGRRSRPPPPMVADAPLPPPPRHDIVSIEALVDPALVVEGFIAVAANAGARRIFGDRISGSDLQLTLRHPLVLDTVAEAAVPGGRAQREVVGLGNAVGAWMVYAVNAGGGHVLVLFDDITQARMTERMRVDFVANASHELRTPLATLSGFIETLQGPAAEDAPARTRFLDIMQKEAQRMSRLIDDLLSLSRIEIDKHVRPSAQLQLRGIVAEVGKTLAMRFESDQRRLEIHEAPDLPPVIADRDQMLQVLHNLVSNALKYGRTGTTVTVTVAPEPAAAGQPASVRVTIADAGDGIPAEHLPRLTERFYRVDSQRSRKMGGTGLGLAIVKHIVERHRGRLEIESRLGEGTKVSVLVPQAPAPAASARTSISSAKGGVTEVQQ
MRDSVVEAIPIPGILVDRSGRIRESNAAARRLFSDQINGRHYVAALRQPSILDALEQALEAGEATSAQFLAADERGDVTFEATCTPVGDTGHVLACFEDRTAMEEAGQMRRDFVANVSHELRMPLTALLGFIETLRGTARDDSTVRERFLGIMEREANRMNRLVGDLLSLSRLEAEERLRPTDPVQLPAVVQSAVHALGPLAAEHEVQVTTAGLDDDFTVLGDADQLAQVATNLVENAIKHSGPGATVDVSVQPTDAHPMIQGEAALLVVSDTGAGIDMFHVPRLTERFYRVDSHRSREMGGTGLGLAIVKHIVNRHRGRLRIESETGKGSRFSVVLPRGEARARHEDPGELSQNVYGDAAEVQTATHGSRSQG
MFRSVQWKIVFIYSLLILFAMQFFAVFLTQSLERYYLDTYAENLESQGLLLANFVERYLAGGDDDGSIDGLVLEYSRYAGTTDIMVLDAFGRVVSSSRPEEQLQGQRIFQEEITRALTGSRSEEIRLEPETRERVKYLALPVRSGEKTLGVVYLIGSLEPIYATLREIQFIFLTGAVLVIGVTVLLGFFLAKTITGPIQEVTSKAAQIAHGDFRQRITIHSQDEIGRLGQMFNYLSRQLDATLREISSEKGKVEAILNHMTDGIVALNRDGQVLHVNPTAHRLLDLNEESEPTAEVLGQLVAPVDLAAILNTGRQESREIMLQPHQQLIVASYVPFHTQDEITDTEFLSGVLIVLHDVTKERELIRIQQEFVANVSHELRTPLTTMKSYTETLLGGAMYEPETCLSFLSTMEKETERMVRLVKDLLALSQLDYRQVAWRKEKLPLNELVSEVVNELRVKFQEEPRHVTVELPETTVWHCFDRDKMKQVLINVIQNSFKYTAEHGLIRIALEVAEDQAVIHVADDGIGIPPEDVKRVFDRFYRVDKARSRDFGGTGLGLSIAREIVEAHGGSITVCSEPEKGTQFTISLPLTTDEGGADACESA
MRTALPLFFLESTFRSIRLEIVLGGLLLLMLTAVAGFAISRWITRPIDEIRSGAERFAAGDLSGHIPVFKSAEIGGLAEALNSLAAQLMGKIRDMEKQRNEQAAVMASMVEGVLAVDPDERIINLNTAAANLFHLSIVEARGRGLREAIRHPGLQEFVARTLAGTQPTEGDIVLHDGEEKFLQLSGARLRDAQGRSLGALIVMNDVTRLRRLENVRRDFVANVSHELKTPITSIKGFVETLADGAVNRPEDARRFLEIIARQADRLNSIIEDLLMLSRIEQDAEHGQVSLERAPLQPVLASAIQACQVKAGEKRIRVALSCEAGIAGPINPRLLEQAVVNLIDNAVKYSEERGEVLVAAQSVPNELRIVVTDHGCGIEPDHLPRLFERFYRVDKARSRQMGGTGLGLAIVKHIAQAHRGRVSVESEPGKGSRFTIHLPL
MTRHSPVQDRPHQPAASTRVRILGSYMGILAVALVFSLLLLFTVLYLQESEEIDLRMTQVVDELERLSADVNPYSGQPFGNDLQTLFDVYLSRTAPSPGDAYYALIGGLPYASSVTPAQLLRDPNLVAQWAALAEPTRAEVETPYGRIRYLAIPVVPQQGTPGLFVAVNFLDSSIGRLQRTVRMGGLVFAGVFAIVSLVAWYAAGRVLRPIRLLTEAAREIEDTRWSQRIPVHGDDEIAHLTATFNAMLDRLESAFQTQRRFIDDAGHELRTPITIVRGHLELASPEAGDWPEVRALVLDELDRMSRMVEDLLLLARSEQPAFLVLHPLDVDAFTAEVFAKVAALSAERQWRLAEAAPLVLRADRDRLTQALMNLARNAVENSPAGSCITLASRREGRSVAFSVQDEGRGVTQEERQRIFDRFARGSAGTRNAGGVGLGLAIVKTIAEAHGGHVQVDSAPGQGATFTLYLPTDQQEASP
MLIVFVGLLAAATLGSVLIARQVMETRLVERSSAELHREVEKLRRLAAGVDPATGRPFGDDVRRLFSVYFDTGAWADGQTVLSFVDGEPFLRSPTYDAVYRLDRDAALLAQWRSVPTPRQGSVKTPAGRVDYLAVPVRQGEQALGTLVVAHFRAADRGVVRDATIVTVVVGLAVLLVGTLLAWRMADGVLRPVEAVATAARGISETDLTRRIAVGGRDEVAAMAATFNAMLDRLEGAFASQRRFLDDAGHELRTPITIVRGHLELLEDDPAEREETLALVLDEIDRMSRMVDELILLAKAERPDFLRRAPLDVGTLTDELLGKAGALGERDWVLDARGDGVVTADRQRLTEAVMQLAENAVAHTGHGQEVGLGSALDGGVARFWVRDTGDGVAPEDQAAIFERFERRGPRSPEGGFGLGLSIVRAIAEAHGGWATVESRPAQGATFSIEVPSDDATYAEGSLWHGY
MISATTFSSIRVRIVAWILLVVFTALASVLYLTDAVRRGEIHTHANTTVEQEIAEFSAFVTESPRSFNNSRELVEAYLGQEIPMDQQLLIGQIGDQVVRQQISTPYKPADKAALLHEIFTSHANSGIFNGIHWGRVELTSPNSEKPDYFATAIDTKVSYSHLSNQTKNLSVMGAVVMALAVIIAWLISNQIIIPIRSLRDVASQISDSSLTSRVPITGDDEIADLARTFNHMLDRIDSAYQIQRQFIDDAGHELRTPITVIRGNLELLETATPQQRARSIDLCTGELDRMTRMVNDLLTLAIADADGPKFLHLTQCDLSELMIDIEDKAEIVSDGRAHVTKIAEGVVILDAQRITEAVLELTRNAVKYTPDGSPILIESSREGNQISISVRDFGPGVDPKVQQNLFSRFTRGQKTQSDQVPKKGAGLGLSIVRVIAEAHGGHAWVKSEPGLGATFGFSFPAVT
MSRNINRLNQGERDVTLPITNTRELKSLAKDIAQLATTLKEHEQAQQQWLADIAHELRTPLTVLQGEAEALQDGIRPLDQRAISSLLEEINHLHFLVNDLNDLAQADINAIVLNVQACSLAEAIDAAIQRHASALQAKGHGIELAIDKKAFTLLADPQRLAQILDNLLSNCIRYCQSEATIRFSAKLAGDTIEWCIEDAGPGFSGNYEQLFERLYRADSSRQRVSGGAGLGLAIVRSLVLAHGGQIHGDASPLGGLKVAFSWPVAKVSL
VNLTVNNNFREYLKNNQSKRNERIVDYLQKIYKEEKTWKSDSGIEMMHEAYMSNFCLTLYDKNKNIIWGMNPKDLKNESYREAMLSHVQNGNYISERYELKDNDEIIGYIDVGHYSTILMSQEDIKFQIDINKSIIASAVIAIILSIILSKFISKEFTEPIKKVADISXLKNSINRLGENLKYQDVLRKRLVSDISHELRTPLNVLQNNLEAMIDGIFPITSERLESLNDEVIRFAGLLNNLKVLKNFEEDSKKLNFKVISLKRLIYTIYEDFKLYSKEKNVILNFESFIEEEDYILGDESSLRQVFINILSNAIK
MGDSPSLTPPTSSDSMAMRYQRLVLLNRMSIALFGEKAFAAALSEACHAAMALMGAQSVAVHFVDERGRPVLAYRHADKRLSGEEALDAERKLLARAFADRRIATHAVAGRSWEAAPLLRLTPSEAVLTGGVVFGRLKAEALDAEREGGLVEIARHLRNARLIQQTIQHQKISAAIVDQSADAIFVTDLEHRILTWNDGAAKLYQWRLDEVIGRRGAFLVPEDRVKEIERVMGEVFAQGGKSSLETERLRKDGSLVAVEGSFTLLKDDDGSPFAVMRSYRDITKRKEVERLKSEFAALASHELRTPLTAIRGFAETLRDFGDELEKDKRSQYLQIILDEATRLAHMVGDFLDIARIEAGGIETNISSVKTRVLFERIGHLFKEHPAKPIFRIEVAADAETLRGDEEQLYRLLVNLAGNALKYTPQEGTVTLKAAATGSDIELTVSDQGPGVSKEDQARLFVKFYRARDAVTRKTPGTGLGLAICKGIVEAHSGTIRIESEPGKGASFIARLPKNGPAA
MFNKLSLRIRLSLGVMFILLICTLFLTLALNRSAINMTNTIEAGVSVPAMSLEEAQPIEAAPTVNQIQQSYQLRSFLLLSLIIILGGLATYLLIKKLLSPLETLEKAMDKRTVSNLAENIPLPKYDDEIASLTTSFNDMTNKLNDSFIIQQNFSAHAAHELRTPLAVLTTKLDVFHKKEHRELVEYNQLLDNFDKQIQRLSEIVDSLLTLSQPVDETELKSIHLHETVQEIVTELNPLYPSIKTNIKLDSSIIYGHPGLIHQALFNVIENSFKYSFEDGTVSIQLSDIQRRPTLMISDQGPGISEEDKSLIFNPFYRVEESRNRRLGGSGLGLTLVKYVLDNHQGTIAISDNSPQGSIFTLTFNKKSKS
QPLPNEELIIGDTCEVSVSVTPVDPGNGQQQVVFTARDVSALKVANRVRANFVSMVSHELRTPLNSVNGFIDLLLQGHMGDLNVEQKKYLGYAQEGVQQLMSIVEDILFMTRSDVGQFEIKQQEVNFRVLARQVVSSLEPQARKAGVLISKNIPSPTPMLYVDPQRMKQVLNNLVTNAIKFTPPEGTVTIGARPYNDQFVMISVTDTGYGIALEDRQHVFERFYQSNHNQQSKMGGYGLGLSIAKLIVEQHGGTIDFDTTLNKGTTFYFTTPLYRGQSE
MSPHVPRWLTLRARATVAAVLAFGLTLTLGAVLLVTTLSHQLTASSDQLAQARVQDLLALAEQSRLPSELHNVGEDGVAQVVDATGDVVAASANVAGRPAMLGYQPGEVFRVREVAGPDDAETERYRLWSRSGPTPDGPVTVYVGSSLEAVAEASSVLRQALVVGVPVAVALLGVVVWLVLGRVLERLDRIRREVDQVTNERLDVRVQGEGAPDEVGRLAATMNSMLDRLQAAAVRQRQFVADVSHDLQSPLSAQRISLELALRDPASVDDVRLRAEVLGATTQMERLVRDLLDLAAADERAPSPTALVDLDQIVLEEAARARTGPVAVDTSGVSAAPVRGFADDLRRVVRNLLDNAVEHAASRVVLDLAIHGDQVRLDVVDDGPGVPPEHQDRIFDRFYRADAARTLDGGSGLGLAIASTLAERSQGELSLVTGSPHGHFRLVLPVL
MPSIAVVVATVALVLAMVALVRERRALQAAHVAQARFLGVIEATGFGVLMLDSSGRIAYANQSAADIVGYPVHSLVGQEKHSLLHRGVVDEPAGCPLEQALQERKSFYGPDHFVDIRGNLVPVAVSTAPLSGEGGTAIVFRDRSTEVAEERQRHDALSMISHELRSPLTSVVGFSNRLERSVQAGRLEVADSYAEEITLLAQESRRMRDIVNVVLDVANLERHKEIESEPVLLRHVVDEEADRLSRERPGATFARGGEDDAVVESDERYVRRIIQNLMENALKYAGLDTPVEVVIEPERGGYAVRVCDQGPGIAPEDQERIFERFYRAPGRASGSSGLGLGLFLSRRLARRLGGTISVESTVGEGSQFTLWLPLEPPAERPSEQAQPADRLIW
MVMFKTRILLAFVALALVAVAQGVIGYFVMVTASQNVQKGRVANELLVGYMDLLSNKQLLRTQLTSEMTGLESDPQQSQVYYYNMIRALDTLDRLAETSKGLTRGDQELMPEHEERRQSLVILRKGVDQLGSVMTASEWKFEAVTPQQKRQKLDQIFDVSDGQDLRVALTQSIARERLITARDRAAADVSLNFMTNVVVIATIGLALFMVLLAFYFVRSLSKPVERLIKGAETLQAGNLSYRIPAFGRDEFSQVGRSMNDMAREIARLRANDQQAREQLEVQVSERTNELQEALHRLEKIELRRRQMFADISHELKTPTTAIRGEAEISLRHGGNSNAECKETLERIVQYTQQLNYIVDDMLTLARSDIDALVLDRRAVDISTLCHESIAYFRPQISQKGCAVEAQIQSDSLVLGDAQRLKQVLNIVLDNAIQYSGAKAVIRISTASVEDAENMRRCEVTIEDNGPGIPENELSRVFERHYRGTMAKLIRPDGSGLGLPLAAAIVRAHHGIIEISSEENRGCRVTINLPAFDSIIEDAV
MTTARLDIGAGGRPVSTRADLQAVLALLAPAMAIRSRDDAHGVVARLAEVPSISSARLDAAWVGPPSHAATDLVWLIGTGCDGQLLSVRTTGAATDDALLTALGAFAEVLAERVRHPDGPAAGNDFVAAVCHALRGSLTSLIAFSGFLTDAAEGELTEENRQFAEIIRRTSDRMLAVIDELALIARLESGELQLDLALVSIPELVRAVVAEQQPRARSAAVALRGEDVDGPRISCDWGRLHQLLTSLVINRLDVATPGGAVTLRAVPAPTGWRIEVTDSGTGNPEGDLDQLFTAFHQTSSRPRPGTAATGLGLALSRAITESHGGTIRAANTGSGITISVRLPWRRPGER
MNRSEQAQSEASDRLSGKTHGAPEAYVRVSPSHGDDSSTKDVRRSNPWKRQLLAGLDAVPLTTKLVACTIVLLMIGTVGISLTIRQLVGDYLLDRMDEQLRDQAPLVYSNVDYLSSRDNSEANIGFNDYFMQFRDVDNHIISTPLVPVLRNSVVSEPVLPASGSMDGVKLGEPFTTTAKVRTVANASGADKRTIEMANAPWRVLALEGKERGPDGTNVTKLIVYIGVSMGDQIDLIQKLTQYSVFVSIIIVVLGAIVATFIIQRTLLPLKRIEKTAAKIAAGDLSQRVPPAPENTEVGSLAASLNSMLARIESSFQEQEETTEKMKRFVSDASHELRTPLATIHGYSELYTMQRDLPGALERADESIAHIEASSSRMTLLVEDLLSLARLDEGRGIDLTQDVNLDQLLEDAVDDLHALDPNRMIQQGRLAIAGSENPKVPGRLMFVDGRLPQVRLKGDISRLRQVITNIVGNIHRYTPSDSPVEVGLEKMSASISPQSLQRKPAASQSLHHFLEAVEVARSTQAGVDYAVFTFIDHGPGVPVERQSQIFERFYTADPSRARLKGGTGLGMSIAQSVVRAHHGFIAAGTTQEGGGLTLTVILPISPLD
MDPLTEEQVKKLQPTTEELKKLNEKLLDSERLKSQFLSDIRNEINNPLTSILGLSQLLKQAEESNTGNAQKLGSMIYKEAFFLSVQLSTIFTAADLEAGELFAEMGKVQIVELLSQTLTDFQENSAEKGVTIRFENDIPPEKHHIITDADKLRLVLNCLIANAIQFSEPGSEAVVKTSLDENEYLHIAVEDSGLGIDVADQERIFDRFVQLDTGTEKKFHGLGLGLSVAKSLVELLGGTIAVTSVPNQGSTFEIILPQDIYQDQITDDVVDEEGLWIYEDAEISETD
DAPVVVRGAVLRAWELDQNYFYRLCLGWTDGNVSDAEDIMGQAWVKILELELELEAARSIRNPRSWISRVLRNLCIDRGRAYKRTQTLVREELAVPTPGPTPAPDADLVREQLAAALASALGRLPDSLREVVVLRLIRECSYEEIGRLLDISVPNARKRLQQARALMRPDLAEFWAARSRGCGPH
KELGLWWLYEFPVFVYDEKKRPRVWTPDFYIPKLGMYIEVCGSEEFNYKYRKKIYKENGYHVVFVHYYKKKEEWKKYLVKRVTEIEEKRHDEVMKMLLSLQS
MLEISPARVAIHIVCPVSRVYRTFIKRPSKDTIKFNY
MVALLSVASVWRPWAEVNERARETLGRVSEFSRGLKFGVDIVGGSRILLSLQGSQLMLRFNPSELPGAYEEVVGRLENGLQTRVLPLDEKWEALREGLPYDLRTGMARIEIGLRATEPLLNLVENLIGGRAVLLRENVRNEVCSQTRNEVIEILKNRVDPLGTRGAVLKPLGGNLLLYEVPGLQPQEAEVLLGKQGRLEIWLENEVLLYGEHILRVDPPRASLEEKNATELPFRLTDEGARRFREGAAGKANYPTVVYMDRPVDAVLLVQEELLAGLPVLEYDGYSHMFRAKGFPGEGGGYYLQVPAVVTPKDTLSLEALSFLEEMGSLKFRLLLVGEFSEGVLRELPSSYSLENVPRPAEGGEAWIREACGCKSVITISP
MGNREDLLGAAVRCIQEKGYAKTTLRDIAGVAGVSMAAVGAGGWRPWPPEHPRRPSPSRGPAPSARSSWR
MKAQDYSGRRFTNDADSSWAFTGVLKYIQKDFPKGWFKGEKRRCGEFIVLSTRCTMERDELCLNRGDDKIFHQFLIDHVPDCKRAQENNVMLVGWEGKIAYRVNITKIGKDVWKESNSQRKHIVLG
MMLRKYAQGIAFAILVILHFGLPQEYAGFLFHNGEYSYKIVLSSDDSRTWYEAEEICREYEGGHLASVTDEDENAFVNEKIQLLSRSASDSQQVWIGAKDEQKYGKAYRSYQWADGHVFRYEAAFWAPGEPSNGYRNEYDICIALKSSGDLADWIDADCYEPKGYVCKIEGFPKRLIENKRFGYGKYLNLIVFLFLHLFCVY
MVRAQRALTIVLAVLAVALSWRLFGPRPTAPPATPTPAYLEAKGNALFAASLRQFNPTLVPLRLYRGQPLVVYFWASWCVPCAEEAQALQRLYAKRRADGLVVLGIGVDQSDRIERFANDQQIDFPVFVGGAEGIALSKRLGNLRAEMPFAAAVDRQGRVVAVQLGKFKPDTPDALAAAALR
MKAIVALLLACCASLASADDTAGLYGASLIDLDGKPYAGEMLRGKPLVVNFWARWCGPCKQEIPDLIEANGKYKARGVTTVGIGIEERVDSVREFAKAYEMDYTVLLAGDQGLKLLRSVGNATAGLPYTLVINRAGKVVARKLGVMNKAEMDAAYQLALQP
MNALHRNRLVIALLALVAAACDEGSAVRLNVGDVAPAFAAPTLDAGPLAFPGELRGRPVVIRFWADWCRFCADEMQAIEGVWQRHRQQGLVVLAINAGQSRQEVEAFIRRIGVGYPVLLDEQAAISRRYGVVGLPTTYFVDVDGRIRGKLLGEADAATFERLAGELLR
MRNRPADLCRDVCGRANPQGSAQHQHDLTEFRGKVVVVNFWATWCMPCRQEMPIFVRLQERRGAEEVQVIGASADEAGNEPAIEAFVQEQRIHFPIWIGATTVDMERLGLGTALPATAIIDRDGTVVTRAPGIIDEAGLNRWIDWLLGDRSAAAPPAPVGVPIPPAATGDQGHDHHHHGIGIGLDGPSLVPS
MKMTRIILCLFFFAVSVSTSLIAAEEVKPANNFSLTDLSGNTVQLSDYRGQWVVVNFWATWCSPCVKEIPELSRLHTERKEVTVLGLDYEDIERARLDEFLGEIEVSYPILLLDVYDLPEGMQEPRALPTTYIVNPEGMRVRTFYGPITRAEIERVVDGSAGK
MKTRLPIFIIGLLAVALTSYLVLSSEAKLPSERMMTTLEGQQIKLSDYKGKVVLVNFWATSCTGCMQEMPELKKLQLKYGTDRFQTIAIAMSYDNIEYIKNYNKKENIPFKIIHDTDGKIAEEFGGVTLTPTNFLIGKNGNLVKKYIGIPDYAEIISKL
MKYKGPILVILIIIAVAALIFLPERHLYMEIAEVNSPAPDFELSDSNGKIWKLSDLRGKVVFINFWATWCTTCKGEMPYKEALYEKMKDKPFQMFGVLFRDDPANLVSYFKKHKVSFPTLISPGNEMARLYGITGVPETFIIDKEGIIREKLVGPRDWGNEETMAMIEKWL
MRRLGIALLGLLFCLAAHAGVQPGDAPPDALGTTQDGKTVSVSSLHGKVVVVSFWATWCGYCMKELPILAGLQTLATERGLPLQVVTINHEEDRQTYVRTVRALRPRLPGLLMTWDRDGTLGKPYGTDKGIPVMVMLHRDGTVAHVHVGYGEDMLDSLVAEINALLAEPAPPAVAVAPG
MFRPENREDSVRKIIPVVIFLAAFSLFSQKATLSWGLTLKEGDNLRDLSLKGLGGEEIHLASFDGKVVILTFWSTWCSRCREEMSFLKKISRSFGDVEIIAVNQEAEEDINIDEIRNFLEEMGGNFHVALDEGYRLWDRFGINALPTTVILDERGTVRFAESNFYFASPEKIEETLTKLCKTGNS
MTENLEPAALKTRRRRYALPLMLLCLLALGAISLYEIRSPGGKKAVENIADEQACLASRKAAERIKPLIHGEVAALILSEAPKPVSKISFHRPDGSDASLEDFAGKTLLLNLWATWCVPCRQEMPALDRLQAQLGSPNFEVVAVNIDTARLDKPNAFLDEIGVKSLTRYADSKAEIFQTLRQAGKVLGLPTTMLVGKDGCEIATMAGPAQWDSAEAQALIKAVQEF
MTLLSRIILAAVVALAGQGALAADLGALRVGDMKKLNFAATPASMPEVVLLDETGAERGLAEWRGKVLVVNFWATWCASCRIEMPSLDRLQGAMGSDDFQVLTVATGRNAMPAINKFFAEAGVTQLQILRDERQKLARELGILGLPVTLILDREGREVARMIGDAAWDGAEAQALLAALVTEGQSVPVN
MKFILCLLIGLIPLSTIRGGEKPLRQYMDKLGIEPLTEKHPPPFQLVTLTGDTVTLERFRGKVLLLHFWATWCVPCRREMPVLDAFYRQADSTVAVMGVSIDSPKDSSKIAPSVQAMNITFPIAIAFSGKISPAYWTWGIPVTYIFAPDGTLIGRARGERNWMDPRFQKLIRTLQEMSRPH
MKRLVAVFAMLLLTACSGASEGGESSGAGFVAGDGTFTIVPVEHRVAAPILSGPTLDGGEVSTADFAGQVIVVNVWGSWCAPCRAEAPELVKASQELADVAFLGINTKENDEAPALAFERAFGVEYPSIFDPDGRLLLGFDQLPPKAIPSTVVIDEEGRVAARVLGSVDAGTLKGIVEDVRAGS
MEEGEEHPEVELPMVGNYAPDFTLKGMEGKDISLKGYRGKVVLLNFWATWCKPCEAEMPSMEQIYQDYKDEGFKIMAISVDKGNLDKVESFVKERGFTFPVFLDPSHKIEGAYRIKFMPTTLLIDRKGVIKKVILGGRDWEDILSRSLIENLLNTQ
MRARIVAAWMIGASCVLGACRSEKAQEAASTRGRTSALQMAPDFTLPDLNGRQIRLSDFRGKLVIVDFWATWCPPCEFEIPVLNALYDSHKDRDVVILGVSVDTEGPEAVRSYAEKRQVRYQILMGNESLAREFGAPGFPSLIVVGPDGAIRSMHVGLVEEPELLKVLADANAGKAG
MKLFYLLVFTVYLLICVSCRSAASPISVSNQPISINNVPQTNLPLPSNKDIEKLGWKTSSGEEKKISDYRGKVVVLDFWATYCPPCLEEIPHLVNLQNKYREQNFEVIGLHVGGEEDLPRVPAFIEKLKMNYVLAFPEDKLTNVLFGGQTAIPQTFVVDRNGNLVEKFVGYDLKVKNRMEKAIEKSLAK
MLEFLRRHWHSVRATSLILGLVWIGLSAFFFPSRPDFHPAPRPGFTAPPLTLDTLNGSPLDLEQVHSQVIVLNFWASWCPPCRAEMPVFEKLSREFDPAKVHFIGINTTYQDDITQMQQFVRDNDLTFTIALDIEGQSSQTYQIQALPTTFLLDQRKVIRTMVLGGPVSEAWLRAEIHRILQAPR
MTGRIRSRLAATFLGVLLLSGCSSNDVATQYREGSGKNFIAGNGTITEVPMAERGQTVEFDGISDAGAQLSSTDFDGQPLVVNFWYAGCAPCRKEAPDLQTLSEQFEPDGVQFIGVNIRDQVATARAFAETYGVTYPSIIDADGGAALLAFSGVIAPNAVPTTLVLDAEHRVAARVLGRVTSPSILEALINTVLSETP
MQSMLICVMSLIMDGYHPCMDTFSSFLGSCCLHTALDFFITCAVVKAPQTCNSSYQPGCTNFGFFRLRGAMIGMLIRFDRQCNDHCCYCYFQQMDKRGELTEEKVEARLTDENEKEAEK
MTKLFLYIRAIFILPLIALLFRKKNTWLFSSWFGSKISDNSKYFYDDLNDIDNNIFKVWIVKNKELHDSDKNIYYYLSIWGLYYQVVSSAVLCTHSLYSDFYPLAILLNRGIKVQLWHGTPLKKIMWDVPTTTLGSLKRKVFDRYDAVVCPNENFSHIYARAFKVSKDKVIVSGCPRVRKNDSDVNSGKILYAPTFRDDSSKCTLFDEYKFDIEKAESYLSEHNKVLYVRLHPRDTLDKKWEESINKSKYIHFDKSVDIYAELNTYDILITDYSSIYFDFLPYDKPIVFAPFDLEKYEKKLRGTYFSYKDVTSGPYAYNWDDVLRILKNNEFELDKLRVLKDKYSQNIEIQSSQLIYEFIKGKM
STSHSCSRPAEKPSCTTQQREKPPPPSRHDLLYRQKREEKSSRCAKKPNLSVCYSSAVRDKPSNASMVFLVPQQSGEATCTNFPRFSARPISAGSKSVCFD
MDAVMKIVGLLLRIGGAVAGVAAVMFIPDAVLTRSIAALGLSGSVDLTMARVAAHGLFGLIGLLIGSQIAAMLRHRPVEAPGSRHDAAEAVPRLRRHLVEGEKKQVSAVPRYEDPNAAPTKPVAVSFKELGLEHPHASEYPDPAGGEHDDHHDAFAYREEAQRPESAGSADDEWAEFGDVPPPVRAQPSPVNTASAGEEPVEAEPVAQWTTPEPFPAEPEAAPVEEPAAEVASAQGWGDAPASGDLDFEPWPEEAEAEPEWMPEPAVEAVPVEEVYEPAPEPAYETATAEPEPAAPPEPVAEVRPAPEPAPQAVPQSAPQYATMPEPAPIASMMPAPAPAPTSEPVGDVGPDQYSRVRFAAPSDENWYDGAGDDEEEAEDDGAGYGSLSDIGLGRTHQPRGGEGQRGEALLPPSEFAKTSAPGAIPKGKPQDFRLREALEELMRVDPSNR
MRLLVWLAFAALVYLALRSRARAMQENLRRAAREQANSQANAQAGGAGPFAQPQPHSAAAENMVACSYCQLYLPASEAINRSNDHFCCEEHAQLHAAGVPHSTAPHSSAPHSPSNPTE
MVGSESLPAMPTAPPRKRLAEQRAEKGRMKITWFLHLFRCNSLRRSSEFLFYANDVAKAGYAGEVLHEY
MLPGPLSPDARAAGPTSREEFDELVLSLVDRLGGRLEAELADVEFGTEDVPQVPTDWIDEPVPFGSHVRAGNRTPARIVLFRRPIEARTNTRLERAALVNEVLVEHIADLLGRDPAEIDP
MEPSMSKPAVPNPNAKLLFSSHGDLASYPSQQRCDPVIKDKLTGLACPDISYKLQSTLEDDWFESGGTQEQMLLLFLFIQYWDDFHFELLDVIGGQKTIVEHLDQILHYNKDVVRKSIQPVLHQVLDECLHFKKSQRKIQTAATVICDAIHSVLSSSTNRDFRAKSLQLLKVSNTQEIKISLQNTLQNIIQNRFLLSRVCDTKRIHSGDGDRVLSNTDSIAPETSQGSLYGADKSQKIHTELDGSESDLSFTLSGLDSLHWNVESPKLTVETDSGSDNIEQKIGAKKRQLEASGMKLSANFHSSPLKNTGEEAYKHPRMENLNASHTSLLKTDMQVSAPFSTGLTSEMTGSFGVQCSTMSSEQTVSGRTTDDKEEYLWRQEVSNLSDWAH
MAIPKHRFFLDDHNRVLRVRIWPSGSVQLHLISDDSGDAIALPSTTRKVTTSGNESFEYDSLVKKAAECPATVIKK
MKILAIIDGLPGGSHRHAVEVLKILTNIYGHDILVFEEPFHFTSNSLDEIRKYGLMVHDINYRFQELCLNYSNNISKMLMALYKFLINNEVYCKFIINELTNDLMHNNIKFDVILDVHESGISLVYALYLAKKLNVPIIKVLQNEPFRTFKWFGRGYRHVDGIYGLLEDSYVFLEHRLTKAIYSMAMKGGMLRGIASVSQVPIYYSNIEKLSNKYGVKLRIYRYGNAFDKDLIYRYRNIYDKRDYAVFFGRLTPAKGAWDIIKVAKYLHDINILVFGPISNRIRDRFLRNLPNNLKYMGYRPINELYDYVARAKALIYPSHVDSFPLVILETIALGTSAVAYDIPAIRLVYGGLKPVHMVREYDISSLAHETKRIINMSIDEYVKEHEDERTRRFLKEHDSWEKVAQETLDFIYEVLGERH
MAQIEDAGPELIQSMKPETPVHMMRPMDSPGPPTIKPICRWTEQDDLTLIAVLKAELQRNPTPANGFRGSTWPTAAQALAGSEVKTGSKAKDPTGCRSRYSSLKRSYLELKHLRSMAEARWDEHVKRVILPEEIWASFLANSSEKGKSLYRWRQKRFPLYNQVSDLIDGHLPVADGPKQAGDAVRSASRGSSTDQESPPNDSYDPLLWQAERPEIEEEEEEDEIQEHPQLARPILSAPPSAKRRRITHEDMFLKHLKEIGDNLKSRPSLRVEAIRLLQLDGQLSEPELLKAIDYLGPLEHAETYIALEPRLRTTWIRTKLGDVRPL
MRAMGLAKKAAIVGAVAAASVMVLPGLASADIIEGPRPAEATATAVENGVKIKVTKGEVEDEGYCTPIIHTQAVADELNNDPVGPISLVPENWLRLDPTWPVWDDEHGNSRVYVNAGESFETTIDLADGKYVLGVYCYMTYPPEGMRSDWVTQIPFVVGEEGTPPVGGFGSIQFPAFGS
MESEEKARRAARAAEDRLWAEIAARYRHGIAAQLRLNGCTPDMLDRVIDGKTADQLLREGRPVQSVINALREAEG
MKIISSQEIKKVVKGALAIVLASTFTFSSLAFADDNNTDPATYDTVDLQAVQTQLESTQTETPSVIPGDFFYFAKIAFEKIKLAVTFDNSKEAELMATYASERLAEAGALYGEGKEAEALKVIQAAIEYIESSQSIVDETNPAETIDQDSKVDDVTTTTDENTAQDSNATVGEASDEQNSNTEVEDTLRHNITALSAAMEHVGNDKARASLQKNIDKTYAKIAKKIAKLEKKYHKVEDENQVTEDAPVVTQTESPDVTVENPVQTDSTDTSIDSATLPESTTSTEVKEDTVVQSEVSKNEQDHGKQAQQQEHIEKKEQPKGQSAEVSQKQKENKGKQNK
MDYESFMRSLADRAPPLEANIAMRALWXDANDAADSAMRAAMSDESHMCLRVRAYLCRKSGAEHETRRWYWRCGIDPWTAPLEAEWEDILRNILVEVVVERAYQ
FILEARKAALFLFAIILGIFYPVYRNKVVNGRMGLGIVCTLLTCFAWIMLGVTAGQAVTRYGKTIIYTEDEYTRHAAVELMEQQDENGKPLGTTIKSLENKGIQEIEALSEGGKESIVISGTGPKEVNIGNTNYNNPNGINIDLSFEKNAKNNIYEIKEISFDGTPLTDSQKKKLWATVTK
MKTSHAISASTLLAVTVALLGTAGCGSDNDGGSRKSKAGSSTSSSSEGNSPKGDGSRTGKNTKTRGPVTVSQANALVDRYEKLNNKANKSRSADLNATFESGALRRQSLAQFRQYPALTKKEQRDYGKPFTYADRKFHIPADREWFMADVLGKGSGFGKKDRRVLVFDRGSADGRWKMVAAVNITTFPKVSENADGTAQAAAPTRKNGVLAPADLTDAVNDLMETGGTAKARTTLADTPVAKTTRSWYTDRNKKLSGAAVAYKGMKTPYDEVYALRTGNGVVAVFNGAVRSVERSTTNYIRPSKATSVYTGPEQSTFFQLDYLYQGIATIPDTGKAELLGRDSEMTGAARTPTGGEYTQSPPRSGS
MNILRVCYEYPPPWDGLTPGPFEISLAQIEKGHKIIFLAGGSSNDPEIKKDGIEVIRIGKSLPTYFFGPFLSVDIKLTYHIEKILRDEKIDVIHFHGSTALWFNALRLLG
MRKLHSTYLLFILIFSSACGFARNHLSSVYKTADYDDAVNNINPFFPATELEYEAIPYAVAMANGQYSSLPFTITINVMLAIGNSQKVTFKYYQNVVKDYLNIRSLGTINAIEVYNSLGQLVKKQDSHSGEVLLFLEDLTDGTYVVSTLSESKNRQ
MEHYGVPRESIEHDFVISHVLAAIAPLRDQFVFYGGTALSRTILDGLRLSEDIDLLSIGPRPTVAKALDDAIRTRLARSFGKVTAEPSLTAAKRDTEACIYQIGDTQLRIQLIDGRNYIPLPHCTALVHQRYHALPDLELTTPTPAGFVVAKTMAWSDTTRNAPRDLYDLWAMATAGHITADAARTYRRIGPTGGYPKPWNLPTGAPSPDEWFASLNHQCQPQVTPQEAHNVTLAAWHHAVTAAEQSPLQTEH
MKLCTAFVFLCVVGTALAATTLNLNSQLKGSLVEGSQQYTFSYSHDTSSKYQFIYVLPELSTDADHPVVTIDKTNVLDFQANIQCSASASSSESQVKCAYSFQGCVKTTEPELYTITVKPPGVATTANLNFTIGSLNVAAGLSASVKALTKQTTCCGFGGASWHYVKASGAEVINSVSLTLTKGSLTTSTLSSGTAALTKLLPPGIFVIPDTSLCFDLSLASLLKLGKDITNYAKALTKFSVDLSADSETKTLKVNLDSKVALPGVSYWVLVVPNSDSSAEYSISTEISGSSTSSAASLSTPFSALF
MALPQPGISIDGANPADQNQSFTGDDSNELFVVDSSSGIGTITGFKIKGEGGNDTLTLEGSPRETNVFGGDGDDILSVVTTNQGSVVDSKFFAGAGNDTVVMENVLMTGTGQGTSQIGTGSGRDEIYLLGDFFNNNFFAGDGDDLVEFTGPSSYKNSRGFTGDGDDTFTDGGFEVDFTTTEFGFKGGDDVVDLENSFTGVGSEGMQAFLGDGDDVFAGPASGDVTVLGGTGNDAIDTEDGNDSIEGGDDNDFITSGDGNDTVRGGEGFDIILGQEDEDLIFGDAGSDIIFGGNADDTIDGGMDADLVFGGFGDDSITGGEGASTPPTVGELLDEFLAQPFDDLENPIPNTVFTDDDTLVGGVGNDTITGESGDDLIYGGIYGGQLNGGIVDLVDDLSGVDLSDPPPIINEEIFDTLVAGNPELEDIPLFEAFTGIGEITGDDSIEGDSGEDIIFGGGGDDTIDGGGDDDIIMGNTGSDVMTGGSGADDFIQQGGDSSIVLGGSQFSFSPTGLSLDFGTNIAEGLFATAPDIITDFDARDGAGEVVDQIWFESVAPGGGPGNFVDMTNAPGLSIGQSNWGFNDIVVYRGSYDAATFTFETSNNGPDAFAFRAVGEELFLPADELFPILTSFAFGNQGVILEGAGDENFDAANFGSFA
MESKPIDATLLTIAQVMALSDGSISDEEKDLILDLPNRHGLSAGEPMDLNHLPSLTELAQRLENPGDKALAARIAGLVAGVSKNTAEEENINSQEREAYRELLAALNLPEQEIAEIEWSVKKDLQKGRSWAEIIIGYVNQGVILDPGSMGPPMPL
MHLVFRGARRLKEKFHDLLQAEPMFDGRQQFDATRLLSGLIDLSMEIMSYAYASSHDRNSRAAEAYRLFSVVQNVSTKRERQRAALLDWENRLMFELAVGLEASQLPHIGAAEFGLWFRHKGADALQ
EKTDTGENSDPQKLSSAGVKESGTEFDVRYEFPRKIFKIEKEDVNSDGNREIFVLSVLKDTSDKFSSYYNFDMVEVFSLDRAKEKYVKILTDTVDYSTEINFKNLSNSKDKLIFIKTNTGGNDPVNSEGMFVYQMKDSANIELMKYFEAGSPEVSDFGNQGNNVIVVKDQFLGVMPQVNAVSFVKEIYTLQDNKLVISNEKYPEVYDKKINEITEEYNSLKRKVETGMQALDMSYPLYREAAEIFVNYYYKGDTQGLRKFWEQEKESLKRNIPQDEFQDLNNFISKALPTVKNA
AGVLLFAERPEWIKPQFIVKAICYPGNDIHTTRYLDTEDFTGPLRKQYDGALAFILRNLPKVQADQDVNAPGRLEIPQIVFEELLVNALIHRDYLISAPIRIFIFDNRIEIISPGTLPNNLTIEKIRTGNSNIRNPILASFVAKNILPYRGLGSGIRRALEAWPDIDFVDDRDGGTFIAIVQRKKGQAPPSDAPLSKNKGAKKGLKRHATAADAPLSDLQTQILALIRQKATISYNELASVTGKDRSTVRRNVGKLKDMGLLRRVGSKKTGYWEVL
GADAVFCQHSHCIGCYEEYLGGKIVYGTGNFCFIKKSYMDDPLWHSGLMIQLELNKDCKLRFIPVVYKDLGIELAKGNQKEQLMQAFGKRSEELLTDAWEEGWNAFCHSMVDRYLGTVRKAYAENSTENNRRVFAHYLDCEAHLDVLHTLCPTWHMEK
RITVVSALDPDIICPVQRRVCVPQNHFKRPRQVPASAYCSRSQNDSLTKFRQMERSDGPSLNNDKKDQAEQVFGRTQVHLLNDDVMALETQPDAKRGNVRWVRIATPDGGDIQMHMSPPMPQSSLAALT
MAELLVDINECLRDSPKFRQVLHENEKNIENLESRLEKAIKSCGVMVENGRLFAASQKQLVVSLWEVAEHFSGQPTVAASFNRLLHALTEFNKFQGHAVDQAARTIGMKFSSILKTEIRDVKENRQCFERISGELDTALNRYATASKSRPVELEETSNLVRATRTCSRHTALDYLRALSLMQSRKQHDVLDTVRSYLQSQVTFFHQGDDLCKDLDPQLKAIGAQIEELRARTKSLEQQLETRHSAVTHEDALASQHRPVPAPDGGVFMEGYLFKRTTNAFKTWNRRWFTLENHQLKYRKRSGEDLTMMEEDLRLCTVKPAPDIDRRHCFEVVSPQKSHVMQADSEPSYRLWVEALQAGIGAAFDRPSSRAAASDNRQSHAGGGSGGGDGGAGEERPPPALRTQKRSNVLEQVRRIPGNERCCDCGAPDPTWSSINLGITLCIECSGIHRSLGVHVSKIRSLTLDDFEPEILRVFAELGNTVVNRVYEECVQEGFQRPAPDSERSVREAWIRAKYAEVRFVRRLPGSAAPPVARRWSVRRLRRRPRSAERPHRRRTAAAAPAPAAGDAPPASFSAVIIDGGGGAAPSAADRLLVFGEQLAGEPPPPPPPPPAAGDPLEDSSGSTEGEDDSHPDPEVISSLHPNSLLYKAAEAHNLPVMCAALALRAEPNWQNTARNGGTPLHAAVTSGSVMACLHLLLNGSKPDVQDSAGQTPLHLAVSNENMGQVCVLLKYKANQRIRDDAGRDPLEIAVNKTNADIVTLLRVSQLRDSDADIGDDDTFNQVVRDFSLRASDAPETLNRRSAVDFPPPAVSPASPERPLSIPSRPPPPPPVQQPPPPPAQPPPEQ
MYSIGLNPRLYKYMEPTLMPNGTEMVSAYCRFPDVRTSACMRLGREGKSSNAAALQRGCPTLGHGWVLDVAVENVPRARLVRRARRLRARWKRAPQATSAAAAPPPTQPLQGTNASAVRGLDVDVIVLMLDSISMRRFAHGMPITHALLEQWAGADGSSPWRSFRFDKFAVVGSNSPRNQLPLMSGYTSLEWARDHGGLALDCIVPGFPEVRAPRSHVCQRWVFDDYKAAGYVTLFGTNMCDWGVMEEVYPFDTHHPPTDHHLMEPWCHVDYDVDKLYFRPMSRCLGGRPAHAPLMHYERQFARNYAHVRTLQWTIYLEGHEPSFRSMGQLDADLAAHLLRLRADHAERTAVLLLSDHGIHYGRYYDGAKAGPQEHTLPLFYALLPRSLLAQRPALKAALCMNQRRLVSPFDVHATLRHILVYPDTPKLPDWSIATYPLRPRSLLEPIPAERTCVEAGVPPDVCPCQQQ
MFIKLKNIDPNKEISPTISNFRSNKSERIELATSQLNSINSFRLIGIKIPCKNSKNLLDEIHNITALLVRTECKYAERNKLKQSKSEQNLNVESDGLPPVDEDSYSELSESELHNTLDDETHGQPPTEPEKNITITNIPIISII
MKTLALSVLLFAAMALTGADATRHEDCPASWTRIHGRCFLYVPAQMNWATAEKNCQSMNANLATVHGWNELRRIQIFITKASRGLGRTWLGGPVCQSEGLSLWCDGTSLNYRHCGSLNYHWNQNCMFTYNGGRKCWDDEMCTNKYSSVCAKNI
MNNIYLFSTLAAKLTDHQASLTTEMPGVVSVFPNLKRRLHATHSWDFMGVGGKETMEIQIPKFSTKNQVNVIVGFIDTRIWPESPSFSDAGMPPVPARWKGQCESGEAFNASSCNRFIAIPSIVIHFTDPQYPIKYL
MHIPTRPLLFFLAALAISLASAAAVGDDTITGGAGEAVGTYLVVVCRANGPKENGEKLREWHASLLASLLNTSTAAILEEARSPEGGQLVYSYQHVVSGFAARLTTQQLDELRRLNWCVDAIPDVNYRLRTTYTPALLGMSTPQTGMWAVGRSMGEGVIVGVLDNGIDPRHVSYSDAGMPPPPAKWRGRCEFGGAPCNKKLIEVGGR
MSEEIKKLIIEYVEKEYLEEEDEQDVDENTPLISSGIVDSFSMV
MTPFLVGPVFPFLAAGLVLLAGLLLLWRGKRGRGWRRGLGFAFGGLFTLFGLALGVGATAMSNAARELEAARPAGGKLVAVGGERLFVKCEGPKGGPTLLLISGAYSSGWFLAPLHEALRGTNRSCLIDRPGTGWADPAQSPRTVETVVAELMGAVTAAGETGKIIPVGHSVGGLFAANLAQAYPDRVSAAVLLDPTPPSWFTEQQSLYGCGPTEPGGLAFWASMFGLGLVPSLNPMNAETPGSPNKALGALYPTLVNLESRPRSLAAQREALSNGCRNGLSLVRSPGALGDLPLLMIVQDQSADEIAEQMPKDLTPRQQKNWKLLRAQWTSDYVTFTSRGTLVKAPAGSGHYFVLNEPAFTLGEIRKFLASTSAASPPAVNP
MSNEDNNCQAQLPLKDVPIELQQKVVDLGGKPDINLYKVLANNPTLLSSWIDFAYSLRSNCTHIKTTSEELDKQLKQYFTEAEYLELILTGSFYVMGPTVLKALRIQAES
GMSPEVSDEEDDDMGDDPSSKTKMTDEEKRKNFLERNRVAALKCRQRKKQWLANLQNKVEMYSSENDALTAQITQLREEVVNLKTLLLAHKDCPVTQQQGLHGTFMSGVVEPFNAQMNPYGMAGSMPNQQVMAGQSIQRRFS
MSFSPLGPSTNKWFVIEPRTCKLDPTLSGADCGESPTSVARYTYRNTHAEGKENKSQGYKATAAGSSGPEAKDEDAEQCPLTPPGSPKAKGEEAKPYPKTPPTKLAKNKEDSPHKTPGSEALNDFLLKYEVLRENPEKLLPAMLELPTLVPSHIEEPDIYYGVDVRARYTWALPREVKLPPSVMQESRGSTSNGLSIISQKVKKGEVVTLHDLKSDRKLLKSIKDNFRNFYREYLGKESSRYPFNHKISKDYWLTIILNLEEGMEDLETAFHKELEARDRKSEKVRYSMFGKDCEIGLTSFVEKLRQEAKKIIAQYVRVDSKDVKVFASNVKVSAGILLYQILITAPINLRASHRNLEYDAKTKLDLTVTLEWVKEQVLHRGREIRVFQDELSTRQGRLKSVIKRLGMTIEGSEKHDDLTTEKKKIENEIDEFERKHKECLDRIKSSVNVQSLGYQHSDSGVHKTPGNVSEIRGFAVPPSLDGDDCDLDDQRTSFTKLVGDECETPVKVFEATRNDQDRHNSFCKSGLEPRVLTFPI
APQMLAPQMQLQAPMVGLGGQMAWQAAPFAAYAQQAPQPCYQAHDPIGAFMQQIGIDPSEEHLCWIAELGLQSPLPPRWDSCTDLSSGCTYYIDQDSQTSSWEHPLLPYLQRIAEIGRRFAGAPQEGFFDEARQSLWQEQKEQLHAWHGPYGNSLGQQYYVNSSTGTTSPRDPRDEAQYIFDLQSSFLNSLEEVISEHFSAEVRTPGSHWSNELDNNCPAHAWRTDTGAEVLTLDGTCGNGGDAGLSCSQSTARAVRRLETLRCQAQVDHTSVFQQMKLRAHRFREACMEEEELQKLKLSRLVQARTKRRQLQVAQLRAGGMQDAHQTPPVRAEPFKALELPPCPPKAMGP
MNEPTETDGSDGTAEFAAASETAESERKSGPESNSERECPACGDSFEDGAAYRDHLFSVGLVY
MSSSELTRSEMRRAMADPVVFQALSSRDMLTVMVRDIERNGYIQKENYNTWMKRNDRDHVTQEERFDQILYLLQSTAPGKSMKAFADTGLLEFCLPKCFPVKRVVKRRDLQDMTENFRRAGKTLTIRLAVFFYPFDIYAVEDTMKESRIDEEMIQWIVGALKDIGDYLLIRENAYLKRFIYENGWEYFHFVNEFAKTMKDVYDFPEYKALSKDSILSDIRVRNEPIFPKDLVVDEEDLINSSIPESDCVEIMEALTEHCHSNPRDNDYQKLIKLAKKYHKRKFSRMMRRIHWIR
ESAKVVGFSATPIRYSDGGRNMADEMFDGNVAYSMELEEAWLRGILPIPKYVTAFYEAPKELGRLAKSIAGIKDEMVMKRFQKKYENLRRSLTEAGGVREAIAKHLKKRDAKVIVFCPRVAKLREFMLLRREWFGAVNSEIHAYKTVSADPYGSEDFQAFKDDESDALKVLYCVNQLNEAVHVKGVDAIVMVRPTKSPTVFYQQLGRVLSSGGNRTPLVFDFCNNFGSIVAAERIPKRMEETFKRLTGESEQLPFTPSDFRIIDRTLTFRQLTDEIRKSLKQQSIEDKITFLEQMAQLNGGKL
MQFSIIKKRVEQLLAPSLQGRIAFHAAVYRIQDNPSRVWMTFDGEEIFGADDFHFERKVEQRYALQAAQLPEKPAGSLWQSDWLKQSHALSAEIERQVKQDGYVENYEMQQDLLQYPNLAFEQALVHPHPFIRGIARLDRRLGKRRFLSLTHQSDFEQWCANTRQTVEHW
MLLLWLWLTSYAVRLGAEINTEAEDETVRDTTPGPEQPLGTRGAGYADSVPSGEQT
MADERSNEALHPTKEVRITGERSATLVGMAIQASRVDTTILQMKMAGSPETRRRANEAADRFERALNAFDAEMREVNKDLNLNRNGGVRISVNVTERFANT
MSPRDRVAAIEEEARQQALEAGLQALTHRSLATRLGVSHSLIVHYISDLEEFRAQTYSKLILAELSSIERAVAPVPTGIGKLVELVRLLSVTGREETASLWLDGWTIGRLNALMAAEVRSAMHAWQDFIEELLSAGVHAGEFEIDDVSGCAWEIIALFDGLNSHMLVAFGAPNEYKLRMAAPIESRLGLQPGTLTSATKFKGEDRS
MSVCEVCGNEIVPGSPVCGFCGSRQEGGPDSGRIPFRRKTVNLKYGRPTVETALRRLAVEIDIARSEGVSILLLIHGYGSGGKGGVIREECRRTLAEMRSAREINDFIPGDGGARSGPVKSLLRRYPRLRQDRELVAANPGITIVVL
MTTPSSLQKSFNKLFESQARRENEPSFQAKKRKHKEIDLEPYNNISVYSEFFQDPELISEISADAHRIYKKMQVKISRIRPRLEAVTDKRPQAKDVLSTRVMDNEHAQILDSVEEAVRENQFYVNPIVPKRRMYIRENSAAASPSMSQSTDDIDEGNNSKPQLDNNPDSQISSSVEGTRTSFELRDIFDNIAALIEQSPLNSVGSTYLYTLLNRQHDPASYIYTMPEHTSISKNRIDWKTIEETLITIVLFTPGSSTKKGQEIELLGSHSIGDLRDAICCLLDFSTNSQDILNTQSKRLKPSFIYMDHVFYIDTRHAANTLESYRPHISKWLDKKKINKQVFNYQVVDMQSALLKDQNILFNRPFVFSHEDDCEHMFLIKDLRLISPNEYESLTDFPRTTYTLYYN
MDEGLASIIGISALAYVSGVDESQILKMANQKYAGQAASLAIDIPLMSGTHHLGDFTSGFTTYVRPIAALSLLLDYMGAEKFYRAVREFADRWEGKHPIPYDMFHTFNFVAGEDLGWFWKPWFFELGYADTGIGDTKKLENRTIVEVVNHGGFPVPIDLTVKYNDGTEEKFHEKMDVWKSGDKIHLIEIPGTAIREVKLVTNAPQVSL
MMKIFELSILIAALTNTAWLEWAILKHAGLLCALFFGGFFLLPWGTVAALAFLPRDSMR
MQWYVITVDLSSTFRGCAIILNLKPILLEINMVPGKFQFASNNSLTIFTSYNDSLSIQGA
MDDIGGGRGRKGDALLTPPRGPGLPSSSPSPSGSGLLLPCPPSAAAVLALPASRDPAASEGAGFLAAPRLAGAMDGGGEALLVRRSKGKKRPPAAAHAERDSGAGGRFRSLMRDYNDLLEETEAKKKMLASANRTKLALAAEVKFLQRKHRSFAKSSNKTHYKLKKQARYVPSPLGRASVFADHDVTRTEGASCSKNPNFDLNQGSAMVCDNDEGNDYQGHRSHLELDNFDQVGVDEEMIAADVKLSVCRDSGNSPASDDKRTIPWQDRLALKA
MSYVLEAAIHVPVTLALLCFAVLQFPLEYQRNFLTAREFVLAHRPQGGFYAPFWGEHINSVQTDPEVLVALILWSADTRDQVSELFDRGTEQ
MGAPVAVAGIFTNQTIEGTISLSSGVHLTNMVEEGGTDKGRTEKFVDWEESASEHPMFRQTAMSKSRRS
MKSKKVAFILVLAMTMVISVSAQERAFNRDQMKDNRPRKEQTGMQDPFQSSLTEKQKEAIKEIRIKSIKETKPLKYKLKELKAHQQTLINEDKPNLNDINSNIDEISKIQNQLAKIKAKSRIEVLSQLTDEQKLLFSEKKHKRGHNFAPKRMERRNQDFS
MNWKVVQFVQPRHLWDIPEIENDEEDIEDQSSEPWDLVITRNMFINGSMEFGYLNRADVKPTVVXGNVMGLKLNMLDDSTTNXELSEDDDSLPASNSDSFDALNSHDTDGEQEGGIWSS
MPEKDSQHLLDRLAEIEDPRKEKGKRHPLHSLLALIIIGFMSGHKGYTSTAKWARSQPDLIQALGWTHKTSPCPATIHNVLKVLEADVVEKTWTNWVEDVCKCRSDLEGCLDVVAIDGPTMCVSKKCGAAISHLLSVVSHELGVTLTQQGVSGKTNEIPVSTEILKAFDVSGKVITTDALLTQKTFCQAIIAGNRDYVLPVKNNQPELYDDIQKLFQDVPNTLSEDATHPPLGDPIYMHETVEKSHGRLETRCLKASTSLNEHLDWPGIAQVFQCRYTYKNLNTGEETTKVQYGITSLTPEAASAERLLQIRRGHWSIENKSHWIRDTQLGEDASPVRCGAIPQVMAALRNAALAVLRFAGVTRIADKIKYYASKPLLAVNLITECF
MTTGSFNLNKAHGCSHMVESISCSRCVWGNTADDIQPMGNFHHLVQLREQHPENVDICNPFIWRLPVEVTSHIFSLCIIPTPLIDDFATLIYDFEGISNQVLLFQLTLRAVCRSWYYIASSNKMLWTSFSINLTPRSVVGVGKLAEEWLDRSGELPLVIRLLYNGRVSLYDGQIQRSELDGTGFSKPLLNAICTVSHRWDMVAFKLPNIFMQDLRCDVRRPPSCLRSLVLADSLEDPTTSGVLNLGFIPSPVRLRLDLPLEQVRLRWDKLTHFHATHFINIEAVVDILYFAPSLLYFCPTGLEFDLRNQNIIRHPNLQHLDIQNCFSTILDLFSFPALRELSVEGFHLEYDAGINFINRSQCRLHNLSIDGLGCLSTEELIVFLTSTPSLRLLELDHIELDSTFFDRFAESSNFLPNLSILSLDFDDPEFLRTFFRLLIPSTTSRSRPLLKIILRHEVDLGEEANSSGSEIATLGETNIRMIQDIRKSGVDFNFSTIG
MNNDLRIDIFLDETNTPFAPYFSWDDLHLSSDLEEFILSKLLNCKRREVDIFIKGENNYDDKLLKTAIVNTFSNRVEENEYIYGRNNMKAIVLYAFGIIIGLIGLSLSSRYAYFAGILSIVCWVFIWSGTEVYFFENRQIRSDIRKYQNIINANVHKKKT
DREQVLRKCAFNACVLISQHDDVVVVFLSSACSTTRKAAGGRRQAAGGRTDRTARRKSKAG
MKKKIIMIFLGLLITVSTYAEIELKEIEKNFFGFSLENIKSEKERIGILEKKIIGHISDGILGERKNKLYELLYNNSKYKSLTLKLSEIEKKILKKQSMENILTRLENLELIAYGKINNNDAFIDRIKKISKYFGMEEKNVY
MCIRTRVSAGKPCDAEAASSEGSTAMSTKPVPLRAWLGTDIDMPSVGACSRVRTTGPPFRSVLASDHCDLQTAHSPADSRYAEDLSLKMFEAVLQPLLLTQSLHC
MLESYIERAVCRHAQSTGWIVRKLQWAGRISGPDRFFAKAGRVVLIEFKQTGKRPNKAQAREIHRLKSHGVEVHAVDTIEDGIRILGGKHPARSDADII
MPAALAFPQKKKAHAGAQAQV
MGQSTAQARSAGALQNLNAVLNKAGTTLHNAVKVTIFILNMDHYAEVNKAYLEFFTSDAKPSRTCVAVAQLPLKGAHVEIEAIAAIPEKSSKL
MAAPVLSKDAPAPSPLMSQAVVYNGMVYCSGSLGIDPVTGKFATGSVADRTAQALKNLDAVLKAAGSGLHKTVKVNIFLSNIDYYSSVNDAYAKFFTQEVKPCRTCVVVAELPLGAEVEIEATGFI
MAAHVQPYFTQASTFSLLVLMVVLNFSNVVGLLGTGGLLASLALVIVSAVGGYLLGSLGGSNN
MILRFKKIIMAIIKNTFICLGFLFSIMIILSFTTLPFWMYYQLGVSHKIIKSNPNYIIVLGGGGIPSESGLMRTYKASNISKKYPDAEIIIALPGDITDTSSSVNLMRDEIISRGIDSSKIILEPIGLNTRMQALQISKLIDVKSNILIITSPEHMYRSIRVFETLGMENTIGESAFESAIESILEYEDYELGGTKYIPNIGNNTQLRYQFWNHFKYQIIVYREYLAIAYYKLKGWI
MIRNTRGDSGRRQADSGRLNGAAAGADAGCNRTRPHSGNSFA
MTHRRFILGIALALALIATACGGGGSGEGGGKEGSKEAGLTILEATFAQEVSEDMEPINPTTSFTPEQTVNLLLTIKGRPKEGKVLARFYVRDQEIASASVNLAEANEGVIFSIGENTRVYFTLTPTEPLPVSPNYRAEVFLNGSPAGTYTFAVVPPPEAIPSRLIEATLAKGVKETPETYEPVEPTTTFAPDEAVYVVGVADLGKYSRLEVNWYVGGQLVEDATRSLTAQEDIPEAGFYFVFQPEEGWPAGEHQVVLLLNDEEVQRLTFTVR
MKILSIVNKELRYNKASFLISVLFVSLAVASVVSIRNMSEASKNKVRILMRDLGNNLAVLPKGTDPEKLWAGEYTLKTLPENYVNKLAGFSKIEAQHFVGKLQKYVVLKGNRLLLTGLMPEINPPGGSAKDLISPAISDGNAILGADAAKILGLKKGQNIDINGSKFLVEEIKQPLGSIDDISIFVSLKSAQKLLGLKGLVSSIEAMNCICYGDYITEIKNKLEKILPDTQIIVYRNLAVARTETRLFMDRLGFWFQLSLFILTVFVLGIYSYNNVKERKIEVATLSALGISAGRISLIFIYKLLFIALAGALAGFLLGTGIAVYLGPKIVKAPVKPEAGLILISLLCSCLVVMAAGILPVLKATRLDPAEILRTE
MIGSDAQLFNTIEKRQNRPPRSTLEPPAMNVNRERDVSWGNPATHLGGCWAPHSTTDSHDWRLTQPVRRSAAPTTQSHPSAANPRLPKFTGKPSTTLSSMQLRAAQGEAAYTFQRSTGTSSTAQAAPRRAAGDGGVPARVCLTGPAAARDAHSSLVTEAVESMAVDAFLRGCKEKLAAYSALNRELATVELAVNLVEGAVTNQQAVFVSTVPVSKLRQVLRFENPTQTQPMTTRLVQLQLDLEIARGACSIHIEKREKKKSQELRRIGLVDYDK
MSGEPARVADEIGFLSGNGWSDFSLAPGGALAYFQGAGTGTAASQENASEWQLAWADLGGRVLETPGPPGVYRGMEVSPDGKRIAVHRHDAKGGDVIVIEPRGSTTSLTFDATHHNSSPIWSPGGDRVVYSAFEKGKWGLYQTLSSGSGTEELLYESEQIKAPMSWSPDGKRIAFWTQDSKSSGDIWVLTMEGERKAAPLIASEFNETDAQISPDGKWIAYTSNSTGRNEVYVQPFPSGSGRYQISFHGGDWPRWRGDSKELFYHLIAAAPDTSAFIGLFRGGSLLSVAVNVSGAAFEPGSPKDIVRIWGMNYPHSGGDYSTYAVSADGKRILTVQATPNSAGAGADAALPDPPLSITVAMNWAASLKK
MEQLHIEKEKMFNLMESIGFSDSLIKKNRIIIDRYIDYLNDHSYQLSLESATLFSENYYTLFPTSRKSEYCVTKSRQAVYKFLRYVETGEINSRWTPKPIGLSGVHSKQFNLFIEDERNKVKHSTLRERIHIVTEFNEYLNSNNVLVITSEDIVNYFLSFAKNNTQPHAFYHRSTIIKKLLKFLYINKFLSEDLSGNVPYAKYQRPKELPSSYTNEEISIILNSIDRNSKVGKRDYAMISLAVYLGLRASDIVNLEFSNIDWENNLIKLTMSKTSKEITLPLLPEVGNALLDYIKNSRRQCDLKHVFVSANGACSQIKSSALYHRIKYYINLSKIDIKNRKCGPHALRHSLATRMLKQGQPLPIISETLGHSDTQITTIYTSIDYDSLKHCALDVLPIKSNAYISGGEYDS
MDSREFPLMGRIDAPSIAPEQWVRLAKTYRQAVRISWQLKRVHFMTRTQLAAEANLYPQHVTDYLHEDDKPSRRDLPADAIARFEAVVGNTLVSQWVAARSRLTVLEEITATRAIAA
MCAKRLSPGLHYLQEATSCTWVAKTELCEIGIAIPGNAWNVQRNAEFSLDEPSGQILVWKVSHESETTHLFQPTHSLDGHTHAVLCLTIGRQMLYSGSMDGMINKWDCGTLQCLATVKGHSSAVTSLTVGGQFLVSGSLDSTIKAWGSTQEGGLEVCHTHKEEKGVLAFGGMNIPVMLNEDNRHDILFCSRDDNSVRLYQLPTFQEKGRLIARQEVQSIQVHDGNRGLFFTGDGTALSLVTMMLNQGSCSHVRLTMWQGIHPCARLSASERACSRTRLPSVRASANVPSHIWFDPARRSYSARSSRPDLLCGNAHAHP
MRPRAVRASHEQQGTKGNRKWFTNRRDRNGRGIMKFLDLELARARQRLNREELALENANEMLDENCGVGINIALCNRIRAAQRRVVEARSRLTKIDHGKANTIRTS
MTIQFSEYQACAIVFITAQSYVDIASISVGPRTSGGQTDHNKELKARQKLSGIPYSIPGVLLILNY
MKKSIFYLLMIPVFFFATACSSHGDIPSSDVPQDIITNFNSRYPGADHIKWKTEKKDGKTIYEAEFLINGAKVEAEFDEAGNFIREED
MTFRNPREAAIFNLERIADAETERDPLSADELIVLKQSRDWPKPELVDFSTGNNYDQNDLKNDERWVEEQQRREHETKATKRGKILEYAIFKNAESADWFGPEAYTVQTTEYDDRHNHIDFVVEWETDEKIERLGIDATTAESAEVIEKKRNIISQEIAEGRFGTVKYFESEIDGSVGPIEQIPRLILAVDRDGVKRLAKDLVHKKPLELADNPEQLLLLEQIQTQMVDQIKETLELVLRQLAYKRRLLSPENKKQLGKLAEIAYQAEHDPNTLHKLLTAIDESPELIEQAKGFPTIDRYLNGFERQRAILRIAEGLIAQKNNSLSAGALERAKQDGQRNAVLQMTPRRFTVPPQLAASA
MLPAVSGLAWSIRGVPALPALFSVFAAARQSVLFPAESGLESTHMFPGEVWERRFPASGRCEYACGPHPEMNGVVHVE
MRQILPIILLLFAVPGKSATFKQQADEVVSKVIAESGIDKKHFGIWVMQKDEASILNGDQLFVPASLSKMPTALAFLNHFKMDQYFNTWVYKTGKIEDGVLKGDLYLKGGGDPSFVNESMWMMINKLKRSDIKKIEGQLYVDESYFDNDYYSEGRQKRRVDRAYDAPVGALSFNWNTISIYVRPGLRAGQAARVYLDPPVPFVKVVNSAKTGSDLKSSLKVERTSKNGEMQIRVTGTISQKEEEKAFYKSIENPALWTGMNFKYFLNEFGIEYKGDIKKAVAPKEADVLVEYKSWDMGRIVKAMSKFSNNFVAEMLTKHLGKKEGIPASIDDGLDEINKFLAQKGWKAKEFSFVNPSGFTHNNKIRASQLGELLYHSLEDFNFSPEFLAALPISGTDGTLKKRMTQVMKGRVRAKTGYLTGVVSLGGYLQSRTGNRPITFVFFYNGPYKHDWEVRALFDKILWRLYQKS
MAGCCKTNKTKTYIICLLLLFSLISAVVYPAAARYASPEGGNSGIDPGDTIFNGEQDLNFSKLQPSTPLEPPLWLAYRDGESAKSMQKLDSGAVLSSSVNVESEYLDSSFRLYNGSWGDAICTVSEPSGTIEVRTGTELGTDVNPASGDTKTPAVIPATMDVQFKLDSSAISGNGDFTSPWYEYTLMAPGGSSRQSITNINGDTVSLKNLIEDPSDDNNTLAFSIDDQNLGEGSYGMEFRAYSGNNVFYTADYDFEVIYYKLDAAINPSSVQGGDSATLTITGEPYMYYIIESNDPKDGRPELDINGDYDEYINESYAIVHPDWSGTINVPLDIPERSAGQSRTSSMYTIEVCKKDNPDDSTTARIAVEPGTSQEIELSESKLGIKEYYCLGDRIPIKGTLGASAKSDTTVYFYITGPNLNSNGAKPSSPDTAVVDGDNSTFDYVSVSKGQTDFTFYWDTSKTGLSSGTYTVFATVTPGGYDSREVSETDAEDCTDVDLNDASINVLFPDEAPGFFAQGDHIVSIWSARGSPARNQYTGTIRYYIIGNNFRYAGYTNFPLLKTDSSSVSVDQLDEMAETNDFPGYSGLDLGRSFSNAMGKGTYYVVYQHPMNNQVFDLYPSEGNSYNGTITKLVTTTGKTIDLSGLQVNAALNAITEAIDSPSIDDSYVTQEFDIEKPSIRLSPVLYAEVGNSIEIKGVTNLECPIDYPYNQIDLNGDELSLSLYTESMYYDGKTQSTYRIYSDRTYPDKTETGEDSRTFTFTIPSDISANMEVGNYVALIKCEAVKYEKALFFTLHEQGFAEEHGVTDITVDYEPEDPESYFAATHQQTTRATVKQTAKKTSPGLQKDESTSAPTKKTSGNGVLLTVFSFLLVLCTFCYLKRR
MAGVALQRQHGVDAPADAFGQLGHGKRKRFAVVDDLAVTQRKGARPLRTPGLQLGSGARVGGPAQFLAQGLGHIAQLHGGVVIVPPEHAQGGQVAFGGLVGEMGQRNFTGVALAKARNKQQVVRCPGLVAATGRTAFWHQTVEHAAQRGNGQAAFVELYKENTPGLAADQGAQLFDGFDLDFVLGLEVELVGLPVKEVVFPVLHIDGPAQLVVQVVHQGWNGMNLAQFVG
NGTFDTSQRAALRWGKWKLITGQPAAVLGYENGVPLFIPIIGLDPAIENVPLDKNVWLYDMKRDPLEECDLSDTKPEIVKRMLDRLEEIRQMSPPTIFQRDPDPALNPALHGGVWAPRD
MPDGLPIDYNQPLNGTMAAYAQQMLICTGQRDWTSRIEDDGKHHAWGHLARGLKRLLGRGGRYADPFNNILVSSSSFSPSVASTSTSSTASAFLFPSFKYFPSIPTEILDSTGAGTDLSTFVQAFLLPKKLSAMSESLPEARKAELTRKPELSSKFTDAVDLYHSPVILICGHGGRDKRCGVMAPVLENEFRRVLGDKGFTLAGSGDHAIDSPGHAHVGLISHVGGHKYAGNVIVYIPPGITMKGSSSPHPLAGKGIWYGRIEPKHVQGVVEETILGGNVVADHFRGGIDRSGDILRL
MGAFKGVAANLPRISFYETKKSGGSFVVEKKHSMTGSDGEQIGLVATHSDLISFHGRDANYTSFIDKFREMVSTGIKSGFVDAKRKALDVSNLRLLDFRKMGYEIPYQVPNEPEHIVARDDMMHQINSIFNAGPTKGAVAFRFVHLWGKIGTGKTTLAKHYIRLHQTDISFVFWVWAESWETVAASYLDFANNLVLYYSDKMTRDKVEERLGVTGIAEMICAKSILHLDKNRVMSVVRAVKDWLMQPENGNWLVVFDGVEPMYNVQEFIPLTVSGRVILTSEGEKACTWGSKVLVHSMSEEQAIELLSVGTEHLHLEKGTEATAAKDLVQRLECHPLSVAQAASAMCTKRIPVSDYQRLLQTSPRPKLFGSTIDQAPACRLILRISALLSSSAIPASLFLGSLQNTNSPPPRFSKAVEEFKTLHVQDNPDIVLQHLMDQHFIHPVYTSDSSELSSRSDSPSSPTSTSSSLTSLFILDADARAFVRENLPEEEKAEHAWLACILCANDVRKVNDESSTLQQVHKFGRVMGPHAKACYDDCSPILEEPPELESVSWDVLGNVCMTQGAITQAIGCFELALQRTGAMNPLERIQTALSLSQLLEQTGQMDASIQVLTAVDLESVEEALGFRLALAKATANAARGDLSTAEHQFETLEHEQEQVLGPAHAETVGTIQMLAHTLHRMGKADDAHVLYRRVYLSYQGTFGQGHPMTLGSLDDLANICKEVFAIDDAEALYAQSVDIKTRCLGPQHPRTALAIQSLATMDDLRARYSAAQARYQKALDILLPTLGRAHPHCLGATPPLPPSPSPRHRMSDKASDAAHEAVRRDATRQRAFRHAERLYLEVVSIKKAARDLYSEESLVTTVSDVVKMYEVNTFFEKERGEKIAAVTNLFREGRRRGTV
MKPFRFLIYLLATCNLAYGQLEKRQSQDGSFGFWEGEKWVVPPRFDEAGEFHQYPFAPVQMKGKWGLIDRQGNLRIACTFDAESNDSDGSAYWIVQRGGKYGMISLRTGSVLIDPKYDSFFSFDNPLTPAYAMTSVVTREGRDGMVDSAGVEFIPCIYDHPSIYEFDSLRLQAKLKGKRGVVNRQGQVVVPFEYDLITSDVGPDGKVYITVKKGKSYGLYSDNGQVVAQPIYQNLLSMEAGGFALARIGKEWGVIDRTGRLVVPVVYDAIDYDPTADPDAIAFIVSKGKKKGLYNREGAVLATVDFDAVGYFESDGLAVISKQGMSGLIDRKGTLVVPCVHANETTLMAERQKLGRK
MGFGLLTLSAIVGRYLLVSKSIQPFPNFEVVTVAAFIGIMLLDIRIAMFIPLISMICSDVLIGNPIFVGEKMNQIVLFTYSGFAMITFLSFFIRNKVKPWVKSINIRSISCVAGIGAFLVVFYDIWTNFGWWYLLYPHTAKDLFTVYVLGLPFMLYHLISGVTTFIFIGLPVISYFSTRQTSHENELINTSWKRKVAAPVILTILLVVISLASGCLSSSNLYPEKHGGVKELVENVSLRVIAP
ILVKFGSHTLARFAGGLTGSVASAGAEAGRKTLHAVDSASTIQSATQAAATYQWAGSHDFEKRRFAALGAMRDATLRGGSLYNIQKTTPWNQIQSSYEYQGRVGIETGQRMIEGAGGGDTVKAATQVADMTAGQKIGQLGAYIDRSLQSGKPLGEVVNETSKRATEQNLTLADARQTLAERLVANGYATDTIDAYRRMGTAMLGRQAESLVEAYRGDTGSLMKDLVTADRARRGDINAMREAAKSVYGSDSDENVARLMQYIRSGEHMNEAAKFREILDIARKGGISDKWTGFLAHQRTRVEMSFNEAQAKHFFGHDAPAGLYRISRDTDGNIIATHAESGFSANITSGITNKMTLNPKNPEDRKVMDGLVKDLESAGNRNAAQALRASIVSGRPATITMYQPKEGDGIGSAVITSGAKSEAVDFSSTQKGWENVSKALERRESGIIDKTHREKTYDIPEGTMNFFASPNAPQTLVKTLGSDLFGNNGQINEVVAMEQAKAGQKMLDGIVKQSGQVFSSADISGNGVVNFKAGSPDIIEFLGGLKISA
MQTERASLQAGLAWLGLSIDLHDPSRVEPKKSGSTRAVER
MDPCQPQEGLLRRIAPEASLLLGAGRAVLLQLAEPRIGTAVVRYSNFVADPLSRLHNTLAYVYALGAGSERQRERVVNFVDAAHRPVHAPRDPLHGTPAYSARDPRLQVWVAATLYDSATLAARLTLPALEANDEEALYREYAVLGSALQMPDGFWPADRAEFERYFQQMLEQLQVTEEVRQAADELFTGRHAPGGFGRCCRWCGM
MFKLLFIGYLYGVRSERQLMREVQVNVAYRWFARFRLTDKVPDASTFSRNRRPRCIRRSSMRSCARRWATAWWTAGCFIQTART
MQQTRELPDGARIRAVQVLAARSSQRELERVQAPALLHLEHRAEGTLAQSGPDTPRAHLHPRAQREGMARRHGVPRGLWAMRVTGDATGRGAKGAIIGMTRGWGWNTG
MLFIRDKEGGQGKIQKIAEWIFQQNEDLASLDYETDLFENQLIDSLQITSFIFLVEELRGEPIALEEIVPEAFKSLAVIEKTFFATELQRLF
MNGLSDRDNKFLQKWYNYLQPHEIKKLNEYQLKHLNLIKEINFFDVKKKTTQERFINLLLTNSLVSTQFKNLKFDHLLIPIDSIKIIFVNGFFLKKINDTDADDFQISFIPLSSKTFKKVNNINESTAFFHLTESLLKEYINIKLPSNVFSKYPLYLLYINIGEKNGLNIINYRHNITLENGSSTTIIEHYININNYPCYTNMNLTIKADNNVRLNHKKIVYSNNKSYHIAQNRYFLKKDVIVKNYNFLLKSKNIENKIFVKFEEKNSNFSIKSLGLPFKNSHYTINTDISHNKGYCKSNQLHKIVTKNRGTGSFKGSIKIFKKAKKTNSKMINHNLILTKFSKVTSVPQLEIYANNVKCSHGVTIGTINKEQIFYLCSRGINKALAKYIIIYGFISESLYLLENKNLNVFIKKLIIKCFSGEKYDF
MGLALITRHSSATMTSLLLELLKNSNRSDRELAKVLGVSQPTVSRMKKQLVTEGTVKEFSVIPDFAKMGYRIMAISCAKFKKIITRDANKKAISWLHKQPNIIFASRAQGLGMNAVAITLHKSYAEYAKFTNEVESEFADQLEHYDTMLIDLTAPILKPFSLKYLAKHQET
MKKNLLYLLSFYLLSGCTYSLSPSSYELSSNFRPILETFTAGRSGVSLRKIPNTFAPVFKSIRVGDTIFVYGRLNYDWYVVRRNGKKYFAPFKNIYQFRTIVTSANTHQLIPDSSTLHLIHIPLLAE
MRIIVDASEITIYPTVSSVGMEDRKKYTRTQTSSKETVCSTCGMKYDRKLYKTCPLCESRKKNLELEKYLENIKTENARLNKEICKTERKQESNINDSKREVGKATRDNKGELQSKELSVSEFKKSIDYKIVVNRAYFKEKEEGNVSDDFIQSELDKLIIDKKCIGKITVEDVRNDIRNLTKRQADKKMTTDSVVSEKNDSKRDVGKTPRDNKGELQSKELSVSEFKKSIDYKIVVNRAYFKEKEEGSVSDDFIQSELDKLRLDKKFIGEVTVEDVRNDMRILIKRQADKKIITDTVVSEKNAKNEGKEEIKNVAQSQVKGKNGKKKKVDKIEKKELTAVTTSLEEKAEIDNIEKKKNKRTKLRSEKNSDNARYVKKIHCNKNISQRIRIVITISEVVGLLIMMLISYTKYYRTVELKYKWLFILLWILGIILSSIVFFKFSNNCKKILIGVAYWLLQLAAFVTVEIVYGSPFLPYFAWLKMGGYYAQGVAFILLNYYMNMTEEEKYQDSITMTMIVFFESIAIITIFGKLFFDMFDN
MTTNSAPEKKKPAPYKTIGWVSAFAVVIGVFCVSQFASGQFHPAVLGFLVFCSVAVLLMFALEVYRLYKDYAAGYLFPVRNLTFFIVCLSFIALPAMGIYGMVTGTTLGAANTLLVPVFLWLVVNNLFYVRLDSVGLESKSGFFTSRYVPLFDVTNVEESEGGLIVSQAEGPDIRLFRAFFFGAHWKVLRERLGR
MKRALVKTACSRCHPPIVGRAPSPAQENASAAPAEEGRRFHALP
MSIPSLFFQVENFLITDFKALLSTTKLKLRMSELLPYQKNITLLSRVLCRMLKAESMDTPLPVVIMQECFTIYIRTQEAGMVDYIGEVILSKLMNEWRLMHELAVLRAIYLLGSGMFIMCFNPLGL
MNIFVPGLTSLQRQEIETVDIPELRLHGLLDYESLVSAEEFDFDELLERARAELRAFDGSIDAIIAHWDFPTSVLVPILAAEYGLPSPTLESVIRNEHKLWSRVLQSEVVPECVPKFCAFDPFDPDALERIDIPFPFWVKPIKAHSSQLGVEVADAEQFAEAIAAIRKEIGRIADPFDQVLSRVELPEEVRVAGGRTCLAEEVVTGTQVAPEGSIFQGEYHVHGVFDMRKDETGHSFCRLDYPACSVPEEIQQRVIDLTERYLRHVGYDNGCFNSEFMWDAETDTRYVKSRTSSSRCATGCGWRHASGGR
GTNQYIKVSNVDGTARKLVHNHNAWTYNSKDKRVKSVATLKKGKKGKKVFTHGGKRKIGKTPASQALVSKTKKKIMSKSYVYTSAGKRTGAYKIAYTYVYVIGGIVKIGSNDYYKIGTNQYIKVSNVDGTARKLVHNHNAWTYNSKDKRVKSVATLKKGK
MSDDPERLLAEALRAQARNAPPAKPSTPRREPPPVVETPGGYGLLSGAGEGSLERERAALDALAHPAPVPAPPRARQPEPLSLYWILLLTLLLGLASGCVIGLLTLL
MEEVNSAAVQIQNGRGDQRRDNKSVKNGFFSNLTLEFGSSVSTGSGLKSVSLRAMFESYLAVARGCLRHRQRKGSWNEKQKVIKEKPKATRIISYPLSTAVNTQKRGAFAPLQSLPSLPSASPSPSAYIHHLHLHLHTSTTCISISSHPLHLHTSTNLHSYLHIIYNINNSICSPSIHQSTCTSTSTIHRQYLHATTDYLAAISTASDIHSSRIQAIITTTSLHELDTLCHWFELPFRYKQSFFCCVHKWEQEMLDHILYELKVAEQLGRQPTEPCFNESGLTPDRIYDHSPIQRDETWDGNYESGVYPSLAEQSSN
MLAKAIVKTNSYNDISEPNNPPHTKYPFGYPLLLTPSAFLSDNPFVYLILCKVTTLICAICALYGVYLLFENIFIVFLLAFTPVFFLFSTNVMSEVPLLCFNIWSIYFIKKIFESNLFNEGTFAKKILYSFIFALISAINYYIRGNGITMFPAIVFYILLLKNLKLREKLTHILFITIFLSLLVLPWVMRDIKLSKTGKTAGENYVSQILTPFENPFQKKLDINLFLKRVKTNTYYYSNVIICHIFPSFNKEYSGVENYTLIIGLPKIVRIFFLLSIIFFLIYGIYFHIRKKGIDLFILFPLFFALLLIIFTYRQHRYFISIIPFTYYFVIKGISEFKFSKLIKYAGYFVLILISILNLFSSVSLVKLKGGSLAPFINGYIDIVAKKDDVLMAPPHIYLITQRKSIPFDPKCISVADFEYVIIAGN
MTGFANRTDRLTELVQRVSVRRVATGEDQEDVAALRYRAYQAAGMIGAIPGKRLWDGYDEMPNARTVGVWRDDRLIASIRLHVAGAAHEARSPAVLVFPEILSPRLAAGDRIVDPNRLTVDPIAQREEPDVHMLLMRIAMAAAIYHAADIVTATVRPGHKAFYARWLHMTWVADPRPYPPLLRSLGLMTAQFQREKDAFLQKADFLRPESGEPERLFGPAAHGTINPTP
MSCDSQGGTCDRAKRKSLLTKTPLHNESGGRGDARVRNLSARGLGGVTDIAIKPGQNLVIILNGIGPVRGRIAWVDGKKFGMEFDKAIDLDRLEMTNAPITHAPERFSVASRFQPVTDYKRPGFTHRR
MPADESRSSSTAAAAASWQCMLDLMDRLSVCRKATPIFRVSSIDIVEDTHGESVTRINFVYSLASTAPTCLAPACSSSRSPHAKLVNHDEYSSGNKTACLQPREHGIWEREYRGSLRLGKKPRDKPSLQNQGQQERTGILDRRRTHFASFHFEHGRNVAEYYRNQEDDKGDGRKDNWQALVDVRQHLRGTGRSGVDYQTKARSDARTSRPLTLQCESAGIIVNSTTTEGGLRMLHAESAHVVRMQSGRILSLVKSHSSWKHSDHSREKSKSHTEAWKEIFREEDPVMSAAKVLAAFKGMGGDTTHILSSRHE
MALTEKLYNKMAERYGHDASWALWNDAHPVDTRIISESLHELHTRVVMVGLNISDTIPGTWLNFRDGDKPARLRRMFNHSNYRGAYMTDLIKGVIAGDSREIKRRVKSGGSDRIDMGQHIAAFREEMNLLGVERNALFILFGNDVTHLFEDSDLNDLFPNHVTCWHYSFRYKNDAVWHQRVLSDLEDHYCTSKGEYHTPPLHPSPPASRVKLIKGQFRRDRDTCY
MKESASCGPCAACKMQRKKCGDKCILAPYFPQNNPEKFLLVHKVFGTGNVIKLLQDVAVEKREEAVASMVFEARERLRDPVNGSSALISHLQRKVAELQSQLANAEDELANIRLEHANLGAILTADAPTPHHFFEDRLWEVEVEVELEPLWM
MQGDHRATLKLQDDDNPQGIRTSVHESPPPSTRAPCAACSFLQRECLTWCIFAPYFPPDHKDKFLTIHRVFGARNIAKYLATVPLEQRPGEVAALFSNASVWIQHPVYNCSMPTKYQLELLKQELENEIKELKNKRGMSTNELAAPVVQQQQQHASNNHPGLISVSEEPNEEIPFSANQRNFPKIPRKSLDVILEFH
MSTCGACKYQRRRCYSDICMFAPYFPAENIQRFACVHHVFGGGNVGSMLNITKPKLRGWVVKTLAYQAEARVRDPVHGCVGLIRELEENHRKVKEDLAKAQMELARYMGPEFVRKLSPNYGGESSLKGKFVAHLQPTTMELDFAPSIAIDVDDLDKWDPFPPWETSFQNVGPSSSHAHGEGGNRKGKGVAP
MSVNPASSGGSGGGGSSGSGGGGGGGGGGPCGACKFLRRKCVPGCIFAPYFDSEQGAGHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELSYLQAHLATLELPSPLPPHPSPPPTMMTPPPLSITDLPSASSVPATYDLSSLFDPMVQPSWPMQQRPMDPRQLGGSGSSSSAGGGDLQALARELLHRHGTPSPGSVPCSSPSPSQSFSK
MAGHGSSSCGACKFLRRKCTCDCVFSPYFSYEQASSHFAAVHKVFGASNVSKHLLQLPLHQRSVAAITISYEALSRMRDPVYGCVAHIFALHQQVVSLQEEIEFLGSQMTNLSYSNQNGSHLNTNVPEFVNQVTTVDTTNFVDEISVWNNHDDGRNCVDGFFMNSDEMVVNHTWLQNTDYYYYASQN
MTTSGAELPFRKRFGYGIGDLSFNLYFTTASLYLLLYYTDVVGLSPATGGWIFAAALTWDAVLDPFMGYVASRTRTRWGSYRPYLLFGAVPLAIAWALIFLPSGFTGTALTIYALAVHMLFRTCYTIVSMPYLSLSAVMTSESNERGVLASFRMVAATGAGLIISYFTLDLVKAFGGGDQMRGFLFVALLFGTLATLILWFTFLNTTEEVAAEEEKLPSAADMIRMLRANSAFWLVGGLMLMTAMASTFFSKAIPYLFKYGVGRPDLIGTALATITGCAMISIPFWTQIMKRTSKRAVAMSGPSLGCIAYTSFWFVPMDRPDLLLGILVISGFATGAGYLTFWAMIPDTVEFGEWRTGVRAEGMLFGFVSLVQKAALGFGVGLLGEVLTKIGYVANQPQTPETLANLRMMMLAAPLAFAAAAVALISFYPLDRKRHARLVKALAWRNRRMSPRDVM
MENEDKLMYHDIETVKDEVANLLVYYQQAAMATTGKKFPEISKVTPVLLDDDNIYLLLSDLSQHTTNIKTISENVSLYFAGENRHKTEMNNARVTLSGKLELYDNKSDDILSAFERRDRGARMYGKFNDFNVWKFIETHRLYVEGFGKAYK
MLVMLAVSLAFAGGTAGAAPSYQRYLDLPLTNRDASVSPGGVNPALPTDPKALRRALDSARADGVEPKRYAALLYQYWLADTTQAAGIDLAAWNPRAGVLPNRENLVRSYTFYEQLQLHHRELQWAGMGGQVGADFGGGLLDFDLATTVYEMGHLQPIANAIVTQTNKDLGPVFVDTLPDGVRALAQVGAVISAADLKYVQGMILVMQKNIFSDLMPMHRAYVQAGLPALTEMHQAGLFNDEILDAWRNIASKDPARVAAGNARLLMREQGEVIKDQWDLTRNYRGEHGNGPVGEALTYASTVAGSPSVAGVIPMRSYRPIEVSGTLADGRTATLTLPLPSWNWSDFDDRWEYINDQLLPKYRDLVDNHWSKLQAALQVPYDQQLDSHRPIMNLVPTMQSALQTMKVTVS
MSSGIIQVTEDGLLSTNEVLSEHTSRSSKETCSEGPSSPSSNMAKGKSPDVHADTSPHPKGNRYNLVVWETNWQKVLDYLYGKKRTLPKLISPNGPLPEFPRDINGQAAAARVLQLYVSEELVELMLTGDLEKKWLNAPAADPEDFILHGLAVTARGRKELGGTGVPMEH
MSGSSQYLTPMTTKPASNPPEFYLEVSITNALLGLNATPAVKPRDATPSLWDISKALHDVADQMLEGAPIGHTAVSDRYVANWQFSGTFDNPGLPEGQPAPQSMREPNPELEGDGERDTRPFDEFEESEITHVSGFRIPDPQPVAKASRKKNARPIYRYMPFKPGSLAGEGSWQAAARALNVFAVLHAAHRGPEKLKVKDLRPLFFRSSSDLITYREGWKAGRFWSVEAFKKAVCAREKHTGGLISEHVMPRSQTLMRALKIEDAGEAAEFVWNSSFECVVTSAENNELTRQDVTRPRSEIWLFENGPWQRYAGTTIRILDVACSGQRWLTPEDRETLQSLDLLAEWDASLLNHADPEILAQWGTYVPVEKR
MTVSYRDKFNAYKQEHENNYANIGSVFPVPVDSYSGNASVGPTGMPNGGSGGGGEIEEYSYKGYLYCDGRSLXIRDYPQLYQVIRNSYGGNTAKTITTYTEVGGLRRLYWVNNKLFMNFLEDPSVNSTSKLPYPYGVNFLIKDDTGASPAGPGLGSLPSNVFDYTTVFLTXAPTETLTAQQVPTGEFAYEIVFPQGVDPAQLPQSDVDITAGTHPTIQVNKSFAFNDTPHQIGTFNLPDLRDRVIVGVGDVDGAGTPTVENALINTVGQTGGNWYISKSDLLDGGTFFNIGSVRTTNYTNIVADVFTYLTGSVDFRVGPMDDYIFNRPVEHAHYILSSQPDEAIDNERGGVPVDEFATNYALTRANIVAFQPATGGGLALGHSHGLTREALPDATIATFGNTAGIGGVDPNQPADVFYDVSDTAVSSSAVYINVALEDHGPGAGEWEGFTKPGIAQGSQYLAFGYKAGGNLGLSTNPAFRSVTYTMDFTGYSKFYIFCIAGNDNNGGERPNDNGEGLYVEFSDGTTIQILPSYQEYKNNSGIPDGQNAFDLYDALYANWKEFEIDIPAALQDTPNQNVLIKWTNTGGSAEQGASVPAGNENANDMYGIQGIGLRGGIASTPPSTPGVYPVTGSPNVVLSNLSYDSANGYVLATTAEAHGFDNDDYIQISGCLPDEYNGIFQINPAQFTTTGFSYIPETTPSVNNAPQVGVVKLAAGTFQDVTTTPAPKLYPIDSNTTIGGKVDVFTPPGEGTLFQSENITTATTFTLPAVPESSGQVTRIDVQLKAPGGGGGGSNISGDAGGYAFCILEIGGQSYTCYAYGGQPGISGAQGGAGGSGGTFLIPADLINNDQVSISGSSNGAPGASGGQLGPGTAYGGGALDGGLGSGGVGGNGSAGTFTTSNDTGYVLQNTNSWSAPGNANVINRIVTARVAGGGGGGGNGQANSGCEAGATQPPGSAANPSDGSATGGAGGGGA
MCGDRWVTQHALPIFAQELQVQYSASFVTIFLGANDAVTEHGPDKAQYVSLDDYRANLTQLLHTVAPLLPPHAKILLITPPAVIDSARHGDRSNESAGQYARVCVELAQAENVHVLDLHTYFNTTFPDEAERKTYFVDGLHFSTKGNQELAKLLGPGRTPSTPPLYARLEQRWNDLQVGRQGKYSVERLESLDHYYRTTSYTRVVLVCVLTPLPALATTVLLECMPLRSPAEGWMANWVFWIRLTVVVCVVYTLGISQMKFIIPDLSFTLPQMLTVAAGVTTGCIGFCIMMAHLIGFPVPFMWQVGAIPIGLFTPIMLVLVLGRAPFASDSPFRPQFQRFNRYFFAYLSVFGIYPFVRVLYDLVPEFYRGLIVVVLLPLWRFAVKHFVIRAMGDLEDFIPQGVAFIVDFFGSLFLSVCMYNSGSIITSAAIIGSDIAQTLLEFHEVRMNAGEVLYLLQEQRTHQDHRHNSYHQNEQDTRDLVTLILSVTRDPKAFNVEAMKGVRLWACLAHPIPGDRVKELNALEALAIYGPDHPLSGRTLGRAHPNSRRPQLHIQRAHGIVPASVGPEDRSFASPATLGPKRLTLKHPGNQQDINMPPEKDAAAERSKELVVQGLQLLFHCEYLTLVKYVASVVPLVFVAHKSVLQQLPNVVYYPGGAGSWGLAAVANILVFSMLEVVSFVLLNMLLQRRFAFSPVYQLAFVLETQAYLVQSMLFVGVVMASPSLEVAETSMAIHMHNSSDLLSPIA
MFAVPGWSISSAQLKTQTVNTGDTQSKPNNARTKNSLSAKKRKRNHQESKAKGIDSADLVDLWEKVIEGKSKKKKSKKNDDKKSKKEILGDPTNNLVPQPITETVDPISKNSKSNGHKIAQSYSTLQSLCTGDLPQNNSQCDEKDTQKTKKLKNRARDLAVKDNEKEHKEIGKSLDKSELDPDVKVPGKSTPLTQLQTAMRQKLLSSRFRYLNETLYTASSSHSLKLFQENPEMFNEYHEGFRRQVEIWPENPVDTYISKIKKRGKVKKEANKEKSDEDELTLPLPRSGGICNIADLGCGDATLSASLQTQLKSLRLKIYSFDLYSISKLVTQADIAHLPLPDGSIDVAIFCLALMGTNWVDFIEEAFRILRWKGELWVAEIKSRFGRVLKDGNKIKEDSFRKNRQSALEKKKEAKRNEKMVEDAIAAAEIDGMNDMGEETNVSAFVEVLRKRGFALRSKENDALDLENKMFVKMCFTKSLTPIKGKYTSTPEFPGDSNGKTWKKKPKGQFIDHDSSQHISSEARVLKPCVYKLR
PFADNYFDLITSATAVHWFQFDQFFSEIRRVGKNNSIVACWAYKVFRTDQETINKLVDRFYNETIHDYWDSERRHVDEEYKNIPVPFREVRNPGFATQLSWDLQTLEGYLNTWSAVQHFIQKNKVNPVNQLMEEIRHEVGADDNILMTFPIFMRMGIISK
MDPLTSAALIGGATSVGGGILGAIGQERANRQNLKIAREQMSFQERMSNTAHQRAVKDLRAAGLNPILAATKGAAATTPVGSTARMENVASRAPELANLVAQTRLTIASAKAQELKNSKDVKGHIAQSVNVPQLINNTVKSIKEWDFDKNKWTKPQRPKKTKKIQKIIDEHPHTQLRKKLYGR
MLGLLSAGASLLGGVMRNKSQKREAANTQAFQERMSNTAYQRSMADMRKAGLNPMLAYQKGGASTPGGATPNITNPVEGVPQAVTAAMLAREDIKLKRQQTSNVASQTALNRAQEKLATANSALSLEKANTEVVNQQQSIANTKQSQANTALLSEKLITQGHMTTHQQFQITKLLGEINLQGPKLSQERAKEARAILDQRITDSGAGSVLAWLQRAKELNMSLGDALSALSKKGKFLPKLGKKKVIQ
MFPMIGGLLGGLASGAMNLIGQEETNKMNMQMQQQQMAYNTQMSNTAYQRASADMKAAGLNPMMMFGSGQAASAPTAPPVQLKSGLQAAGPAIDTAISSAVSLKTMDKMAEEIANLKVTKDKIIAETASEAKLPALREQQTLLTADTASKTVAETQLRKAALPVALNEALTAKNLMDMDPRARRILEQAGYGGRKASDVISPVANLVSSARQGMNMFGHGY
MTGRAARAAAAAVLAAVAVLGTGPAALGTAGAQTSGGSEGADGSDEAGAPSCDVESPRLVAEAPTAIADLGFDRAWTITRGHGVSVAVVGTGIAQENAHFPADAVTSGADVVRAGEPASQDSDGLGTAVAGIIGARGLEGSGVIGAAPEVTLVPIRVGYGEGGGSDRDTLQLTVARLAAGIRAAAQDGAQVIAVPAATTRDSAALRSAVEDATAGGALVVASAGSSRDIERQAGWDEESGAASAGPGAAWYPAAYPEVLAVAPVTETGAAAPGAAPGGPWVDIAAPGQNAPTTFLAALDCILGADPDPSYATGYVAAAAALVAGAFPDAGPQEWSYRLEATSSGAVTGTFDETVGWGVVNPFDALTLYIDGSAPGPTPPASVAGSRERPTVPAAEVDLSRVVDPDEAAHERMLWWVLGGLTVVGLLLLLTRRRPAS
MQQGDLDLTEYFTKLKFTYEKMNSLKPPCKHCLKSHMEQMIVVKFLAGLSSDYSAAKAQMLASSDLPDLDKAFNRQNRLVVTLPPPSNNSQPSALASFGGGRSGLYSSRGRGRGRGPGERGRLQCTYCGRLGHLEDRCWNKVGKPNSSTSSTVTPSSTSAPNTQSISIAPKAKPTAASVDVASLNLSPAELDLIMEHRSNTTPSTHRHPQLSQIQHILQ
MPKRTPKKVLTPTLQDEYQRCPHANLWRRLGAFTYDLLALAAVMMLAAIIAILLVLLLTKIGVVEIEPYQDIAGYLAHNTFFAAYLGFVIVAFYSYFWVCAGQTIGMKAWRLRVQNTNGHNITLMQSIIRMATSAFGLGNLMAIFPNRNAFQDLWAECEVIVLSKQLNNWKGFKGMGFMDKDNSET
MAALAKVVPLMDLESRRLFWVKRFEICRQISKLAPEGQELRYLSLHAARTRTINNARDAYQKGDMAKLESILSGFERNNNGFFRRILSEIAPFLGEARLAVDLYCGPGLFTRELKKIVPAVFGIELVADLAHQASKFGKIEVFHGSPNEVLKNMGDWHVDAITSIRGFLHSPWDERVEALRESSKALNAGGKLICVEMLREDVPTGRRHNPGTWYWYIAEYQSVLSEFNLTLEHVSDTINNEGDPCRVLVFVKH
MKTLKDPDIHEQIARRCLAFHFKISDSLINYRDRPDLETIINGNSIGIEVTAAIDSDESHIASIINREKDAESKGKNIDKIVNKSLNYDKKNNSGIHGFSRLLHDGKKFGHSLWVENPVKLVKSSIKKKSQKIDSYTSFDQQWLYVRCVNQILEVHDISEIREYIENTAVPYNVVCVEHSTSLLLFYIDGSRFEDLKFPESFRVENASLSKKWHHDKAARKNMNL
MMDSHTTLLLMCLTLSGLVYQVISIGTFIRCCDANKGPEQQLGCVGDNFHVANCQGKNVELSCEKVDYLGNQAKCPNDPRKYAFPDKSRCEGTKTPRCVDISGPNTIQDLPVVQEPIGKFIRCCDANQGTKRQLGCEGENFTKATCGGKEVELTCMGVDYIGNQARCPDDKRKFPFPEKHQCKDKKTPRCVDISSVTK
MAADNRPDHLNGRLLVDWAHRAARGLQEAQAEINTINVFPIPDSDTGSNMAHTMTKAAEATSFVDAHDVSAVASALASGAVRNARGNSGLVISQVLRSLSQIAATGPVDATAVAKMLQQSADYVREAISAPVEGTIISVLDAAAKGAWRVVEDSPAAELLDVVSAVVTSAEEALVRTPEQLPVLAEAGVVDAGGRGFVVIAQALLDVLGGKNEHSLLATLPSAQPARSAVSHAAAHNPSPHVAVASELEVMFFFESTESDPEATELRAFLDTHGNSVIIGALDERSMTVHVHSQQAGAIIEKAYTLGTVSDLRIEALPAEAPAPSGGESTSSAPIIALTPPGGAAAMFEAAGAVSLASSNKEAVKKALQQVGREPVIVLANGRDTTALMTSGTEIDVINTEASWVGWPRWQFSIPARIGTIAWRKWWTRSPCNGGSAVRRLTRKKASPICCTRVGNWSLFCTAGRT
LNKINVFPVPDSDTGTNLASTMRAIAEHARASRSANVTLDSIADAALLGARGNSGLIFAQFLYGLSQEIRDERRLMPHHFAEGVRKAVQYARRAILTPVEGTMITLLHDWAEAVYEQRLKMNDFVELLSYSLRVAERSLKDTPKKLAVLARAGVVDAGAKGFFDFLEGVVGFIRKGNIKAILQPEVAALPEPRDLHVTRSTLTKRYCSEALLVGEDLDFGTIQDLVRRFGESAIVAGSQRKLRLHVHTDEPAVLFYELQKHGTFQDIKADDMVRQYEAAHERKAPIAILTDSACDLPRTILDDHQVHVIPFNLFFGESLFLDKVTIAPGQFYDLLKSRREMPTSAQPPQANVDGALEFLAGHYESVIVVTISSGLTGVYGQLLKAREALGLDPARVSVVDSRHLSVSEALVVLRVAEAVRDGRPFEEISSEVEAWVAKTKLWVDIRTLKYMVRGGRVSPLKGLLAAALNIKPIVSLDAEGKAAILGKSFSRRQNMKKILGLIGKAAEGRRVWNYGLVHAQNPCRALRYGDALEEMLGKKAAFISDVSPVVGVHNGIGVVGVGLMFE
GVLPGLPASDRPRRGPRHCPFRNDEHPHLPPVRPRDGRPFVGCAALHRAGVGPVRSGGRDRTRTADGRKHSAPVRGPLGGPPRDRRARRHHRHRRTRARRRGDEHRHHPLPLRDPVPAGPSLPGPAAAGPRGLGGRLAAALPRRGLPSAPLDRGDAQSALARRESGLGALPRAGDTAGRCLRHRVLPGAAVAELSPHRRGDRTPRPRPAGRPGRVDPRRGRGGRCGEGCQRLPARPRRRPGRPAFDAGRNALPPRGDASGGDRGPQPDRVVVVAGRQHPAFRDALRPAAHGRDRLRGDGGLPAGHPPLPAAAPSALGAGSDDPDPVFAPHLRHELGRSAGTHARSRPGVLVPGGGCGGARHPVPADPRPRPPRADRLGRRAGRPERRAPRTAPGPAL
VLFPVVFLLDLHLWMRHFGLNLDPDAPLSNAIKPFVPTALGEGGIGQFRTVASVGVGLWFATAASVLIIIALFFHRRAYLPLVRERASAADQ
MTTQKNPVSFGKSHKGFISPQAASPQTADDIVTPRGSTLHLSPDIEPESNFDSSQKRNLFFIQWFCNLSVGRKQLIALIAAELVSIVGLSVGARLIIISGLRTQMLERAKSEVTVTETNINLKVNQTGLGFRSYADNPIILNAAKVYAKSYTLSPNLKQSVKQILQNEIKARKMEYVTLVGRDFRVIVNENGKREGKIFNPNNLVREVFTNGKQIKANAVVSSAVLAKGSPVVSDPNGDTLMRYTLTPIRSPGATKVLAVLVSGEIVNGKLPIMAGILKDFNGGYSGIYLRKPTGEFTLATSLDQGGSAELKQAKPHVPLSDKSLLAAAAAAPPGEIVTGRMAIGTQSYTMTAKAIPNLIVQDRSEPVPVFSGQPVTILVRGTPETTLNKLLHLSLWQEGVVLLLAIAMTSLWVFILRKTITKPIEQLERVNQEFAFGNREARAEVFSLDEVGQLAVTFNIMADSIVVSETALAEQARLQEAEANQARLLHTITSRIRESLKKNDIFNTTVSEVRAALQADRVVVYLFNENWQASIFAESVSSDRFVNRQANMSFVQDYVKKYQKGRLSIVENNSAEDTGDLVAPIFSENKLLGLLVAYQSFGLRAWEKSKINLFKQVAIQVGFALDQATLLEQREQAQQAAEIISQEQRQQKEAIQRQVVELLNDVAEVSKGNLTIRAEVTSGEIGTVAEFFNTIIESLREIVTNVKKAALQVNLSVGENEGAIRQLADEAFKQAGEIHYTLNSVEQMALSIRSVAESARQAAVVARSASTSAEAGEIAMDCTVQSILNLQETVVATAKQMKSLGESSRQISKVVSLINQITLQTNVLAINASIEAARAGEEGKGFAVVAEEVVQLAAESGAATREIEHIVDNIQREIASVVKAMELGTTQVVEGAHLVKDTKQNLGQILNVSRQIDNLVESISLATVSQAQTSQAVTQLMKEIANVSERTCDSSRRVSASLQQTIEVAQQLQASVGLFNVGATD
TETLVHRKRRVKPGVRALQEIKYLRKTTKLLIPKLPFSRLVREIIRDLFPRNDID
MKTEQNKLEQQFKQKLDERLIQPSGAAWDRLDAMLSVAEKKEQKKPVRLWLYVAAACLVALLGCTLFFKFQDNAVIPADVPAVVTSPDIESKETPVKPHYHSTDDVAPAAKTEVVSVIGKAPVKAGAAKDKFDSYEKVEQEFTNEAIVENTENALQKKTAITVDPAKLLAAVESGVDAPIAKAEKQTIKVDANSLLSTVEGEMDESFRNKAIKTAIKNFNAVKTSVANRNYQ
MSKMNPWMLLVTAVFFSIAGYFSDGDGELFAVGLLVLFNLVTLFLLQQQKENAELRSRLDILEEKATVKTMPENRAVNPV
VQYLHSRGVLNEKTICVHGVHVSAEEIGLLRTNRAKVCLCPGSNRYLQVGKAPVAEYLDQGILPALGTDSAASNQELSIWREMRIIAEDHPEIDPYDILRMATVGGAGALGIEGRYGSLTRGRKGHFIAVSGIPEVEKEQEVCEVLVRKGGRRQIQWVRG
MLLLGIFGTIGIYEGAVRMMEQWHLFFEPTILGTAGGMVEAAVISFVLTYALIGLYNLFAR
MRHGETRLTLEDRFAGSNDEPLSDEGREQAASLGARLSSVPIAAAYASPMARTMETAQLVAAPHGLPVQTAAALREID
MAASDSRVTMKCVPTNESCTEFILVRHGETVWNAIGKMQGQSDIDLNEAGRQQAVAVAKRLSREPNISVVYSSDLMRALETAETIAAECGGLEVSFLSRASLWT
MSVVRSALSVTNRILRPFFIIILLQISGLFTACNAEDIDNLSLPTPLPASDRTELHLRTYDAFWTRLEPDYIFVGNTDFDWKLMPMQTRRQVSSGITDEEFDSLIDSFIDLFPDSTIIYRNRSERIAADLKATLSYEGIGAYITVVNEPIPHIVLLSVITSSPAEKAGLRAHDSIYSVDGKPVTKEEGIAVVDRVRGPAGSVVTLQVISPNSSERTVEVTRERLQATDMLRYTYLPIAKAGLIRIPMGGNVNIMSDMLTSIETLIDQNGIENLVIDMRVAHSNDDWPLESMLSLFTDGDMGTRYTRYNDYALTVDAQDISGSQTIPLVLLIGPDTQGASEIFAAALQDKGRATVIGQPTLGYVFDFDHFILPDGGEVFFGNSSYVSPLGRDIGVLGVMPDVLVQSSWDQVSDEENFKYDPVVTRAKLEFTQ
MPHIVVGAVTPGEGNVTVFGGVEDALSRVRFPAEDKPVHDGFTVAGR
MELALTTPLENWLIHAIIGEALFPGELFHQLGTRHMN
MKENFQSQKGLTLVEILVSIVLLGIILTSFMGFFTQSALFTKKNENKLGTMQTAQKYISLIEEVSKEELSNYSLTKDLTKKVILDSKDKIDALILPDEPIKSSYNIQAEFTTKDTDSTIPDNLIKIKLIIKDPNDVNNMSITYTYISRK
MAVLVKRVLILGIGWGFVLLGIIGLFLPILQGILFLLVGLAVLSMESRTARAILVKLRRRFPKLAQKMDEAEKRARGMFSRFKSRFDRRKGPM
MTSSAIKAVSREVFERSACNNISTPNNTVNSGSNEVTSRPDDNLLVGNIPSNVSYMQNISSTQSESYISGDGTILYTEASSTVTPTLLRRENRPFEIDTGGFDILNAPIQQTSEKRTCVTTTPIGKTSHHATPVVNLDSLNAHEFLNPITTIVNPDNSSKNKFPSVVPSISSVENREYNAKLKVGQLVLFRHSY
MQKAVPPPAAGLLNEEEADDGWVLLRSGGGTTSVTAPPAHGRPPRPPPLRGARSPATGGQFEPTPDDLVGRYLPARRALRCGDLPPQIHDADVYGAHHPAFLAKLHPPANAHRPDAWFFFVCRRRGLGGKRTAGPGAYRLAGESTSNPRAAWYCHSFRYHEDSPDASASRETEWRMDEYGDRDAGERDDGAAFEMVVCKVYQRMARL
MSSDPFFGATEPLRAANAVAALLMLDDGRYVMQLRDSIPDIFYPGHWGCFGGAVDHDEEPAQALKRELKEELAFNVEEAREFTRFYFDLSGLGQPKVFRIYYEVPATLDAFSRMVLREGAELRAFTGVEILKQPRVTPYDAFAIWLHWKRLRFA
MSVKPMTKSQLLAALAERAGIERKDADRFLDVLAERVVLELREGRPLMIPGIGKLNVRETPARTMRNPATGEPIEKPAGRALRMSVAKALKDAVN
MELRKAVVKNPWFWGSLLVGLALAGMTAFQSYEMMRSGLAEALAQWDTVNELYSALSCYTYWMPMHGSSLFFAGLFYLVWPLLAALPYAWSWTQEAKSGLCEQVSVRVGWGTAYVTKSFAAFCSGGLVICLPLLVNLVACACFAPAAMPRVEDMLATGMWANAPLSDLYYTTPLLFCLVWLLVSFVAAGLWSVLVMSVSAYVDNFIASFAGMYLLLHLLAYVGSSIQLLVASADGRGSTVAIWLNVLQVLIPGTGDLTSVMFMVAYGGMVVAIAFAVCRETLRGECP
MSLYVNHVLRPFWCTTPVGDISPPDGPAAPNPWVVIEEELCAIEGCFLAPTQPSPTTNPLTPCPSFPKMPWMQRVQDILGEANMGNIELIFKEIILRLKDLLMGGYDSLLTSIRTAPYTQKAPENFRCDKVTFKDAVSLAKYGHKLASQANPLDAVVSLALKKSV
ATLIANAVDLPGHPAVERMPARDLAPDSDLGDRLVTQAVGALSSGEIAAALDSGFAVAEDFRRHGLIAASALFLAGQARIAGPLALVAPNEKSRKEIAHA
MRTDKSFSIDFIIRKDKKDKTEGYLFARVTVDGENTEISLKEKIKVADWDSKSETVKGRGREAKSINDWIDDVRFKLKESRKALEVGRFRVTCNCVKDHYEGKHISQKPPESGHTMKELFRFHEKIEGNVKGDDMVNKIGETDEEEIQGEQRAKLKGGTLKNYVTTEKYVDNFLQHKYKKKDIDLFEFDYQAALELESYIRKYPLKKHDPCLGNGVYKHMERVIKMFGMAADMHWIKFNPFDAYVVKKKKIVRQRLTVEYFVFIENSTFHIDRLNFVRDLFIFDCYLGVSYVDLMALSDYHFEEIEGYLFCTIYRQKSVELAGIPVPQMAKKIMEKYRNTSAAKERGKIFPYISNKDFNNSLKIIGGILNLPLELDTRKARAFFAREVNLKNGVPLETVSKMMGHTKISTTKNIYADVDEEKIIEDTAHVQDRFNRKKEKYLKVV
MMEAPLTSTSSPAFGLGFAAADGSLPCRRWAVPHRWVPRASQSPPLSSKSPLPPPPPVTAAAAVVIVAAADAVAATRRRCRRFTRGVEATAAA
MQNTFNNYKEIIKKTDYANKKFEDIDTLTIQEVDKIKISYAPFDYINKDAKVVICGITPGFTQAINALTKASELLNYDNVLQEHEILKEVKKCASFSGAMRDILINMLDDIELNEFLNLESCEELFDTREDLIHYTSLLRNPVFINGKNYSGSGPKILKNKLLESELENFKNEISVLSDNTIYIPLGKRVEEVFKTLLKDETVQLDESQVLFGLPHPSPANGWRKRHFVQNKNDLLEQIKSLSYTKSN
MVAQEAHFVLKSLTLLAERTVLALFILLTSLSPFRSRDQEGDMLRSRGSIEQRIIKGQPPGTTAQSRLGIELSPLEDKAKRSRLPLSLSNERGRVKAALTRLKTFYDTRGDAEPIPSLRLRLSQNLDLRQRYDAIHDRIIAAVAGSDDVEAHERSRDEFEDTYYRTYDGHSAGTIANTADDLTSTSPTAPPTAHQSHYRGVADQKRSPKHKSARNRQQAHRDDRCQLNRLRIIGNTGFINAIRSSENIARKEVLHSDNEHYRNRADNIANVPRRYSKIRSVARRNYASAGIQPVAKASATIGTVPTTKFDTVNDRQRNPIADRATRVQRQPQFVRRAAGETVREYQGCVLNANLRPAEQHSQSYRQQRVPAAPKPAQTRAVENPVPQSRSVTIQRPFRAKSVDALEKLARDSQIARDPQITRVSRLLQLEGAVSERSRADHDARITARPRSPQDLGSRREPEQLVPQPLPADRVQIQDNSPANTHVVIHGKMNLKREPFHPDIDDAHHANDSNIIKLTMIIPEGRDHNGGFLAANYGKQQ
MQVNLPIWGYQHQININQLQDLGAARSFRSSTQAEETTQEHLATSPAENLGLSSVQLDAKGQTLYISMYNINNVTREELNCIQLQLDFKTFKIQARNTEQEKEKSENNDGKGKTTAR
MTLLRQMDFNTVRIVYLLQRLPLMPFPPPIATAFLLGNTGRNRLLLVTITARRFIAICAVQSQTTTQFPILCFELRVLPR
MANEVVEDYKFCGKSGFVFKIDFEKAYDRVEWDFLDLVLSKEGFGDLWQKWIRGYISTTTFSIFIYGRKEYQGTQRRRFTIAVPFPKGGRCSWEDGGC
MEVDGVDWVFRETSSAGSGYRVLITYGRDPAVQAFVADRITAVDGVLVDLSSLVGPIERVRGEQCEDAAR
GGGFGGGCFGAMQPACGGGKGSRKGSCAGGQGSGIGEVRYDDPGCARQAVVMLNGSFMQGSRLSVALDETSHNGSKVIVSGVPAGCQWQEVKDHFGVVGRVAFANVRPA
VGEVRFEFPHFAELAVESMNGVPLNGSPLSLSLDEQSKDGTRILVEGIPDGVEWQELKDHFAPVGNLAFVNIRGATSPRSGHLNGELRYDEVEHAEQALKTLNGSALGTGIITVMPAPGSVDG
MAAHVGSRCASRSSAGSSNTGTRSSATPGARGPGCWRETLTHSVTPARASCSSAPAARALPRKSEGATRTNGGGWKTTDMEGAHASLSLEDEVSGAGSPQRPLEGKGGETPAAEEPGSLKNYAVFATRDVSAAPEKEEEEAEGPLRAQDLRESYIQLVQGVQEWQDGCMYQGEFGLNMKLGYGKFSWPTGESYHGQFYRDHCHGLGTYMWPDGSSFTGTFYLSHREGYGTMYMKTRLFQTHCHNDIVNLLLDCGADVNKCSDEGLTALSMCFLLHYPAQSFKPNVAERTIPEPQEPPKFPVVPILSSSFMDTNLESLYYEVNVPSQGSYELRPPPAPLPLPHVSGSQEGGHFQDTGQCGESVDHRSSSLKGDSPLVKGSLGHVESGLEDVLGNTDRGSLCSAETNFESNVCVRNFSIELSQAMLERSAQSHSLLKMASPSPCTSSFDKGTMRRMALSMIERRKRWRTIKLLLRRGADPNLCRVPMQVLFLAVKAGDVDGVRLLLEHGARTDICFPPQLRTLTPLHIAAALPGEEGVQIVELLLHAITDVDAKASDEDTYKPGKLDLLPSSLKLSNEPGPPQAYYSTDTALPEEGGRTALHVACEREDDNKVVKELLTQGADPNLPLTKGLSSALCVACDLTYEHQRNMDSKLALIDRLISHGADILKPVMLRQGEKEAVGTAVDYGYFRFFQDRRIARCPFHTLMPAERETFLARKQLLEYMGLQLRQAVFAKESQWDPTWLYLCKRAELIPSHRMKKKGPSLPRGLDVKEQGQIPFFKFCYQCGRSIGVRLLPCPRCYGILTCSKYCKTKAWTEFHKKDCGDLVAIVTQLEQVSRRREEFQ
MTTCLSYPPTEPVAFEPDNIRIGQTLQSCSDISRLSHDTVFACTVQLAQRIAARLPPAER
MANNDHEIDVIGRGPTIAQQLAFADSLGVEVTPEQGRALESRARLKLVAGGERGGKSLYSALEVGSRLLWGTLFWIIAIDYEQARPEFEYLLEWLGGLGAIANYRKDVSFPKYGRCSLVTKTGQRIETRSADDVKKIAGRAPSGIVLAEAAQMGYDVYLKALGRLTETRGWLLASGTFEGSSGWYAEKFNEWQGLNTEGGKSFSIPTWSNHFVYPGGRQDPEILRLERLYSKVPGMFEERCGAVPVPPVGLVFRQFRDTVHIDTRATFNEDKPVYLCVDPSGGTNPYAVGVVQFYTAGDVGFEYDEAPVDAIDFCYMVDRIYERGKIDEEIIDMAMRRKWWSRVAGGAIDVEAPDSKKRWSKYGGVTLWSRKVLQIEGIRRMQTFLYNSYEDGKYTWPPHLLVHPNVEEFSYEARNYRRAKKVGASKQGIEYEFKEVPPSDQPNHLLKALWYLLIARYGYVKSAQKARIAYTWKNPARKRSQSKTKTSGLTSVSSRSPSRRIRRTQTRSSRQ
MIGYGNNLSHCDSSIFENNVDRHKFTSLCKLTYLNDIRHLNDVKFANFEANLILPSQDAKIRKIELGIDTERYCFLQVTSNNEFNYLRYK
METTRDLYLDLIEKVLLFELWDESKLWRTAPIEPHPFYKKWAVNKIVQHLAQTNRRIMEPVPFDDALRERGKDWPALAHTMIGR
MIESLLQIGKELLREQADVGDFVRALAEDISKEKNNKKQHLVILNISRSGDLESYSLDIELEEISDETPVKYLWVGNSPSNSEQDKLTTNNLEYLISQTIPNLIKKLPDCDLKETLKKLKESIYFDLGDKESISSTENQYERYRYIWDLSKIGMDFYPEKVINKVKEEYSGRRAKKAVEIVSNELMNHIKKETNLNKNEISLFTLSLNGQLLINYDNYQKYIYSSLIDDIFINNKDEGLCHVCGSEGEVTWNTTKFWFKFYITDKVGFSSNLKGNKNFLKNYAICRNCYESILAAESFIKNKMKIYFAKSNVYILPAFHLSQKLPFFKIERWSNYFKDKFSASASLGGLHEFQKRIEDYIIYENYQDNFMLNFLFAEKKQAELKVYQLIQDVPPSRLDEIREKAIEVQNLGFNIFNDRNMYLSLGKIYYLFSIGKSISKKTKFTLTFYYQVFSNIPFHYQVLIKEFIERIREIRFDTDFKDYNFSQTLVFQNLLLIYLEKLKLLDRGERMNDTQEYLSSLELDENITTFLRESKYSEQMASLFLLGLLIGEIGAEQYKKGDKKKAILNKVSFQGMDLNKLIRLFNDVYEKMRQYRILISRNEKIYCLAKNLFETNKLKWSLTPQDNVFYVLSGYSFKTYKAIISGIQKESLENKEEEANGKE
MSCVVHWFRQDLRVSDNPALSAAAAEAHARGLPLLPVFAWDPAQIGPTHWVAQRMGPPRQAWLADTLQALDTALQALGSRLLVLRGAPATVLPALLQATQAVALHAELLAAPEEANDARLLSHAAQRQGLRCSWHPQATMLVPDALPFAVTQMPALFTAFRQQVEQ
MRYGQIVAINGIHLKLPPKLSLENRHEYWSFGRASYISGQ
MCRLRSRVPSLIPLLWMKLTKSQRANRGEEHIPDSAWAPFKSEMDWRVAKWAIQEGIGHNIFDRFLSIPGLSYSNSRGLFKIVDSLPSRIEWNSKRLIFPDRQDEEHFVQYRDPCDAVAALLGDPAFSEHIVYKPSRIFTDKDKKTRIYQEMWTGKWWNAVQDRLPEGHCVAPVIIASDKTQLSQFTGNKSSYPVYLTLGNIPRSIRRRPSQHACILIGYLSVAKIRKAGLTAAERRARAQTLFHESLRLILSPLIRAGKKGMKVSCSDGWIRFVHPILACYVADYPEQCLVACAKYNTCPKCLTPSLEAADPGIPRTPQDTLKTIEELQNTTCTRAAFTKECYAQGLSGVQQPFWIGFPHTDIHRSITPDVLHQLYQGVFKHIIDWCGKMLTREELDERLRRLPPAFGVRHFKNGFSALSQISGTERKHMARILLGCLVGRLPSRALIALRALLDFIYIAQYPTHDDVTLGYLQDALDTFFENRIIFKELKIRPHFNIPKFHSLLHYAESIRFFGASDNYNTEMFERFHIDFAKEAWRASNRRDERPQMAQWLSRREKTFLFDSYLSRTAEKKTSISDENTQMQDTDKSKSKPKPEIWIAKNPQLPNVHLSAISVDHGAPDFEIALKKYINSLFQPGQRANRQEVAQGHLPFHRLDVFTGFKFLHPELGQTDGLGRSERDREDVKAQPPKGTQAARFDTVIVLYNNKAESTGVEGTRVGRLRVIFKLPQEIANRIPAWTTKPLAYVEWYTPFTRAANEQHMIPLYGCYGR
MLAGAHVGDPAEIVPTKFDNIQHQLETNDLSDSGVWGPFKDEEEWQLAKWLIQNVGQNQTDRFLKLPIFWCMYETHIIQIQRRADLTYNNNWLFLKKINALPMTGPEWQFNIIKVAGDQVDANRDMKSAELELWCWDPVDCVCELISNPTFKDMMAYAPEHAYEDKEGKCCIYDKMWTYDWWWDTQGKLPKGATIAPLILASDKTSLSQFCGDKSAWPMYLTIGNIKKASRRQPQMHTAILIGYIPVAKLDCFSRATRSIAGYRLFHECMCQVLQPLVVAGHKGVDMVLHPILAAYVADHPEQCLIACTKESFCPKCRVLPALARVCVLCITPFWADLPFSDIFASVTPNILHQLHKGVFHNHLLKWCTEIAGEKEIDECYQTMTNYPGLQYFLQGIHLYLNGQHVFMGVLMGAVQPKVAQAAHAVLDFIYYSLDALQSALDEFHQDNQIFIDPGVCEDFNILKLHSMEHYIGSIKSCGSADGFNTEFPEHLHIDFAKGTYNVTNRKDYVAQMTRWLACQEAVDQFEAYLNWRSDDLDLRSDPDPMNISIVRSTDPP
MAGAVYSDAGETKFECIRREQQEDGVGVWAPFEDADEWELARWLVRNVGQTQTDEFLKMPMIQEWVSPSYENNCQFLKKIDSLPTGGAGWKCHNVRIAGDLNNEEGEIKMEDVELWTRDPLEVIRELLGNPAFKDAMVYAPEHVYTDEWRSKQIYDEMWTGDWWWKMQEKLPMGSTVAPIILSSDKTKLSLQRGDKSAWPVYLTIGNIAKSVRRKPTTHATILIGYLPVPKLDCMSDSMRSVTGYQLFHYCMKQLLASLMEAGKDGVLMWCMDGYIRKVFPILAAYIANHPAQCLVACCKESYCPKCYVTPTNRGTLDLMLKAWQTERTKMILMHKASGRRVPAFNAEGLWPVEDPFWGDLPFVNIFECFTPDLLHQLHKGVFRDHLVNWCMDVMTEIEMDEHFKHMSGYPSLRLFKNGISTISQWTGYEYKEMQRVFVGLLTAGVHPQVVLTARSLLDFIYYASFHSHTTETLTAMENALWDFHESKDIFVRLKTSYGSYDGYNTESPEHLHIDYAKDTYRASNKRDYTEQMTRWLCRQEAVAEFDLYLDWVTAHSHDSLDNNASDTSDDSFEEDAVPDNNTPLAFAHPRQFFKLATHSLPKKLSIYGISVSKLVLDYGASRFLDSL
MFAQPALLLLISLPTPASPTTAVSAPHDQAKKLPSIAEVRRDNRFITEGIWSGTPLMLGGGLAGGLIGAGLASGLGNMGMLGRSQRSERELALVLMSAIAGSISGVLYGAGVPARRRGGECSLLARWLGMLPGLLTLGVPVLGLAGPSAGATWACRREFVRHEGPYHLVPLDAERAR
MEFFHAPDLVYNPDFWACGIEAANHFYHGKEREKALVINNAIETEQFLYNNEAREKLRDEYGIPEGCLVVGQVSRLHEKSKNQSFCVKVFSELVKKEPNARLVFIGRGDNTFLTNLAKEYNVEDKVIFTGLKSNVGEMLNLLDVFFFPSNFEGLPIVLVEAQANGLPIVTANHLPVARILENYDNSLSLKDEWKIWADKILTFRDGRILDKEYVYEKISKYGYEIKSATRQLENLFNE
MKKKMSEYTNKIRIAHITKNFTANGITSVVLNYTSHLDKEIFDADIISGSPITNELKDKSIENGVELIAVPSKIESSRGYYLGIWNALKKGKYDIVHVHGNSATMAIELLMALALGIRVRVAHCHSSSCEHFKIHNRLLPIFLRLYTKGYACSSLAGKWLFGIHPFEVLVNGFETKKYLFNLKKRVELRKRLGLTDKFVIGNVAGFTNTKNHEFLLDVFESVADKDKEAVLFLVGEGPLLNKVLSRIENSAFRERIVYYGVSNNVEDLYNVMDLFVLPSKYEGLGLVFLEAQINGLKCIASDQVPREVNLNGQTKFLSLTDSLENWINEILSINDYDREKMSINSYNKSAEYDIDKCVKRLENDYLSAL
MSKILKTTSMLLALSSSIFISCKDDAIQYAENLCNVRNPETELIWLKNAIEEFQDDQYSYFNSAQYEGNVVFFSGNCNPSINYVSFVQNCNGDTLGFTNEISSDLDKIKLLWKADNSLCDL
MHATHSVATAAQAPVEKVADKLRMAFWSQQLQCQPSLLGVNKSGVWISSGKNEFHVAKGSLIGTYWNNVVPRGTASATKWAFVLASPAPTQDGSSLWLCQSSKDLCVLSAQSAQCRPSTVQLPPDAEMRTYAACQDALWALDSLGQVFIRTLSKSCPTGMHWTKLDLSQLGAVRLTSLACGNEHIWACDSKGGLYFRVGTQPLNPSLMLPAWITIEPPVQLAGVTLVSVHSSPNDQMLWALDSRWNVHVRTGVTEEMPVGTDWEHVPEVS
MQGYPPKSPPYAGNVDPKTFQAFGVGYIELPGQIKIEARLTESDPAKLKIGMEMEMVLVPLNTDEAGNEVVTFAFAPVA
MNFSYVKWKDSDAIKFITPYCELIIGISAGPRIVSLSYSGGANLLYDDTTGFGVGAWRLYGGHRFTIAPENESSYYPDNETCSVNIAGEVVIISAPTRADDLRLSIKVSELSSGAGFELVHILENYGSLAWSGALWAITCIPRPADIMSACSSGNIRYWPGTESSNWISSDGVIAVKAGPFRGKAGWHENHGWLSATQDGVKLTIQHQEKTDAANCADEGCNLEIFVCKDWIELETLGTMITVEPGRIAQHVQHWLIPHVGSIAQLI
MQSIVNPALTDIPLQMQEDGSTGPDFPSVSLLVINHNGKSKLGHLLDDCLESLVKTSYPNFEILFVDNCSNDGTVEYVERVFGEYGIRVVRMPSNVGYTGAVNHALRLTKGSMVGVLNNDITAIDPYWLTTLVRFMQREPSVGIVSPALLHDEKRIDSMGGDANVLMVAWDAHSREEFSATSDQPIFPMSPPGAAFLFRRGLAEKLDNEIFDSDYFAYYEDVLLGFQVTLMGQRVAVLPSTTLQHKRGGSWGLISPAKFFFQRRNAVWTGITIFDTSQVLFLLPLWLLSNLYAGFVYFRMTRNSKFLLSPFRVIFSVLAGMGKAWSKHERFHQKNGSSAKALNFSPTMILGSEKLTFGRKLALAVSNFAIRFAGLSKFRITEIKRYPLLDAEYLEKNYRT
MDTLESLEGDRGDWRGHRRGRSGLKTYEQLRILHPERGHAEEIYEYSLEERDGLMKSITSDIKSHYAKFSRAAAQKLRRHREAKGCIPSFDWRKSLESLPFLITVRTYQREYFANDLAAGLTEGIVCIPMGMSYALLANLPAVYGLYTSLIPPLMYLLFGTCNQLSLGVSAIESLLVAAGVSEVIGWIGDEVDADTTEEDINTKVQVTLAFTLCVGFWQMIMRIFGVGAIATFLSDPVLSGFSTASAFLIGTSQLKHLVGYELPKAILPVIWYEAVTNVPKFNIASVCVGVSGILLLMIFKKLNSRYLPHLPLPSQVDGIGGFSSYASNLAIQSLLVAVICYIITISIGKTFQRINDNAYKINGAQARNGGELVAMASANMVGSLFKSYPASGSLSRTAVVQSVNAKTRMHLIPAVVVVMLVLVAITPLLYTLPKAILASVVMFGVVKMVDFRDAKRLYHLSKPDFFLWNVSFWVTAIVGPIKGIAVSVVVSLLYLLKQTSRPANSTLGRLPETREYRNIKRFPMAKEIPGIRIFRFDSSLHFANKDYFENRLKALAHVFQPRYFLVLPCLEFTAVGHSVVTPALIPPRSLVMVMVAVKENNPYQGVRIHTIVLDASSINQLDASAIDMLILVAKSYDERGVSILCANWKGPQRDLLELSGFYDVIPPANLFLGLHDAVVEARKSSTPASIVKPTSTAAAPPSLHSLPYVSLQVSRHHRRTAPAPSTTVPNISLGQIRQHRGRRSFERVAQRGVGRRNRG
MVRTKANYVPGAYRKVVASRAPRKVLGSSTFVTNSASCSSRKGRTGPGSLGGKRTPLEGGQAWHSGPELGGPDPRWTFFYFFLFSFLPLEFVSFDILTPKFLPCSLRGPCSFSRLG
MDCEGCERRVKNAVKSIRGVTSVAVNPKMSKVTVTGHVEPRKVLERVKSTGKAAEMWPYVPYTLATYPTSAAPTTRRHRRASSAAHRRPWPTPRRLRSTT
NDDYAIYGSNLATPKLSTLTALATGNGSAGANPIRNLSGSFRYLFVTVGSSREDDFLLRSATASSAVPEPASLLLMGSGLAGMAVWRLRRKV
MNSAGRIDRRLLVLTIFLSLLMIMGCSKGKKQAEGEAGRNIRGTWSGTAQQTGSPNPTFSLKLNTKEGGEVWGTITSMDGTFEEAIISGGKFVDNNVTFSATSNGSNFRNGHIFSFEAKVQGDRMEGVWTDILERSRGPFTVIREKAPVKAEEGKGSSSDKPQKR
MDKFQEALQQHKDNLTKSLSTFGEGHRLNRMHNEIDSNAADYEYYFNAGQQSQQAKVEELQKRMDAVKGLAQTLWEKSNEKHTQGKVWESKALGECADEILDVLEQALKGEG
MRAAAALAVMLLGVAAPLAGQGRGGQGADRVPKAPRKLLTAADSAKAKRDSLKNQPLATWEPADSVGEALMRKDGYHLVRYKADTVEFAAKSHVITLRSSEKERSAVQREPSTLVAKVIEFHDSTSDVYATGDKIVLRDPSRNDDLAAEKELTYNFKAQVGKMRDFTTSTKSGETWYVAGHVGGFVGDSTDAKQNAVYGVDGTITSCDDSILHYHFAVKEFKRITNNTMVARSAVMYIQDVPVFWFPFIFQDARQGRRSGILTPRFGFAELLRNSPTYRRNIENLGYYFALSDYYDASVAMDWRSSANATAFDPGWTRLNGEVRYQWRDRFLSGRLAVSQQSLSTGNGNTSISWAHQQDFSIRSKLTVNFNYVTSTTVQRQTALTTNAAVATIASQANFQQDFGTVQLQVGGSQRQYPGRPQIDRDFPSINLTSKPIEVNKWLLWTPTFQFASSQSVHMDSQGDFATAFVARPDATLDSVKVDKSTRTSNLTFNSPIKIGDFTINTGVKMQDRENDFPELRVIVDPTDTSKKSNRIYKRTYLTSMDFIFDVALPNLRLKGFGLDKWNLVPTIAMANVDGGPEFVRSERTGSAWVSQGKRFSYGMSASPTFFGLFNIGIGPVEKIRHSINPKFDWSYSPAAAVSNDYLAALGRTPVGNLTGLAQNRVTMTLSTNVEMKLRQKGDSAQMRPDGGEKVKLLSLTFQPLTWDFEKARHTKSGFATDMFGYTLRSDLLPGFDFSSDYSLFQGSVQNDSAKFSPYLTSIRASLNLGADSPFLGWISRLFGGGTAQRDTSKAAAAASTAPDSRLGGSPAVAGSGLRGQQMDMPNGKSFDMSLSFSLAQQRPPVGGNVIQYDATIQCATLRDVNPLQYQLCVQNALQAPPVPPTNTETTAGGSIIQYPPQENIQARTSFMLTEKWSAAWSTSYDVVRHQAASQSLSLVRDMHDWRAIFGFVQAPNGAFSFTFNISLKAEPDLKFDYNRATYRDQGATVP
MANQRAPSEMTITRIANDLKVPCLGCALGKHTRSEQSKEDTSQSAPSEEIGSVVCMDLKGKIKPRDRLGNQYLAVFVDHASGFTAAVPIKKKSEAERECKTFINALERAHNVVIKVLRSDRGGEFLSAKFKSYLEDKGITHQTTQGSTSASNGKAERMIRTLMDATRTMIFDCDLPPTFWSDAAKHAAYLRNRVPTRSNAGYLSPLEMLTGKAPKVNHLLRFGSDCTVHAPPAHRGIITRGELGRVLGVNPTIKGYDIWVPRLGKVLTTRDVQNVTYTRASTRLSDVPESDLSFNRVQSKTTATKETPTSTKRVHFTRSTAGANASMATMTAEEPRAPRSPVVYDGDEGSYALIATITKDPKTVREALSGPNAAKWTAAMKAEIDSLIANGTWMLVPKPPGTNIVSNKWVFKIKYDSLGAVEKFKARLVARGFSQQYGVDFSETYSPVIKQAAVRFIFIVATLYGGIVEHLDVPQAYVRTNIDTPIHMEVPAMVDGDPETQALLLLKGLSGLKQSGRLWNKDINDTILSLGYQKSKLDACLYLKTIDGKVSLLGLYVDDIFLFVQNAALREQTLGTLKAKYDIKSLGRATQCLGRNVHSDEHGHFLEQTSLVDELLAKFNLTDCHGQSTPIAVDHRYDVEGGPSDFTPSQMREIVGTLTWLPANTRPDISYATNMLARHLNDPNEHHARGRNGYCAT
MVVVSLALTGCEFRLRCIAWLPYVLVRFPKTVGCCAERRLSGSGGERLLALWVEVLPKLPCIVFRIIFRVSQLRWWDFVCPQGREVGFISRTLWALPDGSLVSAMGVWLVVLLWNCQSHLVVSPCVWKRLVVRVLLPCFLLVVRGDDAPLWCCVAKVRIVATFWWSHLPLGWTVACSLLICFWSRWWTLTLCLASVVGVWLAMPPMGVLALRCCFLFRVRRRPVVCLLPMLSVGCSGWWWFHMAFGAMSCTMATFVAKGRGGNNREVMVRLSGPLSPVVFFDQAWHTDLSGCRSAPWGHVLVTVWAAVTLRWRGLLLVLLRRWILVAPAADRVRSGSVGEELGGGLWATPGCSIPAVCLPADVATAVRIATSEEASPWSDVTLSRHGWPSR
MENATMPQCPPNECPSPNGPSGRTPSGITLAGAWFLIACLLGSTPAWSETTDQVIAELRAELRTLNERLARIESRDSSRSSVSHAVGEGLPEFDYDSARQSAALALPRPTAVMWYDTLNLEGDFRYRHEIIDAEFANDRQRQRIRARAHLTAKITDQVDVGLGLASGGDDPVSSNQTLGNGASTKDVRLDLAYAAWATPVDGLSFAGGKFKNPVHRAGGNALLWDSDFRPEGLMASFDRGALFAHAWGLWVEERSTDDDSIVFGGQVGWRGELRATHLLVGASYFDFDTKGQAIAFDGDPRGNSIDANGDYLYDYEEIEVFAELGFDVAGHPVTLFADYAYNNDADEFDTGYALGAKVEFDGGRHAWQLGYVYQDLEADAVFALFTDSDFSGGGTDGKGHILKGSYAITRQISLGGTLFVNERGGNAGVAEDYDRLQLDVSFTY
MSTSTTTRYSTRELQAAAAALASGQFSTATRPQVTTGAVEDHDQQPTGEVSTPSVNGDSERAQRVEGNAGTPGAGTVSTVPGPLVRVRAANAGAGASTIALALADVADAAGVRTRVLDAAAPAWSGLLGATVTELGAAEGWRRGRRGDGVIIDRVEDPVRIPGEVPSPRPVDGVDLTVLDAGWSMRELRTAGVDSWVATAPAGVEVLVTRPHGLALSQTEAALADLEDQLAADGVVIVVVGASRWSDREFAPAGRLLRTAREQEAVLFAPLLPAKALPGLGPDPLPKQFTSPSQRLLERITTITGPLTANRT
MSGNNYDFVGISSSQLPYVHGSFPAAAGGPKYYTMRSVYRGRDADRKRDLSFRQNQTVGLKTDNKVIADLKLSTLELELNNLQKRKSKKDTLKPIVNPSGNINKQKAYLKLKGIYVNQLYSVNRARKSQDETGNTKPIKTEDGPKWSKSRKLSISSRKSNATLPIVNFKLPSINTSSERFSETQGSYSVRSEGSNSEYRSRLREMDREASPIPGISKPKRSHRGRKLGNVVSSQRLQQLLDGDDSLDPGAFFFF
MFVVLSRSASAAYENAGAFGSLEDAREGMAEILALAAQKTWTPEEGADAEGIVVWAPRAQAEGLPGWIGDYEFGTEAEHAALRQDYGVEFLTEPEDAGRSILLGEWRMED
MQRQYQESGQNIEAQVFRNVCYQNGIIHSDSLIGWYVSEGLLLLEKQTNVSGIPQDMWVFYPAAAEEGME
MTGRKEQITPGQMSVLITATTLGSSIVFIPHPLAHYAGQYAWISASLAAVFGTLMLAAVLYLNRAHRGRSIMEYSAALFGKPLSGLMFFIVLLMLLFAVSAIVSGIGDFFSGVMMKETPAYIFNATSLFAAAATVRAGIKVTARMFVLLLTVMVAFISAVLVLAIPLYHSGMLLPIWPREMARPVLHGLLLTAGFPFGEIFLYALLIHLVPAAPGAAPWRGKLYKGYALAAALLIMAVLCSSMAFGPASDVFIYSLFKLASEIHIGDMMERTESIVGIALILGSYMKATVYLYMLNLIVKGWLPFKDERTAVYPLALMCLFLSLTLFDSPADFFSQVYVIWPFAVLLVGGTFLTLHAGMTAIRGTGKGA
MNKKEQISLWQLMFLFIITITGSAIVNIPQPILAVAHNGAWISLIMSSGFGWLGLACIYYLHRQYPEMTLVEYAKQILGRPIGTIILIPFLCMPLILLPNMVADIGNFFTSTMMKETPVYAFNIVIVFTAAMTVQAGIEVMARMFTFLLIVMCISVVIVLFLVLPLYHPEYLLPVLPKGWKPVLHGVYISSSFPFADVIFLSMLLPFMRQENRSRFGSTAAIAYLLAIGSLIVVTLCSTMVLGNVAGHIKFSLYQLARMISVGDVVERVEMLVGITLIVGSYMKTSIILFSLTLGIAQLTGLRDYRILTYPVAWTAFFLSLTMYANQSEFTENVSVTRPLMSITVSTVPLLFLALVSCVKNRFTKRSGLET
MSADIPPNPPTDGFNPPASIMPVLDVMCAFAAITQGHNRRTVAMMAPETYGLADYMRPEELERLDFPLSPDQWFALRELLWPPDDKDRLAAHLSQKQRRDEAFKKATEGIVAAAERERAAFEQVQEAEERRRAMVRNLEGAVGRLRPPLSLKMTLEQLGQFVDEQVALDYFLTPRPTLTIRRPLPEHKRLTMAVANLLLTQLESMLGVEEADRLWEQSETAIRFVGPDIGDGGFVSEQVCPDAGIGCVSALDGSSDNDHYFPGIVIEICFSNDRSIARRAEEYIQKSNGNIRCVVMITVEWRPESQPMTDASLPPVGVIDKEYGPAAAAAPPPPISGKPIRIPPTPDPREVEADGYVDVRHEDCIVKEDEDCRTGAAGLEVKVKVEDEGKGEDDVKVKIEREDDIDMID
RSGGPMLDLHVHDAHFIRLLFGMPDKVTSGGRMRDNLAQFWHTQFHFADHPYVVEATSGTIDQQGRPFEHGFEI
MRVGAPKFPGIKRLTPAEQARLKTFRSSFASADGSCIGCGGELALRLVSSALNWEAANVRWRDQEGRVRGGGAGGGLPGIMLTEHTGCEQVCKTTNDTSAWMRTFWEDGPRSMLHATFSDGTPLLTGLHYAYQARIKNGTLDQPFFFWSFAGDGATTIGAGPIMNFLQQGWGLLIIYDNRGYMNTGAQVSSTTWPFENRATTPVGVDIPGNQFFPVDWMRIAAALNVPFAATASPAFPMDLMNKVRYAINVPGPTIIWVDSPCPKEQGFGPELTKDMSRLAVDSGLFPIVRYQDGEWAVDILRSQQRTGKPTSLEAYFGGQVKSRHLASPAFDEQLRLLSKEVERRYAWYLRQAGYEPVPAGQRKNGQPTVRLGEGVEAPSLYLPPGVEEPIRSLDQF
MEIILAILGGGGASTLMNYLFGKRGRRLDEARIAAEISRELREELSEKAEKQTETIGLLRSVIISLTGLLDEIFPRIEGITPEERNRLRDANLEARLTALRA
MVDLNVHVGSVQLNNPLIAASGTAGFGRELAAMYDLSAWGGISSKGVTRTPRIGNPTPRVAETASGMLNSVGLQNPGIEAFIRDEYPFMAASG
MRPWWLMLPLALGAACSNHDDGPGDAPDTPASLVSTTLDGAVALTWSDNPFSADPGLFQNYRVYSTTYDLDNDLCGTAWRLEGTTVAPEFVVGALSNGVSRCFSVTAESVNGGESGRSPLRADTPRPEARNVVIYALQAQAEQSGFRFWNDGNGDRLVQDSELGVVVDGGDAAADFFVDRDPSGALFITPRRAGTGVELYDEQNPFLTDLTSVDLAPDRTYRTSGVEASPGYGYVFEMDGGDGFKRYGALHVSHVGANFLIADWSFQTDPGNPELRTGSAPR
MPAITKTYKNEKGMVLPLGLMFLAIIAILGTTAVIVTTTDLKIGTNYKLSKQAFYVAEAGIQRAANALKAGASNGFDDELLGSDGSSGTSDDGILSFGSSVNFGDGTYAVQVTDNNDDGDLFSDLDNKVIITSTGTVNNASKTIEAVYYYRKVKVDGALGIYGTNPAVELGGGSEIDGRDYNVPPDFDCSGAGCTATLAGGAPAEPGIYAEEAITQVGLITDETDPKQNVFGDPPVDEDGGGEGSVSDWQNFANSLTPDLTVDSATEGTITENIFGNRDNPQITMITDSAGTGTHLSGTIDGAGILIVNGDLQITGTFHFEGLVIVMAGGDLVVTGTGRSIIYGSVVLAGSGASSEVDYGGHASIRYSSQGMDSAADTLSGLSAWREL
MINAARTWFRSYHRTPPMMGAALINALLVMAMMTGLGVTAMTVSTFDSEITANDRSATQAFYVAEGGVHHAIAILRSPGGADNTFGDELTVNSGVMLDNMALGNGTYTVIAIDNDDGDGDMTLDSDNRIYLRSTGRQSGAESTIQVTVMASGGSSGGLSGVLTEDDLDMPGDAQILGGCGTVHSNGHVDVAGTPTIAETLTASGGITNPVNATILSGIAPDAGAPTQTVPYFNPVDYASYASYQLRSDGNVYDASGALIANANVSPWHGWIYDASGGDNGAGKWDLSSDSTIDGMLYLEGDVTVSSNDPSWETTLVATGAIELSGNFDMTNFQNPTHPVGVQNLLLVAGLDLKINGNPGQTWSEGIMAAGEQLGVSGNPSLNGALIASGQSNLSPTVVKNEISGMLRLDCHAPVVSGTGGGSGVLITAWQDRRN
MLTVTVLAGTTRPTQTQLRVSPRFDLWAGRRSLGRSSLLDRKHRRLKGSTAGNLIARQLKIVPEPFDRPDHDAKCFQDYGRRYFPIRAELPSYANQLAQNKQAAPASGGRFSGFHRAFIDVRKRWVECISPQHVLKEIFASDIPSLPLHARRQQTEGGGSGEGGGERGFQEERIASVSAVSWQPLNGKRLLRSVSAANNGIIIILHNKYTKHLWSPGLWILSAPDEKDSSSVEGSLAVMYQADLHSCGSAPSAHGSTPLWISLLDCCITPQESGSRPTALPHIAVIHRRDLLDPNRK
MSLFDQLARLEQATRNIELYEFPNAHMFTNAIVNHPSITSLLKDPSNDEKHLYKIIKSRIPGQVHDISKIERVDGRKRYIEHDYNEESFYDTSYESQEQNHEDPITRLPTLLPPSNSTQQVNLTSSLNNIDNPLQRIERLLSIMTKYPNLIVNYDEVNTKLHQYQQDYNMVINDIDEMENEIEECKNVLKNDYKVAVSPVKKSRETGLLKSEYNDSQDQLIDLDILIQKEEEEVRKLEQEMNNWHNN
MLGDTNNRFSASRAVVVLASGLLVGLFLVALLSQMLARIDALDALTQMRLATTLQNLIMFMLPAVAVGTLCGGGTPLRFLRLDKAPSAMAVAGIVLISLVMTPAMNWLVAWNASLSLPEAMKPVEQWMRAQENAAQEATDTLLSGSSVWDLIASVVCVGLLTGLGEEMFFRGALQRICCDGMRRRHLAVWTAAFVFSTLHFQFFGFVPRLVLGAFFGYAYLWSGSLWVPVIGHALNNSAVVAFMWMGNNSIDAAAMGEAGSQSPVVVVLSAAAAVALMVVYKKVLSHGSKAAD
MMNSLKKIYVGMSGWMQLLFIIIFSFVGIMILSFALILVFLVTGMQSQGLEVLTASADFIRINLSLQSPLLFLLPACLWAYFFNEKGIEALKINKTPDLRFLLLGLALIIVIQPIISFTGYYNDQLVLPESLSWLEEKMRASEDRAAALFDTLLAGKTITDLVLNLLLVAVMAGICEEFLFRGAIQQIFGKITRNPHLAVWITAIIFSAIHMQFYGFVPRVILGAALGYLFVWSGNLWIPIIIHTVNNAFSVIACWAESPDENYSKSIEQIGVGDTWWMTLVSALLTGIVVFYLVKIYNKRRIIEGEI
MKGLECQAYLYDYNSLSRLVPYLITPDSKRIARLLGGLAPEIKGMVKSSKPTTYRSAVDLALSLTKDEIRARAIKAEEDHKRKCDDTPSKDYKKGKTGLNYNQSKPNEAKPKCKTYGKQHFEKCFHEQNKGCGICKKMDHKSHECKDLKDATCYGCDVKGHIKTRCPKGATDRRNKATDGQKGNV
RWNGPNRGRLADKRTVALPAAQNLKKERTPSFSASDGDSDGSGPTCGRRPGLKQEDGPHVRIMKRRVHTHWDVNISFREASCR
MGGVHTSHPAALGSRFPPDPRRGIRRRINVVGSCHPVYERAAPTSSGFAVPSVRAVPSVRAVPVSARSARAGVPTPAASRLRPGTDRAGLDGRCGPGRGGAGGAGGPAGAWIAAGARAEGRDTAPPGYGPAGERS
MLCWVPSHVGIVGNEQADKAAKSAVAPMDMTIVKLSVLRGVSFIYISSSEFDIKTLLTLHVKHPLSKTLVRHSLSPSAPRQELFRSSLLSTLDSCQRFVAPERPHNYPSSRFKKAPKNASLFEMARTVVFGDLETML
KPMNQMLPNVPNRMLAMGNVACWDNPSYSQSPHPSPQPPQQIGMRPQNQLMGPGSMPGSMGNTVASMGPPPQVLNNPGPSVSMQRPTQALQQLLQTLKSPNSPQQQQQVLTILKSNPQLMAAFIKQRTAQQQQQQQQQQQQQQQMILNDGSMIAPPHQQPNVWYKPQPHLLTMQRQPQPNQFPHPQQPLMYAQRSRMPIPMNFGHQQGYQNDNSQFQFQQQPPQILMQSQIKQMTSPTHPPMSPQQCILGHPQGPVPSPSQQQLMQQVRSPPPTSVHLSQTVRSPQPSASPRTQANTSQPIPSPRQQLVPSPHYPSQTQSPHPSLTVGTSGLSGPDQMTTNNDMMLTHLTNPVSSHPSIATQLQSP
MIVGGVLEPIKAYFGTVENQGRGSLHLHLLIWFDHDMKPADMKEKIQNASFREKLKVYLEDIINEDLDQFKDNCAFQNLDVARTWNTLPRLTRNNIYAAISTIDLSGLKQNIYESGTRSVPMKQLSSSSIFHVSPSPNKFLQAPTHDRSASGVLDDDVYDGDENNNKENFQIQSAEHNKKYRTR
NLLADGEKVASKEVKAAADGTWSTVFTKLPKFKAGKVIKYSLTEEVVSEYTSEINDFNITNKYTPKMIDYQVTKTWNDNNNQDGKRPDHITVHLMKTVGGVTIEVEKYDIKVAEADPANGNVWKHTFTNLSKYEAGQEIVYSVKEDAVAGYETSIKGQEITNTHEPETIVISGKKVWEDGNNQDGKRTKTVKVQILKGGTIVDEVETSEEKGWAFESKPLPKYENGKEIVYKVQEVAVESYKSEVEPSGNNQYTITNKHTPEKVNLTGQKTWNDSNNQDRKRPTEIKVRLLADGKDTGKMA
MDRPMLRHGRVPAAGGWMGAGEAVGRAAGPSRRSSSQKPGWASRKQRPRFRVILSSWAATLAFRLLTWGGWVLVTLPPAFLLSWARAVSSTTDSSRAAAVESQEEEMSEGSWHSIFRKLGAEAPRPPHPGKKGADLDPTPTSSCEPFLSHNLLTDLESSVPDFQSCVSPRRRRESPGVTGLTKKKDLFHPAGMGSL
MKSKNTFGIQFVLRLPKNKKDEMATVYARITVNGRRTEISLKSKVSINNWDEVKGRAKGKRQEIVKLNSHMEQVRSLIFDCYHQLVQQNKTVTIEAVKSVYLGEDIEETMTLLKLVEYHKQVAVSKLAPGTMKNYYTTETYIQKFIKQKYGKKDIPLDELNYRFILDFENFLNDYKPKDHHKPINNNGGDETYGTFAEDGQFGCYDGLAG
MSTLPSVYLMARVFVSCLFLFGLVWGLEFQEPIPGTYHCYVSSESFFDPNAQDAGANLSIGADGSYQLVAGANSETGQLSTFHMDDSSDLTELFQSGSLLSLQPSSNVSPYQGMFIIDSSGSLYVILQNNNASWIRCQSEGANLYEAMQTRLEAKAKRDASLKGAEERGRIPVLSAMQDTAQGTYACYYSRDDYDDNGNPAPEPFEKSFDLQFFSNHQYLCTASWNADGCNDRSEKNQFELAPDGRFIWLGGDMEIYYDDWVSRYGQSSNGIPTFVMYEEDEDVWTGKPLYDVIKCARIGEVTDASPSEQETAEYQLLPADIKAPAPPPGAGGLSGLYADFTVRQNTYSVLGNNGMPYLQVDILPPEFMYFLPSGYVYKGIYEWSYDELDCQRVKKDGRPLCDTYVISPEGITFGSEGRVLPLQIADKDLSLDGTLWAYQEGASGLTLDGVWEYTSGFGGMAISSSFWTFGKDGSFMINRSSAISYTTPGVAGSTASVAGYNQDPTSTGKYKIVGNTIELSFADGHTEKRVFNFSKNDNGVVDSVYLNGQLYWPSH
MAEVEKNFGESSLELLTAEEICKHTRLVYRRHKHKLRPDESFELDRIENMGILVTGMPRWGKSTVLNQIESAQAYPIAVHVQPDESQARKPDNLKRDDFDAMIYNLGFAAVGIAKLITNSQVDRLITLYERGLIDQFVFAEALNAQYGNLPSYKRDIQAFKGYCRIFASLIHGIIVCNSSAETAISNGSHTDKDILEKLSLGFQRFPASLSRILRAGRIDPRIVISLDFETPDKSQAQLKRAINTLVHWNLVGRVSTDTS
MTRDDEVDCDSLNVGGRMHPSLEWRDGGRTRGPVRVRFGWVGLCAGILIFLHDEHSIWVSPFPTPHPSPATQAARCARWEGQMHLGTRSQKTPGTADGRPARQSDWLRRILSVSLSHALDGVFVHLPHGRTAAPLPQLCDVRSRKRPHGPAAACQKKAGSPLSLIVKLGTVLK
MNIQNIKTLGELKKSSYQHRSIKEELRQNLILKLKRKKNTFPGILGYEDSVVPDVERALLSKHNILFLGLR
MQKHPLRLSYTSLCPDLMPINPFIGVNRGYFVEIFRFFNMTRNQAIEHLVAFIDAARAVHQTYIYLYLQDNQLLAQLMPTDNGALGSLFPVIKKVGCSQQIDGRVSTGEHEIPARAADSVRVAQALLADLEENLTGPLFV
MLGTMAGVFVALAYITLALHSHANAR
NALYTYRLYTVDSAGTASTQPSQSQARGAAQRFYGEDFTSKTLLSLPGTDHLLLSHLQSGRVLELDADAAVQGELAVPAPLSLLVPTTGGRVWGVAPGGQSQDATLVLLDTAPLAQLRQGTLAATPGALAWLGGDSLVLAPAVGGAPLLVDGLTFTVLDTLQVLGDLASGARLAADPDGRQLFAAETQGQRRLLRVDLSGPPVVEDSTSLEGFTIAFQRDGAGHLLLAALAETSVLRVDETDFGQTETLDVTDPLLKCSFSGDGTECWIRPSVQARMDGYALDWTGGTATSFAQYQLIDEVDAIQRLHTGSRVAALMVSYWVSVCDVSRSD
MNKIYEFKKSKDNWTIKTKIDLRPKIIIKTVIISLIIAMVIENHKYNYKKTFKNKKVFLTWVLWRN
MPASSKTDPPLIKAKPNRDGGGTSVITYLKGEKKDLLYNRSQKRGVRICERNGSADTKVKTEQRFPCSPWLDVPLQPMEIHSGAEIYRQSMEDSTSDQTDVPRGDWNPIETHGERRPHWRKFSGRTCDSHAWSSLFLKECTLWMGPMLEQFVKNCSLW
MPAGSKTDPPLAKAEPISNGGSVSVATYLRRGKKCCTTEAGRVRKCERNNSADTKVNEEGGGGGVSGTGAEIPLQPVVKTVVTQVVPLQPVEETIGSGKLLCGVTHNKLLKPLKEKDIAAQVENMALKVCHVDAHMPKSRATEEHGNNEQVDKAVKIEVAQVDLGWEHEVELFVTR
MGTKQAREGDGVNEATQHRVEELRGEIARKHKELDDLLLRSETLHPSAYAALRGELEEEIEKRQAELQSLTAVAG
MSDWLSLAKSNDPHIVVLTMDVHLSYFRDEYNFEEALRLLPFEWQCRVIQKRAHKDKVTALCNRLLQLYGCRLELNTQAIDFTQGKYGKPFVKNTESFNFSMTNGENFVSIIMANLFQTEVGIDLASINDFTSEGDLKIYEDVLSTEEYEKINNQTNLLDMKRLFAFYWSVKECYTKYLGVGLNGDLKIINVSLFSAPLINEAVSTFKLKDITFHSRWVSDNEILTYCFPAQYDFLKPIHAILNVVSVIEGIKTQFLT
MIDAVELNGVQGEAYVAATSGCLMKGAGWYLDTGATNHMTGDKHCFVEIDDSICGKVKFGDGSVIDIQGFGSVMFQCKNGEHLTLTNVYYIPKLKSNIISLGQIDESGGRILIECGALRVYDNSNRLIIKLEDRSLKGVLLGYEEGSKAYRVFDPRKGKVLISRDVVFEEGASWPWQNSKQKEESDCTETFIVQVKELGGAGIPEAVDAFRTPSTPSSSSGIPNSEINYPLIQSSSSNTTGTGSDSSSTPPRKFRSLQEIYNETREVDEEVGCPFEHALYMKKEEDDVTVVGVYVDDLILTGSNGKHIESFKQEMMRMFEMSDLGLLSYYLGIEVKQTPDCISLCQAGYASKILEKTGMLNCNSSRVPMEPKCKLKKQDGEPFVDATEYRRIIGSLRYLVNTRPDLAYSVGVVSRYMDTPTVTHMSAVKQILRYVRGTIGMGIVYKKNQEKEELVGFSDSDLAGDTDDRKSTSGIIFFFGESPITWVSHKQRIVALSSCEAEYIAATGGACQGIWLKKIIAELRGDDKVKPVLKVELSVVSASIGLS
MSHLEIERARREREQKQREDASSDTSNRESLSSQEKEGSVTLKYPMLTKSNYAAWAIKMEVFMMAQGVWEPIESEGLVDKRRDKMALAAIYQGIGEDTLLQLGAKKTAKEAWNTLKVMNQGAEKVKEVRAQTLWREFEALRMGDSETVEDFSGKLTIIVNKLRSLGEPVEDEKVIKKMLRSTSSKFLQIVSAIEEFSDLKTKSVEEVIGSLKAHEERLLLWRHDFRKSGDDESKPQKKDKSKIKCYSCGQMGHYASECPTKERDEKANLTQEDEGPSLLLLETCVPELLPAEESEIIMLQENTNESETKESKVRFGDGSMASICGQGSILFQCKNTEHTTIQNVYYIPRLKSNIISLGQLDEAGNSIVIGSGHLKLYDRRSRLVVDVVRGANRLYIANLKLATPINLLAKLDNESWAWHARFGHLNFDSLRKLSRKGMVKGLPSIDQANKLCDGCLVGKQHRTSFPKQTEFRANRPWSLFTVICVVQYHQQHVEALGFKQCPHEHALYKRKDEGKLMIVGVYVDDLILTGENQDVIENFKSEMQQKFDMTDLGLLSFYLGIEVKQTTTSISICQTGYAKKILEKMGVGECNPCKLPMEPRTKLSKVVDGEALVDATLYRSLIGSLRYLVNTRPDITFSVGVLSRFMEKPTTTHMAAVKQVLRYIKGTLNSGCVFSKKQPDMSLVGYCDSDLAGDIDDRKSTTGILFFSWQQSSNLGLAKTKSCSAFVMRS
MLLRKWEMPLLALLVAALAGTSALANDSGATANGCTYAIVNGNYTYSCQPAAEPAAAPTAAVAPTTAPAVMQISSEPPRNQSQVVRNDAPPPAPSPASTASFTAEKTPFSDSLYLGSNIGSVSVTESKSGSATGLGLSVSQNLDDFVGFELGYSYAKTNLNLGLAQREGQTPRSQNDSSLANHLVSGEVQFHLTDTLKRLRPFAGVGLGWRASSLEEDAYSGYGGSYGHSGGSLSQNGVGLVGSLGAKIRLADRYQLSAGMRYFRPLSTQNATLSTNAASNVYGSTTPAAGTRLSPSDSALTSSSLLQIYGGFHVAL
MTTKIAFGDVSRLYQNVRPFLGALSWNDVRNADTCAWLVAGCLESRICSIPAWVSGRISKAQFAQSREVQGRRFLENPKVDPFEIYAPLVFQALRHWGEHRLVLALDTSMLFGKFCLIRFAVTFRGRSLPLHQEVIQQESAQVATRQLLPVLARVKGILDALGIHDVRLLADRGFCDTELMDWLWACGWNYRIRIKSNLIRADLAGQRLCKLDDIRLRPRETRCFHNVAITGQAFGPVHVAVARPTDAGEQWQVVSSEPTTLETFAEYGERFQIEEGFLDDKSGLHGLESSKLRDVASLNRLVMILALATLFLITKGVQIVAGGKRRMIDPHWQRGLSYLKIGERAMRWALSRGLEVFTHLAVPGGPDPEPLGKRKKKGPDPITLLEVGWTLVLRPLS
MEIYILSIDLAKQVFQLHGADHRVVACVGL
MGRCKVQVEGFSGVLNDKVTAGVLRVNLSRYPSLDQESEEQSMPALIEKRLEQARTALQAVLMGDGVG
MEEQEYPLPLIAQHLRASIYVSAAGLVMLIYDHILTFSDEVELIWRARWTTPKALFLILRYFVPPTLIIHMHQFSGLSDAGVNNTVRLQSCSLILLNSHPPPPAVLQSMVQCLCYSWYRYDGDRKLWVIAKMSSERLKAERPLHSPRHPSPMEPLATQQTPYLFDLSTLLVNTSRTPGMCGGCHSQSRQRTTIF
MQNRFRYMPILYFIVTELPQCVLKDDYNLTLRITKGTPEDYRSYSFYVDLTILHYNNTINKTDKQIKCGGTIIGKRWILTAAHCCFGLFLQNTTYVATTISHTRALAMVTVEGHCY
MNYQNYISAGSLLACSSLLSSERLNDILSTSAFMQMSSSNKYPTLHEFKEWNDRYAQVLRYCRYVSSGRLTFEYSSTSQDRIFTPKGVIVAAMASELSPEEKDSLNFMLERFSELKGESKASKVFTQRAIESAKADVSEEKTIHRIRILAGVVDADSTLKCVIFNLATHQPIEFDFFNQNFALGDLLGHVDTEYFAARLDEDYAEEKRVAVLKTLAGRGDEETERLF
MHNIYIFLLSEIICIMNALFSVFYFCIADELERAGKREYLRRIVAVTSFLGKQGISFRGHEEAESSGNQGNFLELMKLLEQFDPFLQIMADEARDGHVEQLAVCVRYVTAKGTVKEHFIELSSLNSFNAESITDAIERVLKSKGLNDVLCVAQTYDGASVMKGEVGGVQARFREKHPEAVYVHCYAHELNLVLCHTCRAVPEADDFFETLGNVYSFFSASMVNHQAFHDTQKQLGLENSEFVQLSKTRWECQLNSVKAVLENLTALLGCLEKVATPIVIGLLSKLSRCSSVYMLVMFKSLLSATEGFHKYLQKESVDLAQATLYKDAVLETLTLMRSSEETAEQLYKETKVICESNNISEILSGPRRKQRRMDDYAVDSTLGTRAPCDTGNQLKHHLLYPCLDRMISELSNRFSGVGEKLMKGIQACNPAADDFLSEESLNLIAAHYKIQLCKEELLVAKQFLAKKKKEGAVSDMASAYKLLDPDMFPTLSLVFQAALTIPVSSSSCERSFSALRRLHTWLRRTMGQKRLNHLAVLSIEKGILSTINTSMVIDRFAQIVKKDKKDTKSMK
MFVNRFTSAAQQSDGQPLSPRTVPLLEPAQLFQAISGRFTSALPLVGWARELGDLHAELLRFQFDRVPRRGFDCEQVRALIDRVVTEIDAWAARNIPRTKNARKHTHSLGEVISHIAKTYAEAWWTVLHSADVELRHQAWFHLGEAREGYSEMVNEIRARHLQLPLGTSGIPSGRIR
MPRSKIGGKLTGVRLPDSLRLEIEVLAGPGHMAEFVRAAVEAEVARRRREEQDEAPLPDTAHPELSPKTASRIPSSPWATSSPWLGYGFTVSGEAVEVRLTPPITLASAPEPIRADQKIKVQNSPIPGARVRSPSRKPSSRKSDHPAHSAWTSMRQQSRISGHPIAPEWENFWIFVKDMKKKPLNAVLVRKDQEKGWGPDNCVWGTKADVVARRPTTGFYAYNGRTLTLTAWSKVTNINVGVLRARVRAEWPIEEVLGTPVGPGPGKRGRPVLPASVQEAIEPTVSLGRRRISRSARNPVPLKRSDNRYLPWKGMRERCGRTGDSFVPEWEEFWVFAQDLGERPPGTVLLRRKLSLPYGPTNCFWGTKKQANRGRRFVRRYMHDGREMTVAELAEATGLGYKTLDARLRKGIPLEQALSPKVRPRPGRSRR
NVMAYETDESSSVVPVTGAVQVDTKDDEDFRLEPNRMFSYNQGECDIKEVNVNDYILWKDGLYTYRSEHLSVILDRLSRYYGKKISYKSDVADLKCSGKLDMQEDLEVVLDGLSQTAPILYKKIDEEYIVVKEGR
MSNNSVLQLLNKNELLMSVGDFESCSKIATTFNEIIEKNDDNLDDNILEQLREAIEINKTLKSDMEALNKSFASKDSPFMFEHDEKISSVVYRESPLTYVIKMVIKEQECWKPENHHNSDNVFRTEDEVDSNLELILEINREDIFGVLYFSSLGTIEGKLYENEGKNSPYRGKLIKEIGYNIASDIYFERKSTK
MKQLETLFSPTFRLGSFESVSSALLFLGMVGLIVRRRK
MANEIVKFNNELNTIPLKNFNKQELNIFFSIISQMRDKGSDTVTFTIPYLLDLAKPSRSGSYFNKELKKTYDKILNLTVWREDSQSYEKWILFDYYKIDNVKNTISVSLNPRLKGVLNDLEQWTRFSLMQFVGLKSTYSKNLFRILKQYRTVGKKRIKIDTFRTLLDIPKSYNNSSISQRVINPIRLELSGYFRGFTIKSIKDTKHKNKLIGYEMTWHPESKNADDFSLGFERDTQRRLDNLILNIDIPRDKKIKYIDKILKENDIYKNDEEAFVNAHIKALKMLNEHSKLR
MPLVAFTNTKKHTVYVGNKSIKAGETREVEEALSPNFQPAPTEAVDEIDPLETILSGNVEAVLAFATKSSDDDLHALQDMEEESEAPRKGVLEGLLKIALSRADLGAAE
NGWAPDTRFVELFVDGEYQGVYLAVEPVTNGESRLRLSEFGLLSGETAYIVNRDRIDTGTEEIETWGKTEGYTYNALYIRYPSKNEITEKQKEYIKNDISEFEQVLYGKKFSDKRIGYQAYIDMDNWVDYFIINEFAMNYDAGNLSTYVYKELGGKLQLAAWDFNNGFDNYQWFHTETDRLYTVENSWFDRLWQDGNFREYVCERYGQLRKTTLSDEHIAEKIASYQEKLGDAVDRNFKVWGYSFDEALLAGTGKEGTSRGIRSYEEAMKQLTDTIRERLAYLDKELGGN
MNKYLILRLIDYYLRKFIFPIIILFLLNQNLFPQLKKEYSVQKNYDFEYSNLPLFFINTNGQEIVDEYRIDADMGVIYNDSGKVNYLADSLNNYNGKITIELRGSSSIAYPKKQFRIETVDSTGENLNVKLCGLPKENDWILNGPYNDKTLLRNAISYGLSNKIGRYASRVVFVELFLNSEYQGVYLLLETVKRDKHRVNIAENLNDISGGYIVKIDKMDGENYDMWYSNYGTPYQYHYPKANEITAKQKSYIQNYFNDFEELMFTHPENYKNFIDDGSFVDHLILGEFTKNVDAFRLSSYLYKDRDSINGKLFAGPIWDYNLTFGDAWNEEDMFRADGWQVNYTIDHPYDGFRVPFWWNKLFENQEFKEKFTRRWYSLRENVLSYDSLFSTIDSLVDYTAEARVRNFNKWTGIINPNNYYDEILTLKGWIIRRINWIEENLPNITSTNEENPTVISEFNLYQNYPNPFNPTTTIEYSIPSNVKSETSKAKLITQVSVQLNIYDALGNVVKTLVNKNQRPGNYKINFDATALSSGVYFYQLKTDHFIQSKKMLLLK
MKYRTLGIAATAVMLIAAMTFSGFSSDHQTQRVHQHLTARQPDAGCSCAGDELCTHLPLLIVDTAGVEIPGEPLSSDGTPLDQTDMEYEYTGVTLAADGSKTIVCSMAVIDNQNGNNHPSDDPAITSTARIRIRGNTSRLHDKKGYLIVTTEEDGLTNRDVELMGMDSHHEWALHGPYLDKSLIRNYMWYNIGGEIMDYAPNARFCEVILNGEYQGLYVAVETITNGDGSRLSMSLPESDSQSSISYVIRLDRGSSSEIKNIETFSMYSLRTLNQIDIVYPGFSNLTEDRVAFIRQDFSDFEKSLYSYDYDTEPYAWWNQADLDSFAEYFLINEFTCNYDVGGRSTYMYKDVRGKYKMVIWDMNSSCDNFHNSYMEPQGFHLKGITWFTMLMRDETFVNRVIEIYGSCAIPI
MKKFALEKQQRIKREAEFKKIMEKGKSYADKYLVVYVLEKSSQINPLTSSSKEVTQEGESRLPRMGLSVSRRIGKAVIRNRIKRWMREVFRLHQSRLKDRMEIILIARSSAKELVDYFEMEKRILHLWGKARIVRN
MSRDRASRRLFLILALSCRVVFSQGSVDESQVWRDFLDWLRKQPPGVGVADYRSHLIADGRATRDADVYLSIVERLAKEKRLEVDAVNFDKTYVAGSPIYSKQPNAFLVGIVSNLKAGAALDAAMGDGRNAVFLAGKGWAVTGYDIANEGLRLARERAVAAGLKINTIQSTHLDFEFGRDRWDLIVLTYSWAPFDRPEFMRRIFDSLKPGGLVLVEDNEGSLHARGAGINPPLRWFEGMRILRYEQAPSGGDWGNPKNSVYRLLAQKP
MVLFLLGCNDRGLQPPVPVEADPARVVSLAGRDYLVGLGGATPSGDWAQVGTGPDQWVASTADVDATRAIGTAWRVGDVECRVDGYAAVVEEYLDVYVDGEGNRIEAPTAPGCGTPLLYARLRCEGETSEELANPGLAPAILAPTRERVVDEQAARAEAVVRSLPEWAAAQAAAADAAVAAGVDTAESTQVDRYVFGFGAVTVVDTAVMSGDGRNYCGGDEFYARWIVAVADDGAVLFKHERDDLAEIVGAVDTDGDHLPELRLAWWGGEFLVAPDGSSRALSQFGMCVCGC
MADYLAILWDWYIMKQDLGVHTDEKIFEERFWNTIGEVWAQFKEHMETSGHDFAIELLCATKSDKEGNEGEEELDPKDKATCELVLRALYFKHAISGKWDLTGTGATDPGHREQGQDEVGSYMKCVLVNIFLKRIIGHTCLNTEGGNLAFRAAEALVKEGDKKQPNMTCEEQDMQHNSGIRGDPGKWDLWRIMERWLDRNRTRLHDGKQGVLGEDCEVKVRHKGAESVPYVIKVSEEEI
MARIVEILPQDSEMEELTSPKNAQAAKALKRRQEVKRRLDDYLERAELKKALGLDDEDDF
MEEMYGMHFVAATGGGLVSAEELQVLLAAAGDRKPTAIAEEGGQETAGDMKARIASHPRYPKLLEAYINCQKVGAPPEMPSFLDDIRWENDTAEGNAVSSLLGADPELDEFMVSSSHLLRTTLPYRKRIIGQQDIYDRIFFYKILLETFCDVLVKYRSDLARPFHEATTFFHTIERQLSDLCKPSTTTSIPYVSGKRRGTQDGVEGEKRTWEVSGGDWGWWLPQGLGFGAGPIRAAFGRTPI
MLDINLIRENPALVAEKLARKGFTADFTDFLRRDEKRRQLIFTTENLKAEKNKTSAEIPKLKKEGKDVQPIFEQMKKISDQITDLDRELNYLEADQQAFLEALPNLPADDVIAGGKENNQVVTIFGEKPAFNFEPKHHVDLVEGLGMIDYTRGAKLGGSGFWVYRHDGALL
MAHAWSEDHDAVVGAAPACAQILGRVARQPRASLVELAAAPRVEGRTWAIAELSSGARALNDLATVSATPTRTFAVLTNAGVTVLEQQRPVDMLRALIGQPAVADAQLREFIAAYGLDETCAMCFTLLCADDAAQHSGGMHVLGAARRVLFELGGVPHFAEAPAFPTAATADATGSERIELSGRHNGLAQYLARVLQPIWARAAISAATNDGTRVRVAIATPELVEVQDRLRRLQRFVGSNQRFVPDQLNQMPVQPANSTRPPADATRCWQAESTSLGALYELLVHAVEAISFLCLLADFNLPAISAAMPAEQRQILADITFGRLVCGERAACKELILALIGSQLRQNVSIDSLSDVLSKRCSSLFSVADVALYKALEALHVAGETGEGAETAELARDALALLTGIAGSLSVGQLRDVCASFEALGQHSAVATLALACAKQSDPTDSALSFWGDGAPAGDARETVYRKRMDCYRCVLNMLDKRGASAFEPRVLQQLPRDDALFQFVLFDWLLEHGQSAQLFHMHEPLVEQYLLVEPRTPEKGDMLWHFYVHAAQYGKAALVQRELACSRDMELSLPQRIEFLSLAISNAKVAVDMVRGRGSHGPRMAPELSIEEEVDELGALLRDTEDQLEIAQVQLDIQQQLRSRGGHETPARALDERLYTVTELYDKFAEPLRLWDAVLLIFKASNHDDRSMVEEIWNAIVRTVLDDEHRTGLMAVSSKVSQLGRRLYPSAAAFPLDLLVTVLLDLAHERPTEYTPGFVADTLLQSRVPHYAAFEALRNIYKRVDMANTVAREIAALTAMWIDARGGSGDSQNMPVMDVDAALSLYIVNATLGNNIELKAELQRVQDRLRQVY
MIGFHPATIGSEEKPFPSGTLKVTEIDRTPTYRYNPAYHSAAFTPSSRSPSSYGVHGTPIPGEVSSRNSTAASGSRLEMPSESQNASPRACRWNSSTG
MEERSRWNRSRPGFDTPPEIVQAVLALHRVESDHRAR
MITEQRSSSLNYEVISKGRKTSNRRASLGSALDFHSLFSTPRRSTEYEVPRRSSGKIAREYPMHIEDAIEKPNELLEELLKCLIDLSFLTYKQKFAFWINIYNACIMNVLSQKGPMDEKEVLLRHAYGLGYPEPNVTFALCRGTWSSPALRVYTSDDVVNQLGRAKVEYLEASVGITSKRKILVPKLLEWHKHDFADEMESLLEWIYCQLPRSGSLKRATMECLIKETKISSVQNGGNPAI
MLMEPTKLNVDRQGIPRCPQCDHPLQLIEGEPIKIVEGKVYFENTEAHYACETCQLLYRRILSTEYYGHFFMSESPAKAVPKKTVAIGDLKPMQLKRDLNGLCNCPRCGAVMRFIESQPVRIVDGKLNMDDVLEHYECDNCHSVYRKIVNTTYYQWNEK
MRRCMTGAFLTTGLLAMHGSGTCAHAANIPDTSTGDLSFCVRRTDVASGYVWGHGTLRYGGECASTGFAHIAGTAYLTGQGRLHDAEDPYRALQTDTAAGGGRDRAVMENIHGVNIALTAHSHGVYPGASVMRFFMRIRR
MICKKCGYDYPAKELKCPYCGEPNPLGEKWRNEENLARKETLLAKAKIIHSMPLYVADKVMNVILLVVVAVTAITILVLAIGVGLENIHITCQRNRASVQEAEELLAAEDYTGLYDYLHSYEVYGQEEFEKYTERVRLYDDDQAFMRDLFRIQEVLDWPTGQQMRPNWVKYVLLDGKDILEMETDFSYRGLEYEENKEYYDDMKQDVAAALLGTLEMTEEELADLMGMERDSEEMDELIRRIFERKGWDYEED
MKKPYILFLLCVSGLSGYTQPNNYRINSASFSNNSLNYITGVINIPLTYTTQLKENDSITIAIPIPDAVINGPAFSYYPNPVANKLFIRYNKELELRFEIEIYNDSGQLVIQRFIYKETVVDLSQLIKGTYTVIPKIEGTESFKIIKN
MEESHLSLLPLSVHHPQERIQLTSVCQCQGDSISTAVPHPRVDQILSGQTLPPSVHMEESSVMQGAQGVLVQNWPTVSSAAARFTPTHEAERVTFGNDRVTFPNVCRRLRRGRVEPRFSCPRALGVALAVVCVVTLLWTGALQPERELEQDAERIGGHGTKIPFRGHSALLPRLRRPWTVRRVYGRVQWFQTGVREGFAVMFADRILLPRRWSDRGPARLGVCECPPSPIDLLSGRKSYSRRLREYRQAPGPGTTQPGGAGPAPGAGGSGCGHLRTRAGPEHLPPLSGARGPVATRALIGSPWARRGRYSVSPPPLLSVLPVSSPVRTSCPVVSSACSSVQRERSPFGSETGTSFQRFLEL
MANVGLGVGLAVGLGIPLSLLVILFAVTYGRRKHPKLPAPNLSGKSTTENWLDLYHATRPRISHPPKPLV
MDFDAILEDVGQFGRYQKMVIYLILLPAVIPCGFHAYAQLFMAANVEHWCRVPELDIISDVSLAKNLSIPMELKNGQLQYSECTMYNLNYSEIAKNYKTALNTPKDSAVEIIPCTNGWTYEKSVYKSTAVTEWNLVCNGDFYPTLGLVLLAVGGIIGNYIFGYLQDTLGRKPSFFIYLLIECIFGVATAFAQNYVIWCIYRFGVGFTVPAIMTTPYVLAIELVGPRSRTLCTILSNIAYSMGLILLAGVVYLVRDWRHLALATTLPFVCFFLYLWPMPESPRWLLARGEFEKAEVILRNMARINGKSLPANYMVQLHRKYETDKLKQDMEKKKMRKYGILDLFRTPNLRKKTIIITFIWFTNTSVYVGLSYYAPVLGGDEFLNFFLAGIVELPTYLFLWPSMERLGRRWTLCMSMVVGGVACLTTFLVQHETYVTLALYCVGKMGISSSFVVLPLMASELYPTVVRGLGMSLSSVLGMLGPIFIPLVNYLGSDIMVLPLIIMGALLVAGGIASLLLPETLNQHLPQTLEDGEKMGLDTDFCCNPPVKETIYQEGKDINPEQIPCSACNTLCSCQMVNVSLVENIAAVTEKDSEKVDFIVVK
MSQGERPRRPRSSQLAVPVPLAVLVITGCAPVPRDGAMLCLHLAAAIQGIAWFGLPKGAFRARGRLGAAEPSPRSHQALTTHRQLLSHTETAARSRRAGTDTARTAPAAAAQANKWKKPGTPPKESCGVGGGAEAVPGEGSGDAAGGGVGRAGAGRAPWGRERRAGTRRPAGAGPGRAGPGRGGAARASSAERGARGGEPRSAALAMRDYDAATAFLGEWGRFQRLVFFLLSASIIPNGFNGMSVVFLAGTPEHRCVVPRGANLSGEWRNASIPLELRGGQEVPSRCRRYRLAALANFSALGLRPGSDVELEALELEPCLDGWEYSRDVYRSTIVTEWNLVCEDDWKTPLTTSLFFVGVLIGSFISGQLSDRFGRKNILFLTMAVQTGFSFVQIFSTSWEMFTVLFLIVGMGQISNYVVAFILGTEILGKSVRILFSTLGVCIFFAIGYMLLPLFAYFIRDWRMLLLALTVPGLFCIPLWWIIPESPRWLISQGRYKEAEAIIQKAAKMNGIPAPAVLFDTAEMQDSKPQQQQKAILLDLFRTRNIATITIMSLLLWFFTSVGYFGLSLSTPDWHGDAYINCFLSAVIEVPAYVIAWLLLRSLPRRYSVSGTLFLGGSVVLFIQLVPAELNVLSVGLVMLGKFGITAAFSMLYVYNVELYPTLVRNMAVGATSTASRLGSIIAPYFVYLGEISFFVPCPTQLPREIV
MSEFGEILREVGEFGPFQKRLLLLLCLPYMFVGFHLLAQVFTGADVPHHCRADWISNVTAGLPAEDQLVLTIPRERDGSYQNCKMYTPHPAEDLDWILLHGNQSTTACEEGWVYDQSQYGSTIVTEFNLVCDQRWLIQLSQTSSMVGLFVGAMLFGHLADRFGRQRTILVSLLIQLLSGMGAAFAPNIALFIALQFVLGTAVSGAMMNTIVLGTEWTGPAQRSFASVLTQCTFSAGQMLLAGLAYAIRDWRVLHLTTACPMLLFLSYIWLLPESARWLIMKGQKEEAKRYLRRAALLNKRVFNESLTDKSCLVCRFVNSFVYYGLSFSVGSFGKNIYLTHFIFGLVETLRGSCIWLLAKFGRRKCQGCFLWLGGVSCLLVLAVPQGMAAGVTALAVLAKLSISCSFTVTYVYSTELLPTVIRQTGIGLVSMFARLGGIIVPLIMILDQDHPGISLILFGISSLVAGSLSLLLPETTNKELPDHSGHVEQVKREVNGKREEENGVKLSDAGAFTESTRM
MKEDQEETDDMMFEQIMNKIGSRGKFQKRFNYLFNMFFVMLASMPYYNFVLAMAVPDHWCHVPGRNGTNYTLDQWKEITLPREKDTAGLLSFSKCKMYVGKDWADVTSSGLTPTDHGLQKHDVTNCQYGWEYDKTWYTETAPSRENWVCDREIYVTNLYSFSRGGDVIGTFLMGQLGDMIGRRPVFFISVALLAAGRLISALTSGMYYVFLAVTVISSIPVTAVFQAPLILGIEISASDERSLIALLQCIGWTAGLCTMPLVFWALSGDWSMFLIVTTIPSALFLFTCKLFPESPRWLATTGRVAKCEKALGKIAKMNENTLPANTADILLTLSKKKEKSYGFASLFSSWRLAKNTFLIATVGSLGNLVYFTLMLNVNTMSGNPFMNFFWQSLVELFGFILGKYFSEKLGRRWTHILAFMFMFGAHIFIILLVNKPELNWLLLTMVVVVKFFATLSGYTGYLQSMETFPTCVRQTGCALGSSASSIVGTLGPYILYLGSVTDKRYAYAIMGLATLLGAIASFFLPETMNQKLPETLADAAVFGKDQKYWALYQESNIPFDGTDASITLTIFTPPSTVLHNATATAPTPRVTGVPTVRVTRVPTTRVVYLPDIRVTSVPTTRVSCLPDITISRVTRVAPTSGGVAAGWVRLEHKLPPPLFLHHLSSSIFFLSSPLSFLLSSSTTSLPPSSPCPLSPTLLLHLFSHLSLDPPPTSTSNILLVFLSFSILLT
MGVLYQNIHLSRSVLGRIGRKKVFFLAIIIQIIAGLGMALAPNWITYSILRAAVGCAHPGIFVIAVVIGMELVGPSKRKIAAVFTGMFFSFGQVILGAIAYFVRDYTHLQAAIALPALLFIAYWWIIPESARWLVSQKRYAEADAILQRVARANKTTLPAQWWDEMELTLNAESPKTEAAIHNRKHNFLDLLRTPKLRKISLVAFFCWPVVSMVYYGLSMNPNVLGGNLYVNFIFGGLMEIPAVLIVFLLVDKVGRKPLLAGGYLIASACALSSLVLSADVHWLITLLQFLVAKAAITCTYATIYTFTPELFPTVIRNTAMGVCSMMARIGAIMASFIAMWLVEVAGKKAMIIPFATLGILAAIMALLFLPETKGLALQETIEEAEGTSGQHELQPLHSDGKDGEHVKTK
MAFACTNDWNILCDDAKKYVPLTKTIFYAGKLFGAYFFGWVSDRFGRRVTLLITMLVQFIASLIESFSVNFVMYVVLRVPLGICSGGCLIAGFLLMTEMATPRWRRWANCLSQVAYGVGIAVQALIAYFVRDWKTFSLLITLLNLPFIAYYWLIPESPRWLSARGRVNEAEEILRKMARQNGYEYPEGAIETMQTDGKEEEKTKTYHLWHLFSTKYLIRITLIEAWSWCVTSMVYYGLSFNSGNLAGDFYLNFAASGLVEIPAYLLATYLVERVNRRFPLMAYYIIGGIALICVFLIQVSGKENDLTSLVIALALIGKFTISAAYYQIYIHTAELYPTVMRTIGVGFSSLCARIGGMAAPYIVDSTPLVVPPIVFGATSLSAGLIAIMLPETRGKPLPDFINKETSEQEEELVIVEDKNEVAGYTSAV
MLLPTLLILMLVAGRCAAAALLSGPGPRADGRMEMRKVLETVGRHPLTRTVSEVSGHAAKSLERGSRSHQEGNSRDPRHKEKFIRHLTGPLYVNKKCRRYFHKLYHTTRDCTTPAFFKRCALLLTRLAKSRQCTER
MNDFAGWFYTCGSALCHQLPDRSFFLSNMFLPVCARCAGIYIGASIGIFWMIFKGRFQARYTFSKMFVGFIVLSELYLLTDWWVDWPLNIARFSSGLVGGWAAALGVVSVLTFFLWKPEEQTIKKFGYQTVFIGIIILSINVVFIILPSLENIALFALWTLITFGVVGLFSVANSVMLLLIFDNLRHRVYRTKHLMQMLTTGLLMFLMEFAVVLSF
MPKSNLVFDVGLHRAEDTRLYLAKGFDVVAVEAMPDLARRAGDELRDYVESGQLVIENVAIAEAAGQVPFYANPASEWGTIRAEWAERNDRLGSPSMSSLMVTAVRFNDLLERHGVPHYLKIDIEGADLLCLEGLDPAHPPTYLSIESEKVSWAGLVHEFDLLEWLGYRQFKVVPQHKVRRQTPPTPAREGQYVPWTFSLGSSGLFGEEAPGRWLSRRQALAKYRPIFARYRLYGDGGLLPRRGAGAVLRVPFRLLGGSAGWFDTHARR
MKKKIIGLILLILIIVGGLYLILNKREQVELIADILDTQYELEETYLKDLEDNGYTFNKPKVILNPYEISPLTALIMFETETEEEVEIIVVGKDEKTTIKNIFDKESKHIIPVYGLYPDSENKVIIKMNDQEKELIIKTDPLPENFVIPTNAYADKEKVSDDLIFVSIALSGYSTAYDINGDVRWYLIGNYSWDIGRFENGNLVLSSSRPIAPPYYAVGLVEMDLTGKIYHEYVLPGGYHHDYYEMENGDLLISSDNFPNGTVEDYIVLMDRESGTIKDKWDLTEIFPQEEGKSAMWDEIDWFHNNAVWYDKINNSIVLSGRHQDAVISIDYETKELNWIIGDPTNWSEEMQKYFFTPETDDFDWQWAQHAAMVLPNGNIFIFDNGNNRSKIASNYLNPNDNYSRGVIYQIDTEEMKIKQLWQYGKERGSGFYSPYISDVDYYNEGHYLVHSGGIANKDGNALNVPAPMVEGALSNSITVELLDDEKILELELPSNFYRAEKMPLYNDSKFSFGQGTRIGSLGVTEGINEKDNLLFADKNIPKEYNVKFSKEADRLVFKARMLEGTKVRIILDNLFDRKTYPLTASSKAYTAMCIDIFNEDDNLDPQEVNLSAYINEEGLRGKYNLYLKINDKIYNLNQFVIFE
MRKVNKIETKSENFKVEIYVASSSCTFSISKTSTLEEGRKHYKTSPLLIKSNVASSVFGSAPSMNMLSELVSNVFELRSVFVDPLKDMESSQSKTTKEKIQAGFQGVKNNSRRLVKFVKSKIRRKEKETIVQDIQITPEDDDETWARRSVGSAASRSSIESKLSSASSKKEDDNILSDMDCDDVEE
MEYIISSNRPNEFNMFGALQQFDGLNGFELKMDYLGSKFVHSATHKDFRASQQSIYLLRLF
MEGIIFGVFALSIVLYFGTLQCLHAIKAASNNFYRAQMRKRFIVLFISADLFLLMSIVLFYMYY
MNSPQSRASSASETLTVRQQAIVPIAAFGAAGDIAKLNAALNRGLDSGLTVSEAKEILVQLYAYAGFPRSINALAELMKVLDARKQRGVHDVPGSDPSRAVPKGDALLTAGTANQTKLSGAPVRGALFEFAPAIDEYLKTHLFGDIFERDNCCRSLIPRSAPTHLRAITLG
MPSALTEHFIGFLYGEVYESINLSPKNRELVLMAGLIAMGDKTAELNVHINGALNSGWTAVEIQEAMLQMSVYSGLPSSVNGMNTLKEVLGQRKQRGITDVTNPPLASKQKTGKSKLEVGSKQLEKLQVIDAKALQEAYKDVSPNFAKHVIEYAFGDILSRPALDYQTREMITIAALTAMGATNQLKFHIQGALNLGIPSGDIADIIVLVGAYSGFPSMANATNALKEVLNERK
MVLLVTLTEYGTANSTLNTTGQINEWSDRYNVGIEVLRQVGGENYDGPINRLSDIAPDLARFTVEFAYGDVMSRDALDLQTRQLSTVAALTALGNAQPQLKYHINGCLNVGCSPPQVIESILLSTVYAGFPAALNGVFSAREVFQERGVAFTPSSSPTGNDRYERGLQTLEQVSAGSGADVVQSLQDIAPDLARFIIEFSYGDIIARPDLDLRSKELATVALLTALGTAQPQLNVHINASLNVGASREEIVEVIQQMAVYAGFPAALNGITTAREVFANVG
MGVGRTMAAAAVLAATACSGSPAGPAGPTTAMSVGLDGSPVATTAVVSSTTSVGVPDRSPPRLQDDLPEFQARIVADGEVSFAEYEEAVLATVRCLRARGVEVIGPYLESEAGRYGRPVFTVGPRDPSRFYLWSLTSPTEEGIEDAERQNAACRAEYLDAVEVLYTWLNEPSEEEWADWYRRLEACLKANGVEVPAGDRSTLSSFAVEHRDLGCPDAAADR
MKRISTFLMGCLLLAAIFTLVACNRDEETVDEPAGELQAGTVKILVDQAGMYAVDKAALAEAGINLETLAADNLALSQGDQPIPFLIDGDQLIFYGAAPDNRYTAYRPYLLRSGATGTQIAAMANSTDAGPRLNQINYTLLLEQENVYVSNARTEENEETWFWQTIRPESTGVLSATIPFVGSGAATATVELYGATYNDSSAIDHDFDLVINGNRVGTIAWDGQTWQQGSLAVPAGTLHTGTNEIIIDNSVPGAVQVDTMQLNWLRLDIEVPSTAVDDRLEVHGVSGLVSMSGFSAPPKVFDVSNAIEPIQITGWDFVGGIAEIGIADGQTLLAVGPNGYREPA
MPLGGIDTRYDLPHEELDKIRRRLELKRRLKEENVRQRYNPFKMMKSEPMTDPAVDRYMDLRKKGRLPNTPMRPTTFYGMAAALFLPIIGLTYLVEWERKDYLEGCEKGTIPYRERKKRNEG
MAFERAGVLISTEADRDKLKAEDSALFYDMEQLLALDNLWESRWHDANECERPI
KILFNKQGIPGGHITITKNGKIVVDKEFTTPEELKTCAEQGGVICKPNENCAELWLAASDTTYCCSGQCIPKQEKCEDGTPYGQCSQTKPLYCQDGKLIDNCQQCGCEEGEACQPDGTCKKKSQHPVLLFSSKDIPMLREKVKSGEAKRLWNLLKSKADYYLTLDPNRVWDYANSRSLGNILRYLAFAYVISGDEEYGRKAIDFLIAWSTNKISDYPYYPYWQGHYHNIGDILLAVSLAYDWTYNLMTQEQRDIVAGAITELMFGEYTNSYKLWWGVGERYNNWLGVKAGGFGLAGLAVRNDSPRATKEIDNLIQRAKELIVEYMDVNFGVDGEAYEGIGYGGYGLKPAITFATALKNIKGEDIYSGSNAVRFIEHMVYSLLPLRDCFNQLDNSWCIGSSAYVAWMMSHFPDNGLSKWLWNEYFSKINEIELDLAIIWYNDRAALTNPSTILPDVKNFRTRGLIYYRSAWRNKNDILSSFDAKQMRIIEGGKKTLSKYHLHNDVTDFTLYAYGGRFAIPPGYEFRGSQATEAHNNVLIDGVGQSTMREGKLLSFMNNKNYALAIGDAKLSYPGVMKANRYYGIIKQPYPYFICIDDIQKDQNMHEYEWLLQTDAANRIYIDGQNAIINSTNSSLSLYFSNPVTISLDPQEYKYSTGQAKRLRAKLKAIDPYFFVSMIPRNISMLQPNISKLDSASTIGMEIEWYNVTQYILFGKGDKISGFDFESDAKLLIANKNANTFLMYNGTYLQRENKTLIQIDGQKASVGLSFNKIEVFGNVNFFKIYAPNAKEVYVNSEGVEFGIEDGYVIYPFWGEEDLNNDGIVNIFDLVIVAKRYGAKPTNPNWNSKFDLEKDNRIDEKDLLRVVERLKWVRKMRRKRHG
MWQRFANQHTSDGPWLHSWHYSRTLHYPQVLMYAEPITVHCPRYPTRFDIPSAPMISHFILVASDLPWPEKCPL
MLLYWQIGRDILQQQQGWGAKVIERLARDLKQAFPEMKGFSAHNLKYMRAFAEAYPDEQIVQQLVAQIPWGYTETLIASGDIDKSMGGEIPPSKSGRGKRKPKERSLESPAISGTPD
MKKHSAPANITFANAAMVLLYWDIGWMILDRQERAGWGTRVIDRLAADLRDAFPDMKGFSPRNLKYMRAFPAAWPKRAIVQEALAQITWRHNVTRIEKLKAEAYPAKYPDIVSRCRETIPALYPAIVAGFQGPTP
MNKHKVWGNKFVENLSRDLRLEYPDAKGYSVRNLKYMAKFAETYPDKEFVQQVVAQIPWGHNVVRKRYGGRGEQAAACVFFLSIFAGFVIEYILAPFLVICPLGQIYL
MWMDEYEKWVSNPDLPMDLKEDLEGKTLAELEERFFSPLEFGTGGMRGILGAGLNR
MSTPSFPGDSPTTAYSVSPVSAPPLVADVHHASLPPLPPEALMAPANVPAPPSYEKAHTLANVAPPPSQVPQGGPLPSNQVQPVLGGGATYVVQLPVAVYDMSKVPQELVMRWDQRPDFGGPSTAETRVDGDGDVRTYDVHTAFDPNELLKYFLTLRDSQDRPQLIVRVMGSHIDKDANGHHHTNHQEHQRTVTDFDIMMDASNYISPQWSQMVALQAYDPTRALRVVPTKTIAEVLGEFTRSENLLKEIHLRKQVLWDFDQLTPAIVVAVRSTGYGGHISVTYPTHKDRVTAKAPNSLAMATDSCLVKTLCVLSCLWIIFWPAWVLYRKKVDALVVEFPPIAPGSLFYQRNYYNIVSACMRGHVGPLPTY
MLDQKEIIKHELNVKAELEHIKKRFARKLGEGRQIHMCSDEFWAQDRYCFYSGDASEKDDVLTKGVILPDRSNSKLILFKDHYELRTTANPAKLITYIIDLDGLSYCTPDNSIQETYVFEDISPDNILGWFIYDADKHYDQIIEIGFNS
MNENRHLTRLAIALGLAGCTHSNYMPNVIQLTPSGTASSPANQSVKSSFTLIAVEDGYTGFFTAETIEGKCWIVQTPVSTHGAWTVVPQGETCGNRETDKIRVNDTNGHSAVTYIR
MGHGSRNMAAYQRPAPLRRRRHRAGAGRLRPVGPSGQTHGTVTCLSACAKYLCAPHPASWLPTSFPNAQHLAAADLQAMTAENSAQLWEAFRAGNTQTLATLFETYYDALFHCGLRLTGDEELVRDCIQNRFQKLWRRREGLRAVQIVKAYLFKALRRHLGDETKLLR
MGLSLRALIALAPFALAGCPADEPLGRACTVSADCPVGQLCVDEVCVEDPATLPVGEGEGEGDPGEGEGEGDPGEGEGEGDPGEGEGEGEGEGDPGEGEGEGEGEGDPGEGEGEGEGEGEPPPPTCAPACGDLQSCTATDVCTNDGGAALCDNFGATTIDFFADQNLNVNGTSGDRFVYDGKDRWYVNRLTNMREGMLVEVAVIAGYDTVAYVVDDSAAQCTELARVDQSFDDERFQLNFGAGLTPPYSRGPAVPLDVVVTSYAAINGGTDGGAFTLQTRGILCTDGNAAGDVTDSQTTYDQCFRALFERTTSLTCAASNPCAWDSADRTTGKWCALQPSGGHVTGNAIVRVDDVPTGPCCGSAAGCPGATCPANCQ
MPVMAILSFGTGLFRLVGERHQT
AGINPDSYKTRSFTDVKSDAYYAAYVEWAAQKNIVKGTGDKLFSPDAPVTREQMAVMMVNYAGQMGYSIPTPLASVTFADSNQISAWAAKEVAAMQRAGIVRGKDGNRFDPQGNATRAEGSAVLRRFIEVIIDPAAAAGWTKNDSGHLLYYQGGKAVTGWREISGKRYYFDESGIMAVNTKVDGYEVGPDGARR
MRRFFPHQCSISNVSTYSYVSLYMHMYACVSLHVSECVTIREWVVCILVARVHIWLCMYTCNI
FRFRTLHSSMNRKHYNTMMHMCTQDSISLACLSHPMTRAHYNSYLNLWSKQHISYT
MSLILEALKKLDREKKAPERGFLVVGAVPWPAPRSRRWLPVAAVVAAGAAAGLALVLAWRARGHEDSPGRAVVPTTMVAAATAVAPIPVTTLAAAPPPTLPPAAEGRPRASETRTPAPPALAPPIEAPPARLKTPPARAAEAGAGLQLQAISERDGKPIAVLNNRVVHEGDHFDGVTIVRIGVDGVEIEVQGRRRTLRF
MQQNRLRYRSLKKRLIDLGLIQKELARKIGLSQSAMSERMNGNVEFTAGEMKQIMDVLGIPAEDLYRYFIEEMIPPVTTSKLSQKGRKKS
MRKDPLKLKPRAKLPEMLHMAQLHYHLAPAGVRVVMENTIDSMLSFEKKRKISIFVLASAWAAPKPDDLKIRSDFKKLKNAEVRIIDIPEIDYDSKQYKSREKFLERAKKLKEKIVKQLPLEKCSAKSPFILHCHGLPLGKNPALNYAIKLLAEQCERMKTPLWILNQVHDFAENGRPEMLLTLQYCTGKRDETFAAEIMYPNTRNIFYATINSRDAENLRTAGISEKRIFFLPNSIDTGFFSGKAITSKKKFKKQLIEEIRDYSMRSKYFFNPKRKIILSPLKCMRRKNNAESILLLKAFNYLDDKFQLIITLDAHSGPDVKYSRKVRRFVRQEGIPVVIGVGTDVTSSSEERGKYAGKVTKFSIVDLFAVSKAVMTTSMIEGFGFAFHEGWITGTPVIGRKIHYVCRDFERNGLKLGHMYKKLFVDVQWVGNCEKRLFKIFFNDVNELRKKQEMKALAKKKMLREINRTKYYRVKGSKCVDFKELSIEMQLEAIREIFKDEKNVKKFLSLNPSVGRMLKMLQKKPAELVRHNRKIVMKKYSLRAKAKRLRHIYAIGTANYLKKVKDKKIDNRKVIDKYLDLDYIHPLAMGK
PGRRVTAQMSSLQHIGTDPQMAINALTYEGLSGYFEGELIDSTAPEQGVFGPQTPVLFALKKAGEGVILGAIASNNKLKEAIEAAEDLGETVIDNPEGLTKHRKHLDFTTVDASSPVGLQAYKARPLNGIWATAPYLHNGSIPTLYDLFLPACEASASEVNCRPSQFTVGSVELDPIKVGFQQKQADSYPELFIFNTTLPGNSNRGHEYAAGNTPVVIRNAEGDIKRNENGQPLTQKMPPMNHNQRLALVEYLKTL
MKKIYLLIVISLCTLAMQGQKNGSLKGLLYDSIAKQPVASATITVLQKKDSSLVTFTMTDSKGRFEIAGLGNGEYRLLITHVNYHGSSKSFAIDDANKNKDLGTVIMRDAAQMLNEVVVTAEAPPVTLIGDTVQYNAGSFKTPPNANVEQLLKKMPGIEVAKDGTVKAQGQEVKRVLVDGKEFLAPILNSLPKTCPRMRWTKYRYMIKPVMLPNLQVLMTATVKRRSTSN
MPATAFTNHSPRVWIGCLACYSNGILVGDWFDADIANDVTIIDVHASWVDSHDMYCEEIYCLDSENIPERGGINLERAQAWGDAYDELDSDHLWPAYCAWIDSGMYSEDSSGVGCVSDFLDVFNGQWDSFREFAYTYVVDAGLLTDVPDELVRYIDYDSFARDLQHDYTVMDAEQHGVYIFRNS
MKKTLVVLLAAFKYSPDGMKVIELEPGEHELPERAAQVAREMGIVDDSEAKRQAEEEAATAKAAAEAEQKRQAEEEAANANAPSKATEPPIQPSKAPAKNKAPAKADAGAK
MRSDLTKLLATLKMLAHYGDHEQKLEKKRMNEVNPTKRLNQNLCLWNLAVINNIDFKEKTFSYGNIFDVTRGTSYATLRMAFQIPLSSQIIESKNDEKNLYDEIFNECLAFYYSNDKKLQYETNFGVLTRNFGTSDTNEGIYDAAKMYKIDFTLDLNKYLDIVADESIFRRLINLRQEWPNLRPILAAAIGVKFLDKLEKVVDYRATVRIIELICVSVGIALQIHLKSKNLNKNNIWDEKYEEFQILKIWYSWYSNKKF
MIGLLQHLSSVGVFKMPKVTSKSRSKPVDFSGKKKEDSRKEKPLKAESDDLKIPVDTNDLDDLVKFDSMGFKNPYANMYNQVLVKNIKEKEFALRNFQIDELKDEIKQSMELEVVQKPKGKSTRRSGEPRSPYSIRERKKNINYGEIDINMGKQKVKNTTVPLRRLSQRLLDKPVVSLNESSLTNERIWVVFPEFGGDKEVVKKETVVDYPTGIYRFEPHEGLINCASFCRFGGDRLITSSYDGTVRVLNLEKLVFDQLYGSLDDMRNDWMQWHCQLSPNVILASQ
MRELDFWTASAFTIILAWSLYGLFAFSHSYVMFLPLMESLKYDDAGNTLIGAALFAVSSAYAAAVLIRTVWAIRTWLVRVLRVASAETPPA
MFETVKNYLAILYDYFPIGENGKNFIQCAQHKLAEKGDSVLGVQFADDVTLCQNRYFPVYLDPHGGWLGCQGSLPAFRGFPCSMWTMFHTLTVQAYNKGTGDPQQVLKVMASYIGTASCGSGRRTTKSTSASTATKRRIRISPKCNSRRRRSARNARCPTAYTTRSKPSNSSAACTRTSATSSPATSNRRPHRRRATSLRTPPSLLSSCGTKSSPTKTTAERMRLKFSGISAYSTSAFASCFTSSRWPLSFS
MRLTPLGEGLAQKLRAGYDGIQQALAVATATAGRAEGTLTIGVMGALGHELAPLATDFTARHPDCRITFQEVHFSDPFTALRQRKTDAAPLWLPVQEPDLTVGPIVLREGRVLAVGTTHALAQRAEAGRGGPGGPG
MDFKAFREKARQQAASKSPVEIPKAPESAEEFVANQVTEEEAKRSPAVNSFLARLKSKTPAPAPAPAPTQDQAQAQTSTMLDDIEGTDPKPKSSLPWMDKAKQTETVELPLNNVITAANLVSQGVMAEDKEKEVKSVSTDNGATLEFIRQKIHELQMMEGLDLKSAMKDLREVLLTNADACSLMLPEDLQLMTRALRKMTNNKVAADMAAARPRKQAAKTEKPVALTPEEMAAIQSEF
MSLKGLRFTLEVDGQEPDTFAVVNFRLIQNQSYPFVMSVDVASDSFMQTAEMLLEKKATLTIWQGVIPYSEIRYIAFFEDGAQIRGETDKDGYTEVFSRTNDANVEIKLLTNDYYIFEVNCNEHQ
MDESDHDRHAPSFWRRPAGMAVATAAPSSPTDLKDPVCGMTVTRQLPHRLEHEGRPYYFNKERS
MTCQVIRIGVFFDGTGNNLSNDEAGRSKNGVSNIGKLYRLYCDGERFKGQKITECEIVVKAIYIEGVGTINGKEDYSSGGAFGALGGERVNLAIDRIMDIFMEYPSREYQQQIDVFGFSRGAAMARDFINSLNKRNTEGQYKYKFVGLFDTVGSFGFPGDDKNWKAKTKHFSEGNLEILVRDIFGSAPPKEYYEEFNFNLSPQSAEQIVHFVAMDEYRKNFPLTNTNGAGLTYEFIGAHSDVGGGYASVEKEKIADVFDKKKSNQENEKSLLSVAENDIQIGENWKCGIPIASSPYQFLGVKYCKGERTVTDDLQKVALIAMYRLAIKAGVPFKKNIHDKYPDIPEPNIPDEQGYTEEFEGNFEQNMQALGWTKELQDYCTIATRNITELHDFLNEGEKRTNGGQGALRADRNIPHLKILAKYAHHSAGIFKNEVYLPAPLGFKHRLYNNTVEDIAKSPINRDKRTKIPKRNIFDNNPRLAVIKKD
MFQISVLLELSGRMETLLEGRPREPRSILSSIYDLSGIGIPNLQRREGPWLCYRIALRAPPEGAVAYQQTVLEIPGRVVAVKLRHDAHLSASSHLFGMPGLWKQGVLCIFHYNISSKLLPVIGKYARHGGVTWFIHTLWIWSTVRLGPRG
MSDPLSIPLPDDLLNTNMMTIENLIEANEPCHGTVLARICEIDAGDGWYFRSCTHCASTVTIENGILTCRKCPKTKSAVISFLVASSSTTSDLLTNKSNRLGPGNYVIQNAKLHQVRRKRCETFSVMCTENREHSDIPSNSSSGLNENNGEEINSSITELNFDELADNGQMNDDMGGTVIDELDDNVDITDDMGANS
MTRDDPPAGHRAGQAGRAGSSKPVRRPRAPGDPPREDVASRVSAYVYGNVLVMAALIALHPDELRGPRGVTYLLGVGISTYVAHAVGEAVGSRVREGRTLDRTALRHELRAALPIVTSAGAPVLVLVLAWTDLVDADLALVLALALVDVRLALLGSVVAWVSGERSSRRVFLAGFALAVIAAGAALLKWQLTH
SNATPNDTESLGSAESLSRITKDAGLQASHLALSDEAAVPRFDTLATKLGDPTLVQRTK
MLSPLLLSLALVSQPMQEARPQEAEPVTRLEDVVVDARALETMARDFIDDVAAPTRRRGLARWNRPLCVGVANLHRDVAQAVVDRISDVADALGVQTEAPGCEANVLIIAADDGAALARLMVERSPRRFDVGSLQMTQGDQALEEFQAAERPVRWWQISMPVNELGERAIRLAGDTNPRGGGPTAPKFHVSFASRIRSDIRDDLFRTIIIADIDDISGLSVQQVADYLAMVTLAQIDNDADSAGYDTVMNLFEAPDAVSGLTEWDMAYLRGLYTAEQNRLNPDSQAGVIARLLARDRRAAQTAETAAD
MAFYKSRRNVLAYILAGSILFLLLVSLRVSFREDGDKAAADQPGSGRKTAEKHAANLGQKAAEAPKVNKKQYEIEHHVYYAYMMNRTLVIPSNIHMRGCLAYIPSQLYSYHPGICAIEGHRVKDKSDQEATENNWAMPIQNFFDLAHLRKHVKVLTLAEFLQLQLDRNPSLNLIQSALTSYVVQEDIASWGHHIQAYVPDLTFQSINMFDFLHRQAPGIVTVDDTRPIIDQFRARVGFPPSSPNADPDLLMEEIVHVPDTLDATLWGYIDIPNMAIDQEYNLSVKILPPREWLRRDPSRKWDAPPQVSIDPTRKSRNAKYRKYEYPIGLVGLKQRFMKTDLKDKQIVHLGGKAHHYGYHPVKFTSPEGRKVYDSVCLDWVRYAEPVWETYEYVKDKMEWLTQGRPYLTFHLRRGDFNKYGWNGATLPDPNNLLAAYQRTVADLTLKYKTLVLDKWESARLESERHLAEWDTAIALLETAKRVAGPNDNPIPTPVRPAPFTLPSPLPLSPYTFIATDEDDQNVVARLNALNGTMLFDLLADGRDGDKESFYDHNYQLAAFGDWMGMIDQLMCRDGWWFVGSYMSSFTGGVFNMRKGIGLDEKLTCYISDYE
MKHSEEGRSSKYIQVTQLQFYAYRLAVRSDFSILHHSGKLFQQYIVDAYSKSERSRLNYSPNNKKTLRIEHYEGLLNALNSRALNQGDRTGKLIILPSAFQGSPRHMHQNYQDAMAMVRKFSRCDLFVTFTCNLIWVDILNVLEGKQHPEARLDIVVRVFKMKLTELLDDIIKRNLFGRVVSYIYEVEFKKRGLPHAHILLTLDTYSKIHTKDDIDKYVSTELPDPIADPTLFQIITRCMIHSPCVNLNPKSLCMKEGLSTKQYPKEFREKTEENINGYPTYQRKCTESVRDGRHYLDNRWVVHYNPWLSKKFSAHINVEVCASIKSVKYLYKCVYKGHDAASIRFENENALDHDEILSFLDGRYVSAPEAMWRLNEFNLLEKYHTVVRLAVYLPDQQAILYQDGQEEEAVARAATRQTTLTAWFELNKNDQDSPNYLYTDISHYYTFNKSAIKCQKRQNGGEQVILRMPVVNIQDSERYYLRLLLLRKLGAIRLFFASIYGFGEVKGIPKLWFRYKDVISEDFVRQYSEDSGPKYALAEIEDFLKYYNLNLEKLKLPTVHLPYALSNLPSSDILEEQQKRQINVRKLNEEQKLVFDFILKTIYDNKEDSSRLSFLDGHAGTVKTFLYNTLLHTIRDKGHHVTPVASTDIDETLINGGRTAYSVFKIPTVLNATSTCNVKSNSQEAKLICDTKLLIWDEAAWRMFTPLLRLTDFCKILKIVLCHLV
MPKGRKRNIIEYGECSVFAAPATRRSKRSRLIAFVALPTYYDCGDCGGSVMLPLPPTPPSKIYNLYPDIGFLNDIRGYNSMFSMTSFRDVVDKDINSGRAPYVFKVSGQMYVVDTANEVSNRLKVVHDPGKRDLDAGIVCVLMESLSNHNEYVRTFKIAKEMGEVMNLDSYAVRLYSNIPDRRYGSLAPGTLGCIVIGDDGNSIGYDIVVYSKSGSPAPGTLGCIVIGDDSNSVGYDIFVYSKSGCPQQVSKLHPNYMSLQYPLLFPFGEDCWSLRLKLRVIQEHLRSEYLSGLYDALSKGDRENEFVGKRVFLPASFVGGPRYMYSYYQDALSICRVYGNPQYFITFTCNVKWPEITHYMETYGQHDVHSRADVIAIVFEMKARAFIMFLKEDKTFGEVEAHKCHYLYTIEFQKRGLPHCHTLLWVSKLEIIRQPADVDNFISTELPDLVLEASLYRMVTTCMIHDPYGPLNYKAPCMKDGKCRKHFPKPFHDATTFDKEGYAHYKRNPLTHHMMQSGTMIDNGYLVPYNKRLCSRFDEHKNVEYCGWNMMVPIVNEVHHFLDARYLFPHEAAWKMLNFFIHRRHPHVMTLPVHLLNMQHVMFKEIGRIEDVLCNPDFARTPLLTWFDNNVRDPKGRDLTYIDYPNRYTWDSRFKEWG
MDAVHAGDQNDVGVKIILPSTIYGSLRFYSEAFQDAMAIFRHLGKPDICITFTCNPKWLETIAALNPGEQACDRPYLSSRIFKLKFDTLMDDLLKLKILGGGGGMKAHTATMQFQKRGLPYVHILLIMDAECKPKTTEMIDNSVSVEIPDRDPNPKLYELITTQNIHGPCGNVNPKSPCMDRNKCTKNFLKSFRQSTKVTENSYRLYMHRGPDSGGKTHIKKVNGNDLAVDNSFVVPYNPTLYLRFQAHINVEIVHSVQAVKYLYKYITKGQDQVLLGFGEDTENDEINRYVNARYISASEALWRLYGFEIHRKHAPVEKLPCHLPDQQTVLFEPEQYFTWNQKEHKWQRSKRGAKNPDDPDEFRADTIGRIPTISLNPRQAELYYLRMLLHHKPRPTSFTDFKTIEGTTYDSFQECCHKLGLLDGDTEKDAAMEEATAIRFGPQLRLAFAIILIYCRPADPLAFWEKHKLELCRDFMLRDKIGDLTNYVGNEALSHLQEILDNEGLDLNRDFKLPQTEVFTSTDGLPKTVHDESQHNCEILEQQVQQGHPKLTEEQTNVLDAILKSVEAQKGQLFALHASGGTGKMHTINLILAAIRSKKKITIARALSLWNCSDSVGSWKNST
MATAATAPLASDYSHQILPESLGVPIRDAKAFAEHAAEIFSMFSEFRMNPKAIFEDKGQNVVVVQALMEGKLKDSDEEWLNECVMIICLSEDGSQVVKVTEFVDSAKAVELRNKHTSKFAK
MWAVWFISMDQRLYIALVGISVPMIQCQLHCLYGFGFF
MKMNLFLVFIMLLMPICSAEQTRISAWHKVDQNVPRVSVINLIDAPDLYDGKEVYVLGYLSFGIENSTMCSSAGFGERGDCIWLEVHSSKDNENENENENDNATDILYFSKKAKMEKFVGKLIYVRGTFSHQKSGHFGLYPSVISNVTEVFGNNPHYILNDDEENMAQPTSNMDGQSDAKDLMSSHCWMTPVKGMELSKGKPHEQQETLVVSVKELINLPERYHEKPVLVNGYVLGESDAFARELPALCQSETLTSFQDCIWLATVGEVGSFNHERAEHLRENYEKCGHDIIGIFGGKRAFVRGVFDSRDKGWLGIYGGSVKNIIEIFGESTPLIFDVQSASKAGK
MVSNQGTGWIQPSPGKLVVVENIDATTDLKDSAYAYKYPDAFSPKVSTLSPQTVKVIGRWSDWFLISTDNGNWWIDGRHTKLNF
MIASLLERRGRESAHPSTAPAWLTDVLGGGGVSATGLKVTPK
DGVQYMDLKRFRHAGLEVRAQAYEPPIYPQLHGPFVPALSGLDLLLSNPLSALAILRHGDTWAPLGP
MAPSGSPFLIFDVHYDGTFNFMPLRYDNGLVYQWCVRKDNELDIAIVCDFLRQETEFIILLVDKGKGIMVNERKAGRKTAKSTNNGIVIGENVKPTFSEDDDSDSDKDMKQRFEGIAELEEMYNGNTDSESGYSDKSIDYLSEGEDELISLRKRNTKAKKNPNQNNINSSTPVVGCSRPNRVYDVGESDTVIEHEEYMDKLMHRLRDKGDVLTDSFIILENDQSNEKFPIHDEHTHWK
MNKPIFHLIDTIGDLKKTQAILAKHVSDIEAGKRFDHMSNGHEHFVSAVGCAMEEVKTRICQLEK
MKKVLLIIIQFLLGILAIYGFSLIFNKINEHTDCTVINGVLFFQTVLLFISTFIAYKLHKYSINVKIIYYIVPLLYLVAFFLLIYLSSEGYIGIYERDFTIKHYDYCKNIYIWLFS
MHTATTSKFIMQRPALHGYRTCSFPELTIETYRSDAGELRARSSRHRITLNRTTHRRYAHRFGDLGPTARIARPCQTLGFEPAGTMLWVDGDDADYVSIFQEPGLYRTIQEQTQRPSSLADHGFLAAPDATTLRVVEALASLTAPDVAPEPMLAEQLGLSLVLCVLRMAERPARTSGAGSPGLSSRQVRAVLAHVEEQMDQHPLTLNELAAIAGLSPFHFSRAFKAATGCPPHRFVVERRIERAKELIRKGGLGLAEIAQATGFADQAHFSSAFRRATGMTPGRFRAVR
MVSRNQVSLTFKDQAAPRDGRRKLSWRGFATEHVMVPGGSELSYRWVGPTHYLAVHDLKLADGEISLDDVGNFRMLDLRNRMTFVPMGCTVSGWSRVSNRQNAFTALYYDPAVIPEELESLRAAEGRRPMLYFDDGGLRSTLGKIETLLKAEQPANSLYAETLALLAALEVERLQGNAAFGKIPASGGLSLAQERLLRGYISENLCRDISLGELAALAKLSRFHFARAFRKTFGLPPHQFILRARIELAKTMLLRSSLPVQQIAEELGFANQGHLSAAFRRLTGHTPGQFRRGNL
MGTGFSQTLLRRPGFEAAVIEATGAGATFPRHTHDDFVIAANIEGAERIWLDGRWLEAAAGDVTAYDPGALQASQGMAGAGSRWRFVSLYAEPAFVAQALGLPAPPPLGAPVRRDPVLLRRFAELGRMIAGPDGTEDGAEDGRAEEIAVAILAATVGGGATARPAPPGDAVVRRAAAMLLDRLGNPPSLAAVAAEVGVSREHLVRRFGAVHGMPPMAWALQRRVARARTWLRRGMPPAEVAAMLGFADQAHLTRAFRQAMGTTPGRYRART
MASPVKLLGQQKPLNLSLRRWAGGEDEWGPVSAHFGLEVAWAVEGWLRYRIGRLELVAPPGALVVIPEGVEHASLLGPRVLGRALHLGSHLLESLQDDLGLRTIASGLQPGVVLVGGRLQAQQLLSNLEAEGCSTEMMDELGLALAMDVLRIRTRDESLAETHDRRIVAAIERLWDEGNADLGVEDLAVAAGMSRFHFSRIFKQRTGMSPYQYLLELRLQRSAALITRGLPVTQAATLAGFSDMSRFAQRFRRRFDCPPSAYRARAE
MLYISYKNGMYCGRSSGAWRVGLRAVNDHSGGIMIAPGQGPESLYTQKNAPTFLLTSKGSGWRESFFAEVQGTPHNVTDHGHTRFCLQLYRQPGQHRPLKKNAAWTTREAGCRVNLPGDEQRCETRTGTRNQFLFVETQRVETIIDQPLRSGCFDRFRGTITLLPMINSLMAALAHDLAQGSPAGDVTGESLIATLLTWLDAVATHGQSDIRASGPLAPRDLTRLIAYVDETLDGSLSLDDMARLVGLSLRHFRRALNATTGQSPHQFVLSRRIERACGMIASNRLCLAEIAVAAGFADQSHMTRVFRQTMGVTPSAYRIQQVGQTRMMEVGAL
MEILPTLELQFQDASQVGAKKSPLAWRGFRVEHVLLTTDEGYDFRAKGDSCYLAYHELMLTDGELKMEGLPTLAKTQLRDTLTFAPEGCAIEGWAKPARGENSYTALYFEPDIVSEELGERYACANAAPFAYVRNAGLASTMIKLRDLAVRGDDDPLYAEALCLSATIELLGMRPSREAAPLSKAQLARIQDFIFANQHRAIGLDELADVVDLSRSHFSRAFKATTGVGPYRFVSDWRVRRAQEMLRAVPDQSVESIAQAVGFASAGAFRRVFQQFAGTTPKQYRRQQR
MITSEDPSENDFYNLAMSELGGRIVEESKSAIVITKEPSGSNWALALTLSLFSAFIGIALMAAGGGVESLRGGLGIFLLIMGIIGIGISLSRRGGGKAIDWTRFVRVSPPQGEPGFIHVKLYIIDYDAFIGSANLTPSAVNRNIEVLVKIPHDLATDIFQKLWERAKPIRKPEISQYIAQPKQSTNEVGANSIKRN
MEQILSASPLLGFIFLHELINTVGIFTMKQMSNWSKFVVVSTLLLPALTTFVNPSLAVNTAMLDSSNSSTLERTSFPNDGVYQLAQASNNCRQVAARNGLYVREEPTVYSRALGIIPQGRNVTVVENPGADVVVGNPGIKWMPISAPLQGYVYAGFLSSCQSSPPPKNCREVSRRGGLYVRQEPLSNSNVVGVVPNGRNVTIENRGANGWVPISAPLQGYVSATYLPYCP
MEIPNDSEHKIYMACEDMNKNENNFQSGKVYFDNLALSIEENNADIKLYSAEAKNQKFSKRHSTESVQSCISIKRNIFDEEEISKKYGKPHKQRESVVKQIAKCCRCSPISCIYSLFPILVWLPKYPFKQYIFSDIIAGFTLAVLQIPQGMAYGLLASVDAINGLYVSFFPVLIYCLMGTSRHISIGTFAIISIMLSNTVAKFETVDSRQTANLIRNISNNSISAEVADNSYIQHSNEWPPTKLEVVVAASVVVGLWQIAMGAFRLGILNVILSDQLISGFTTGAAIHVSMSQLKDLFGIKIARYNGPLQLIKTFIDIIKNLKYTNLTTLLISAISLFSLAVVKDHVNDRFRSKLIMPIPIDLIVYHFLLIMNIHTSALNIKDHHIVNADISNFRICCKTCIVTYSDNFKYSNTVAKFETVDSRQTANLIRNISNNSISAEVADNSYIQHSNEWPPTKLEVVVAASVVVGLWQIAMGAFRLGILNVILSDQLISGFTTGAAIHVSMSQLKDLFGIKIARYNGPLQLIKTFIDIIKNLKYTNLTTLLISAISLFSLAVVKDHVNDRFRSKLIMPIPIDLIVYHFLLIMNIHTSALNIKDHHIVNADISNXFRLFPFFLCRLPAPEVPRIDLFPNLIADSFSIAIVVFAITLSMAKLFAKKHHYEINPNQELIALGSANIFSSFFLCYPCATSLSRSLVQERAGGKTQLANFISCLLLLIVLLFLAPYFSALPKCILSAVILVALKGMFLQMKDFWTTWKVSRLDALFHPQNRIHRIFYFVIFFGATTWPQNKGRVTSRTYARKNTTTVSNNKTSDDQAITYVILDCSSISFLDLSAINTLIEVKNELTESRINLIFSSCPAFMYQMLEKVNFFEVNNIPHFFPSVHDAVLYCQNNFSIRL
MRKGVKIVEDTLSIRSRRHGREASSTLTVELSPVIDRLIQQGELFKIELPLETVIREMDAAKDDLQDATDSFEESKWKWATIQGYHSMLHSAHTLLYSRGYKEHDENALVIAIGELFSEELGTGLLTVYNKAMQLKRNAEELVFSEDGAIDTIEGAKAWLNVARNILKAK
MIAANELPHDGQAGLHVSSFCEATDSHETGGNRDCVASRRCLLRPPREILRMSQIEVSLDELLDVRKRLITGSTRH
MLLTDIDGVVFEIDYKMVKNLRTRKKTDGNFTEVETVMKDYLQVLESPAEIIAIIDREKSHAGEK
MQLIFISNLGSSKPNPNLQTLQKRANPSRANTSKAAPLRQTYPSGASSATMNHTAVTLGLNIHELAQEIDLTHILCSRFQHVYLSDISENLVLAKDRLGHNGFTYRAARVEGGDGISPGSIDMIFASYVMHSAIILWRRLYPSGALACVTFGAALLEDSRIQEIHTRVNHSEGGGGV
MQGSGRISAVIQTALYASASPFIGIAEGVRLLTTMVTTPGAVAGYLTGKLVSKVVAAGCQRLNKNRTIKNPIDAPRHVRRTLRVLQTAGAVTGSALVIMQALSHPVLMALYAVPLLATVAKRTFRQLMSCKKVYQAFRSYGTNETLKKNLLVPFESLYPNIYFDHLSKTGNFEDLFSLKKQASNGCKYVTGS
MFCIKRILQLGKPRLPQQARCLSLERLKGGRMERIGNYFLNILNDYKQAFVDLGQDMKSRPIKSGIYLGLLGAFGVLVKTKPSVDSYNAELIEKAHDLLLIGDLIRNKYSDEYLRDVVSSLKDGRLRFLNLGVCRVVWRSNYSSWVKLYDAQCKQLKPRWTEFHKQVVDIGVCGRWIYLDRAMEEYDVSEDEWKQTKT
MDQSTATLLVAWNTSPGDQQFSDQLLAADGRLRLIAPQLRRPAAERHVRRLRQLQADRRLQTQNLGPLSLLWERPYPEGTALHAAQCDYLRPSRLAFHERVLDVGAFGRWWQLWRTMRDYDVNDELFAEKAPVVPAEVEVATITK
RPGGTRFRSWRRRRGGPSPPPLTVPAGRWWRALLQDYAAAVREAAEGARRRPGAAAGSLAGLAGAAACAAAVPSAESFEAATVEAAGTLLLLSPSTRSPAADRHVQRLLRRREAGRLRYRDFFFVAVVYEAPHAADAALYPARCPHLGPRWRELPGRLLDVGFWGRWWVLGARLRDCDVNEEEFGGLPARLRHVSPHHLRSHRNESQFLEKFRPVTLTEEEIRRAESEAAAAPARAEGTRLGGDAGDP
MDHPAFFFNEQIPGKYTSLMMTDFTNQLHMGNQELMTQDLEALLKIPSFMIDQQHGQTSIRFFIVYNRVGSLLSWALSKSEFTESQLQRLEKVLQNNLPEQVELEHDKLSRAVYFERLPRNQIHSEGTQFLRWRSFKIPVPDSAIKRFIARNRYTPWEEEEFARVLSQLSDDILALEQDPIDLQGCKQKLHSGFAANSGNGSQSDYALQLNPLLTAEQSRRFNLTLLKVEQFRLSKKRWPTSAAEIPELPKSIYKDEPFQILSNRQGIIIAGTAGNAVAETELSNLEASTRDFNQSLESNSNGEKGFWAKLLNPDLRKK
MKPLIARPPFDILRDPIIFSMVRVMPAATVLAGAMNWIGL
MCNKDHALSLHGNSKEIRFECLGQESVMHQKIKDGFEPKEIGQSKVGQEEQWHFNERETKAARGGFYINADPADLKEESGLGKNMAILCNLVVAQASLKPYSALWWTSE
MSQVKKIIARLGSKLAPQIRYLAPAYSSEGEDLILKRIFDNKRNGTYVDVGAHHPFRVSNTYIFYKNRWKGINIDPNPGSKAMFDRYRPLDTNLEMGVSLNRQHLTYHIFNDPALNTFSPDKVEEYTQDPKYRVIGKKQVETWPLGDILDQYLPAGTPIDFLTIDAEGLDMDVLRSNNWQKYRPSYILVESNPFLLGDMYNTELGKFMQAAGYDIFAKTYYTYIFRNIKSH
MKYSFWFWLSELRESAWLLKGGSWPSSGCAAFTSWFRYVSFLLQRNLANIAEATAECVLSVAYGSSGEEEEPQLDGDNGPRTITGPSGCSRAAFRGRTELKRTPDRQPVNPREAKPARSASNSSDSEYKEKHKRRESVVSEWMEKGEALLFLVASEGSLKL
MAAGYPDEYRKTDSYFPLFNFLRLFPQLPDGLNACGQPELASQLDPRTGLMEIRPLRTQQTELTRVGCELRTLIGRLLTLGDFHRLTATFGKVCQQSFQPFSRKIIPPRMGEHGLTARMMNDINSLFYCTPLWRNVTGFPACQIFFKHVRHRRGFARLNQKTGKMAARHRPTVCQFLCTFQRSGNMRFFQSLTNHFGAFVAARFLFLQKRTHCRMFNIDIEANNVNFVIFPDCRNFDAGYQIQRQSAAGNFGARRGNGICRIVIGYRQRADTHFNGAMNQGFGCQQAIRGLSMAV
MNQQERLALIDEVNAASVYKADWDSIGVHTMPQWMFEGKLGIFIHWGPYSVPAFGSEWYSRNMYIQGSPEYAHHLQVWGPHKEFGYKDFIPLFRGEHFDADKWVSLFAAAGARYVVPVAEHHDGFQMYASELSHWNALKMGPKRDVLGELSQACERQGLAHGASSHRVEHWFFMSHGRQFESDIHEPLEPGDFYWPAEPEQALHDVFSEPAPTADFLEDWLLRCCEIVDRYRPRQLYFDWWIQHFAVKPYLQKFAAYYYNRALEWGEEVAICYKHDAFPFGSAVVDIERGTFAEAQPFRWQTCTAIARNSWCWTEDNVFKSAAGLVRNLVDVVSKNGVMLLNVGPKPDGTISPEDEAVLRGIGKWLAVNGEAIYGTAVWRKSGEGPTQTTEGQFTDGEDTHYTPEDIRFTARGSHLYATVMQWPTSGHVTVTSLAEQDASRLPVFHGIIRAVDILGQPSPAWHRDHLGLHVQAGDVMDGDFPVVLRITVD
MAGAAALLAGAPAALRAQMALASGPVQPTAESLREAFTVPDWYRDAKLGLWAHWGPQCAPEAGDWYGRHLYLEDHEQAAHHRQLYGHPADRGFIDVIANWRAENWDPDAVFGAYRDAGARFLVAMACHHDNFDLFPSTHQWNATRIGPMRDLVGGWAQAARRLGLPFGVSNHASHAWHWWQTAYGYDTSGPRAGERYDAFRLTAADGRGTAWEGLDPQALYTGPRMVPPAGLDSPAAMRSWHDANSGQWLESEPPGDPGYALHWLARQMQLVERYQPDFLYQDSHYLPFGAIGHMAAAHFYNQAIARTGYFSGVMSAGFRPGEGTLHNHERSAATQILPEPWQTATCIGDWHYNRGRYRDRSYVDARGVIRQLADVVAKNGTLLLSVPVRGDGTLDGVEQAILGDLGRWMAREGEAAIYGSRPWRSFGQDEGGGVRFTVKNGALFALLLDPVAGPLALRDIGTAAGTRVERVQLVAGGDCPYDQATDSLAITLPADIHRYTVPVIRIDLSQLPV
MAIAIVSLSCLGNMNNVTTLLLFAKKIQFKKVAAISSLTVLAGCLAHTEQQVAIAQTPVPTAGFALKPNAPMYEVAPQKALNLTDEVTLEAWVQADPMGNAGGRILDKGVPFSLDGYFLDTFPNNSLRFSTQGGEVSFDAKLPANQWSHVVGVYSASKRILKLYINGREVASKTDGAFAPMKLSASPLRIGADMSGDNRFRGRIQRAAIYARALTSEEVAKRFANGTRLDGTLGDWVFASAPKAQIKPAYGGLTLERNSGVKLVVPVSSKAVPMQKGKFEPTWESLQQYQTPDWYRDAKFGIWAHWGPQCEPEDGDWYARGMYDEGSGQNKFHVNHYGPPSQFGFKDVIHRWKAENWNPEKLMALYKKAGAQYFFALANHHDNFDLWDSKYQPWNSVNMGPKKDLIGGWAKAARDNGMKFGVSVHAAHAWTWYETAQRADKNGPYAGVPYDAKLTKADGKGQWWEGYDPQDLYAQNHALSVGSADTGRIHSQWNWGNGASIPDSAYVDKFYNRTIDLINKYNPDLLYFDDTALPLWPVSDAGLKIAADFYNSNMKRHNGKLEAVLFGKILDEEQRKAMVWDIERGQSNVIEPYVWQTDTCIGSWHYDRNVYNNGWYKSAKTVVHTLADVVSKNGNLLLSVPVRGDGTIDDKELAVVQGIAAWMDVNKESIFGTRPWKVFGEGPATDSAAPLSAQGFNEGKGKPFGAQDVRFTTKGNVLYAIVMGQPKEALEIKSLGKTANLLEKSIRDIQVLGSDEKINWRRDDASLHIEAPQKQLSDTAVVFKITLG
MTGGNFSEPTKFLDAVKKNGTPLWIRHVVVPNLTDSEVHMKGLGEYVKTIPNVEKVELLPYHTLGVEKYTVMGINYPLKDTMCMCKEKTKQLQNIILDIVS
MPKHAVQXYGSFIRLALXKDPVEAAAYAKRLGXVLEXAWSNPSRLQPVDVAGI
MLLAIICIALLRYYNLSFGGELNQASYHDRYLFGVMTIGGMLLVTIPIFVAHLFGSEIRPGLDLIYSLMGFVLFLTAGILSLEFYVIYRNRPGNLNAGRSLGSMLIINSFFFLLNTIVSLVRIFLK
MPRQFRSRLVPVADGFCTGHQRDRASGCPWSGAQIVEKGIHPPLFTREPPGSW
MFHKNLHVVRGRFRNLSIPSKRHFKPYSFASKTYWEQRYKKESRENVEWFLNPEFILPYLKVQVSKAAVKRRAIRLAHLGAGLSYLGIDLARCFPEIYVCNIDSSESAIQKMNSYLKANYDDEFCRRCYYLRRDILCTNMKDASFDFCIDKGTFDAVVRGGKEKSKTYLDEVNRLLVPGGSFIQISQEPPEIVCFADSSLWKDYRYKMVNDPAEMEKHDFEYWIYHMVK
MIDITPFPRKGRRVCELVSPLKPFEALAMGKTVIVSDVGALSEIIVHGETGLIHQKDDAESLGGCISELVLDHKLRERLSSNGRDWVINNRTWEMAGEIIEGAYEKIIDSPEGR
MAYPAKVETFKSLVVLDRMAYAMIILLALLVLLVGSAMGQSPAPSPSQSPATPRPAASPTPVPPVEPPATAPSSPPPSSSSPAPSGPPSAPNPPAEVPGPAATGAVSSRLSMVGLISVGLLGAAFVI
MIIPLSPLTPLKNFKLISLSLVPRPIAWVSSLNASGNINLAPFSFFNVISLEPTLLSLCFTKKSDGDFKDTFINAKTHKKLTISIPSLKHQQSVQESSKELQSTLSEATEFGIKLKILHPGYPPAPADIEVVFFCEFYDVLDFKSATDTLVVELKEIYIQDLLYKESLDFDFRALGRSGRGYKN
AVPFGMSTEEWQCWIAFGGGQELWDELSGEFGLKSVMCGSTGTQAGGWFNKEMNSPDDFKGLKMRIPGLGGQVLSKMGASTVSLPGGQ
MSNGLSLMIDQLYSGTPMVMTAGNKXIHKLAPGXSDLANMARPYAKWSTEITQAEQVPXVIRRXFXXARTVPTGPVFVAXSXC
MRITSPPFTYLRLSASNLLPFLPSMSFSLSSDACLLEFCRLFPRLQCLSLSPMRDFGTNFFTATTLYPCLQRLYLVQSRSIPHDVSHRHISSRNLSIQDYVSSVVFYLFQFFRVSHFLLECHILFQQPLLEITQMLVIQLLWQVGLWPVMIPLIWKLLLTAIFGVSAFNGTETLITYKGTTCRPCESVLKLIGWFA
MDAVPYVFVDSVVELFYGEKTLDRLAREVQNPLWKTVVDVHHRNREYYYVYVRMTEGGTQLIAQKKGDYIFDNFGNLKILDVSSGLLKSRRFVRIVTIDDWTWVNSPEIEDFQVLGEAEAAKQLRAIAPQFEQGSLLAVARGTLDILRIILPSLFSFVRLGTIYLDSDGQITLDFLEDQINNSPYLTTVGIKGDKWPKSVLPLLESFCLKGRPGKLFSLSITETTVKLIDINFTQKXXXXCKVRHSLCMDYVEIRNSTDFANTGMRHSLDDESTGRPLRVLLLRHADVFDHLRHGGHAGAVPLVLPRRQRLPSASARHPQCRGDREEAEVCNRSPCEGFCARKRTEESECSGLLALIKTLKCRREKVVMEKCKEKCCSGFELNPDGECSSTSG
MKSVIICIFFSIISIELSAHRLNLYEVIGGDYERIKKTGSLPKVILDGFIKKGWCTGLGEFGEAFNESDVIQQHLPCSRLISAGNKDDYWYVYYEKTTRSHSHRLKIFKIINGQLINTWGYASSFQNSINFEDAINKINEQNECFVLSPEHYFSQQVSKNCILEIDPKKIY
AYAAWCEAARAAGRPTLPSRMGHEVRINPFLRCEQAPLRDAARRHAPSTLMAAPEPVDVFATLREWKNGFR
MASSSNTKSSNTNSEGTINIIKNDIIEGMNSTINKNGSNDDHVTIFVAYNGKWEYYRKEWFFVDFKSSIMVVPKHVTLSEITDILHKQFEADKEFCSLKLEVNYRTGSPWFPVTEIQNDQDLWVFISETLKTKLPLCVTRVYKFANVEEERRKGRGGGGLTGWPPPPRINRMATTED
MTETCIKKSATEYRSLDPVPPATSGESAVWHATPAACASLDELVTNIIVSKGYWSVDHKLQQLNGVLRGHLSPCPANHPCRPMSSSPTRRAAGRASGLAADRLQLGEENGNKISFLRY
MSKCIFTTGSVTQAMKAKRILAEHSIPVSTTKISSARDRRGCIYGIEFSCAQKGNISRVLSFAGISYDEYTE
WKCCPGHSGANCGADTVSYQDAPGATQGIPALSRPPIRPATYSGCFNCSKFSELLAKVNLLEAKVATFTAGESGPSAVSRRCLTVSGDAAPEPLNLWPSQA
MAYLLGRNDEFDLGGISTHGYYEVLTKLDIKKLEKSLNRTIKEQSMFRTVFSKSGMQRVLKYVPEYSITVEDLSLCSKKEQQEKILMERSRMSHYVFDVEKWPLFEFKAFKINSQEYYLFIGIDLLIADGSSMRILVRELKREYDYEATSSMQQKFDYKDYVHALEEFKKVKKVQVNV
MSDTQWLEWGRKNPYYGVYSEDRFNTSNIELTKSEFFETGEANVSWMLGRAEQHFGPLGKGRVLEFGAGVARMSIPLASRFEAVVGVELSPDMRAEALKNCQLYNVSNVEMVPSDDDLSHAEGEYDLVLSYIVLQHMDARRGVLLIDKLLSKVGPNGVAILQTSVRRPERRLADRLRYYLRHNVPALAATYRFLRGKGWSTLSMRMSEYDPIAILAAFSRNGMKDVLLSQHYQGDVLTFHFTARKGG
MNDITLNLIVLAGFALLGGLVFLLVRRKQASEAQAVQMLAAEKGWKVEFIREPLLWGQRLTSPRWTLESLSRASGKE
MDPASNNTQQPLGDADQSMRNAAWPAGYGAQTDADMQAMRAGMEFLTRALGMAGPAGPNVGDEQEDHHQEDQHEWMGHQVQEQSPLCVAGPMQIIAGDGAFFDQDVDDFIHSAREISPKSADYAVVSIIGAQSSGKSYLLNCLFGTSFKVMNASTGRRQTTKGMWLSRCVRPSLFIMDVEGSDGRERGEDDTSFEKQGALFALTISDLMMVNMWLRDIGREQGGSIPLLRTVFRERAKLEPGRTKVLVVIRGYDNETPFNTLVDDVVTNMEELWKSIHDQPGRPRVLFRDYIEVSVVALPDKIQEQKFKREVAKLREWFAKNSLVGFRQDKVSASDFSFSAKGIWEAIRQNKRLNLPAHRVMVSSFFCEKVVKESINSLESNEDYLSLKKGAQGSPKEFSEKLGALLKSLLTHFDTETELYEAQERAAKRQELEDEVLHQVEPICRDLLYALRLRTSSESKDKITNLFGNADDPSTIVDQSVEDCLKKYIESCEDLRTRYKNLCDENCKVLKSELLSFAKIMAERIKDMRIRVEAEHARDEAEQKAERVKAEAALAKEEANREAERAKEETERLIEQARKEAEQAKQEADKRAREAELAATNNQLNNPLMGLGALIGAIGRGILFGPAGMVGMGGGMLGPTMQNQYGPLPAVAFNLLTLHRAMDMNLHTLQQAMLTEPPTLQ
MAIDIVYQNVPQPRPFGLSAVEKQLRTMACYGSGFEARTRHIAVDGPIVLWRDYFDWTTFLAASAKGAGTAAVAGAKELVSGKDG
MRSMPFQSRVVVEALQALERQLAVHRDPLAQVATALSVGHFAPALQAAEKLRDALAIVVSLYQRLYANVVPVDEMGSTVESVGELALRARRMVILTQLGRLRDLLREPKAGERARGWFVGDFASIDDVTAFFADQFAQINQIWVQYRQTHPGAAGISSTDRITLYRASVRVAQSALRELRNHPDLAYLLRAGLDAADWPDVLSACSEIVVALAVEVDVKSGRVPVVPVPPAQTPDATPPRKP
MNTPEERLCSRSWRLRHSSWVLWSVLTFGLVNAVGFWIVAAKTKRLAWILIAVGWTVIDVLVWVLSSVVDTGTKADPSDSIESTLVGLFVLANWAGGIVHAFLLRKSWLRWRAHHQPGAWYASSDRSDGAPTHQPMPMSRQQADSVLRNPEAADYRLRSAPPAPEGGGRHADAPPSGAWRPPQPVDVNRAGVDALRSLGLTPQDAQHIVLARDRLGGFRSKDQLMTAAGLPPHVYLNLQHLLVLGSQMQGDPSTAGAATETHGGPGATTGRRLDL
MKGRRGINELSFCVCGVKVSNGRKFSYIHPKGNLTFEQMKAKAPKILTEMVHMLITWQKMGFHVPRGVKNIFEFTWDELLVTPPRKSFTGIYCPIVKILPFDEVDISSPATSRIQKAGVSVTTTKPNYVSPSYENQQMLLKFQKRSVHLLTELLKIKMKIMIDAVAGEMILSMIACLKSTYGRCMVPTVPAIPISSTTQLVQQVSFSCLCFSLAFKDTKQQKGLLKTKSAGTPENVASFDDRLDPCPEARLKLQEFCRHIEEEKTAALSKGHTRPLILRNYVTVHKSPSQAMKRASMSQLSSDIRRMKGKKFFLSCPDGTSFIYYLSGSLAVCQFPTCCIEKPVTLLFQDAPNQTLLGIFTSQGQSYASYSFKARCSIALLMNNEGGSVRDKDGYLTHQWSWYSKNQVLQLLEFQINEQIKLKVFNQNSMTITFASINECLNLPLVKTGCTHASKADKQLSGRNIESDDKEKHWNRSLTEIKRRFEKIVRQYINGVLMVSGIVIFAFPVVLTLHISRQSLSSQTPLTNIQVTSCKTSAGDTIPAPETWASSPTDCPVVLRRVLTKDTEGVCCKCVVKIPSITDLEFEKFITARRDPHQVIVICVLSPQNHSYSPFFEWSIEKIYIQMQHGRPSPCIQCKHDPYRFLKYDLESPLNKTPPLLVQKHGVVPGMVVMYAGGKLLFGGSVFNGYGYSKRDLLKQINQACLDCKMGHFLPQSFKFSCRTLFLFELCL
MSLLRPRFLQILSWRYFSSIRESTAAWSFISCSIPLFLLGQITAGISLSLGTIAACLADSGKSLRHRQYDFLLTVLLFFPVSLGVDWLFPHTMLFGGYLAVASFLLLMLSIFSPRYAAIGFATTVLITYTMIMHTDGQPIWLTPALLTTGAIWYAIWQWLLQKALPHREDADLIHDLYQTLGEKLLSHNKPLTRKQSNLNDDFITSARLRSTLAEKLEHVEGRIHQQIADREGNDKLSLIHSFVQQASYIAEQTRLMHFNPAEQFGQDNTAWINSIVTSTDAIVNYLHNVRIENINSLPLPDINFGALRAVSTKNQYPLETSQALAFINKLEKIYCALMKLGATHELEYPIAKPKLSLRKLPANINTNVRLFLCNLNYNSPHFRHALRGCLCLSIGLFLVRFLNLEFGFWTLMTSLFVLRPNLSMTWDRLRNRVAGTVSGLIVVSFLLYLNLPNSVLSLVYCAAAVLFFHTTARVYSVGVFAVTLFVFSGFALNGQADLILLPRLENTLLGVALPVLLVLLMAPGWQKKAFPGQFIQTTNSYKHYLVALADYLATPNVQPSHVNNLLQQCVINDTHLFDHWLKYIGEPKQVKKTTVTILLCCRHSNVMLRLLTQLNAEIDKENSSELVTIIDELNNAIDAFSTLEEQLERDKSDFYNIIANRTDNIAGNITAAEQLTHETNPLIILKVLKRMAKK
MLCRLSRYLFVYSQVLLRVYFNPETENHLVAESVIFTLLSERHLGPKLYGIFSGGRLEEYIPVSYRRRCRLSRAPGSWFGFPTETKQAFHPSGVG
MVSELFVSWTGVVNGAKLNSGSYRKTAPIGKKTWNGRIGDREGVKWILNWHAEGARTKRPIRAWDLEWIGNNRHRCGGRIEK
MASRGFCVCPHVNWAALILNGFSDADADVPVMNLEQIGRRAILKVSVSEEAIVIPWRSWPDVPLSLKSKEPSVYG
MVSQKTKDRLEKTGIILSMIGLFSIMQPFSMTLYRYGFQILCIGGFIYISMGYVPVDVPIPKAVALVLS
VFRLVQAYHDFQEPETTITQKSVTEKHTVTPSTTAAQIFVFTTTKATTKIVPKRPQIVTAGTTTTTVGPEEDREAAASKMAIIIPSVIVVVWITLLIAIAIFVCCRRRSSSAQLRPYGPVYSVQPTAYALKRSGKHAEGSYEDHLEKTARISSEMNTYNQVNFSKMLSMGKSRCLRKSAFFK
MPLETSVSPSPHAAPQDNSPRTLCYNITHRFTVVFALIRIDPH
MYKNEFDNYLKQNKRFKTYMFYGQSTFLVEQYSLSIARMFGQDDEIEKLYFEEYDFKYAKDKLLQSSLFSSNNILFIKIDKKIPKRELDVLIEACNANPDSTLVIACMGDSDFKTMETSFSLKTNSACVRFFQPTDLEATKFLEYEAKMLQIQYEVSALNHLYFMHKNDLALCVNDLKKLAILDELITINIVDTHCFGIGSVNFEDFLYDLLAGKDISSDLSSILEEGMNEVFLLTQVTSFIQQLFMISSYARTLGQPNPKEILGYIPPKNIWEKKSKLAINIKPEVFQNMLEYLLDIELDFKTSKVENQNLYLQATLRKFTALFR
MEENLRLLIANENKFNPYTDDSLALMLKTSRQNIIKIRKQLNIPSYNLRRLPYLKDKIREFISEEKNISDRKLVKSIQNLGFDISTFSVSIIRKELGEIEEIDENTQTNDNDVFCHIIGFNGSIFPKIMQAKAAILYPPNGLHTLIIGQTGTGKSQLAMAMFEFAKKIERVKPKSLIRFNCADYSNNPQLLLSQLFGHVKGAYTGAETEKKGLIEKADGGIIFLDEIHRLPVEGQEMLFSIIDNGVFRKLGESENEHKVNVMVIGATTKDLDSQLALTFRRRIPMVIELPPLYNRSINEKYEIIVEFFRMEAERLGIPINVKSDVVCGLLCYNCPGNIGQLRSDIQMACAKAYLKYVNENKKCITIGLAEFNNDVCESLYRKKYTYSGIKNYINNGISIQPGRKEVDIYDDTDSYILPNEIYGFIDQRFEELKRQGVEQNTIGKIMERELETHLKRTTSYLQKININMETQNISCLVGNEISSIVAKMSEIAEERLGSLDKSFYYCLALHLHATIQRVKQGKPIFNPELSRIKEEYHDEYLTAIEILKFAEEKLQIKFPESETGFIALYLRKKAINLKSPNGIVNIIIISHGHVASEMAKIVNSLIGQNFVDFVDIDFEEKTDTALKRSIELCERIHMGNGILILVDMGSAITFGELITKATNIPTRTINKVHTAMALDAAFTAINQNMTLEDLYMTLDNQSYYFKPKINNGQPVLITLCITGEGAAQQIKKFIENNFKEEIRQSNIEIIPICFIKYWDAINAIKKIQEENFLIGIIGTIDPAISNVPFLSIDKIFEEKGETLLRNLLFAGQNEYLVKTSIPVLNQIFDDKLILPQATFKSKNVVLDELSQLLLKYGYVQKGYLLGIYERERMDNTYLTGTIAIPHTFAKHVIKPGIAIATLQKPINWGNDQFVDKIFMIALPEASSLVFRFFYQVWADQNLMEKLMSCTDRHEFINHILECK
MYTQLQSLHGKDDKELKKLYPTYSNNLNLKIPPHTDRKHRSAYFIIFLIQNRATMSHQKSHKNPAVSITDNNIPAVTATSSSLQTSFAQSGSAVPLSRLFLISSVYFPNARSIFLLK
MKKKLLFAYLAAVVTLSSCSNDATISVNQNLTKIDFDAYTNSSTKATIVSMNNFNDFVVYGYVTPKSYVGKTDLGSPYMDALVVSREDSNVPWIYEGEYYWPQDDSKIQLFGISPKNDLVSEYITSKDSYPSFKYIIGDVGKNQKDLIVSSLLDQVETETVKTLKLNFKHILSQINFSVKGEVAGLEYNVTKIELINIKNEGKFTFDTPLKIGNWSDVKGTATYVHNLENFVVDGVSLKPLEGEDRTMILMPQENTENAKIKVTYSIRDNGGKSLIFDGSKEVDLSSFVWAINTKTRYTLTLPVTADKIIFITTIDKWVDETVPIVIK
MVNAHKDRIPDKTKEILKGTDFGEMMEPFWQDKITEIQLHKHEADLEILMRHFDRTDNKWKFGDVVMEITEEDITTLFHLPAEGEVFNVNRRVVREEMEDSPIFGSPLKTHAVLRTKVESYLVTELTKPAKEKDARKIAVLMITYLFSTFFFTRTGAQITWDMVAVCERIEKINMYNWPRLILNFLMEGLQKYRRNSPSVLNGCLLLIYYWFLEKTRAKTWILGKQNETPRFIRWSIKEIFSLEQLYRNENLAVDLIKAGPWQGKIGLEDLEMGDEVQDTPSFDNWVPQASQTEEEQNERLTGNIKELIREMEAAIGESKPTKEMEAKLGRLAKANEELNAQNKDLWVKLKVADERIKELEIEKRAKNNLIRALYIRLEKEKGEVPPPQKQLEIVPFMPKVVPQDEVEDENPNFGQSVGEETHYAASVGKSTDTVTSAFPIAEEIPGQQDESRLQPGQQVHGNEEEDEQLDNIIRIIAEKEAMGVMGKEKPREGTPAKQEPQKKTPEIHSIVKNVKTYRRAAKKAREEEWEYDTPEEAKITKRAAPKKAGIMQQAQRKMLNNIKIKGVKCDKPTWRTLDPAVARHYRDFFNVAVKDTTEYWTSIDLLRRITKHDLRVIIQEGDIETDVISVYMELLKSDAEKLNAQVGFLTVDAGYYAIKYEQTKEQDEDTRPFECGVETMIYEPLWSVFRFKKVLVPIHHTTSMHYTLLVIDNETRRFTHMNSLRPPINEFTNEEKYQLNAARVVKHIQKFIHVVNLTKMRIRGESQDPNITREKCKGEDDKENLIIVEEAMTEEEKQTRNWILQNNVVETDYELFEDFDCPQQNTSSGDCGPFMLHYMESIVNGIEPTKEGGDNMRKRLLERFMHLLLGRK
MSDLIALNCPSCGGQLHIQNNLQKCFCAHCGAELLLNHNDQGMLIPVQARDLQASAKLKEMQFSLAAMDLLKAEIAELEAKFAAIRNNFLTNIITIRGAKCFKEYEKENQIIPGINRFCTLNWDHWFDPQWNIPGYTSVDDFLTLYHFLQQPKYQREKYLLPFLISFEPLPGLAQELKAKKMQLTTIRDQAINNQ
MDRSPPASAPAPCVAESTDSYPGTLPLALLAFHRAVGKIVKASDAKYGRYADLPTVLDAVMPALLDAGLVPSQTIQASVSGDVLRTSIVHGPTGESISSEWALPTLRQLLDNQHALRETALQTFPIDLTAVPAPPAPMALPPRASAAVPPAPASASSVPEPLASEAGDGAQPQPTQAPKAPPLPPSPPRAAGVRLEDQIKGLYTVLGQLGTTTNPLHSLGGAITYMRRYALLAILSLSAEDDDGEAFGPADPAVPRSTRATSRQSPAIQAGEPAESHAAPRPRTTRSRAARTAEPAVPSPATGNGNGNGSGAQAASPSTPPAAASGGANGQHPAPPAAPPPLVAAELSGAEVQALITEISAIGQPNIPPLIAAFRTKFRLPDGARVSDYIATRDHAAFITDYIAQLPQSAPV
MKPTHADLAARLRLVRRDLYGDDGASAMADALSLPARTWLNYEAGVVLPAGVLLVFIRCTGADARWLLSGEGHPYAKDPREGC
MSQPHVIVIGGPNGAGKSTIAPLVLRDYLAIPDFVNAD
MYKAFFGLTEAPFSIVPSARFLYLSDRHREALNHMLAGLTDGGGFGLLTGEVGTGKTTVLRALLAKLGEETQVAVVLNPALSAHELLESICDELGLPASPQDSYKILTDRLYAHLKANDQAGRHTLLLVDEAQHLLPDVLEQLRLLTNLENDQRKLLKVVLAGQPELQQLLQQPGLRQLAQRITSRYHLLPLTEVEVAAYVRFRLDQVGCAHQLFSPQALKTLARVCGGIPRQINLVCDKALQLACRDSRYQINPEHIRQASELALGWAVAQTTPAAHPARNRLGWAIAAVLAPAFIAAGYWGGGWVAENKLGAVVQETAVVSAPAASGSSPATDDAQAQAALEKRWQAVLALQPTERQAMQTLYQLWGYEVSPSQANCLSSSRIGLSCQQSKGDLAQLSLINRPAILPLSNDDGERFYAVLYALWPGEAELMLGGERLRVSREWLQAHWQQDYTLMWRPPMGESASIRYGQQGSRVAWLDQQLNRLLGEPGMASRDFDQTVLEKLRRFQQAQGLYADGIAGPMTLMVLDTALSLPGPTLHQEYRTEGEAVNGRHPMLFLPLPKMATEALPAPGVPRYGHAERSAFDAQPSLSSSAGDPFAGTADSDLSDTDSAASDSRPSFLDLDKLDLSGLSPELANRLHQAIAATDQANTPALIDPRTVTAAAAKEKPPVAEDVMTVADLPQGVQARLPAINLQTHIYSSSADSRWVKVNNREAYEGDEVAPGVTLEKIEPRKVILGFEHIRFEMAALSQWPAG
MYETFFGFREKPFKLTPNPAYLFLSRSHEEALAHLRYAVSEGEGFIEITGEVGTGKTTLCRVFLESLDDGAEAAYVFNPNLDAAQLLGVINEELGIDSPSDKPKDLVGALNAFLLEKKAQGKNVIIIVDEAQNLDSEVLEQLRLLSNLETATEKLLQIVLVGQPELRDKLNSYELRQLGQRITLNLSLIPLSMKETEDYIYHRIHIASRGRTVRFERAALNHIFNYSKGVPRLVNILCDRSLLAAFSMNRPRVDAEIAKIAIREMKGESAAEGFRKKIRGLMPARGLMPVFSLSALILVIAIFVFFFSRLQTPDPAIVPALPGGAAVPSPPSSSSSAGDLIPKAPDQNADGENAAYSRIEAFLRDARASDSRRGAFETVMDMWRPGDRAFISDEWENMEDERYFQAASQENGFWAHPLEWRLDLVEKLDIPAILSFYLPEAPLPVHLALTGISGGKTFLLSAGRGKSIRVGRPELELYWSGNAVILWRDFLSMTGTIPLDAPDESILILKTLLNEILEQRFELNPVYDYAVRRSVMDIQRQNGLAADGMVGSLTKIILYNMRGGFDIPRIGKGRRRPGR
MTIPFLSKVKLMKLSLYNIIIPYKKYLILYNTLWDSVVMCFRSDMTKWGIDDKLHLSLSNIDHRLVNTLKENKIILDDDINERNMVKNILEETNNVNDVLEFTIIPSLACNFSCWYCYENHNNKEHISQPEIKHIVLALEKIIKNKPEITTFKISFFGGEPLLFFKSVVVPLIEDIKKDPLLDTLTIKVGFTTNGYLISNKFIKYFTENPILEAIQVTLDGNKERHNKVRFSFKGDNSYKKITNNIIDCLKHKINIVLRLNISEDTKLDIAKLLHEFVNIEEAKQYLHISIQKVWQSDYSVYDTIDKLLAESRSLGFDSASYFTYPSSIWSTCYADKANNMVINPNGKVFKCTARNFSPVQEEGHIAENGDIRWNAIHEKRIHLSPINDKECINCNIFPICAGGCSQKVLEDKIGKHCNLNKNEKLRIDYARRVLFEKIEQEKIEIK
EAVYLSYEDMPPDLKQCFLYYSLLPKSITLFNDLHVIGMWISEGFIHGNSGDLEESGQKYYKELISRNLIELGNLNYGQNYCSMHDIVRSFGHHMVKNEALIAHTGEIDILNKLNSKKFHRLSIETDEVQSGDFDWKSLQEQQSMRTLISNVEIKMEPGDSLVTSSSLRTLFIKGAGVALVESLHQLQHLRYLNLRNVGISAFPENIGKMKFLQYLDTNACKNLVHLPDSIVKLGQLRYLNLPNKLTMIPRGFHGLRNMRILGGFPALMDGDWCSLDELGPLSLLRFLRLVQLENASSAANAKISEKKHLINLLLYCTPRPEQNEDGQQRIEKVFNELCPPSSVENIDIYWYFGQKLPSWMMSTATVPLNNLKSLLLFDLVCCTQLPDGLCHLPCLQVLKVNRAPCIKRVGTEFLRPSQPAAASFPMLNKMVLKGMVEWDEWEWEEKVQAMPRLEELLLENCKPGRVPPGLASNARALRKLSIEDVKQLSCLENFPFVVELTVYRCPDMERITDLPKVQKLTINYCSKLKSMKDVPALQRLSLENDETETVPGYLRDVNPRHLELRCGLTLLTTIAAGKSGPEFAKFSHIERVKCKGISVWGGSSDWYVSYTREPYSLDTNANSSFLSGGKTCFSF
MKAALGIAVLLTAFESKPALAVNFDQQQICRAAISAVMGRDPKTLKVTKVDSGVIHLSYSRPDDETVWEQRCRVEGQKVVWATKSGRWREHTLDDVITYTVTGTSLTISQKFTDGSTVVKSYSRAELGLK
MDQKFFKKKLEYRYGFRSEIRSETFEKGLSEDIIKKISKEKKEPDFILEFRLDAYRKFKKMQEPSWGNLFFKKIDLQEISYFSKPKIKKNDPKVKKELNRLGVDIEKNFAIDIVFNSMSVGTTFQEELKKYGVILCSISEAIQKYPDIIKKYLATVVKSEDNFYSALNSAVFSDGSFVFVPEGVQCPVELSTYFRINEKNVGQFERTLIIAEKNSSLSYLEGCTASSFKEKQLHAAVVEIIALDNAYVKYSTIQNWYSGPPGGVYNFVTKRGRCLGENSRISWTQVEMGSKITWKYPSCILEGKNSKGEFYSVSITDKFMQTDTGTRMIHLGENSSSTILSKSIVLGRSRNTYRSMVKIFPEAKNSRNFSRCDSILIGKGGHSYSFPTIEGDSNLCSVEHEASIYKIDEDKIFYLQTRGIDKDKAINMIVNGFCCEVIEKIPYEFALEAKELLFLKLENLMG
GNNLFRTIPNFLPQTFPHLTYLDFSHNKILAVPPNLSDLEDLELLDVEGNTELPSQLPPTLRSLVDMGKLMVFHEPPEDLDVEMDVDEDEDAEKPKPKKSEYYETDEEDEDDDEDGGGVGMERGGSRGSVKMERTGSSRGTLAPEWAGSLTVVAMARTASASSVASSAGLEPLSTDALREEARLFFARVVAAEDAELESTLRRRWAVGDAALVKYVARRYAQEAGKPIVVGGGGPRRGTPREGRSDDEGSVEGGESDDEALVARKAASRQVDFARKVKERNLKQELREGRKMKASLHKSDE
MTEREQALSDDKMRAEIAKLLAETSKINSENRWYPLVVGSGATLAIVAIAKVFL
MSLENKLIAGGFGVATQIAAACENARFEAAVRRLEAVDQSGEQAVEMLTAAFHAERRRRKEAEAALDEAYGELLMLRQMLRDLGVPA
MSSVIGKGGNVALGGGRLHVTVAPAGIDLSVLCVRDHGKVGDDSEFVFYNQPVSPDGAVRLTDGAICIDLPNVGAVTDRLVVAASVDNGVFGGIPLAVRITEDGGLAHDLPITGLSSETTVILGEVYRRASGWKLRNVAQGYSSGLAGLATDFGISVDDEPPSANQNAAQPFSSPSQSAVPHTPAFGQPQVPPTGSPGTSFSQPPAGSPHAASFGQYRTAPQSFPPPPAGATPGATPDSHQRAFPPSAAPHGMHPQTPPPPGVNFSKGAVTLTKNAPPVLLEKSPVIRLRVAWASGTDYEAYALVVLNTGEVVHVATFDAKDTPANPHYRDLVRHLGDRGRGHVRSGGGQTEEIIEVRFAPEIVAIVPVAYSAINNGTGSFFKYRVSLAIENGADQVVIPADQAKKSSWIFTCVPGIVYNRPEGVLIERLELYSKRFSEFRPAAFLQPDGRVEVKMDKGPINQFKADRS
MRSVRNIMQAICGNEHREISGRWQPGYGAQSRIELFAESFGDLKIGE
AWTRLSATRGGKKSNTGGAVGCERGATQAETESCASWCGLTVEGVAHATGTPACGPRRPPYRRLQHTTTTEATKSAGRLAIATQHFNCRSYLSTVSCPESHPPITTTSPPTTVTALFRRPVSRL
MSLSEKQRFIFLSISILTLFFILISMVPPSVYSGDCGETITASYTLGIQHPPGYPTFSLIAKLFTYIPIGDISYRIYLFTITVSILCFLLIHIFFKKFLIILNIPDLNFLSFYPSFIFITGFTVLQQSIIAKGGIYILNLFFLILFSILLLDIYTQGKNKKNLFLFCLLFGLSLGNHLMLQLVLTPAYIYIIYKSGILKNLKKNDYIISLLFFILGAFIYIYLPIRAKIAILNWGDPSTFSNFFEVITRYQYIRSEITRSFSSTFFQALKFFVSSIYENIFIGFIFIVFGCVFIYKSNKNLFWFLILIPILFLLITSFYLNLKKDHLYIMETYITPIYFSFSILFALGIHYIFSILKIYKFMLYIFFVIFLLQTCIFYKILNINNFFIAYDYNKNILSSVERNAILFMSGDGVVFPAWYLKYVKNYRPDVTIIGTPVLPMKWVRDNAIRQNPEIRMPVVKQKIGTESTGYLINAIIKLNIARFNIYFSYNKPEENAIDSDLKIMPKGMVQKVLPNEYAFVSDKYIVTNANMWKFYNLRGIYYWQKKFSPQDTINLYAKDMSVAANSSGTFFEDNMLYDWSLYYFKLAHKLFPSDHEYVYNIGNAYYNLEKYDMALIQYKKCIEMNPNYENGWYNLGVTYYTLKDYKQAIHSFEMVKKINPQRTDVDQNIRALKMFYGNSN
MASSSSSLRGLRRLAAATRRPAVVASAARAKATQAMTASHAQQLSSNAQEELDAMPFWVPWTGSALNRALSPSQLELFASKFLAAAASASCASTPSMAVAALRKERDDALTRARTMEASLASFSHRASDAESKLSLAKHALASELATLRADKEAAELTAADYRIALNALREESRSRSTTDRDRADADAAAVDARLAEVTDALDRAEARTTAATARALDAEHALACALEDAAKAKAKAKSGAAHHATYGALLHDFGYKRVYATPVTSLTSKERVAVYDQQRAFRAERAETIAEEKAKEAAELSGGFCIPGVISIAEGTANVKGGGARKKKGSKDSSGDVVSASILDGQHRVGALDILLARGVLKPTDAILTEVFPNVDDVRAAALFTEINAATPIRFMDLPGVVEPDAKWALEGAAAKLRDAYPAMFSPSVRCKIPNVNIDALREELHGAEVGSRLGLITEDDLVGWMLKVNAELAERSEKQWLRLRPKRGRAARGSEETYLKALAKAREHGFFLGMCDSWLDGPED
MSSSVGAHTEIKPFFRYHLLPQLFFFTMGIPKGSIVSVDVTDQCNLRCHHCYFFEQEQEGVLDLEGWKRRLLEFKRKYRFLYGCTWVGGEPLLRKDIIEYNKKLFLHNLIVTNGTLPLPDWPEVYFHLSIDGNEEIHERIRRQRGLYPLMKKNIARDNLHVTAAMCLTSANFHTIEEVLEDWYASKLLKGFLFDFYTPIQGLDDGLFVGWSKRDEVIDQLIDLKRKKYGNFIALPERVLELMKSHNSKKVTDHCLFEKKAFSLTTQGAVKEKCMLGPKSDCDRCGCVVPFYLHNRADKRTIVKSSWTEARLR
MRILNPAQEVFLRSAIRNALHNHGELLHLTVVEAKTNQTIFHASIREGTHCRIETCQNPESASTCLSDEIPDRLLTAMRDTGKTVLIDDGRQVLRLDFKGNAMTRETLPSRTDVLKSARWAVGKATHLDPNEAAPLLQAIGIMTADGDIKAPMRKKFKQVNHFLDLIAPILREKCDSAPFVVVDCGCGKSYLGFVLFWYLKNTLKRPASFIGIDQSENVIETSRERTKQLAMKDMQFYCSSIREARLPNPIGLLVSLHACDTATDEAIACGVVHQARHIVVAPCCQHELADQIEGAPMYPLKKHGIFKQRFGDLLTDMVRALFLEVNGYTVTTGEFIGVEETPKNLLLKAKAGNAAGRKRAREYEAFKQFYKITPSIDHLKREMEYQRQNP
MCSFAAVTPNDSPDGTYAATLHSCHYTSAWEQRKLGDLYENRDERGSDDLQILSVSIYGGVSDGSLNSDELGKNVRRSEDKSLYKRVEIGDAVLNMMRAWQGAVGTSSAQGMVSPAYIVAKPLVEQDRSFFNVLLRRFATVNQMNDLSYGVTDFRKRLYWDSFIRVTVDCPSLPEQQDIGRFFSRLDSLITLHQRKQSRLVLC
MSLWKFVEEERKSRNAGDVITAGTNYQFTYRIYDAMQGLKLAGTQKQCSGSGNARERFKGLRRQADAFIRPVGFNNPYLLFPEVFVGWKDWVTMLTVEAPFDGEDGAKVAVMVESVWIQIMKVVKDQFIAGRCSFLQVASSLGITRGHKVVFHEVAQGDKDFHISIGQLKDMARELITCLWQIKNRLRDNSLDHTIDRFREFCEFVQFNYLGEGNVNVEGWVVKKDENAEVIQLVVLFHPSSFDTCDLLRFFMNLGRVGDRADPESLCRNLKFDEYMWGLNFNWRDYVLADETLKEILNHSLNREEVKEDNQEEEKGKKQKKPMYYNRELPQFCFNAMKHFWAHANGQKLTKIEVIQHMCFHS
MRVESIGKPFYFATFVDDCSRFVHVYFLRSKDEVKSAFLEFKAYIENKLNCEIKTLQSDQGLAYVGPNYDHYLVKNGIKRERTCAYTPQINGIAERENRTLVSMARCLLIQSELPMKFWAEAINCAVYIRNRCPTRGLQDENQTPFQKLFSEKPTMKYFQTKPGRPRKQYNIKEEIEEAQIALEDDIPSLKEAFNGPNSEEWLEAMRTEYKALLKNQAGG
MMEERLEAGYVRAFHEGSENNRLHNNHIHISPNGDVTSVRPETARPQVRFAPFPESVHGHKDHESATSSLDEPTNEGTLVTTRSQLTAAARLHDSNVPDNAISNLNELTNQSSLIRTRSQPTSAARLPVSKVHDNGTSRWDALTNLSTDVEPRCQLKHPHRVRSTSAVHRPIEVGICNGLDTLDKGYDSLNKPKRMRSTSDADTRAAFKQMACCPEAVMDHEHLVTAVRVESNAAVVLDGCPAGLPRKHDHIVTVLCLPEKDVNPDSKMKRKAPALTFFHLPPPTAGTPSTVVGHVCPAGTAGIHDHLLTAVCALGDSALALTRTEVWAAQQSQLPPDKYRIESGRDRTHDIEIWSDEKRMQAISPPSVSPPCYRNACDVLEEALEVPSSLPPSYSTDALMDGVPAPKAQDGHQGGETMRSGHSKWFHRRHKGDNDSNHRQATVTPSADIPAGSASRTISTSGGCWKSLFTCFTGRS
MSLKIYKHLITYTVAASIFANTSIAATAASFNTAKVSTVNTSLVQRAFDEYQYNMDGWNGSDVEYKQEADSALAQNLKDLLDSGVTPAEIQVAMENRILTSKKKTEYRNFLASLEKQNLSDERVALAAMSFMGSTNQTGASFAGSGGQINYKKIAIIVGVAIVAVVTVLMIIEIKKLKNGEYGVKGEKGADGKDGLNGTNGTDGIQGIPGPKGDKGDKGDKGDTGAKGDKGDQGLQGEKGEKGDRGEQGLKGDKGDQGAKGEKGEKGSKGDKGDQGVKGDKGDQGVKGDKGDQGVKGDKGDQGEKGEKGSNGEKGEKGDKGQDGEKGEKGSNGEKGEKGEKGSKGDKGDQGEKGEKGSNGEKGEKGDKGQDGEKGEKGSNGEKGEKGDKGQDGEKGEKGDKGQDGEKGEKGEKGDKGDKGHNGDKGEKGDKGDNGEKGEKGSNGEKGEKGDKGDKGHNGDKGEKGDKGDNGEKGEKGSNGEKGEKGDKGDKGHNGDKGEKGDKGDNGEKGEKGSNGDKGEKGDKGQDGNHGSKGEKGEKGDKGEDGNNGSGGSKGDKGDKGEKGEKGDKGNNGWGNGDQNAPGGSCSHNNGENWNC
MSDREFNTGFGDSAAEEAKTARRFGQVNSEVYTRGMQCTGGTCGARPVSPGAAGACTVSAGLPEPLLSIPPAVPPPPSLFPVGADGLLNGRQRESANQATEACSVSQVNTWPLKPRLAASLLSAFSRAWFRGLRIYAATALRKKAQHR
MGGGVIAAATAGVALAGAPAAIAAGALGYSAIGSAVGGGMDMAGTYAQTGTIRPMQSAFATLTGGIAGPIGAGSGFITSVILGGAINAINTEFNNSYYGESNSLPYAFGVGSLTAAGGSGVGVITTKGLQQIVKPYVYPTLNPAVPAILQPRVPNPTPGFAGAVTGGVTAGTSSFVPSKEPQK
MGDCSGSDGTPVDPASYDYRRAALDALHLSKLWDRVTKNLRRAVGYEVQCLATLQPQRRLAPHLHVAIRGR
MNESVRIAAREESGIVTAKVIIPHPSESGARKDESGNIVPAHFIKTGTVTLNGSPLLDIQLGPAVSKDPFLQFRFKGVKGDILKVLFLDSFNDQFAAETRVL
MKVKLLNEFAPGKPIQAQLMIRHPNFNGMQMDQLTRMYAPAHFIRTIDITYEDQPVLRIESDIALSTDPVLTFGFTPRKEGRLKVEVKDSKEQSFVREFPVPGAALPSTL
MPHRMRATMQENMALVKVLISHPMETGRRRDITTGKTIPRHFIRELQCELNGEPVLSADWSWGVARNPYLSFRIQQAHPGDRVLIRWSDNRENSQSIETVVS
MSRSMKVRSRPHEGGEVELVVLVNHPMENGLRIDKQTGQKIPAHFIQEIAVAHNGRVVATVATGGGVSQDPLLGFRIPGTRPGDTLTVSWRDNRGESDSVETTVSP
MNASAAGIRFEEGSFTQDENFAQIEQNLKPDNRCMLLFQSIGNSIHPCIRLEVDYPSQHVDGKLPILGLKVWIEKTRREGDGGQHHDIQVMLHEFYYKDVASRSVINARSALWWSCKRTILTQEVLRILLNCSRELPWEVVVAHVNHMMLRLQYSGYDRKFRKEVVQSALAAYNQLVELDANAMPGSRIKRRYMREIKATRLLSNLEQPLKPCPKNQTLSNRGDAPMLTAFSARLMERDHAEVRDETKVDSTKEKEDENEEELRKEEEEVQQALKEAQELVDQKLALRDKNLAAIVQPPEESYFKGKDSSVKKNSAFIKKLRTITEAQRESLEQEFAGLNLSRYVQEAVAAVIDGVPKLKLADVRCAAHVCGLIHQVYGDFSEPLKKGLVKMFDGYGGKDEEKLANVSKYRVTLRLLGELIIGGVFPKLSEGIKTLSTILSNMVNCDKESHVYVQVISSFARHCGEDFAGFTTRKQRLMSEKHRVTFPKCGVVPDEDQAVIHQQFLSYYKSLSVHLLRAHKDLQNRERQNHQTLMTKGELHPDRKEAFEKAQKAYEKLLANTTSLADILDVDMPDLPQDG
MKDLTYFINEVYKAAYLQGREDGLEGLYIEPKLVLDRFIIDQNIATKLSELAFLSAPNPGEKAADLH
MITLRLNTLESDRQKKYQEVGEDLMKDYLPLKELAQLIEFDEFLQSNEEACKQLVQKYLQCGGKNEADFLRRILSQTLTNSLGTFCSWTGQKNNFRIKDTTIMKLMRGAVCKVFSCSDCLFDKIVMEWLRHSKQRLLREQKN
MPIFNPILVGGGGSDAAPFSDQIFGYFSSLKGHVLRIESAIDVNGETLPCEPALSLPCEYWAARAALPTRLEEILGSDYASDAMVIDGTLSGASFQTRPENECTIMVSFPGATPNSPIHVVGYRSGIGYVTLDCTVGNGTVEYRILDFPIYSII
MEQKIQSRILIIIWVVLFISCTNRYKGDSDYSGYIGSWEIEIQELPNIERLSFTMNLSEKDSSIIGEIVEEGGDTVDITKIIIEDGFLNTRYNWSGHDVGFKVRISEKNKNLLEGSFMRFFDVTGKRNS
MANVYHRTEIEPAISAYEPAGEQAVKRPLLKSKKHLEAQRLRVREQTVAGVSLGPESADDPLSSGFGSLPPSVLPWLSLLSNYRGAVSPGTRASQRETGRLECSRQLPPKRGPEQRGKLTVNSVNSKGLPPFLTYNPPAATATATATTPASFLNDKRPALLPLRLLCMHTIINLSIPHMDRHARGRAADTGSFGYTGKNKSPSLPRRLPARLSVSHQMQLLPPHSLPLPPHLTTT
MLERLTKCTARVQQSVWWPGAWCCLLTTLVAQNEAIDANNLTSKTLALSYGRYHSVGRRRAVQTTKQMLRKNQDTWRALLAYRLTPLSNGISLQKSGL
MGRAVRAKRNNGILIAGCGRLGSGLASMLSARGHSVTVIDRDGRAFRRLPAEFAGFELQGDATDPDILRDAGVETAGLLVAATENDNVNCMIAQIAGRLFGLGQVFARFDDPELSYLVEGSGIHVLCPAQLSMDAFSAVSLLSADEAGTPRRGGIFT
MIDFEEAEGLDELDGREIAELLYLGHAKTHLDPPFFRKLNNQYVYLAQDDGWFNKIYFRSLSTYYMMLGRLIPLKLEPLKVERTWLGIRKKKS
MRSFGRILPAFAGQQKNSHAPTPAHQTRWTRDVTILARLWRLGKGFRTISEFSVLVKPRLALPPKITQLTGIDQAMLDGQGIRLHDGFRQLLDFLGDRPVFAHHAAFDQSFLVNASAQCGLGFSNVMYDTICMAEAAWPELDSYALFSLAQRMGLGNSPAHRALADAKATLDVLKAADVVLRSPHRPKGHQRRC
MAGSGDRDGGRAKADRPGGKTSRPRPPRRLPAKLRRDQLLDEAAKQLNARGVLMTSLTDIADTLGVSRNALYHYVEDREDLVFQVYRRSCEILARALGEAARSGRPALQVVSGFIAAALDPREPEIAALGEIGLLRPAERETVVSLHEGVIARLASLLKAGVAAGEVRPCDAVVAARCILGAISWAPLAAHWTRDVSADRPALIDAIVGLLENGVATDRAARVDPPWIDLSPLRPARIAAFDRAGLAAAKREAILLAASRLFNAKGVDPTSLDEIAEAANATKRALYQHVGDKQALVSACYERAYRIFFFSEDEAAALGLSAEDASFAISRAGALARLDPEISPLRPLIGSEALNAEQKTQMDAHSHTLFRRTRDRLARMQSDGAMREDVDLDMLMLVLPGIGTWLAGGAVAYDEPERRAVADEVAALMRIGLAPVASPHVAEA
MARPPISDAQREPAQMAGLIRQQGWCVLPKLLSEEDVIAIRAIVLSEERRQTREREGWTRTSIGSADPARNRLLSKAENIVPRIGPHASFLCDPRYMGVLQGLLGGYVRVSSDFGIVTFPGNEREFWHADWPYNQTVAAHLPIPYGDTILHLGSLVMLTDFTLENGATLVVPGSHAWGRNPTAAECLADASAVHADEQQVTGGAGSVLIYDTRLWHSIAENHSAAPRVALAIRFAPWWLNLEVRRPGSVQARLVEQAMPGRPTPIPTLSRLDFEAMLPAVRPLYAHWLDERADLPLVPPAPQSAGAVDDALLFDLRHARLALPMTQLALERGLFDNLARAPAGALAVAEFLGCSARAAEAMLAVVAALGLLEAGDDGRFSLAPQARHYMLPESPYFRPALLDREDDTLGLLRLAFAPGHDAITPLASDAIGPAQHAGLRDVTLRMDALARPAAPVLAERAPFAGRRRLLDVGGGGGAMCLAIAARHPGLSCTLLDREPVCAIAAERIASSGQEGRIRTLARDMLREPLPEGQDAILFANIFHNWDPATCSALARKAFDALEPGGVVVLYEVLLNPRKDGPLAAACFSVTMLLSHRGKQYTFAELEAMLGHCGFIECRAEPVFGRYHMICATKPEFA
METTDKLDNISLCEPRVDDCQLWDVFLGIYGYSALLIAHRMKLFAILAKKPHTLEEICSILDIKSRPAEALLVAAVNLGFLRIKDGKYLLMPVAEEYLLENSPNYFGYYWDIIIDNHEVYSFASLEKAICTDTAQAYGGGDVFKSHEENNESALKFTRAMHSVSMGSAQAWPKFINLSKYRLLLDVGGGSGAHSIGAVSKWSKLQATIFDLAPVCTIAQEFIDKYALHERINTYAGDMWNDAFPSADLHFYSNIYHDWTPEKCLFLTEKSFKSLEQGGRIIVHELLYNDKKNGPFSAVGCSMLMLGWAEGKQYSGKELSKMMQKVGFKDIQVIPTFGYFSIVTGVK
MELLGLFNRILRDEEVPKSFTRAILFPILRKGDPADVVNYRGISFMDVVSKLFVGLLADRLMDWVQQNDILTEAQAVFLPNYSTCDNIFSLINIASIQFAKKRKLYLFFVDFQARDAIFYKLHNAGVSNKFVNVLRGLYKETAASIWTKEGLSTEFEVRMGVKQGCLASPLIFSLFLNDIGDCLEGGIDIAGRNINVLLYADDLVIMADSKRALQKMINRLESYCEEWNLTNNTENSKIMVCRRGGGRLAKKEEVNGSIVIALKSRIGTELQKKLFLIHIYSESLPEQVSVCPLVRLWSFTTSGSIVCYLRTTGSMLNRMRPSPRRVNGSIVIVLKSRIGTELQKKLFLIHIYSESLPEQVVNGSIVIVLKSRIGTELQKKLFLIHIYSESLPEQDLKYESTTMRHRHQRRFFNWPLFSQIPDDVYIPGRRVNGSIVIVLKSRIGTEPQKKLFLIHIYSESLPEQVCKTKFKVKVNFEIKVNFEIKVNFKFKLNFEIKLNFKFKLNFEFKVNFEIIVNFKIKVDFKIEVYFEFKLNFEFKFNFEIKVNFEIKVNFEFKLNFEIIVNFEIKFNFEIKVNFEIKVNFEFKLNFEIKVDFEIKVNIKFKLNFEIKLNFEFKLNFEIKVYFEFKVKVTFEIIVNFEIKVNFEIKVYFEFKLNSKFTSSSKSSQLPVQSQL
MSKSRSRFLLTLLSDNPRAAACVSDERFGDRSYTRHFFRDCYGPRSSRRFLLQLLLPEREQFFYLEQLESNAKCSLSCNHRTTLMNTLIHNKCTMLTVPRRCDYCKSHAYR
MSEIEDVRKILIDSPSINLLKSRNVVFIISFLNSVFGENQSAIASDDLYRRLEDFLNYSEADVSDEDHGDKLFETDEIKSKAYIKKWTDSGFLSNYYNENGDIIYQLSSYTIKVFNWLASLKKKEFIGTESKFKDIFNQLQELVERTDEDKEKRIKNLERRKAELDEQIQDLKMGKEVETLDNFEIIPRFDRLNATAKELLTDFKEVEDNFKKITNQIYQKHLDQSLSKSDVLDYTFDSLNRLKESHQGKSFYAFWEFLMDSSLQNQWIELTDELYSKLEEKGIQNEDTFLRGIKSYLFKLGKKVSYANDKMAEKLSRIIREGNSIDRDLIKRLITDIKKNLAVIGQNNMRPSISMELEIAIDLNIPFEKKLTYEKKENHTYSSKPKIANNDFSQVEDFKEIIARKIINKAKLKSNINTILKHQNQTTLIKVIKESGGISEGLPELFGYFDVLQDFQHEFNGQQIDTVFFDEENNKSIQVPEIIITK
MLAMFHQEWQRQGRDSVPARLAIVDDDPQSQFMFPEFRLAQQMLEARGIETVILDPSELDYTNGVLTARGRPIDMVYNRLVDFALEAPNHRALRHAYLDNAVVVTPNPRVHALMADKRNLILLSDARTLREWGLNETEAGYLEKAVPDTRLVSAEDAETLWSNRRRLFFKPVAGHGSKGVYRGEKLTRRVFEDILKGDYIAQNFVPAGERTLRIDGEVTTRKVDIRLYTYAGQLLLAAARVYQGQATNFRTSGGGFAPVFQV
MGINWSNNITSYYKGILIPQDVNDERPIFDRWLYEVTVSEAAAPGLLLLTANASDADSGLNGQIRYHLEPLLEADKKPRYSVSSFNVDSQSGELRLAEELDFETQRTVRFWLVATDRGTPPLSERVPVHVTVTDASDNVPEFVRFPPDADNKNDGQPCLRSVTISDAAPTDAFVIRVPASDRDVNDSLRYSVLNTKDYAFFRIGPDGGAGGTVFWQ
MKTIALGAAIALPLVAFAPAAMADCGEVSIASMNWQSAEVLSNVDKIILNDGYGCSADIIVVDAASGITSQVEKGKPDIIPEAWVDLFPELVKGPLAEGRVVALVPSLTDGGQAGWFIPRYMLDKNPNINKIAEILAHPEAFPSQEDPSKGAIFNGPTGWGGTIATNQLSKAFDVKGKGFEVIDTGSAAALDSSMAKAYERKEPWLGFYWEPTSLLGMYDMVPVDVGVQHDAAEWKRCTSVDTCADPKPNTFPKDNVLTLASKSFIERTDPAAVDYLKARSWNNKTVNALMAWMTENQATGEDGARHFLSEHPEIWTKWVSPEAAEKIKASL
MPAHEPVARGDEYLPPSEKRQVTGELGTFKAIAISAVIVLTQFVQPSTLNHGLRADFIYMIPFGAGVNGALAIGEDLGVPPNKATWTVAAYPYVSIIMIFDAGGVCPHGWSRGRLYGHKNVVLAAGIWWVIFQPVSGFVRNFIALCVIRALTGIGGAFMVPNAIALLTIAFPPGRQRNITVGLFGAMAPIGAAGGSVFPGIFPRDKGGGRIDYLGVYLGVAGLILFNFAWKGYPVSQEPIVGSDEPYVYVLLIVSILHFAAFVVWEWRVANEPILPLDIWPAPSFSIMIVVAFAFFSFMSVGIVIWYISIRNLTIRHYTLFLNGAGYATLAVCGTVAAIASAKVIRYLPAQYIMAIGSVASCVALTLTATMPEQQTYWVQIFPALIFTARQQGVAASLIGTILSYGLSTGLGFSGTVEVYTNNDGKDPVRRIRHALYVSAWPDVQWLWR
MSKKMLNFQSDTPRNSRQTPRKKRTSAPVGEILQYTPPQLRENAAGIYVEFYAYDPSLMRMRRKTIKLNRVKGTLRRRQYAREIIGRLNNELQHGWNPWIEKDTGTMYLFEEAADRYETHLERMYEGGYFRKDTYAGYKSYLKILRHYIEKECPIRYVYQFDRRFCTDFLDYVFIIRGNGAQTRNNYLHFLRLFSTFLVEKSYLNSKPTECITPISKRLYKKERTSIPLEQIGKMGAYLRHADPDFLLACYLLYYCFIRPVEMTRLRISDINLAEGTITIPADASKNRTRQTVTAPHKVLLYALDRGLFDAPGDCFIFSEGLRPGRTQIDPKKFRDHWEKMRRALRFKKEWKFYSLKDTGITAMIDGKKLSNKAIRDQARHSSLAITDLYVDHGDGADADIAVLDGAL
MKLKRLLSLAGFIAAILFFTGARLYAVDAISVTDAEAFSGGSDTVEVYITTDQAYLSAEVSLTFDQTKLKYVEGSLDYNINAWNPSWGDPLVSYDSTTGELRVGFVSFVSTETQIPKSTAPLKLFSVVLEVAQGVAQGPVTVTPSGLFVTGENESKTVTTLNSGTFTVKSNFQLEVDSVEAGFGISTPVEISLTNYSEVLGIDLALSFDSTQLAVDSVVLNTDLWAGVTPQLQVDSTDSDSLRISIFAVATTGISISSTPRWIAKVYMKTAEGAVVSADNPIKLEEGIVTVLDDAGELTSNSAVLVDGNFEIMSKYSLRVSRDAAAPLGASDTVKVYLRNTEAVVAVEAELKFDPANFTVDTLSLVTNAAIYSGDGASVSVVSSSTDSTLRVGYITVSLDSIAPSTEEKLLFSVVLNAKDTATPGVDTLEISGQVTTSQLETVEIPAGAITKGTFHIRSQIEYQVQSVVTKPQTTKTVNILLTNRYDVLAADLFIRFNPDSLKYVNGSLSVNNDIWESGTPEVLIDTSATDTVRVGLFVSGTTIKIPASSASQRLLSLDFAVDSSLGNGDVAWLEVGGLVTILDSLGEIQSLAATGVPGYVSVVHDISPPDPVTEVAWTVTATAISLSWENPTDADLSHIIIVRKPSEGAEDTVYNSLGAAEIATAFVDSNLTAGLSYRYVFTAFDQVGNASDTVSTDLLTPVSVEKNYLEVISSGTYPGGETTVSLDLLSVSEWISGVGLALNFDQTKLQVTKVEPGANAAGLTVEGVDLNAANTTGSLVVSLLDLTSTNPVSHGKYNEVLLVTFSALEDAAAGDTLPLTLTSVSLSNPSAVDVPVETVDGELVVSDAVLSLSKGWAHPGEVDSIKVISSSGSQIAGAGFTVVFDQTKLQISAAHAGVDAGGLDDPLLASAVATANTSGRLVVNMVDASLANPVAAGSGKELLVITFQVSDTVAVAPARIPVRL
MNTTNQNDPNEENVLILCHKVADLSLRPLEDVRGEIQRLAAEVQTILAPGN
MTYKVPSNSDYLLLFILQKLKGRVPKMENNDDDEEEVRETVSKSFQDPARNDWESRRGRGEKQVPHKLNDPGVRGVSDLAGWWLQQGALGAGFWRGFQFQRPLGERFLDRFEKNVASQSIERLLH
MFRNFKIIYRRYAGLYFCICVDVSDNNLAYLEAIHNFVEVLNEYFHNVCELDLVFNFYKVYTVVDEMFLAGEIRETSQTKVLKQLLMLQSLE
MSTHIKLSIIIALCLGFCITSYGQCDSIANICSKNIPADYISDGQSYRAFLTDDQVAEFRTTFFAGTNYRVAACSGFQPTNVKFRVLDENRKVLFTNEDYDLLSYWNFRSEHTMDCIIEAELNDEEATSGCAVILISFAR
MDISGQIKGFNWQLGYKSHNFDPDQYNNAIFMLTVVHKVAAVKAHCSSVVVYWGDCEASCLNNCSCTAYAYDSRGCSIWTGNLLYLKLLPTYNSNGRTLYLRLAASEIKKQSSVKGKFYIFLFWIITVAVVIPCAACSVYYLRRKKLVSKQGISGSTLGNPAISLCCSEKHERDFLLSGQFREDEKKGIEVPFVVLEIIVAATDNFSEANKLGQGVFGPVYKGKFPGVQ
TLFRSIETQYHFGGYGKLNQALTDFTIEFEKTYEIQLDPVYTAKMMYGIFDLIQHSAFQSGSRILALHTGGLQGRSGFNF
MIGGNKLKNWSINLDYHFGGYAKINRQLIEFTDIFEPVYTGKMLFGIYDLVRKDYFYVGGKIITAHICGLQGIADMENKMNKLRYIPFTNWFSDFVFYIYLK
MAIMSTHPKDFERVRDLSHDLKLHQPDDLKIVPCFGVHPWWVSELTQEDWQEDSARDALPRWLVEVEDMLVTYPHSIVGEIGLDGFHFDRATGGLKAPMAKQVEVFRLQMELAAKHQRPVSIHTVQCFGVLMDTLSIIKKSTVKLPPKMYFHAFGGKQGTIDQLLALCGREPGKVYFGFAPVINFRSPKTADVVRKVGLDRLVLESDHEDAQFVPKSIEDCVDFLASSLDVGEEEVIQQTTRNAFSFYGLD
MINCLFLEMKYRIKGSVFRILFFVLVILSASIESFSQKTYLDRFTSHHSIDLIACKASFKQVYIGKAVNVENYLLTTIGNLQKKGVSMRLTHQTESPGGVHLLFEQLYNSVKVYRSQIKVNMNKKGSIISLFDNSFSMNSISNEAFPSQVVVNSYAKINGINKPYRHEKVYFSDGNEMLPATRFEIFKGDEFYEIIINNKGIEIYHKDLALYAKAPQDSIVSCKIFLPDPLTTANVTYGTPYIDNNDTDVAELLDQIVSDSMTVTFENDTFKLESPYVKITEHSFPDVQPAVSTTPDFSFTRSQDGFEDVNAFYHINVFQNYIQSLGFDSLVNYQIHVDVHGLFGQDNSMFINTTNPPRLTFGEGGVDDAEDADVIIHEYGHAISHSAAPFTNSGTERQALDEALGDYLASSYSRYLNPFKWENVFSWDGHNEFWLGRSSISTDHYPEDLQNNLYEDADIWSSTIMEIWGDIGREETDKIMLQSLYSYASNMTMSDAALLLIQADSLLNNGLHHTAICFRLYNRGLYMNCVLGTEEFRISDLGFRILNSQGFAIGKDVFIEFDKPVNAIIRLFDATGKLVFEKREKQVVQSMVSGESLPSGIYFLNIITQEKSGSLKLIRF
MPASHGHPTAVAHPHYQLTSQTLAAAERRVGSLSENAGTRHHPARAAG
MKKMKKESRSVIQGQGSTTAFKRFLHTSHGGMHTHFPASLAEFNTGVLTALDALLNVKLQFGYY
IDCLDPDCNANALCPDNDGDGISDEIDLDDDNDGIPDLVEGTGDTDQDGIPDAFDLDSDNDGIFDVLEAGHGQADANQDGVIDGPNAAFGANGLFDNVETTPDSGVLIYVLTQSDADGSPDFQDTDADGDGCGDAREAGFDDPDENGLLGADPVSVDANGVVTSAANGYTQPTQTTPGFFDFQDPNTLLACDNPVIGLAKNVTGVTNLGDGSYRVECELIVENFGNVPLQNLEIFDDIIGQFSGMNPVGFQATDGTLVANPSWDGQGNSNVLFGGQMLPVGASGTVHIAFTVTPGTTLSTNNSAVAGGSSPLGTFVADTSTSGTDPDGSDNDHNPDENDPTPLNFTESPAI
HGQHEEKLKDFEAADKEKHVDSETVVAKSGEQVGNSDGYKATGATGMYIGTTDKEMKQRARKGRRRRERPPLIEGACDAHGRCRRPAGLHALAQGAAMAGGQQPSWVGPFSGPAPARAGSDQSGLGRRRLAGLPTTASVSKRRSSRPPSDSLRRLGRRFCAGSVAGSIGREAAVRCIVAPQRRLKDKGKEKK
MNVSPELSQLVSRSQRLGADSTLVVHGGGNTSAKGSVNRDGEVEAVMWVKASGFDMRTSDESGYPPVRLAPLLALHGRSEM
MKSKYNEKEAKSYIKKYAKRGVPKDLALRIYTTQLLGNDPTVVLHGGGNTSVKSSLDTLLDENEEIIYVKGSGKDMGNIEEDGFPALEMKNLLGMRKLTELDDFQMVNYQRKYMLDTSFPNASVETLLHAFFTS
MSGQDAADIVQMNSLSSEIPVVSGGKKKSSLLWIVLGCVVMGVGLGVFVYQQSLAPAPKPSATPRASKAPTASVSPVVPSPVTPTTNEVGPVSNTLTFPKKGKLRIYHTLNNIQMVLQLTINGTVKTITLPNKATSATTPANFADSSFEVEAGSTGTLVAYLNSTSGPKLRGWIPPMDSNNKKECGVAGGSVANNEEQIAFIKSKLAGESIFEYQCWEDDDVPGEFNDLYMVWTYAPSTATVSPSPAASATTSPSPSASVKVSPSPSPSPSVKASTVAVASPTPTPSTRAAMPDTSEGVPVTGVFEITVGTVSVGLLLLVLGLFGLLSL
ETEDVAVAVLRFANGAVGTIAATTGAYPGVGTRIEIYGDRGSAVIDNNKLGYLHLARDDSEEVGPYGGAKPQSHAGNSTGMTGAADPAAIAFDTHALQIADMMRAVRKNETPLLDGNGARHPVEIILGIYESARTGREVMLS
MKILYTLFVVNVLFFSMQAQNLAESKPALTPEQAAAIIVELQKRGIDVNAVVSQQQQNGRVRVAGTVVVVDNSNGASGQAHAMAQRVEPVKEQCPDLVD
MFQLFTTEDPMDLDIDNESMDLDYIDPSILPQFRPVDARSSHELLLMDLKSINASISDHFQFKRQQRSYRGLALRKSIVRNKPQPLSQSFLSNIDPAIIAMSRPSHREFPIVRMAKLATKPVRFPPGPGVASQPAPQIESQSAPQIRSQPAPDVAGQPAPQIRSQPAPDVTSQPTLTKPLNRSNSGSEELRDAVSNLNIAAQPRLELSNRRREKRRLVEAENAQRKAAEEAAKEAEAARLKAEIEARWRAEQEAQLLQSGTRRIPTSSVFPPLSESAEESILEALAKRPTSQVAKTPSGQRITRRDIGKVLPQAGTEDDRSGWLNDEIIAAYLEMVVAYGSSQKQKQQQSNKSSPQQQQTQTPRLHAFNAFFFTNLAKGGYAGVKRWAKKAKIGGSSLLDVEYVFIPVNKGGNHWTLCVVSPTRRIIEYFDSFHGPSTQVTGKVKEWLAGELGNKWKPEEWSVVEEPGREGRGGGPRQNNMSDCGVFTVTTAKMIVLGVDPNAVSAADMPLQRRRMVAEILSGGFTGGFEPNITF
HIPPKSTLRERETDGREQLMYEIPLNESGSAGLGVSLKGNKSRETGEDLGIFIKSIIHGGAAHKDGRLSVNDQMIAVNGESLLGRSNHAAMETLRRSMSYEGNARGTIQLVRQRRSEHGDERARRQSTQQPSGLRQSGTSE
SEEACRTIERGKLELAMVTLPRNPGALHWQKVWDDPLELVVARHHPLAKLRKVTADNLCSHGAILPGPGTYTREIILQALGPIRDKITIAMSTNYMEVLKTLTTVGLGWSALPLTLIDDKLKVVHIEGINIERQLGIVMHGKRTLSNAGQAMINIIMDKQ
MKEGTDATQGQELGTDEHRVGSSDGVIGRETTRVKKGCAFLNLSPQTSKNLLFKESIICVQFPLCVALLFFVPFVSLWFHLLSYFVLLATWRFHPAFLLAKNI
MCLYDSDDDVAHCLAAHVAQGRTISELMRRTGLGERTIRRLLGGLDDADKRPNPAQVAKMVTEYRAGLGIRALSMKTGYTYYAIRTALLDADVSLRNPGGNWRDRS
MAKRLDVLTPLESATSLLELDGVQLFLGTETHGTGKLSITDVDVAWWSADRNYGYAVNYPDLMLHAVSRDVERFPEPCVFCYLDLEGDDASEVGESRLREVLFMLPQCGSRIATPFTKDETVSGEAEMRFVPADANQLDAIYTAMCQGQAANPDMNGDDDDDDDVAEPEGFDPASVGLDGAGAITVLDGGENGVDGSDDEMLPMAGGLASRELNDVGQANFDRIAAGLLDGMAGEDEADMFEDAD
MNLEDAFMQLFERKGRIGDQIRQQVRFHTETLSVNFVAAGGGDPSEFCWKNGAGAASDFSFPAGFTYQFGVSKEDTNIGRESEDAYKDIEDVSNKLSHVFAKSLIEGSNKTIVQTEGNGLEHATVSISPSVNIPEKITAVDTENNNILEVSNRKRNSTPVKYPESKKHFFRNPTVLSTIPLSEYLEIIPSEKDNVPLDADELKTSNDPKEVCTIALERQYFSKSSTCNNRKVFSESNRTSKSSKAVSYACNPNNTRFGRNKSEVKDSTASLSEYLEIIPSVKDSMFLDAYEPETSRGSKYEVVDGFVEKITMHDDLCNPSRQALNSASSLICNVNSTSHIFSKRQDAMVINRSRAVPLKHNLFLQTTTKTDDNYDITEDHMIASECLYFDRKVMSAEPNRTFKPSDDVPFACNYDMKCSEMSKREVRESLSIYGTSQRPRLNSFLDSNQCKLKISSVDTPEPIKGFYNSMLQNVSNDENMEFHNSTMLNTSLIKHSGDIQQMKLQVSRVCYFLLCIYVGNESECWTHCLILFRIFTLPFL
ARAPLTAAATAPGQPAGALESAALAVGQEGTVLRYAPGGGWTREFLLSSSGAVSKPLLRGVAWPEPGRAHAVGDLGAMWLWRAETGLWERDPAAPVGFEKHLMGIAFAPSDPLRGYAVGKEGTILRYDKSWLPETVPDGFATRHFTGIAFAGNQAIVAAGEDLLVNDGAGWRADADAKALLNGARIVVVAGLPDGGAVAAGPDIVIERDSPSDPWRRSAQPLLGVTPVALAAYRLNGAVRALAAVQPQLPYPVSDVLPEVGPDSPPAIIPAFPLPADGYLVRETQDGWRDEQRTAYAGSSADRPVKSDPIGALLVDAGGEGWALGGWSGESDAAGRGNGSRSGQGTADRARVQTAAILRYATGAQPAQPPALTKTGTNLDAAVARFAVAGHAACAEACADLRDESLGPDRTLRAAKDLVAALAAQGNGPRMLLYTGGRLPTEQKLDEREAARFAGLLLPGVPAYAAPSAADTAEGAAGTFTDAFTAAPAPFGGGLPAEGVAPTTGAATARPGARTHYAFDSLGAGGTVRVVVIDNSAGSLADSDPHQNPPEPQLPWLIATLDEAKRQGVPSIVMGSRDLNTRFAPALNVATDGDEVAQVLVDHGASAYFFERPEENRVYPIPSGALTTIPSFGTGTLGYRSAQANTTTGPDSVFGDAGLLLAEVDTAKRDAATNRAPVTVRLLPIIDELTLQAVDGTLLRRSRPSLFQGLGRRPVGGDRWGAAVGGGDPQPPGSDPYTALPPQLCSGATCATRIAPEYEFTSSDPDIGDFVAQDPSSTNLRKPAIGPDDKVISDHKSGLFCPFNAGTTTITVKTGGIAYSAKVTVQAGSVQRPCGTRPLDATRFKPPAPATPPTSPPPPASVPADSPLSLVPPTPPPAAEQERERERREPRQNPPVQPVATPIPIVDEPPPQQRNTPTSNPPATPPPPASSFARPIPPGGAVIRVHEEKREEEVAPDSSAAAVAYRYSDHAPVGVYLYGLVVLAAFAGATLRLGLKRRSRGVEHAAVHTPSTLPYRRRRP
MFDCFLNEYLLLPGQNRVRSADIPTNGYRVPDSQKMPCKAFVKGLQGFSLVLQSTQPSVFHPRPLMQETSQAYVFSQLLQQVENTFRRAHPQETATIRDWKGQQIVALQEHLVATVQGRISEKWS
MLLLLKLLETNRQRDRETERQKTDRQTETDRQTETDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRHTDRQTTDTHRQQQQTDNNNTQHTHTHTHTQHTHTHNTHTHTHTHTTHTHTHTHTHTHTHTHTHTHTHTHTHTHTHTHTHTHTHTHTHTHTHTHIYIYIYIYIYNKTHTYTYIHTHTDTDTQRDKQA
MGGDGGGGCRLVVCELCCWACCPLFLLSTLSRCIRCAPFLSLLFHSFSSVPQISSLNSATLLRVMMMTMVTVRRRAVCAVLFLGLLCCCCSSVCVTAAGSPGHVSGDADTVVVPVDVSCPQSDGSLSYRVRGGVWAWTKCSAAGAEAQYENYTFSGFDVRMHSRNGELGTVCHVANAVYTSNNCAASCATKGEGVTVAFTMNVTTHEYAGLYELWWRQFSSDGTIPALARSSDADQTGICHPPPPREGKDERKSGEATAPQVSAEPSRQNEGARQSAAADAPRTVDPSPASNTETNTSRKKPRTATEPNENVAPTPPKRKPSIAMSRNIEMDPNAFVTNTASATALRMAVGSKDARKSEAVDGSGEHVPGEIAGGMNGHNVSGTPKRHQEM
MPGLAPTGTMLGAAADNVVASAGDCCRACRANKRCTAMWFCEAQGGCASAAHNLSVPYGGCQLVEQVATRPGTGRPVLVVPAPGFIGGAPLRHPAPAIEEYDVWPGLGYWYRYDLECGDSVSQPGSCGLRGGPQGSAARCDADPDCQVMMYFPEGRDYPGAGQPVVLLKGSTDVQMGIQGANINLNAVLYKKRAAALPGTAGGGLSAGAIAGIAVGAVCAAALLLAAGVWLARRRRRPEGRPTSVEPKPSSSGSSSGCGGDAQSKDLLPSPPGSGEPVLIGGRVVSMSQVPSQLLPFHPAAAAAAPSVASSGSAPLSTAAAQLPPGLDRSPLGMPPAMRAAPATPAEQSLLSHLPVYGWQRSIVDFSKIQFHVGPGGAIQELGSGSSATVYRVVLDGVDPHAAKVFRLGADPEAQLYFLEEASLLRLLRHPCVVGFAGVCVTDGNGIILMELMEGGDLRTRNRDVDADGRRVFGWYQRGRTVALDVACALNYLHSSTYTHFDVKARNVLLSRDLTAKLADVGFARAMRATHHSIEGPTGTFDYMAPELLTGRKCNNSVDVYSFGVLLWELCVGEYPARGTMRESRVPQECPQAVAQLMTECLQENPMLRPSAAQLVERLTLLQ
MWAHPFLPLQLGLASLTHNTMANFGFSISDIYLISNYAYSVYKSCKNAGDSFREITVEVDSLRSLLLALDNEYKKPHSSFRHLTPVQEGQLAARLQDCRADLRSVKAILHDFRSLDTGDARSRYRDKPAFTSGKQAAIRDKIGAHSARLQQLLSGLNVATFSRIERNTEAHYLSLLEIHGKLDKIRMDISSGRRNAVAVDDTNEAVALEDEILDDNMTDPDVDVSLEIFEWINQVNRYSLPLISEGYSSAPTHYLDDNLSLFSVDSRSLGEGVNATANNFTFASITYNGRQLKIASNAVSLEARAHRSFGEEHHFHEKEKRWVKGKPWPNLLHFGKSNATNTFHVLEFSLEEIYSGASRQLDVVRRISPMKGYDTSSFEELSLNVQIGKGFRKNDTMRYCEAGNRCVWYTESLVFVVQCKKQAVFGGRDANLTHTIQISTIEAIEGWEREIRHPSGKMIEIYAGGSTNVGRFLEMENYGLPYRDKPGTYGRLLIWIQVTDIGEISAKQSAFLKLHEGPYFARVKGRRGRTYESTGKHPYGVFTFGLSAMRHSQAWSAGAR
MEEESSQSVISANDSNVGESSSETKGKVVSRLQLREILKRGIEAQERLASRRREKLYILLVPGVIRLIEIFLSMVNVAAYGFLYTYYEVKGTEAVPPYFMATSRETYFLMVNYHSLIATVSLICAATISPTNNAALLGSLFLFIFDIIQFIFVTLSAITVILLYEDPIFKTTFKDGLAMKKFLGVCGIILGCLHVVSAIYDIAVFLYVRKQNKLSALNS
MKLIKTILLCFFLLGISNPTNAQIWKKLKKKAQEKLSKTEDKLIDKLDKKTDKKIDETIDGKKKKEKKIIQSNELPKFTGGSGVLKLYSHGYEYISKDVAVSVYGNFSKSNLSSSVKTYNENRVIKPVDAYPEGYALAFNGSGFLNPKGGQITIHHADSTKVVFSVKGTWNTIEGNKPVAGSFVSLNVSEIVDKRINNNSNNTKIKNETNKNIENENSNNNFYKNNRNNSNVAIPSSFSFTSSLEVKMTSSNGGSADLEFLLGNYSNIYAMSVAAGEMGNGGKVYNVVTPNSITMFMDVGGMKMKKTVPQQQLSQTNFGNKVPANPDELKKTGKTKTILGYTCYEYKYINEGGYVSVWATKNFPMKNTNITMLGMREGGIIEGFVLEIDMKSKNESGNMKAIKFNKNKSVKINTNEYKSMGF
MANSLLNPLEQLEQHRLRLERNLEELGNSLRQWQTWEAEYEGLKEEIKAVKGDPTPQDLLNIGMNFGGDVVNEKEIKEIGGLDKGTRRSRQNIIDIIQRRIDYSQQNAHTLQKQMAIAQDKLANLTSIKDAPELKNEEGLAIMEIEEELDDEDNVISSSMHQPEKSAANIIEAAEKALGAKIMETEKINPEPDNPTNPPEDPTKVTEQVSFTKTKDHVDSTKTIENVDSTKNTELADSTKATELVDSSPIMEVVQAPSIVISPADSMTPKKMVSFAEDTKEPQELEEPKEKQRPLTIAEQKARGPVPVTKGERIIEVNEFDEEIGSQPIIPIDEDPIDAEMRREMLSYGLNEVGAVVAELDLEEGDMEYSDDDDYDEDSYDSDADYEDEFGRSTRREIDDDYRKEMMELEKKLNATRIENVGPQPGDKDLPEELVNDISKPVDTEDNVINAATKPATSLKLPKNEPTKKGVRFAEELDISPALIPAIPQKSAPKMEGTQPVMRPVSDRVLERPAVAPSPKLEPVTTRRISRFKSARTPSQPSTFMSENTDPSSNPEPRRIPEGPVGEILAKSVIEKPVSTQHVAPPDPDAYNPELHYQEVAVEYHNQRNARIHQQGGFKPSLEEEEDPLFEEKNGQIKKVSRFRAARLKKEGLI
MADNADGDFEVDLISVVHLADPSYYHQLQADSAIYDRYLYELITSETSTAIGADGLRRVTADMQPTEGQVALARSYGLAPQMMCLDLRRHQHWVLADMSRERLQALQRESGEAVWGERLGIVEHMQEVGKALVVGRTADGRVLPKFFLTGGLNASALALRALMWLMPCPEAQVMLLDWARAWPAAGGVSAVLSAVIDRVLAGDIATAKKLAFAQQLVSGQRSGGGSTTSAAAASTSTSGGSSGGSGGGSADVLMTGRNAEVMRELRRSRLAGLQRVGVMYGCLHMRDLQERLRGELGMDRVGVRWVTAWDIPIGPATANQGRPAAEEARRWATVAGAALAYTAVGAWDWSDTFLHVSSELERGLSTDAAGAAAGAIGLYVLRHVYLYYGLAKW
MKKIAQGLKWACIVLLLINLLLWGLYNASGHQIPTETNVFFRNNFFLLTFILAISMVGLFFLKKKKQ
MRPWSETAHQPPGSFALCESVAAATTTVFCSESEAAATTKRPIGTKINNTLW
MIKNPFSNSRKSSAGKSKQPKTEENCRVVSELLRPNGVDQSWRVFSILSEFVSGFNLLRKLGPAATFWGSARLRPGDKYYNEAEELASRLAKKGLTIVTGGGGGIMEAGNVGAYKVGGQSVGLNIRLPMEQKLNPYTTMSQTFDHFFARKVMLAFSSEVYIYFPGGYGTLDELYEILTLIQTKKISHVPIILYGKEFWTPLVDWMKRELLEKYQTIDEKDLGLFSIVDSVDEAEKKVFSKVAVVCEI
MNKRIRQKRTNRQPGSAIASGKKDRLHASFLNSDFLLGRDGRAVRILAEFLEPEHRLERFVIRDTLVFFGSARILPRKIALANLRKAKAKSTRDSKSVHAAERDLQMSRYYEECAELSRRLAEWSNTNRYGYAIASGGGPGIMEAANKGAQAGKAPSVGLNILLPFEQQPNSYIDPQLSFEFRYFFMRKFWFVYTAKAIVMFPGGFGTIDEMMELLTLVQTHRITKKMVVVLYGREFWTRVIDFKYLVAQGVISPEDLRLFQLCDSVDEAFAYMTHHLPRNRKKFRLNLEA
METSLRELWSVVDGLSNLLPPRPTYYRVTIFGSSRMHQGDELYGEVRSLAESLSRLGCDIITGGGPGLMQAANEGERIGDVADQTYSIGLNVELPHEQGANPYVEKLYHHGTFFSRLHHFVQLSSAFVVMPGGIGTTLEALLVWQLVQVGHLQNRPLIFVGEMWNDLVEWGNTNWLNSGRRLADESDLAIPLCVDTVIEACQIIEENLKFWEATTEDEAETGA
MSEAPRRRYALPDAALNEELEAFLGRFQERFGDCESPESLRQIFVTALRFVTDRTSQADVRLVANALKELRHSFRVFQPYEHVRKVAVFGSARIQPGEPDWIAAQRFAEEIVREGWMVITGAGPGIMEAAQGGAGRKASFGVNIRLPFEQSANPTIAGDAKLINFRYFFTRKLVFVKEAHAIALFAGGFGTHDEGFEALTLIQTGRTEIVPVVFVDQPGGSYWRDWQRYVDSHLGERGLIDPDDRALYLVTDDVGEAVREIVGFYSNYHSSRFVRELLVLRLRVAPDDAQLEALNAEFAGVLLGGRIERSAALPEEGGEDAGLPRLVLAVNRRRVGLLRKLIDRVNAWAPDLATPTDASPHAITEAPITPEAERAESEGGPG
MTGRTRAVAVAVVVAGLTGGVLAGGATAAGASPGGGLAWTECAGTDVPAGMECATLRVPVDWAKPTGRRITLDLARLPGTNPAARIGSVVVNPGGPGADGIDALKRSAARFTALRDRFDVVTWEPRGSWYTWGDALTQCAMTGPVFALPHTEAEYQARAEANRAAVEQCRGRDPELFDHLDSAAHARDLNAIRAAVGDRRLNFLANSYGGVIAASYARLFPDRVRTVVLDSIPDHVSTDRLPEEIDRYRDKEEVFARWIAWCQAATTCSLRGQDIPAVWQRLLADADAAPIPVGSTGVSYDGFDVQMAIGPFLSSAERWQQLSDALDEALRGDATGLSAPAAGMPGRKPVAPNAGLATSCADGMRGFASYADYQAEVHRGRELSPNFPGYQAAMRMPCVGYPGPVSNPPSPINPRGLPPLLGVADLPSLNATRNVADQVPGSVTIAIDGLEHGAYLNFGNPCVVAHANRYFVDRVLPPAGATCPTS
MLVGASWVNSRTVAARSSSPSRRERAVRGRRIAAGLLAGLVLVAACGSAEDARDAALSSPAPSDTPTSATGDDVDATLTTYYEQEAAWTACGRYQCASVEVPVDYADPGGERLTLKMRRLPAGDPKARRGSLFINPGGPGGSGVDYVAQFTAVAGDDLLEAYDVVGFDPRGVASSTPLECATTAQLDAYVNTDPTPDDAAEEQAFYDAALTLGERCETEGGELAGHVSTVEVAKDLDVLRAVVGDPTLSYFGASYGTMIGATYAQLFPKRAGRLVLDGAIDPSLDSEGLNKGQAEGFQTALRAYLESCVKQDDCPLGDDVDEAQQRLSDFLTGLDRRPLRTSTEGRPVTEALGFYGVAVTLYNAEYWELLTRALRQGLRGNGSQLLFLADTYLSRGENGYEDNSVVALVAVNCLDDPSTMSLEEARETVPDFESVSPVFGASFAWSPVTCTAWPIKPAQEAPEIRAEGAPPIVVVGTTRDPATPYRWSEAMAEQLASGVLVTRDGDGHTGYAMGNECVDDAIDDFLVTGTAPREGLRC
MRRPVVLVTGVVVLVVVLVAGVLTGLVITAKETARPSAAPSVEATWPTPAPIRWTDCTEASLRTAGAECAMVAVPLDWSAPRDGRTVRLAVSRVRHTASPSAGVMLTNPGGPGGSGLTLSTLGTKVPDGVGARYDWIGFDPRGVGSSRPRLSCDPGYANGPRPAYTPTSQASVDAWLERSEAYATACGEKNGALLEHDTTRDSANDMEYLRIALGVPRISYFGYSYGTYLGQVYATLYPSRVSRMVLDSTVDPSRVWYGANLDQDLAFQTTIERWFAWLASHDDVYRLGATQQAVQASYDRLSAKLRAQPDGRFGAAELTDTLVYAGYFQSLWSGLGDAFAQAATGDTAALQQYWRGLNETTDDNGYVGYLAVECTDAPWPGEWSVWAADAKRVDGRAPFETWANTWFNAPCRTWPAKAGVPVDVDGSKVAPILLIDETLDAATPFSGSLAVRKLFPKASLLAEPGGTSHADSLNGNSCVDDTIARYLEDGSLPARKAGDGPDATCAPLPVPSP
MRAKKSLAMFATFTLLLAGCAAVTPADSAVSDNVPAALKPFYTQSVNWKECGEDLKCATINVPVDYKKPAAGSIKLSLNYLASTGDADLGWLVENPGGPGGSGIDFVASASAQVASEAVRKRYNVVGFDPRGVKRSAPIKCLSPKATDEFLYGTTPGAPGSTAEMAASRKAMKKFIDACAKNSGKIFGFVDTVSAARDMDVIRAVLGESKLNFMGFSYGTFLGTTYASVFPERVGRFVLDGAIDPTVSDEDQSANQLKAFDQALKNYLENCLTVDKANCPFTGTVESSMKRITAWYKQLETKELNTDLNRRKLTISGGITGLIMTLYSDTYWQYTTTAFKNAFAGDGTLFLQLADFYNDRETNGTYGSNQLEANLAVSCLDGRSDPSPKAMKAQNSKLQKLSPFFGRYWLNGALGCEQWPYKVAAKPKSYAAKGSAPILVVGTTGDPATPYWQAQNLANKILDNAQLVTFNGEGHTAYGRSNACIVKNVDAYLIDGIVPATDPNC
MPRRSHLLTAVSALALALALGPVAPAATAAGAPTPVPAPTPRPKPSQSSPDRFRRQHLDWKPCEGGRCATLTVPLDHARPQGPTTRLALLKVPATDPARRRGSLVVDPGGPGLSGVAFARESGSYFGESVRAAYDVVGLDPRGVGGSTPLRCLDDAGMDSWMGLRTPGTAEQEQEFTAGQRSFAAACLHADPRLVPHMSTTDVARDLDILRAALGEERLDYFGASYGTLLGATYADLFPQHVGRMVLDGAMDPALGSEQRAAGQAAGFERALNSFLAAWVKDGGCPLGTTAPQARSRLEALLTEGAARPIDSQGVTPAGGLTDTWLRLAISTQLYDQGSWPQLRAGLTEALQGRGGSLMLLATMAVGRDMDGTYSSNLYQVIPAVDCLDHPTTPDPRHYRDLAETFARQWPVMGRAMAWGGYVCGAWPVRRPSLAHPVKAAGAAPIVVVGTTRDPATPYEQAVSLARQLDSGRLITRDGDGHTGYHRGSACVDQAVDAYLTQGTDPGRDLRCS
MASALWGKFASEVLKEVGFKYDHNAIRQELVEHMEELYEDLQAEGMDAHVAEVMAVEYMGDAAEIGKALNEEHSPLLGWVWRVSRWMVMAVFVVCMAWYGGGTIKAIAESVFAEYKGRVVSPLVYTMEVDQTVEWYDAKLHFDEILYYEDEVLEIRFSYLNWNEKWNPSGGNDITRFQLNFAYEDAEEKVIMKDSYGEVENKLGSGVYYKNQIFIKNFPEDVKKLYIGYDKSGCDYIEIDLSEGGER
MRRGNTRGDRHETRGLSQRTLAAAAEHLSADHYDDRFAYSVELILTGRSGST
MRSVTETKPVTLEDIDADDREELEVIAHNLTVSTPFGERLGTPRAPGYLDAHVRLLVQKEDGTLYAYLDVCSPALAAVGENSDIPSGTLVPSAKARDIGHRSSDFRYAVKAQLRYTPAALNNRAPKCEISKLDLDRIETLRGEDVDAGRVYKL
MAKSNLSMIGRAAKMATLGATRCTGDVAEAVRGAARDILLTAVDGVAHAGIAAEKGAVQIVTGAVQGAAEDGGKVMLVLKWTVHSMVEAAREVGADVGRTAVAAVGAGIEAAEKVGADARRALKHAVAGAIEAADEIDGNAAKVVRAVLRNSIKGAKDVIQELSRK
MYADITGFTALLDSMQPEAALQILRDIHTRMEAKIFEHQGTLERYTGEGLIAVFGVPQPGIEDATYALNCAYAMVESLAVYNARRALNNEAPILLGIGIDYGVAVMGSVGTDRNRAFMVAGKVSKIPIHLKNLCTQYQADICLSKALQKAVKRENTAGQKRLEEFEFIGEHRLLGLSDPTSVFCLQSKTSDSYYREEATDI
MSTDLIALLRQLLPSIYSNHLPDEIRYRRADSHDVVVPLDAATVDELAFAIQLVNVELLALGQRRDALEPMPIRFFQCITGQMHRLREPLKHCVLYRSRTLIRSCRRSIDR
MGDDCSVSKYCDVALKLEEIHGEIKSQLSSGNEIMKAMRASQEAFADRVEKIVEKQDQRIVSMEKKVWYASGGMSAGVAAITAAIAKFFGGGNG
MDKSWVHKDRWVKEYEDGVRLFLDHAFEKGVVTEDSKMKCPCRKCNNVNYKVRSEIFSDLILHGMMRNYTTWFHHGESLSSMVSSSIAPQDTCFEVRNNNDERPGDDLFGMLRAVCGIPMRDNEGMDDRGYGEGMDDMDGDEDMDNGDGGASGLPCNCTTSRFYKFIEESKIPLYPGCEKCQ
MLTAWNDRSAVRFPLTPVANTSRMSRWPPGEPYGGAMNNEKSASPVPASQFGRPVATEETIITNRTAQRQRELDKNADDGDDGLAVPGDEGTGTGQVDDRNKQADEENLRLRRAQDQGRIG
VAYRCLDPTKVLLTSRNRIRLSCAAVPDVVTFDGNAANPLSLILHYQQEDLIALGKLVLALACRSLLAVHRDNIQASLELVSRTYSTDLRNFIL
VGVAKPNAEIYRLACERLSVRPEDALFIGDGGSDELRGAGRAGLRPYHAYWYNTFMESGYEKIRQPLHVLDIIESI
MKKLSFKKLLITLSIAATAASLITTIACQPTHPTEPQPVPTPAPVPDPGTGTINTDSQSLKIATWNLLNYSGDVKQEVRSEIFANIIYKNKFDIVSVQEINAVDDEVIADDTLLKLLNELDPEKDWKAVESEKSLSKNPAQAGQFEYYVILYKSKKVEVTDLVKIYDDQGWKGTHLENDEKTYYYARPPFVAQFKTLGDIENTFTLVSSHLDSPGTDSDRGEVSAKLKPSQGNQEVEESLQLANVLKALDLEDGINNEIFFMGDTNIKIGNEAVSFRTLIDDGFANLLDDSKEDATSLATQKNQYANPYDKIFYKGNLKVSSAQKLDIWNLVGDDKLFTLSKWNEYKSRQDKIRGKKYAGEEGYVRSMISDHAPVSFILHLNKDDESLTKEGTLPILTKEQVKEMAKKTA
MSEARRRVLTPWRFLITTLAGLVILASAQVTAVAAPASAYRNFDAVTTANENNEPFSGGVSFVGL
MIFECLSSLHYGVKVCRCCFVSSRFCTCFRSNLVQSALIGSAFCT
MKLKSYTDERGGSLIPINLKSIPFKSKRCFIISDVPKGSIRG
MLFNIHTLEWDDYLLNLFNIPKNMLPETYPSSYVYGLTDSDIFDGVNITIAGVAGNQQAALFGQLAFHPGQVKSTYGQGTFIVMNTGSEQKCLNTGYSPQLVIRLTIK
MSSFVKLPVVVIVSSNSVISNAVISLFESERAGLCRADDSHPRQVMRSIEREQPDIVIVDPDTVGLRPAAFMAELRKSNAHTEVIGYVACYAGGLARECLKAGFAGIVSQSGAMIDIVEAVRTVAMGGVFIGEGFENLCQATGEEPLQQDPTDLLSPRERHVLQQVARGLSLKEIANELGISTKTVETYKARASEKIGLTKRSSIVEFALERSWL
MVYFYLVVAGVLLLTSSIHGCPNCSNECEAGFINCVSCTCAPSCELLQCTPPRECVLKATECHSLPCPKMPSCIDTSKVCAGSPLRSDEDSVIQCGSSNVMCPPRYTCTPVSGHSISVCCMDAFDGKAQVPPFPEMNIFTPILSSVPPEDTDEESWGECMECPLDPCQVASCPDFPEAMCVTNDCDCSSWFIDHNQQKVDCYKSDPEMNLPPNFNPEMFFTTTTKYLAPTPTPLNSNFPEDGAK
MAENGVSKKLFMGTMIVQGVFAMAKDAPPEQMFWYAVVAASVGIVFQGLQFLIDLKDRRKKEGS
MMQVNLSEQIVHVQLNLMVDVQQELHVLLQKFKSFASRIYQERIVYGIVQIALINSMQLLQLHQLLILILQDSQQDALLNQVEVELKMGQIQQQIYKQLVYRTTQGLLLFWMQLAKKRYVRMHYYQIILISYMHVIQHPVLLNQVVVIKIEHVLMLPLLQQQMENMKHINQHRIVLQKLVGMQQKYYLLSNYFRYCFYQEFARFCLFLGFKKYSCKNKKFLNAPSNNNTHELCQSFLNKYTVNSTNTGQVDKTCENSLILAICDKDINIKIYIWKGKCQKKLCVLASSSITIHTDCKIIIPFVLQGTQGQDELILLQQQMGPLLSKMLRFANFMFSQKNI
MDPSYYIMPSLMGAVNTGNKISDLSLIFVIILLLNVTSKLTTSLIDMCVKRMKEMKLGKKIKAKYIINLTLGQDSSTVQTIPKEYLAVMFYLQQKNIDVGYGRQIPYVVEKIDVKNIEESESENKKRRPYSKDRGEEEEEKVVKSADVDMKLCYYIESDVPIKLSEKLVIRAVGSGEGDSSGSAQKKGGGAYSLELYSYHYTFPELKYLIDEMVVLYNKHLGTLEEKKIKSKYIINLDMTHDTYAKTTNIPKEYLALMFYLHKVKIDIPCARRIPNTKVIQNIRFGLLGQEVEKEEDLGVDFFIESKLPIKISDSIFVIVKKNDSSHRYNSLSYTLELYSYSCAFRDLKNMIDLMLRNYNKYLETISNVDRYHFTFIKTDLNKVAAPGVSLGKTETDKLVFQQHVFKTNKTFENVLFEEKEKLRKKLDFFLENKGYYDKYGIQYSLGFMFFGPPGCGKTSSIKAIANYTNRHILEIPLSRVKTCAELKNIFYLDEYNSVKIPFQNKIIVFEDIDCMKDILKKRSGEAVRETEEMETRDDGGEKKDGDDSDNEGEKKKKKVKAVVEDLQEDKLTLSYILNLIDGTLEQPGRILIFTTNHPEDIDPALIRPGRVDVKIEFKLCSCEISRSIIEFYTGKKIPKEIVFPTYKYSPAELIQLCIGEKSMEEICEMIRE
VTKQGTTKDPVVIESQCTSSLFHRASINAALKFKYKPRIMDGEAVEVPGVQNKFTYEITE
MQSKPSRAGRTVKEMLLVQIRHDRFTGHVRNNPIHVRLDTAVHFIGLVLIQPFENFRCEPNL
MGKKKRLQNILIVDDEETILWSLNEYLVNDQLSAKVITASSGEDALATLARLRIDLVITDIKMPGISGIELLMEIKNRFPQIPVIVMTAFPSSEFKREVTLKGGLSFVEKPFDINDLRHTVINALREENQFRGTLTGISLGDVIQIKCMSGVTAALRVSEGDRQGIIFFQKGEIIHALCDQLDGEEAFYEIMSFSHGILDTVQLTEMPEQTISMPCTALLMEGSRRQDEKQHREQNDDNPEQTKAPEETEKSAGIEKNDQTDGSLLGTALERFKTIKGYQASVIMESTGTIITRDDPAAGYDLDLVGTTINDFFRSAHSRLEKTPMGGCREIVLQLTKETILLRCQSPEKETSYLVIALFSTLANLAMAKISIKKVVEDLAK
NSSKGDGIYRIYISSSPLSIALSDFSIILKNGYPYIAWGSDLKVDAWIITKKSGRDEERYELPPDVRHFYDRMVQPGNYTYSLYYKKNGMETLLKSTNIHVPAPEFSVNLMNTIVTDQLLLRIGGHGKADVKIFGIDGRIFYRGRITESSPEFKKIRLNLPSGVYLIKVCSDKGILVNKKILLLE
MLVLSALISLLTITSSPEAHANESSSLEVMIKTTRPMDVGVDADDRWILMDGDGNVTRLPNGVIHFASRAHTPDPEKFLEQSRVGLDRWIRLILHDDVDPSSALDVLQQLPGVLIAERDVIGSFAGSGAPDDPDFDLQWNMQNTGQVVQGSPGVPGADIRAVGAWAISSGEAPIIVATLDSGTYPHPEFASRILPGLNATNGSSDTSDVCGGHGTRVAGIIAAAGNNGSGLAGLNWTAQILPVIVSGPCSVSQVDTADGLVWAVNEGARVINMSLQFSQPTDYLHDAILYAVENDVLVVSASGNSGSSVTYPGRWPETIAVGAMTNTDVRWSSSSFGPELDLVAPGANVRSTWLGGGYNSSSGTSFAAPHVSGLASLLLSNDPDLTSTELREIIVGSARDISLVGFDSFTGWGCLDAQAALEQLDVAPSFDLNQDGTINGADLTLLLNAWGACASCDADFNGDGTVGGADLTQLLSQWASP
MTPGARRRAGSLAVAAVLATALSLGVGATTSPAAAAECEIGKTQYFDGQSQNLVRLGIPQTWQLAQGKGVVVAVVDSGVNDDNAHFPDGVLLPGTSFVAGDTDGTGRTDALGHGTAVAGIIAARALPRSFGSGMIGAAPAAQILPVRVFTRDQDVQPGETPLTGDNIARGIRWAVENGADVINVSLSAPSTNTSLAEIKSALALAEKRDVVVVASSGDSDGTERVEQRFPAAGRNVIGVAATNAQGFVDDYSVHGEAVDVAAPGANVLVTFHGNGDCQTGPDVALTSWAAPFVAALAAQLRERFPKDSAEQIAYRILSTAERPVAGQRDDDQGWGVIRPYEALTATFDTRRAGPAAPDQEKTEAVALEPTGARPMQATTDPLDPARREVLWWLIGGGGLAALALVSRPLVARARRD
MGGTSRAPQRWWSLVLVALAGIGLVLGSGATAVAQGAGDPYRSEQWNLDRIRTDEAWRITRGEGVVVAVVDTGVALDHPDLFDRIVKRDDGSVVGINLVDGDLDPSDEHGHGTLVAGVIAAAADNGWGVAGVAPEARILPVRVLDAAGSGRSEDVGRGIRWAVDNGADIVNVSLEAVAPTEGGSRVPGVPTDAVRYADERGVLVIAAAGNQPGAASAYPADSPIILVGAVDRDDRSTAFSTVDRRDGFVAPGVEILSTWCRRTRSGCDVASAPYGMAEGTSFAAPHVSGVAALLVAAGYDAVEVRERLTAGAVDLGEPGPDREYGVGRVDAAASLGAAPRATTRSVPEPTSTSPSRPPAEPEPAADVEPEVTAAPAPPPEPVEESVEVAPEEPLVVEVPRADPEPVEVNLDGAGYPEPTVPAADDGTHLVGLDLGAPPAGGAAPDLWLRLVAAAMVALAMLCWSSVARAEA
MRKIAITVLIFTLVGGSGISTFSGARLAAASVRKAPTRSAEVIVKLKMASGALTEEGRLITVNRTAEGVAVSVGAHSSEPLIRQAGPALKAQIAEIISRRGLDRVYVMKIDPSADIDAVIAELNRNESVEYAEPNYLVKPGTDIPSDPRFRFQWGLRNLGLAVDGYGATLDADIKALDAWDITTGIRDIIVAVTDTGVDITHPDLAENIFKNPGEVAGNGRDDDGNGYVDDVNGYNVAGQNGDVSDVLGHGTQMAGIISAVMSNGVGISGISRARILPVKFFRKTGPDPTDVEGTVADAARAIVYAVSAGATIINASWETFLDSNQVPAESIRSLSDAVHAAEDADILMVCIAGNEGLDNDTVKLYPGHYQLSNQIVVAASDYNDEIWHPPFNLSVIKSGYGLRTVQLFAPGVSVDTIMARGNCPLCSASADPNDWYALISGTSASAAFTSGVAALIKSAYPEATPPVIKRRLIESVDVRDQLKPFVSSGGRLNALGALTIQLTVAPPILNKVKLKGGGKLQLVGDAFQRGAVVLVGEERFLTRPKNGDLSVLVANVPEDLFPSGGAVSVTVRNPDGGASQPKMFTR
MDIGAAEAWQITTGSHDVTVAVIDSGISYSHPDIIENMWTNILEKNGKSGVDDDGNGYIDDIHGYSFESGKESGNPMDGIGHGTHCAGIIGARGNNGQGIVGVNWNVKLMAVKFVSDSGEGTSEAAIKSISYAINNGAQIISNSWGGGPESKALVETIERANQKGILFVAAAGNDQADNDAKPFYPASYPIDNIISVTAIDNQGRLTPFSNFGKKSVHIAAPGMNIASTVIKDYMISSGTSMAAPFVSGVAALLLANQPSLTGRELKERIINTAKALPGLQGKVLNRGLVNAYNALTNTQTTDQSEDPSNWTNTYTFPNIMSSNHPYLNKTHQKFEIQVPGAKQFSLYFPKVSVDYGFDFVIIKDATGKIVDKISERKTLNIYSTVIDGDKATVEFVSDSSINGWGFDLTKAVWR
PEAWDISTGGQTATGEDIVIAVIDGGVDLDHPDLNLWKNSGEIPGNGIDDDGNGYVDDVDGWNAYQSSGNIPNDDHGTHVAGIAAAIGNNGVGVSGVNWGASVLPIAGSSGQESVVIEAYGYVLEMRQRYNESGGTAGAFIVVTNASFGVDFGDPADFPLWCAIYDSLGSAGILNCGATANLGINVDNQGDVPTACPSPFMIAVTNTTHTDSKNNGAAFGQINIDLGAPGTGILSTLPFNSYGSLTGTSMATPAVAGAIALMYSAASAEIIQAYHDEPAEIALQFREFLLNGVDPIPALDGITATGGRLNVNNSLQLVQLFSLAGFSIVPGELSFGPVEIGFSDTLSLIIRNSTNANATFNILPDTTISYFYPLSNNLTVNAKSRDTLQIVFAPSEPGEINTLLTISSPDTVMTTPLSGSGLAYPVMAVDGS
MPFVAAFAIAFHVVVLAPLFLILSHGDRLEVGVLDMLGWPLLAAIGFALVLGAALKFLLSRGYQRPLAFTMAVGVYLYIQFYLFVWNFGVFDGRSIAFSSYAKNAALEAAVLFVLLGIAVFRPAVARQLFGRFLIVLLVANVGFAAWQFSAGEGKRAPVQAAATAAQSEIASANFFRDDVAVMRNAAKLSKNKNVIILLLDTLQVDVFEQIVQGDANLKRQFEGFTLFTNATGHFPYTAMSIPSILTGEPYKADGESIPTYQKRVGHTRVEAVLARQGAEASRIPLESRQNYLSGDSARCRSFAAVYDLYGFRQLPIALKPFFYNGGQFRLSPLCGAVPATNSQVDLAVFDKLIGEAAVSTDAPSVKYLHFWGAHPPSMLSADCKVRNQGQAFTDFQGQAYCVLRKTGQYLDKLRELGVFDNSMIFVISDHGSRYGFLKASPRTPVPGYVMSSANPSLAFHDFGARGEFRTSAAPAMLADIYPTVLSSFGLKPDVLGMSLRDLKEDAKRERPYLYYKGIADAYGDFFPQVERFVVTGNVRDPAAWAVTGSHSNSANDAPLGRLVFGKPETSRFLGLGWSAEAEGVPVSWIIANPASITGRLPQGGRPVRMAMSFINPHPGQVVRISLNGRELARWDVPEVGQFNRNFVFTPTEEDLAGVARVEVDVEKIAPVTQGDVRQVGIGVRFVDFGFDGEPMVADIHSGPLETLKMSDPKIRDYLDFAWSVESGGVDTSWIVDAPATLSGRLPKGREVRMTMRFINPHRNQALRIMLNGRELAKWDVADEKNVIERSVTFAPHEAEIGQPVTIEIDVDKIAQVFPNDSRKLGVGVREIKFEALN
MVVQVPAEVLRGSFFRGTPGSITPLGEDVCEFRLSAGSPVLLIQYVAAVVALGIDYTLEASAEVAELMRTVGGRLASA
MPRVARIVVPGWPHHVTQRGNNRQDVFFADDDRRVYLKFLKEQSEIQGPRVLGYCLMANHVHLVAVPGGCGHCPS
MATMIISRCETPHIFTFLTVIKIFILALPYFKLSDCAVCQNFNYVQNDTTYKDDASLSYGHINIGNYSCPGATEPKLHTECCNTPGMRGCCPKARYFYEIDQTE
MTAGQVLSLEVTRDGSVLRSAGQVIVAPHMADVSSPNPQATGLENATQTIENTSGDRAADTAPSVVISDAAGVRVLQSAAPETDVTLDMISYRADGAVRLAGQSAVGAGLRIYLDNVVVGEAQAGQNGAWQLDIDGIDSGVYTLRIDRIAADGSVINRIETPFKRESLARIAQIDAAQNAASAASATPDAGGSDTAGQADDLSAEPAENPDMAEVGADGAAMTEAGSALQTQPQLSANAPAKAPDAAKSASGASAPQLQVVTVQPGSTLWKISSTNYGDGIEYMRIFNANRSQIRDPDLIYPGQVFDIPRD
MKSNNSSSTRGRIMGGKNIVMFAVAVASWGCHGGNAPGDQHSAVKQREDSGLLAMWQRYPMADWGFHTGDVRASTRAQALVAGWWGGGLGGDESSAAIYRWRSTGGLQRVHKGPGAVLALAEDDSGGWALARPSFGEYVLLHSNDGGASWRPRASVPTKSARGLVVADGSLWLYGSRDLLRSRDGGQSWDPISPPVDIGIRTRLAAQGGRLMVYGDTLVWTDDGGQHWTTDLMGERVSAIDGEWVSVMRNSSPIVARVRDGALEDGPAIPTTGEPVHLSVEGATIRVLSKPATTSPGEIYEGWIYLHSEDDGSTWRECRLWASIGKIHPPGALPSGAGFVVSRGGELLVFNR
PSYFNSSHTTSLTHTHSDALLCCVKLLFVVTHCLYDVQRKTSLVFLGEERIPRGHLTSVMLFFHGDLHHQAELRTCFTTIAFNYLPVWMTLDYVADLMYLVDMIITVHTGYLDQGILIKDLAHLKKRYLRSKHFLRDLVSLLPTDSSTLSLASKLQW
MQEKELYEPVRNWLYQHVGCEAVYAEVWDVDVLGTHGACNVLVELKTSLSFKLLDQAIDRVKGAYKRRNGAFITSRFIRLFK
MPHNWLKELEQAALRGADAELEAIIAQVPSEYSELSVFLKTMNLDFQFEAILELVNSAHHEINLYKPFKKGNSSR
MFNESTGKITGDYVYTTGYVTNMGVINETGSANQDDGANTPDNNNTDNGGSTNNGDNTEVQPNGTPAGNGVWENGVVYKPGDMYYGYVVVDIIYYPGGYVICTGI
MLPATSLQTSPSQQKDTSGSPVVSGPRELPPMPPSSTSVQCQTQVPTHFPLRQQTSQAQQSAATSNQFTRAGYFKHTNGLLKRFVKHLIAWDKATIIAAVAIVVGTVISYFALKLAIWTATKDYIEYCQGEQAATQGLPPPPFYLYDDGTILRRTWTGTIIGVTESRTQNNYYNCGYALIASTMFYAAWNGKHSKLLRILRESFMPAQYDVERHPQDLSHCTKDTGSMDLAGEHAAAALPRRNSSSRLVTYITTSQDNMESHKLRQRTIRTTKKNESPTGKSSYEVHIEQDVRIEQLALEDFMFRENGTWLEAKDRDAWEKIIK
MSNEKISNCVRSHFQNPKSGRTPPNLQKAIAFPNPKNSARISKTAKTIERLTFKTVATRDCYRTISDHLYP
MLFEVFDEDLDRLAGDLVERGVAEAGQDVVAQMAAVGRPLGRAGDVAGFPDGDPFGEGDPAEGGVEVGVEGLFDLDLLAAQFGGGLGGVPGVGADGAVGEPVPDAVAGGAFFYPGTLRPVRSSRRRQPSPGFQSVELAPVPFICECT
MLVGRDSEIAALGEFLAHHRLVTVTGVGGVGKTALARAVAAGAPPSVTCELAEVGRPDEVADAVAATLGFPSLAAALLGTGRDRRLLVLDNCEHVRDAAADTVERLLDGCAELTVLATSREPLDLPDERVVPLSPLALPSGDDVAGSPAVDLLLTRARDGGHELVLDGDGAAAVAALCRRLDGLPLALELAAARTRSLTPAEILAHLDSRHAVLGRSRGPRRHRSLDAAIGWSYDRLPEPTARFFDRLGVFAGRFTADEARAVAGDAGTSALTVADRLDHLVGQSLITVRQQDGRSWYGLLETLRSFARTRLVQRGEFDEVHDRWVDRLVEQMAGSPWGTAPPAGSATRSAQTGLLDALRWCAAHDRTPERAGRLVRHTVVVVHHGARPEPVIAVGEDLLRRWPDPGAQSWPEFAAITAFAHMVVRSTQHAAELARAVIAAGPSPFAAVLALRTLYFHDLLAGRPAASLRWAERAIALADDGDEPGWASEMRTFRASALAALNRLDEAGMQAEEAHARAVTAGSGFPEALASLTGTNLLAIDDPDRGRTTLTRLARRARAAEYPLVEGPCHWTLAGLALRRGDTADAARNLAVALEVFARIGHAVPLQVTLRLIAELVDVVGHTDSAAALREAAGTARTLTLYEWAWRDRLPDLTATPVRSATMPDRRIMASARRELAAITDPVPARFRLDGAVWSLSFAGRTARLPDAKGLHDLATLLSRPGREVRCTELLGAAVEQPDTGEVIDAQARRSYEARVRELQEDLTEAEGHGDRGRAEAARTELDLLVDQLTAAAGLGGRPRRSGGSTERARSAVTQRIRAALRRIDDVHPALGRHLRPAVRTGTWCCYGPEHDVGWEVSRSRRSSSTAGDGERDNRPGTPPGDDRGAPEDPRGAGRDDPAGRGADRSG
MSLPTERAPEETQPSSAPAAPNAWRVLRWFVLLLIAAIALSATAGYVNGQSQRRALLAETLARTADEQFQLGVEDLDAGRYEIARQRFEYVIQLDPSYPHVAERLAEALVALGTPLSTPVPAATPTPNLAPVEDLYTQALAAYLNGDWDAVIDTLLAVRAKEPAYRAIEVDGMLYSALRNRGMKRLRVEGTLEEGLYDLSLAERFAPLDSEAEEYRGWARLYLLANSFFGVNWAEAVYYFAQVYIGAPYITNDVYLKYAIASNRRGDELVLADDPCAAQELYYQSLLAWENPDLIPTATQAYHLCEEASAPDAPPPEATPTPTETPTPTPSS
MKNIGNTFSQFIYMIFLIAHTFKISAQTNSYNSITLDNYKSDIVNQYYLFQETKEKNYINNILRFLKINELHIKEDSIYSKILYLKGVNSEVYLHRHEEAKNLLQHSLNLAEKTNDYFLIGCIKNLLGVIYSMRERKYSESDSLFREAKIYSKKSNNIDQLVDTYYNLTVNARYMNDWISSLIYSKSFLEVLKESNKKIVNYSRIYYYVADNHLQVQNYTEAINTLNTYKEYDSYADSYTRSLINKAFAKYYKEIGKLNEALRYYKLSSEELEAAFKNNETKLSNSFAEKLELEYEFASLKDKTINNQNEELYIKSVFILALFVLILVSGWIIFSNTKKSKQIKSLNDRLGKSVIDLREKNKDLNKKNKEIDNLLFLNEQSLFSRALRISTYNDTIKKISDDIESYAMSNSSSSNFLFKLNEKLATLISEEDMWKDFKIQFEKTRPDFFIKLKRIAPSLSVNDLKHCTYIVSNLKSKEVARLINVSPRSVETTRYRVKKKLGLEKGDNLYDFLTTL
MSKKYSDDEIQYYTDGIFLLIKKIEENKFKIASHLVSNFEDSLNKVRLLDDKRVDPETVDGRIRALLAGLFGFEERNQLKNKYSLRTIQEAYFHMLNDSFSGEYSEMVKNKLKPHVFADFLAGRSDYVESLYGQLDDFFDHVLEFWSAVGDIDKYHLQDNDGFKVTFGGDLFPNSNDNLVSTAGLYVDTIVLPCPILRIAPLKIAMDSKDFIEILMKHILSVMEYESIVLEDLEIPLVIIQPDQNDYNHDDKVQLVRRSEAYLLSHANYLFDIKFSDVDDFKEFCWQFDTPELLYGAVKRKDRLLLATEWGKPGLEQLVELSKDDYLKYENVGGSKNLGNSFYSNIFGRFPQVLSVLENSQNYMGTPVICAETSWLYYNWLLEYMSNSEIVSGQNSKNHIVRALTADDKELSWLGNVPVETIISIRKNNQLEEVREILGKGVSELINIDGNNFHDVKYRIMDNIDSAFLKHQKQLQAYKKERLKLYGIDIPVCVSTGTIAITAAITASPLFGALAGVAGMVGLPNLMGIHSNYNELKNKEKKYNRSATGVLFSHVQK
DGVDFDAPPPVDEATRDDLTFGELSAGAEATSVFERPGVDDGAAFPGDLTGEYHEVDPGDRPGAPASGDGAVPSQLQAFAGDVDSLAGQPYVPKKFQETTGAVPSAATGPALDVADDAFTESGSPRTPEPPEMPAGVEFVDLGEDQPGSAAFSAEPGAFAGDDPYLSDLHDAVNNPDDTQAFTEFGAPGEGDDDDDQKGLRRFFGR
MEQRAVERKQRREELKRRYEELEKKKRKEQEEQRAAPANGLFALERWQEFVQICLKAHRRHERARLEEAARRYARSLQRRALGGLVRYHHKIQARALSLHRQHRWNTLQRSWIHWSKSFAKELAHQQKVVFSAMTKMQQAKLRRICAQWRKVTSETKLQKEFEREKQQLWRKVRGWLDEDK
MGISPSLFSTQPLWQIAMVLQATQAQKLGLRAEYGIDYQLLQAAKQQHKPVIELEGAENQIAMLLQLPDKGLALLDDTLTHWHTNARLLQQMMSWWLNAPPQNNDITLPNTFSQSLYDVLMHQRNLAWRDKLRAMPPGRYVVAVGVL
MRHKIVFFITLISGMFLPSAQTLTPSEIWHQLIPKKFNTDSLLTQKGFKIKYSGPKKLGGNLSCYFNKKYNEWIFIHDNEQEQTTSFSYLLPTLKKYKNNQVEKKLLVQGEKVAPMGKTYHENKLYYHLIYTFENYKASSLKE
MLIGIAFLLGILGSVVNHHYIIKEATAPSYFLPGLVGLAVQVSKVSCQYVGIK
MLRSCRCSRSSISASAPRRPRTGLPTACTPRRPDTRSSPSTGVVLPISRRSRSRLAGVPALCALLACCSNAGSQPHLPPPAPPPPPPSWTLVWSDEFTGPAGSRVDSTDWRYDLGDGCPNVCGWGNNEKEYYTSDTANIQLNGQGQLQIVARAAPPGLSCYYGPCRYTSAKITTRDKAPVSPGRVEARIKLAAGQGLWPAFWLLGTNIGTVGWPACGEMDIMENRGSQPTTTSSAVHGPGYSGNTPFAHAYAPVARDSFHVYAVEWNDQSIRFFVDGNEHYSVSRADVARYGNWVFNQPYFVILNFAVGGNFDQDPQSDAIFPATMLVDYLRVYKPGP
MLKLKFRRPLGRSLLLSLLAGNVFAQTALPDLGPVPPGAARPGWELTWHDEFSAPYGSPVDTSKWNFETGGWGWGNGERQYYTDTKRNAIMDGSGRLVITARAENENTPLICGAGLRCQYSSARLNTKGKFEQQYGRIEARIKVAAGQGLWSAFWMLGARFPEVRWPNSGEIDIVEQIGREPNKVHGTIHGPFHSAAEGITGSTTLPRPLAEDFHVYAVEWSENEIRWFVDDVLFQTLTPANLPEGAQWVYNQPFFVILNLAVGGGFSGYPNASTKFPQQMLVDYVRAYRRSAP
MGLGARAPWFALLTQVAFLAPAHADPPGKWSLVFQEDFNVLDKSEWRLANTNATTNDSLQDYLPQQVTVAGGNLVITSEAVPSRGLPYRSGQIISKRLWEHGRFEVRADLPTSMGMWPAIWLLADVGPHPWPSGGEIDIMENRGDQPTVSSSAFHYGTNPPFRHAFVTQEHQSVLFGRLADYHEGMHTYAVEWEESQLRFYIDDVHHYTVYDDEVGGFLSERVAPMNLIINTAIGGWFLDNPDDSTVWPQELMVDSVKVYERSGDPTPVTQRNTDFEEGGGSLAGWSPFGTKNGNVSVSNEVVRGGRAAAKLFGQFVGGENYSGLTQSISVEPGQAVSAVANAMVLSADSLTGTANSVLMKIEFYGVRNGRYGTPEILSERQVAIADGGVRQDAWLDHKLEAIAPGGAVEARLSFVFIQPNKEAGSIFLDDIDFATLGETQPNGE
MAWPGGTGDGELEYYTTRPSNVSLDGSGHLVITARRETYTGDGTTRSYTSGRIQTSGLFQTTYGELEARIKWPAGQGLWPAFWSLGYDYDRVGWPNSGEIDVMETLGNNPFTAYGTIHGPSADSPKGYGMTTYTRSRVLLTAGFHVYGVIWSPSKIVFTLDGMPYAARSRASLGRGDRWAFNKPFYLILDLAVGGVWPGSPDASTRFPARMLVDWVRVYS
SEGVVSFVLSKEGGDMSLVEQANVWLFLRLAKTNRSRAKVTIRLFQRRLSNRRPSPPQDDVLLVEKTVDTRRSGWHTFPVSTAVQTLLESKMSSTLSLRVSCPLCADTGATLVLVSDNPEMSQRNNQREQSHRPFLMAVVRQGDGLDSRRRRKRGLECDGKVRVCCKRQFYVNFKDIGWNDWIIAPSGYHANYCEGDCPNHMASIGTSTLSFHSAVINHYR
MHDRAWLRTLIEREQRRLDASTPESARMYRRADQVLVGGVASSFQRRVPWPFYLTRGEGAIVWDLDGRRMVDFHNGFGSMVQGHANPSIAAAVSRQASNGSQFAAPTEDAVVVAEELVRRFGLPKWRYTNSGSESTMDAIRIARGFTGRDTVMKIVGSYHGHHDTVMVATGGDRDIPDDRGNWPSVAYGAGIPSSVAELTVAIPFNDAAALERRVDELERES
MNRVNAGTQTENQYAADMRYGYNNNSDQIIDEHSPCTAPSNVCTVGAQTTLPPINSIAFQSLPPVYEQNDFYCQTSPLDSTEFGTQMCAGDMRYLNEYIEEDFDENNNFEKDSDGICSRCSVPNTTRTTAVNTTTTSVNTITTRSCSTITNDTSLSLSAAATTSSNMLVDASIETSPLDFTAEEREFRHAETHVDEFDFDEFLRNIQTQTNDEDYQFCSTVVTSQLDALTQTANDFIDDFSMTDRWNTL
MNWKQLLQSSFGIADHKFARHQADIVRARDALIQALQSGVCFADFVAEARNYLIQQGCSQFHIQQEIRRVKKIDSYF
MSHKDDVIKTAKLPNFYPTFFQSSVNKKNRVIDLISLTYEKEKQKMLMYDKKMSSILIYLQFEEALASNDVINIKRLREEMNNLIERLFSSWF
MKYGKAAFAVAIVAILIFSGLPLTEADGDSTGTDQMYGATTGIDLSEIDDILKLITGKTLKELVEELAKTMNYDVTFDPQLESKFAMTRDIHDEDGHMTIIDRLTGYFTLSVDLTAEGKFPAAGTYMLNDGESAEDFFKRVFIDGSTEDHKINYDIVLGITLDLELITNINTETGEMEGAYIAFFPLLYTEMDGDIKIASLTDDEDNLLGLTIDYEKNHSLSNVYGDFQIMLDIDDLKVLGEGEWTCNPMITEHVERSVVSSDLVNDLWPFIKEVIGEGGRISKAIPELILNILTSTDRKLDIFDTIKSLTGKTVHDITFTGDVTVSDETDELGNPYALFKVKRNDTTVDISYPLGGYSFESGKIIDLIPDDLISKEAKGVIKAVLLIIGLGHVEMPDITDDEKKQEECSTIQNAVDETNMMNEEYETDIPLVFIILAVVILVAACAATILMWRGKI
MSAAGKSNPLAISGLVVLTLIWSYSWIFMKQVTSYIGAFDFTALRCIFGALVLFIVLLLRGRGMRPTPFKYTLAIALLQTCGMVGLAQWALVSGGAGKVAILSYTMPFWVVDFRRVVSR
MTTLFHPSLTLRLHSLLPELTLVLWSEHEWLGEGSFYMDSFFTTNSARPSLEMSIYPSLQRLDRAEGLPGLLGRLEDLLHDLSDKACQESSLPPPARDVPSRSDVSTPRPPAPPFPEPTFMAPVPVFPPPQPMPEPEIPPPPPTQSPQPRMPEPHVLSPPPPPPPPPPPESVSEPSGSSSAESYFLDRFEDLLDNLRRGQTIAPTPRPAPGTWPSVLQELDNLILDSSHVTSPEPFRGLRFVPGLRPREIIKSLLLQTRPATEPPPTQFPDLVLFVPPDLVAQEQGPNEDLECHGSLSLSPSLSLNSPRSSSDSKHRTSSHRHHLLFFRPRSLTNRRISFRSANLQEELL
MVMKVTNVLMAGVGGQGIILASGVLAKTAAAAGLDVKKSDVHGMAQRGGSVLSHVRFGDRVHSPLIPDGETDILMAAELLEGLRWLPQVRSRGRVVLSTQRILPPTVTRGEQAYPEDILEKIKRRDPRAIHLDCLEIAQAAGDVRTATVVLLGALSTLLPFAQRKWIELLEREVPKKAAPVNLVAFRRGREWAKG
MSFPTKFEAGGPFPSLSWQPTEGERVTPANEAGWRLLIIYRGKHCPICRKFLGRVDSMRDKFKALGVTLWALSADPVERAREEAEQEGWTIPILTGLAEDEMRTLGLYISSPRSANETDRNFAEPAMFLIGPAGTVQAVDVASAPYLRPDLDTVLAGISFVQDKDSPARGIVD
MRFIPIVIVLLLVLSGVYYLFEESEVFTKDSIEPSSQAVEKSDNKLQSKEVPDNRSTVPLEGNTYQWMNKSTNELLEKFGEPLRKDASPYGYEWWLYTNEQNQFIQFGVENDSVVSIYGLGKHLSIEPADIGMTYDSARDVFTMSNEVTYNEESSSYTFKLSDEELVERPLVKITDSIFLQLYFDTVTQELSAFRMLSADTLLKHQPYEMHYRGELPEAPELTDEQWEKVEEGAEQQIFHITNVMRSQHGKSGLEWDENVSDVAYLHSEDMAQNSYFSHYAENGDGLKERLEAKNIFYQSAGENIAAQYPDAQAALHGWLNSKGHREALLKEDFTHLGAGVHRFHYTQNFLKKE
MGIMFLANALWNELCARWFSPGVRSLANDQVVSAVKAIPALRTFSTGLQSAGASQAAFASRGSGPTPAREERAPLPRSREREGPQGSVVKEPPESSEDSEGEESEESEHPGCAAKSDPARRPPEPAAPPRPREAEDPPRRRETEGDRRRPRSEPREEEDRGKKKKKKKNKDRRGNRAEEMSSEGPKEYSREDPEGWNSLTLRKGQVIEAEVPVSTDVTKGEAPAAFLVQRVSINVNGDLVLEARSLGAGDQELSRSLSSTFNRKRGLIHLCGADCIGEGDFALHIGALKVYEHADFEADYVSAHYRRAALKWMTEDLSDLEDPGTRLDITGGETAVPGEAEENVPAAGEPPGAAAKPAQSRGIYMSPGYSPSPLRERLEDGSHLRAPGGPAQAAAKEEKQKDRRRREEKREAGQVVLEARKHAKMAARLANQDLWTGGGGGKAKGGEDPRKVTGPAEALGDALALAGRENQLSLEPTGSLPALHFHSEKGICMRWLEFLEGLHFRALWETTTSRAGGQMPGCVWPLEANALSGATPELAPGSWTRVERSAADSVRAAVLRKGVVSSRGNRVSAARRATELGAEIHGDEKMLGVSIAKLVQLIQSTLCLVCQRLVNRKHLQILAGRWVFALQFRRPAMSFLQRTWELVGGNVKVTAGLRCAIKRELLNLVSCSFLLHCNLGASISKHVVAADASELGGAVGFTTQLSSAGQDFVQANRKLERSPDSQVIPVLVISLFNGIGGSFRAYDIIGVEPMGRIAVELDDGANRVTSRRWPNTIFVKDVKLVTRAEVRKWSLKFLKVKEIHLWGGFPCTDLSAVKFNRLNLLGSQSSLFWEVPRISGLLREEFDVGVVIKEVLENVASMDRSAAEEITAEVGGTQELLR
MTGLSILAVSCMPRWHKKQSEEDDVSVTKWHRGMSCQHHRLKHVMRWDQSEYERERERERGRE
MKSATAKDLRQKTAVLLDEVRRGQEVVITYRGKSIAVLAPMKKAERKGLNPIGFGMWQDRKEVRSVEKWLRDLRKPRYKR
MQVSTRDLRLRTRELMAATARGEKIVITYRGTPSAVMSPWEGAATSGEQRNDRAKSQGRNPAHGLWRDDRAMFLVEEYALSHNMQMADALIAATALDRGITLMTANDRHYRHIDGLEIELF
MKTVTARELHLRTAAILKQTQEGETITVTLRGRKIAEIRPPQQHEPGTTPLPELGWLRARQRHATDSDAAVDEIRS
MKVRAPNGAEWRTGRRWLAWRPRMPAPLSFLLGIVESIGWFDVLFPLAVIVLILALPLAVVHLLNWAVALVATPVALALRAWGGRSWTVVAYPYRYAYRHGEHVGTASGFAAAGDLASQARAAIESTGQPLPLTGPPPPSRRPIEDRTRVERWVLRHTSQHLPPDPYGPDRQRAGQPDPNRTA
MDNKHIFCIAELLLKVEFAPNGQNDISLLPSFEPFRVKEDNDGCLVYGNGEKCNAYINALFTLLVDDNIKPIDKTERTRIDVFDTGNGLTAVDALADGGYQFLMKDIEGHSCCMLQTNNDFTKAVCALNGTYGMRRFGLNNALMMLYAFRGSFFKTLLIHASTVRNNGYGYAFTAKSGTGKSTHTALWMQHIEGCDLMNDDNPVVRVVDGKAMIYGSPWSGKTPCYRNIKAPLAAITKIERAHENRVERVKPTIAFTIILPACSSMKWDKTVYSNTYDTVISLITVLPSVNILYCRPDEEAARVCYEAIGIKKPAT
LQPVNTGKSACKRACYYDPFKDLKMESVRPRPQTQRRCGQDIVRFYWRKKGKPDVFVGGVRAIRCREALEAWRYGSMTAAGNRQASGGGKGDIYGPYACHEGDTPETSKLCFAMQWTPTSWPSPVHGDLDMGEEDLKLGRGLTNSLAGYYPCIQLTKKNCGRNNYSFAYVRWGVVIETRPNTVCYVTAQVLLASFSIVFTGSSMGVMNTGQLCRAKVPTIRILRNLLELILQFVPGTLPEQMICYVFVADIILDPFFLIYYIWAPLRSASSCL
MQQSLLTWWSGSMATRTFASAAANEAAQEAEINPLLQTPGPSRRGGVGQEDAASSRRRLGPRDRDPKTAQAVHRAIPISPKKMAMWTDLMRRQHLDDAIVLQSAKANAVHNHGLDGDRLSVDLIHVGQGSHLKKTWPHGRGRSGVRRMYRSHLTVVLRESDAQRFSQFQLPLMERQRRFM
MQTLAILPLRRCNITATAERPTAYPGSGMAGSHREPAVRAFVRQSGAKISRTDPVTVAGHDHNIQVLLHNQFATRCGETLETRMMLTVGIATIDTDETSISFHY
MVVLPPLQYSIVKALVEANQPIDADSLAGKLGKRAEDIMRDLEELRSRGLVNLEHRPVNKVSLTSLGEAYLKNGLPEERLLSHLRSIGGRAKVGELARLTGLSDEEFAAALGRLRRLNAISLTGDSVTLTGVEEGLRAYVNELKGLLAGIRGEVEYPGELPSIVEEARRRGLVKVRQVRRVLASPTQGLMELYRSGELSSARVITSLTSADLASGAWRVVCLRSLT
MGQHVRKYGRQQQCLTTPSQKNTKVFLTKVRNIVRLHKAVSQEQLIGKINQVIRGCPFLPAHRRQVRVQMGRQASILSGLGLGQMAPSRQKVRLDQEVIIPKIRKSQLDHHASQGRKEKRATLLSKLGTDRTACESQNVRPHVSPGSGSLLANKQAEIKNKEAP
MGSSSTKTKNNQDHRPSVVYIDIISSTLSTNVSEVRSIALRYFESFSKERFPFEMPDAKDDFKGKDDLKALRS
MAYMTEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEEGRRKKEKYWFV
MSATGCQRGKLGKKESPSQESGEEEGVRSHRVRSQEKKKEEEGRRKKEEGRRKKEEGRRKKEEGRRKKKEISFSAFLASLILSTGIYSTFPCTSTSLPML
MYCAAVLDTYSRRVVGWFVDSTRTATRSGVFTPGAQGAPSGQGVHMGPLPRRGSVGRAAGYRRPAEAGAVCGALRLSRSSRRCTTCRPGRGARPARSRTGCGVRCSRRC
MASPSSRAELITYCKRQLGEPVLQVNIDDEQVNNVIDDTFQFFQENCYNGMERAYLYHEISADDKTRFAATVTKTVTDTNVTPNATGTWLEATNFIPIPDHVVGITRVFGLVSNSIRSNLFGVEFQLFLNDLYA
MTMKRASQAADYRQLLIQSIHVMAIKSSEVVASVVHALMDFLGDSNNPRRLSGNSLVFDQFEPNFSRSRLTRTPTNASCMSCIQILSELLSTKMLGAQEA
MYESSTVRSLRAGRDTAWAALQPAFDHAIALAKEAEYQADRAANEVQQHCPAAYPPLVELLAASVPDAGPSPAEVPF
MRTKNKTMASNNACAKEKLKPWLVGLVVLIIIVIGWILLGKGWKSKERVWEDRMFLGKTNLPAVIKSGNKPNQATIRIPQDSYHNIVELVKPAVVGISLPNAQPFFQKWGVMGNQIPDLAWRKCPRDGWVIVNPPERPLAPILCPNCGTKIGQNPQVQNQAWLPPSQLPQGQMQAWGIRGQGFGSNVLTMFCPNCGTRVTRQPGIPWASITCPNCGSSMFCPRLSNNPLPGQQQVWMQPSVRQAPPVAIQNTPLPSIGQGAVMPGFQGLGSGVIVSKRGYILTNSHLVSGQRFVTVTLFTAQGQRSFKGQIVAQASDRDLAIVKINPQNIDLPVVPTGNSDAVQVGETVLAFGNPFGLSQTVTSGIVSAVRASTVIEGHQLNNLIQTDAPINQGSSGGPLVNLRGEVIGINTAIYSPTQTHTGLGFAVSINQAKEVFSSYMGGSARKVAMQFLGYPNPRAYLTAGQKTGPNARVPEDAPSWLGVSIQILNDVLAEQLNVPVDRGILINEVYANSPAAEAGLKRGDVIIRFDGRRITDETQIRTLLARKKPGDKVKLTILRGRKRLDIKFKTAGGGWGQAQPAAIQNKSINLLKNAEIETGSAEIVSLGIAAITITPEIAFTYGLPKDAKGIIATETEGLALNCGIKDGDIIKKANNKSTPDLISFLKAIKKGDLSRGIPFSLIRGGRPIEIVMKEQPQLLPRGL
MAEKIEGCSKNILPYFWAFIFVAFVVFYWAYYIMSNRSEDNYDLYQTRQEALQQNRQDIQRGDKMGMVVGGGNPSHFSKIIPKIREVVVNISVMNPPPALQGRVANQTPALNDKQIPGGLTFVDPFTGPEFDSIGSGIIIEASGYILTNYHVVERAKTVFVTTFTDEAQQRYQAQVIKTDPLHDLALVQINPRKELAVAILGDSNEVNIGDVVIAVGSPWGLSQSVTSGIVSAKRQSLTIEGVTHANLLQTDAAINQGNSGGPLINADGEVIGINTAIYTTSGAFSGVGFAVPSNQAKEFIEDTIGIELPIGRLANNLAQQVLFGFGVAPPILMGVAAPHPYWGECTNCHVYINSPTKQGTSQAAASGLNIAAQTNLPGGFSNMDKPYVGLDIQKIDNIIARQFNIDSNKGVMINFIAENSPAMKAGLQIGDVIIKLNGRWVATPFTFDSILNKEPVGETVRIAFLRNGQRSEANLTTEAMPRNLNNISPWNGPLFRAPEEMEWMGLEVSLLTAKIARKRQLPIDEKGLYVIEADGIAGASGIVPGDIIKSINRMPITDFNSYNEAIKRVNLNDGIVLQVSRMGRHSFVALQ
MTMAPGTHNPMSAVPPPPEQRPTPPERNDREPKPRRRIGEMVGVAVLAAVLASGGTYAAIQAGGEGSASTTSQSPSAQTLQQGSTSPTVIQGNASAPDWTSVAKAVSPSVVSINVVLQNGEAAGSGVIIDQQGHILTNNHVVSGAGNGSISVALNDGRTYAASIVGTDPSTDLAVIKLQGAPSDIVPMTLGDSDKVAVGDPVMAVGNPLGLSGTVTTGIVSALNRPVTTQASDQNQSPFGGGQTNADTVVTNAIQTSAAINPGNSGGALVNAKGELIGINSSIAQLGGGGLGSSGQSGNIGIGFAIPVNEAKSIASQLIQKGHADHAFLGVSARDSVVTDGAAKRAGAQVVQVVSGTPADKAGVKQGDVIIMVNGERIESSTALVAQIREMKAGEQAKLTVVRGGQRVQLDVTLAVKPASSSS
MKTFYIFLFLILGVSFGLNAQNQSDMLEEVMSSVVTVTVEKSEYNNQILGFRGGIDEAYSKALDLGTAHSSGSGFIIMKNDVLYVVTNAHVVESASDEPGSIFVYTIDQSKYEMEIVGGDSFYDIAVLKFIKSPGREVSVLNIRTTEARIGEPVFAIGNPLGEYPYTVSQGIISAKNRMRGGATGKFGFYQTTATVIWGNSGGPLVDINGELLGINSQIAFADDGGDGLWQPQINFALESGLSNRLIDDIINNDGRVQRSFIGIELQQTYISKFDYSSYSNVMVLEDSLPVISAVLRGSPAFKSLNDKIGYMIYSVNNIAIRNMEEALGEFEKVSPGSMMKLGLLKDGQTSEVSFKTQELNPEKNEQFAKHIIESDERITLTEEEGTIFVDIEIPIKGESLQKSEEYRYALLSLGLYEEDSYSALWRISSMGDVGAAIRLSGMYGFFDIILIAYGDEDATPEKLRFNLSDDEDETVIKLWY
MTDSTPRPDAGDGGHLGDDAATAPFDREQFAERPDTGTAATPVATEPQPEPKGAAFRRRERRGLLIPIAATAVVAALIGGGIGAGIAIAANPRATVTSSTTSGQGITINNPSTATAVSAVAAKASPSVVTISVSATNESGTGSGIILSSDGYVLTNNHVVTLDGDSSSGTISVTATDGHIYAAKVVGTDPMNDLAVIKLTNASGLTPAQFGNSSDLNVGDSVVAIGAPLDLPNTVTTGIVSALNRSIAVASSAVPKGQSGSGGDSGGSGSPFNFWDFGDGSGGQSQTPAQTQNIYLSVLQTDAAINPGNSGGALLDAQGDVIGVNVAIAGTGSDSSSSGQSGSIGVGFAIPSTVAKRIADDIIAGKTPTHGQLGVTSVANETATTGTVAGVKVSEATQGGAAAKAGIQKGDIITAVGKVPVTSYTDLAGQVRSYAGGTRIPVTFSRDGKSQTVTVTLGTASTTS
MSTENEGTEVPADPTAPSVPATPPVPATPPAVPTAAERAPRPPQAPVEPAAPVPSAQEAPTQVQPPVPPAPPAQAPAPAAAPFPAAEPVTAGAPAGAGGWPPAPPAVPAWGEPVPAPSGAPGAPRRRGGGLVAAVLVAALVAGGIGGGIGYWAAQREDRGPESTTVSSGEAPASFKREAGTVAAVAAKALPSVVTIQAKSGDVQGEGGTGTGFVYDQEGHIVTNNHVVASAAEGGTLSATFSDGRTYDAEVVGRAEGYDVAVIKLKQPPKGLKPLPLGDSDRVAVGDATIAIGAPFGLSNTVTTGIISAKNRPVASGDGGGSNSYMSALQTDASINPGNSGGPLLDAAGAVIGINSAIQSTGGGYGQSQAGSIGLGFAIPVNQAKAVAEQLIKTGKPVYPVIGATVNMNEKGEGARIAEQGAGGTESVTPGGPAAEAGLRPGDVITSFGGHAIDSGPTLISEIWTHKPGDEVKVTYERDGKSASTTVVLGKRAGDS
MRLPLCCLLLSAWPVLADGLRSVVLRDDKALDHRDEVVLSYRDAVSSVRDSVVTIWVRHWKPKNEWNADGFDVSPNETVEKGGNGSGIVLTADGLILTNYHVVYGAKELMIRPRSSANDVPAEIVGFDGATDVALLRAKSGTWKPATLTNSDGAAAGDVVLALGSPFGLEQTVTMGILSATGRSEISGLSSQLQDFLQTDAAINPGNSGGPLVDGKGRVLGMNTARYGGEGIGLAVPINLALKVADDLQRDGRVGRGYLGVRLADVNREAVENLKLGSAEKGAVVTHVEAGLPGDQAGLRPGDVITAVNGLAVSSRARFLMRMTTFGAGDRVKLTYSRDGAAKETEAALIAPPGVKPVVPALEYELVAGLRVALIDDKKRNELLLPAKFEALLVLHDFKSRDGKVSLAQGDFILKVNGRGFPRYTVQSDEEFMNGLRPTRPLVLLTVKRKSGEQVELGFVAQLTK
MTAVRGFGTQPPAPPPAETGSPRAWSPTSTPYPLPADSDGGGSESGGSDSGGSNAAPFAVPFADVLTGRSAPRGRLVAPGRPVVLGHRVLLASILVAGLLGGGIGALLVSMTERGSDQQASAALPVGTAAPSSDRRSVASIAATALPSVVTIDAGGGGDGDAAGTGSGVIISPEGYILTNNHVVASAVAARTPISVRRYQEFGQVRADLVGRDPKTDIAVLRIPAPQPLPAVTLGQSGSLVVGAPVVAIGAPFGLAGTVTTGVVSALDRNPMVPAESGTDPTVLIGAIQIDAAINPGNSGGPLLDGLGQMVGINTAIAAVPGHESQSQSGSIGVGFAIPIDFARSVAQEIISTGRATHPYLGVSAATVTAGQAKAMGTTSGARVVNLAPGGPAERAGLRVGDIITRVDTRVISGMNDLIVAARLHRVGDRVSVAYERAGATATTQLTLQEQQR
MRRIVWLLLSFVLLLAGCCPLSTPQPEGRTSAGVAVTPAGTAASPSPTPCESQEDATGQCLGGLVTPTALPTLALPAPQATPPLVDVGELRTIRVYKEVGPSVVNITTRVLEYSFFYGPIPAEGAGSGFLWDRKGHIVTNYHVIEGAESIEVSFSTDEVLPARVVGADPPNDLAVLQVDQVPSGLVPLSERLGDSDALQVGQRAIAIGNPFGRFDRTLTAGVISALGRTIEAEGRRLRKVIQTDAAINRGNSGGPLLDGDGRLIGVNSAIFSPTGTNAGIGFAIPVNTVKRVVPVLIERGRYPHPWLGAIGYDITPRLAHILDLPVKQGLLVVRVYPGSPADRAGIRGATRRLIVGNSLIFTGGDIIVAVDGHPVRSWEELEDYLELNKQVGEDVVLDIIRDGRRLKVRVTLAEAPRG
MPDENMTTNDPNYDNTTMDDSVRQDTGTTVSQVVAEERQPEQPYEHMTFANNDYTRVETYAKHLSQEDAHGRSYTPTAYPITDQHQTVRQPGMGPYGQAPAPRPPERKGPRTAAIALLAVVLLLVFGTGLFAGWQYGRTSTLPAQTTTEKKGLQSDNNGQVTIPSLTDNNIQTVREAVIASVQPAVVQITTDSGLGSGIVIDKRGYIVTNYHVVEKAKSLSVKFLDGSQKSATLVGTDATDDLAVLKITPTTSLTTANLGDSSTLKVGQEVLAIGNPLGNSNTVTQGIISALGRNVSEDSTATLPNTIQTDAPINPGNSGGALVDLTGKVIGMPTLVAINSEYNTPANGVGYAIPSNRVKFIAQQIINDGKVTHTGRAALNVTVQGINANVAQTNGLAVSQGVLVVQVTPGGSADKAGIQVNDVIVQVDGKAVTDASTLSDALLSKDVGQQVSLKIYRGNQQMTINVTLGELQAQNS
MLHRLAPPLLLALALGACHSATTPASHAPQQAALRAPAPPPGELPAPEPSVADLEHAFERAAEAIAPAVVSVLVEQDARAELPPWMRPFGPEGEVQGLGSGVIIDEAGYILTNNHVVADARSVKVRLHDDRELHAEVVGTDPKTDLAVIKVRGDDLVAAPLHEGADLRVGQWVLAVGSPFGLTRTVTAGIVSAVGRGSMGITDYGDFIQTDAAINRGNSGGPLIDLRGRVVGINTAVGSGLRPGFAIPVDQAKRIVEQLRDHGEVTRGWLGAGNVPGDAKGGARIGSVYEGTPAERAGLRPGDLVEALDGDPVESFEALRAWVGTKQPGDEVELRVRRDGQEITLRATLGARPKDSSLEALRPSQGADGSSPSSPSSPPSPPSWLPFGRREDPPPPAERYADPPAEGLRLGVSARETARGLEVVEVREGSLAAELGLRPGDLLRELDGHVLHRPADVATALHGAGATIEVRFERDGTRHVVTLER
MANELEVIKTIKKVLPGVVSIAISKYLTVTENPFPELLPPLNDFFTIPKGRKKIKVAGGSGFIVDSSGIILTNRHVVIDPRAEYIVVLNDKRKFKAEVIARDRINDIAILKINEDNLPYLELGDSSKLELGQTVIAIGNTLGNFENTVSVGVISGLSRRIKAVDLIEKTSQNLRGLIQTDAAINPGNSGGPLVDLNGKVIGINCAMVFGAENIGFAIPSDAAKRDLEDLRKYGKIREPFLGVRYIPIDEEFQKEYGLPVSYGALVISDGIPGREAVVPGSPAQKAGIQEADIILEVQNKKITPTNSLEDVLQEFKVGEEIQLKILRKNKELLLKAILAEKK
MSDNNLFDWLNNNDNEDNKNNSNESGFESQETNRFNNINPSSIDSNAGSNERHFSDHEYEFERAKREFYENKEREEKQKEQEKLRGIIQEEVRKSKPKYGFLRALALVLVGSVIGSFIGFGVGKSNDKKQVAISSNNPTSISISANEEVNVENAVAEKSIPSVVGIQVNITRQGGFFGEQILQGEAIGSGVIVSEDGYIITNAHVIADATEDNINILFHNNDKAQAKLIWKDENIDLAIIKTDSTGLTPMELADSDDVKIGDKAIAIGNPVGLNLQSTLTSGYISGTNRSIQMQNGYVMDGLFQTDASINSGNSGGALVNSKGQLIGINTAKVQSTDGIGFAIPVNVAKSIINSVIDKGSFQSVQLGIQGVNLDIYRQRYELDFKVDSTDGVLVMEVVDGGNASRTDIKPKDVIVSIDGVKIESMNKLKQVLLKYSANDKATIDIIRDGKVKQVELTFYPESNA
MNTNFNNDPNLNNTQNQTPNNMPNQSDEPIVNQNETNEQTQYQTPYHASNDTQNNGGYNPFEKNTQSYTTYEQWQAQQNAANVKKHRKISKKPFIAVGCVAAAAAIFLGGLAVGSNGLSVVGNNSSVSSSSNKDLPTLTISSTPKDNSTAADGEVLTGKQIFDKLDQSVVAIQSMDATGQAASSGSGVVMSKDGYIVTNAHVINDEDTNQPMSNISVLFSDGSQLAATVVGSDTQTDLAVIKVTPEKDLVPAEFGDSDSLQVGEIAYAIGSPGGVQLANTMTDGIISAINRDITVNDRVMSLIQTNVTINPGNSGGALINQYGQVIGITSAKLGISYYEGLGFAIPINSAKEIVDELIVNGYIAGRPSIGITGGVISEQMAQFRNLPMGVKIASIDSRAKAASEGLMVGDVITAVNGTKITTMDEINEIKENMKAGDKLKLTVYRPSQQKSLDVTITLTDAHDLEGTDPATQQQQQQQYNYNQGNNGNTYIDPFQYFFGY
MGDPAVTSKRSTTVFALALCALSVLLFTSAAAAARKKPVPDRLTPEETQAIKVFKNALPSVVTIYTSQTVVQSDEEVQQGGIGSGILVSPQFHVLTAAHVLKGAEEIVVKTHDGRMHPAEFLFSEASADIALIKLQNPVEGLVHAELGDSDKLVVGQAAYAIGSPYGLESSFSVGHISGFRDFGRFYDGTIPAKFIQTDAAINTGNSGGPLLNSNGQVIGIASRILSVSGGFQGIGFVVPINTAKALLSLKNRFWLGIEGIYLNQEGIARLMNRELK
MGLRSVSPGRAFVLVVCFVLASVVPGQALTFVPESFSSVAKAARPVVVNIFSTRVVRVPGSTGDPVEDFFRQFFGPGLPYRAQRQQSLGSGFIISSDGFIVTNAHVVALAQQIRVRLATREEYEAKIVGVDQKTDIALLKIRPKNPLPTAKLGDSDTLEVGDWVVAVGNPFGLASTVTAGIVSAKDRVIGAGPYDDFIQTDASINPGNSGGPLLNLRGEVVGINSAILSRTGGSIGIGFAIPINLAKKVIDELRQHGRVIRGWLGVAIQDVTPDMVESFGLDRPRGALVVEVEPESPADRAGIRRGDVIVEFNGSPIEESRQLSARIAELPVGRSASLVLLRDGKERYLTVTIAESPEEAQLGGAMRGGARAWGLVLTDLTPQLAARFRIPRNVRGAMIREILPGSPADRSGLQPGDVIRQVDRTPVSSAAACERELARAGDSVLLLVQRGQASGYELLERGNDEP
MSLNILQKWQKRLCLVVALVAALPALQAAPQDFSSAVKPLLPAVVNISTTSEASADKRFPGMGGGAGNPLEELFRQFLDEQQGGGPKPRKSSSLGSGFIISQEDKTVYVVTCNHVVADADEIKVTLHDGDDFKATIVGRDPRTDLALLKFECEKKVTTAPWGDSKKAEVGQWIIAIGNPFGLSSTVTVGIISTLGRDISARAGRDGSFADYVDGYMQTDASINMGNSGGPMFNVDGQVIAISTAIFSPNGGNIGIGFGIPADLAKQVIGQLREFGKTKRGWLGVRIQGITPEIASSLGLSKPWGALVGETSPKSPAGLAGVQTGDVILKFNGVEVKESRSLPRLVGETDIGKTVPIIVWRDGKEVTLQISVGEFEKAESEGLIGNEDEEAETPTPSKGPMVLGMSIKPLSLEQRKRFGIEDDKASGVVIVSLDPRSEAAEKGLRPGDLIVEVTSERRKLRPKTGDDLQKLVEELRKDKKKQLLLLVNRGGSPRYLALSLEEEKKDDKQDEKKQEKKDKKNAKN
MASFRYAVLLALFLAAPLAAAPRGGLPDFSRLVTVHGTAVVNISVTRGLKPTGFSADTTAPENGNARDFIRPFPGENAQPTEENSLGSGFIVSPDGYIITCAHVTENAREILVRLNDRREFSAHLVGSDRRSDIALLKIESTGLPTIVIGDANKLQVGEWVLAIGSPFGFDSSATAGIVSAKGRILPNESYVSFIQTDVPINPGNSGGPLFNLRGEVVGVNSQIYSGTGGFMGVSFAIPIDAAMNIGAQLKSEGRVRRGWLGVSLQDVSRALASAYGLNKPRGALVADVLPKSPAAKSDLRAGDIVVEYEGKAIDRSSDLPALVGLSMPGTHARFRVFRRDQGMQTVVVTLGELKEESPAQPPPGKDRPEDHSRFGLSLGDITGLQRRELDIDHGASVNDVEEGRARDAGLRPGDVILEVDGKRVTDVAGFHRLLAHARRGRPAVLRVRRGMATLFLALDAADRSGP
MSQPARSSARSLTARFARRCLLSAGFAVGGFASYMATAQMPATPTRAADRPLGSNLRRDAMVDIVERMKAAVVNIHSERTITSSPDDPFRGTQLQPQRVKGMGTGIVLDPRGYVVTNFHVIDDIQTIRVTLSDGKSVPARVIATDKKADLALVKIEPYSSLPLVTLGTATDLLDAETVIAIGNAFGYEHSASVGNVSYKSRDVALNKEISYTGLIQTTAPINPGNSGGPLFNKKGELVGVNVAIRAGAQNIAFAIPVDTMIERAAEMLASRKRGGAWHGLVVKNHVERADEESPVRRWVTVDGTEANSPASAAGLKTGDLVEQVGDLAVTTTIDVERGFLERPAGAKVSVRVRRGSESIATAIQLGATETKTPEIPSTTILRRTGLKLSPMGKDAVAKIDPQLRGGLLVTDVIGPAAKAGIQKGDLVIGFHLWEALNVDNVMFVLNHKELATFNPVKTFFVREGKVREAMMVVGE
MYAGLLACALSLTAPDMGLAGEPPGLRTQVDLPALVQRLLPAVVNITILKQRPMASGHMPTGGETMVGPITEVGSGFIIDPSGYVVTNRHVIQDAYRIMVTLAGGDSYAGHVVAINGAPDLALLKIDAAEPLPTVPFGNSEALEVGDAVVAIGNPLGLASSVSVGVVSALNRDVHTTLFDNFIQTDAAINHGNSGGPLFNTQGEVVGVNWALVQPEHEGGSIGLGLAIPSDTVNFVVSHMRQYGRLRPGWVGVGLQGVTQDIASAVGRTSLKGSIVTNVVPGGTAAGVLRPGDIVLAFDGRPTRDTRAVARAIASSTPGTTVPVRLWRDGQEQELPIKVANWPEGPGNPSGTWTMPDRGQRISAPSLGMTLAPLSAEFRERHKLPETQEGVAVLGVAANSPGADAGFTAGDVIVQIKDAPIDSMEDMHATVEQVLAARERSVLVLVRNEAGFRWLVVPTDAK
MNDRALFVLLAAAAALPARAAAEPAPQAWAAPAEALNLQEAFVRAAAGVKPAVAAITAGSGPSNDGAPLEREPEEMFGRYFFPTAPHRAGRAETHTGRQVPNTGSGVVVGAAGYILTSAHVVNGAEVITVTFAGEPGKSYSGSVLEQDKGADLALIKLEGKKGLACARLGDSSKLRAGEWAIAVGSPAGLAQAVSVGVISAPRQKLPLGQGTYLDVIQTDAAINPGNSGGPLLNIRGEVIGINTASYAPAGEFSGIGFAIPINRAKEMLARLIKE
MSRTKHLVILAFVAGVSIVFGMLLTSGPLKRSTETQPAVWSPALAAPPAEPAAGTGNFSFADVAEKANPAVVSVSNVEFKKASRDSQFDMPFEDPFRFFFGPRERDQRRNRGQDEEEERLESGGSGFVISEDGYILTNNHVVENASKLKVSIENGVNSETYDAKLVGKDKSIDLALIKINPSHKLPTLPLGDSDALRVGEWVIAIGNPLNYEHTVTVGVVSGKGRRLQQGPGELDETLASFIQTDAAINFGNSGGPLLNIRGQVVGINTAITRNYGPFAGLIQGIGFALPINQARTVLDQLKQTGRVARGYLGISIGSVKENEQKAFNLPSASGALVEDVIAGLPGDEAGLKRGDVIVSVNGAPVKSTVDLINTVSSKRPGETVALGIIRDGREMKFRVRLADRSNEMNARTEEEQGQGAEGEEPEGTAAERLGIRVTEITPELRKNLRRRVEGVVVLSVKPSGKAWDEGLRKGMIITSVNNTEVRSARDLRAAIAKLRQGDYVRLYTLKQDPSGEWRGSFVIFQLE
MRKVSYLIWPVVTGILAALLVLQFYPQLLTPPVTTVEIREAAATPGIQNIGPVSYADAVDRAAPAVVNIYTRTLVRQPVSPLLNDPFFRKFFNTEQLPQRERIESSLGSGVILNEQGYVVTNNHVISGADSIIVALRDGREAQAEVVGLDPETDLAVLKVKLDNLPSITLANEDLRIGDVALAIGNPFGVGQTVTMGIISATGRNRLGLSTYEDFIQTDAAINPGNSGGALIDARGNLIGINTAIFSRSGGSQGIGFAIPSTLTRQVMQDLISHGRVIRGWLGIEIQELTPQLAESFDMEGRTGLIIAGIFRNGPAHQAGLQPGDVLLQMNGQPVTGSRTALNHIARFKPGDKVELGILRNGHEMSLSATISERPRTRE
MTRKASPWAGVRRLEGNIIAIIAARQACMMVAVMMVAVLVGGNAALARGVPDSFADLSAALSPAVVNISTTQAVTERLPELPEFPPGSPFGELFREFLDRQRSDQQQPRKATSLGSGFIIDANGYIVTNNHVISDAVEITVLLHDNTAIKAKLIGKDGKTDLALLKVETDRRLPFVSFGDSDKVRVGDWALAIGNPYGLGGSVTAGIISARARDLRNGPYDDFFQTDAAINLGNSGGPLFNMDGQVVGINTAIYSRTGGNIGIGFAIPSNLAKMVIADLRQYRRARRGRIGVHIQEVTEDIAESLGLSKPVGALVANVIESSPAVQAGVKAGDVVLTFDGPRGRPPAPATPCSGNPERQDCGHDRVS
YIRAILSIIIAIIVFLVTSAEAQTAPVLPSDPYSFPDIVEKVMPTIVTVYSEQTIRVRYQNPGFGFDDDFFRRFFGMPDPSPRSREPQYREYRRQGLGSGVIVTENGYVLTNNHVISEADEIKVKISGDDKEYIAQVVGTDEKSDLAVLKIEPDKPLTAATLGNSDEIRIGEWVLAIGHPFELDHTVTAGIISAKGRNRMGITDYEDFIQTDAAINPGNSGGALINLHGEVIGINTAIASRTGVYSGIGFAIPVNMARIIMDQLIDRGYVSRGYIGVGIQDITPDLAIAFDLDEPSGVLVTRVMPDSPGDKAGLLSGDILLTLDGEILGNGSELRNRVAEKQPGSKISLRILRDGKERNIEVVLTELPGAEKLVEELEETEPQADIGLELSVAEEGLAREYGYSKGLLVDKVEPGSVADKAGILPGDILFEIERKPVGSVAEYERHLAKIYGKKPVLLLIGRGGGMMYLVIKAEE
MAEREQAVGHVACGRDRAPRHLLHPRHLDRRGAYARSFPDDRGVHPSSDGQHHEHIRCAHAHPWRRGHDHRDADLQSCKNSIFTITAVSGGKPTSSDAEEDIGTGFLIDHNGDLLTNAHVVGSATTVQVSGDNRQFVGRVIDADQLDDLAIVRIPAPKSLQPLPLGSVKSLQPGSLVIAIGNPFELTSSVSSGIVSGLNRSMSESNGHVMNGMIQTDAPLNPGNSGGPLLNAAGQVVGINTLIESPIEGSIGIGFAIPIDRFIQLEPELLSGKPIAHAWLGIEGMDIDSLMQQALHLPVSSGVYVTEVAQGGPAAKAGLRGDSNAAKLNSLSQSANPYAALKGNGDIIIGIDGKSVSSIEQLTQYINQDDPGQTVVLTVLRGGKTLHVRVTLGTWPSGQNP
MDENFKDINKEGITKEGLTQDDSRDDYDAQNSQISTVIKTENYSKKEERKNGFYITKKLAIKFVAAVCVLSMLLGAAGGVLAGYLYKGNTDASSVMYQSVARTSTQSGDDSLGLSTADVASQVTASVVKIQTEIAVYSNRLGQSVEQGAASGVILTKDGYIVTNNHVIENSNKITVITTDEKQYSAAVVGADKQADIAVLKINATDLTPAVLGSSSSLKVGDRAIIIGNPLGELGGTLTQGIISALDRQITFDGQIMNLLQTDAAVNPGNSGGGLFNDSAELVGIVVAKSVSTEVEGLGFAIPIDDIKTTIENIINGKSAQAGGKIYIGISAVDISTNQLAAQYNLSQKGVYIAQVYDNTSAQQAGLKSGDLITGIDGEEISNFTQFKQIINKHAAGDKIEMTIIRNNSTKTVEITLRTQ
MDNENDSNKNITYGSTSYQPKYERPAPSAKGNTGGGKIALLLVVCILFSSLCGGAAGYYMAYLSKSSEGEENPKEILYQAVIRELSVGDDEGDRALGVSGVSSLVADSVVEITTESISTSSFMRQYVTQGAGSGVIITESGLIVTNYHVISGATSITVTLHNGEEYPATVRGYDELNDVAVIAIEKTGLTPAILGNSAELSVGEQIVIIGNPLGELGGSVSTGIISALARDVYVEDTPMTLLQTDAAVNPGNSGGGMFNLYGELVGIVNAKSQGDDIDNIGFAIPIDSLKTVIEQLITYGYVQGRPYMGISMVDIDNAFAAIQYRVGELGVYILKVEEDSNANKAGVKSGDMIKKFNGNAISSSDEITALLTDMKAGDEVTLTLSRSSRLIDVSFVLEELIPKDIVR
MNDNREYPNGEPMDETRRNTNGSTDQGNGEIHSDDRIYPPRNGSADQERMSGYASTGYGGVHSGPDHNSGNTGNPNQGEERRDYGVDPFSAGQNRRSDRPYGMTGTYEWNFADYDQQSSAPARDQAARKKRGRMAAILVGCLVGVFALAFCGYSIYSAIDSSRVEQDNSSSEVSALPGLNIQDTPSDSDTTVSSDGKLSDKEIIKKVSPAVVGIECYAFGNSFTPSSSGSGIIMTADGYIVTNAHVVEDAAGITVVLENGDAYAAELIGADSDTDLAVLKIEASNLTYVEFGNSDELERGDRVIAIGNPGGTVLAGSTTGGMVSGLNRNINSSSPYSTSYIQVDAAINPGNSGGALVNEYGQVVGINSAKIAETDYEGIGFAIPINEALPIIQELMQYGHVTGRAALGIQGYMINEAVAAMRRMPVGFGIEAVDPSSDLASKNVVAGDIITYINDKQVTSYDVLANELAEFKPGDTVKLTIYRSSSSGGAGRSFEVNVVLIESAGE
MNDEYTPTGDRPQELSGAVPDCAPPAAEQPPAAEQPPAAEQPPAVEQPPAAEQPPAAASPTAGTEPPPVPPAGSDAPPAPPYHPDYFSRPTPRANRNRGLMVFAVIMAVILCLSLFTLAGVTLYNLFMGNGFTLHTPERLPSVDNPGVSDPGRVPDDGESGNKPSLDVQDTPDPPSTTQTGVLSGPAISKKVRPVVVGIVALGETGYQTFQSSGSGIIMSADGYIITNAHVVEDTYDVTVITFNGENVAGTEYKARIVGSDTNSDLAVLKVEAQDLPVAEFGDSTKLEVGEMALAIGNPLGLELAGSVTQGIISAVDREVSVDGITMTLVQTDASINPGNSGGALVNQYGQVIGINTVKMGRGQYEGLGFAIPINVAKPIIDELIQNGYVQGGVNVNRPRIGITCETVTPEVADYWNYPLGVYIHTVEADSDAYRKGLQSKDIITKANGVEITSVEDLNEVLNPLKEGDTLKIVLWRDGKYYNAEIVLMAPQ
MSEASDPFRRADAEPPAEPPVRAEAGGRARHVARTARRAAPFLAGIAATLVALIAYGLINPPPRPLTTGDVNQAIASALASQTPGPARSELVYAAVRGSLVLIQVDETADAPSAYGLGAGVVVNDQGAVLTALHVVDQATAIKVTFADGSVSAARIATRDPATDIAVLQPDTSPQNIVPATIGNPAAMRIGSEAYIVGNPFGLYGSLSAGVVSGLDRSFQQPGSNQVLRGLIQVDAAVNPGNSGGPLVDRGGRVVGIVTALVNPTHEDVFIGIGLAVPINVAGGAAGLPPY
MTNHRPVRVGAVAAAAALTLAACTNSPPASSAAGDTARSTPSSATASGLEQQYVSVVAKVLPSIVQITNGHDLGSGIVFDTQGDIVTNAHVAAGATRFQVTLAGSPTPVPASLVGAYPPDDLAVIHLDTPPRSLSPATFGDSATLQVGDIVLAMGNPLGLSGSVSNGIVSATGRLVSEPTGGGSPGATLPDTIQTSAPINPGNSGGALVDLAGDVVGVPTLAAIDPQAGGGPNGSGAGGGSPAPGIGFAISSNLVKDIAGQLVAHGGHVVDSHRAELGVQAVTVIDQTGQPSGAGVVSVVAGGPAAAAGITAGEVITAVDNTPVHSSAELSKALAQLSPGQAVPVTVLDPQGASRTVTVTLGQLPGS
VASIVSGAIYTASLDSDPRSSAGAAAQTGDGRPTPTVAGEPLDIGTILDQVRPSVVSIRINGGVEGEAAGSGVVIDDQGTVLTNAHVISGADGRAASINIAFSDGDIRPATLVGSFPGDDVALIRTTEPKQTVAATFGSAEALKVGEDVIAIGNALNLGAQPSVTKGIVSALNRSLSAEGVTLENLIQTDAAINPGNSGGPLVNSYGQVVGVNTAIIQNSQSVGFALSIDVVQHLIDDIKAGKADIDGNSAYLGIETIDVADATEEIKANYRVEAEAGAFITTVVEGKAAERAGLAAGDVIVEVDGKPVSENTDVGTAIRSHMPGDTIDIVIERQGERATVQATLDRRGG
MENGKDRRLKFHWRLLQGGTGKSQSIARQHEVAQTSLPEIEAQLKFCQLAEEMEIDSLLVDFSYGKPDPILLSTALALQTQKMKVMIAIRSGLMSPTLFAQQVNTFSTLISGDRVTLNVVAGHSPKEQRYYGDFLSHDERYARTEEFMTICNAFWDNNGLVNYNGSYFQVEDGKLATPFKSEGYSRPYTFISGGSEAAKKLTVNAGHCWMRFADVPQKLVESIQPMLDAGKDVGLRLCVIPRSTRKEAIETANNLIKDFIDSHPQDAKEKARAFFKESDSVSIKEIYEHSANEWLSDHLWSGAIPFFGPSCIALVGSYEEVASAFIQYKEIGITHFILSGWPKESAMISFGKYVLPLVREMEH
MENKVILYNINEDNGDSFVLRAFMFPDGRLVLEGQDFCETAKNWFGDEEYEYYYTFNIENTNKLKAVLKSDDLLKTLVEFFSGEMINEKLRKLCEDNDIKFDIHVI
MIRTNCSAAVLVLMCGMIGCSGGAPDAQPTAEISFKVTIDGKPVAGPGIDLVFQPTGKGAISTIPVDAQGSGKGKAVVGANSVRLTINPSANPAAEPPGPDGAHGGAKGKGIGAAFFGDRSTLKADVQKGASFTFEVGTAAGEAADKAAPAAPAAHRGK
MNYEQRMISSVLDMSSKLEFYSEDKVAQLVHDLNVLGLLRVIDGAINKSDHETLDVLHHSGWVISASHIGKSISQILQTEQANTIHDIDDPRYQTFKYLLETADSNVISSLESCHIKGILSYGRVDLLDELIQHGLFESNDISDIYPTVADELIKIFIKKSSNDYLGIALDKYPKEAYSARRVTLNAACDGDSDTLNVVLEKLDMRDPQIINKAVSLSAQYKPESIQLLLSRTTPVLIANSIRKREIECPLMLVLDCIDDENRCIATASKLIDFGLKIQDAKIWPNLPDHSIEFIESIKLNEIINQFIDSECQVHNGAQLDEPMNLSQNSL
MKKSLRLKSVFSAALLALTVCSANLSAEGGKTEEKGNTLVTCVCGILGTTSFGCGILLKVTNPYNFLTTMAKVTNVANPINNEEISTIKLDLDIEPANESVLVPNIVIPAVLTVFGIGGIATSICSALS
MELHGQFLFPWSSILESRSIPASGMELHGSSCFRGAPSLKNWSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSIPEDWSIPATGMELHGQFLFPWSSIPEDWSIPATGMELHGQFLFPWSSIPEDWSIPATGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSIPESWSIPASGMELHGQFLFPWSSIPEDRSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSILESRSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSIPEDWSIPASGMELHGQFLFPWSSILEEDGASRPQEWSSTGSSCFRGAPSLNPGASRPQEWSSTGSSCFRGAPSLKTGASRPQEWSSTGSSCFRGAPSLKTGASRQRNGAPRAVPVSVELHP
MSKNIKTIPTSEYDAVIKVVQEYYVDGLRVGSTDTVAKSFHRDATMYGLTLDNVLLGGPVKNLYTYMEEHGSAPDIKTRLDVVGITPTTAVVKVDMEKDAAGFDYTDFHTMIKLDGKWQIVAKVFHTKKKCDQILPSCGQCTRSGAECVRFAQRKARPAASVPWDYVHGLETRIERLERSLAECVAELKMSRRLADKPCSPHTPSLSPTGNGDLMQQDSENGVESREECPTAASSGHVSPESNSQAETAYIGPCTDSADGEAGLDLVTPSNLEGYLKYVHPIWAFLDEDLLTLRPDDVAKMDAPQSPQSSHRRFFVELACAIGCFYSTASAPSQRVVAYSQKLHDHALENHLQDAVGHSTTRQLQACILVIIHAIHSPAPYRVRNATDEALAKLAEILSTCRRLIENGSPSSLEDGEGAWSLDTDDQREKRLRTVIVYAYSAYELMAIAWDRPYQEFTEPLNNKIWNQYFRSTFDGAPQDALFEHQFYIRRIQTRVRRFWNEMHTLSVDEQRLQRTEIKADMDQWRALIPFTSSNIETSTNYHPLSMLKLYDYTICNLYQQGDHFPTGDDCLLLLSAASENCRCFRRIQIHRPMSYYTWTGANNLQALEDCEFTLRRFSQRWEEAGIYYRLFRLLLSQTPLSFPDNSSFNFPDAMADEVRDMLGVLDEHGLSMNVVALISRIAFRTALNSVSENLSEPVWHNRALTMP
MDFDGSKTIDEIDVFTLQDNAGSPSEPTETMTFSQYGATAFEVTVLERKRVGHGDEWQSDGQ
MAVCYGLNTPEVVTRCDWFGCDRPAALLVTITGVALVIFGVREIALAKRHAQTGGEAFVSSE
MTDARRPRSPRAPRSSALSASALASGALLLGALAPWTPAQAAPAAAELFCQVPDFDSTMDYPTTADITATRASNGTVTLRLTLGAMPGIVPLALPATPVSATVSATVNGKAVTLSGKHTTAPLGVRAPIPAPPTTGTVSATGSSASVSVTKVAFVATALGMDVAVTCDTVAGLTRTVNITDAPAPPAPKPPAPKPAGTTTTAKVTVSKKKVATVKVTVKSAKVVPTGKATVTVLKGKKQVAKKTLSLKKGSASLKTKKLAKGSYKVKVAYAATKKFKASSKTVTFKVK
MTTDLFRDLESMKPLTIEEGGRQDPFDNRKLQSMMEALKEGDSTTDQYIAGGNVAWVSWSWSSTASVPVYKSSVKWIMHTTYKKPQPINLVVAVDDLFMDQKNGQMKRISPEEDHHAFILATARDLQQDKFQEAGAVAEWRRAWLSSTIDCRFKPGLNLFWEAAKQREDVGTSYETMYYSPVQRIFQIVSFKLNHESASKTKLTTKKLFEILSANLTVTSGEAVTQSFLEVLMALWNSLLSDTSFREEVLLSEELFGKRSPIKSIYVLRDLATACKKQPPCVKWVFDALMDAALNKIFKQGELNPQALAGKNTRGLFHMWAWKYVLKDEILDMLLAHKSLPCEDAKRIREKLSTQETWRKAMAGGLLFSRETLDNIPDNELDPSILTAIGDSSVAHDIVMIWTWRRGKSVKVVANKSWLGALQPASQEAAEFLADLIYTKKYDEKVKSVLHTNFDVNALLEREVLKPIWDKLTKKADNTAAATQAESADEGALDDAALVKAAIRLSEPNEAKATEAVHAVEALKTEDKEFLLETETTAEDLVNSLLQVAVADQEIDKLILALSKVEFLKTRSQEGSQLFIWDVEQA
MTPAEAFRLIRQSCLPISFGVGPAIPERPLSAKLSIKDSAPANLTINFGDPRSRPTPAKCLAGHRGAQGWVRMTRLRGRLLHDSFGESVKQSGVAVKMQQEIEQAIDG
PFEWDVFFRQVVKGSGRSGEVFDEPAIEVSKAQEGFWPGGNSINLNGVHRYIVRGDDESEVFNRVRFEGALGGFQEQVVIQEYLHNLGRHTSVFFDGFREYQNVIHVDCQDLCYNEVMEDDVHHCLEGCG
MPTVLSKTTAKNVFVTVFVAALFGCATTPEPVPGQGLANLPNGADGVSVDDAGQADPRLSKQPLSSAQEVAASLTERAKPVLYRGTDRQINPPPGRKPVRVVGDAVSLNFEQAPLMEVVHAVLGDILGLDYIVDSPVGGAVTLRTATPVPRDELLGVLESLLQGNGAALVRGEGDRFYVTKASGAARLSPTLSSPDNPVAGYSTVIIPLQHISAGNMAEILKPVAPETAFMRVDNARNLLMLAGTQEQLRGWQQMITTFDVDALKGMSVGIFPLENSAVSDMADTLGGLLGSNEKAGGLGLGSLVRIVPIERLNSVLVVTPRAHYLNQVGRWISRLDNAPDSHYEKRLYVYPVQNSSATRLAELLNSIYSGSGSRDGSTAGRDSGFGSDASNSGVAPGMDAETVTDSTGVGSTGGFAGNTANRGSGGQKYELEDVRVVADEDNNALMIYASGKNYRKIASALERLDVVPTQVIIEASIIEVTLTDELEYGLEWTFRAGLGSDYTASGQQSKAASIASNVAGFSYTVLNSTGDIAAMLNALATQSLLNVISTPSVMVLDNQTASIHVGDEVPVQGTQNITNGGVISNSVSYRDTGVELSVRPSVNAGGLVTMDVEQSVT
MSMALSGSFLSRGLLVALLALPVGACQTMTEKQADSPLRLEEQPDLPEIYADAPKSAMAPEVELSAIAEPASGPSPRNVELYPGSDRFLATSQKARNSSSSTNGTINLDFDSVPVAQAAGTILGDFLGVPYQVDAAVKGEVTLRTSQPVAREDLIPILESMLEAKGAVVTRGTDGLYRVAPRGAIKSRNLDFSNLGASDELPPGFGLRIVPLRYIGAAEMEKILKPLAAENTFVRVDGNRNLLILSGTRAELGNLLSVVSTFDVDWLKGMSVGMFELHQGDPSVVAGALTEVLGIEAEGPLQGMARIIPLKQLSSIMVVTPRKYLLDEVGKWVERLDRAGEGRETRFYVYPVQNSEAVYLANMLKQLITGETSSAQTASAEVAPGRQLRTLSSGSSGGATSSTNPAASADQSSAAIKVKGDGVVTTGPVQIVADERNNSLLIMASAADYQRIEAALERLDVTPMQVLVEASIIEVTLTDNLQYGLQWFFKNSISDYQGNGLLDLDAGSGLAANIPGFSYTLTDASGLVRVALNAFAKDSRLRVLSSPSVLVLDNRTAEIRVGNQQPVQTGTTTTDGGTQSTSIQYRDTGVTLAVTPRVNANGLVNMEIDQEVTDVGAVDSATGQRTFLQRRIKSSVAVNSGETIILGGLILDNSTRANSGLPVLHKLPLVGPLFGGVDDQSTRTELLVLITPRAISNRQDAQKAGEEMRLRMRNLSAEIDIPGRLGGVRTPAAVRAQ
MLTDYRHVLLFICSLALAGCSLPYAQLSLPDPITGPPKDGRSALASHPTGTPLSSPSPQPVNETRVYTGSGEFAKEPEKRLGDAATPGVSQITTATTAGGATSGVAPLQPTSEMNSAGITINLVNASVPEVAKTILGDILGVNYVVSDKIKSYVTLRTVHPVDKAGLLEIFESVLRAEDISVVADGGVYKVVPAADAAATGAPLQARRTRNGTQIGVANEIVPLTYVAAPEMERILKSAAPEASVLRVDTNRNLLLISGTQSELNSMKELVGVFDVDWMRGMSFAILPVETGDPAAIASELDVIFANDKDSPTKGIVRFIPNQRLKSVLVISSRPEYLKKAETWMARIDLASQATEKQVFVYHVQNRPAADLAQLLQKVYKSGSSSQQSSPGGSSSSSSGPANTQFTPGDGGGLDVAPVAAPVINGPGQTAGLSPAPVPKGSDSGGDAAANAPDATQADTGGGQRSAFGGGAPPEDRSAGISIVADEPNNSLVITATKSEYKRVSNILRSIDIAGNQVMIEATIAEVTLTDELKYGVRWFFQKGGNNFGLSDIQSSAISTAFPGFSYFLNVANIKVAINALSTITDVNVVSSPTLMVVENKRAVLQVGDEVPIVTQSAVSTVTENAPLVNTVSFRNTGVILGITPRVSDTGNVVLDIEQEVSDVIKTTTSTIDSPTIQQRRIKTTVNVQDGQSIVLAGLIQDRASRDRGQVPILGNIPYVGNLFKQKDDSIKRTELVIAITPQVIRDHLQIDQVTAEYRDKLNFSTRPQRRTPPDTRERVDQLIR
MQGRTHRGSTVGIGWRSRRPGQGRRVAGQTETPTPLWCTDNRSNKDTGPKTRHTRETCCVSLEGRRHYGASWSKKIVSNRADLHACRRNDARSPDKSGIFDPGTGSWRSWFVVRYIGQRRSDPDRRTCPRNEIYTFEETPPMRRLVNVCVCDWSNLGRSALSTSHQK
MHDRITFSFGGQRLRILEGPEYVALVEYGETINIPYEDLDAAIAVLTVIAERHKP
MVRRLGRMEMERQKRAQGVSCETLSERSSDQSETASSSSSVPSVRRRSGRKKGKISAAVSRMRDAYVNCMLNAATIGDCSALVYGNGYCGNDFQFKGSYLSIEEEAAYIRSMSQRSAR
MRLHETATFLWFISSNITLSSHIIPTHITVGLSDAQQGKHRYPDLKSHTCILLHCLPQLFLMILSSCKPTVRRDSLPFIGSTRPMPELVANYALYLFKIPTLIAANPMRHTHSSSLFRNTRY
MNLKEQFRLSWTFALAVLVFVLFKTLSVTRILNVSDELNGLLYIFLTITLIYTSFKSDIKLRKSGDILNGRLMLFGAGMLSGIWLIQAINLLI
MLQSFSISSLSPNNEQSVHEAAEILTAAFAANWPHAWATPEEALDEVNEALAPDRICRAAFDDAGAVLGWIGGAAQYDGRVWELHPLAVRPDVQRHGIGRAL
MHRKNESHRVGFKFFITNNRSGYLKTEDKTQQAIHQQLQKRSGQTNQFFFLKGGDEPVGFFPFKFSNKHKISYEKRKRNKKPKGLDRQSNMYMKKILQQIAYCWEHTSKLYKKKLFKLW
MPRHDLSYGGPNWTVRDIVRHMRHLPLTAEIRAKWQYCNMMFITLSHVVETVTNMWLRAMSEMDPRVLSEESYTALRTPRMFITIFEGLEVFSHNGLVTGFSTTMIYIPELSWGAALMSNGDRFESFGQDRIVYTMIYDLLGVPASKRVDWIARANKSIQGAKDAWNNSKSHLFPNAPQGDRRIPLPLPLASYSGTYRNAGYQNITLQIRDRPSWLDAVDEKILVANITDRTWAHSLSFEHIGAEHFLVWAVAGSMTPCATLEKLGIKGGFKVSAAGKVSHLGLAYEPPVGGEFIWFEKLA
MRTALSAAEREDKKIAVAESKIAKARRDIASIDKSIAAKTTSLRSAEDSERRSTDSKQKQVDSRRRQEERNHAREIARLSTPSAQIRYVELRLPEPEKLRVLYLTANPEATEETVTYPDGTIQRFGTWLRVDQEVRQVRQALRGSKYRDLVQVDHAPAATTNDLLDRLNDCRPHIVHFSGHAGEDGVLMENEAGDEDGADVTFELLARILGATDEPPRLLVLNACKSLAGADALLQTVPALIGMSDTIEDTSAIVFASALYSAIASAQSLASALEQAKVRMLAATLDGSDLPELRVRNDVDPAALVLVTPLQM
MNELEIQSRDVLEGVLSRVPIVNVDDIRLDLPTNEGRIALFADIRAAGKPYRLLCEVLPNGQPRYVRHALLRLREHAARAASGIVPILIAPYFWFFTDYRDRRP
MHRILVHNGKYDDIFFVIRFIDGLKPDIKSAIQLHKPRTIDAAMSLALLQVEVLDASNRRFYSKPSREFSKYPGKSQPTSTTGILGTSPAEVKQASSPEQKPKGDDKLAALRAQRRKLGLCMKCGEKWGKQHRCPDHVPLHILEEFLEAVTDHEDSEEDKEIGSSDEELLTLSFAATEGIQGKRTLKLQGLVNNQTILILVDSGSSKTFLSTAAAERLQYSVQDAPLVQVTAANGGTLSSDSLVPVVTWYTQGHTFSTSARVLSLPHYDMILGMDCVSKTEGHQTQGAAAQRRYSSSGPTISCSARGFFSNHPSSGPTACG
SNKIGIEAVNASASGNRIYGNALIGLVAASSSTLTDNQVYSNANLGVLGRDFNGRLSHNLIYDNPNDGVWLFSGSGAQISNNTIYQPTSGDAIQVGGSHPELFLSGFSVSNLTLQNNIFSVSEHFAIQVAADSEVGFASDYNLFHVAGSGQPIRWEERAFATREEWALETSFDTHSRAGDPLYRDIDGADGQLGYDAATGVDYGQDDDFGVLPNSPAVDAGNSATTFAAEPSPNGGRINLGYTGDRRQATTSALQSLQLLSPNGLEKLEVGQPATITWTSAGLSRQRSVALVNAGGTGADWWSENSYQAQGASPVSTPSFVDLSGVTNPAPQSVYQSSSQGGFTATTPLTYHLPVDDGQYTLRLHFVEYALAAGLRLIDIRLQGSTVATGIDINVAAGGLNRAMTRTFTVEATGGDGVRLELFTPTGGWGATLAAIELSAVSPLGVVAPTVDLQISINDGVTWSTIATNVPCDLYGHGSYSWVPSAESNGNSARIRVLANDGALPIDASDVSFLITNGGHDFYVNDTSTANDVFSTATGSNLASGKRENEPVASLQTLLTAYDLEPGDVIHVDAGTYRVYRNLRLMDDDSGLLIEGPQDAGAIALFDRGNHTLGSYLIELAGGDDITIERLALTGANVGVFAANTVHSDRVTIANNDIYGHSSSVGPAFGIYIDDGNADTQLRGNRVHNITGNLSSTTGIFAKARGAEITENEVFGNPFGINVQLVSSSLPADRIVVSDNVVHENVVIGLDAFGNVSVSNNTVFNHLGANSIGVRVRNASAIDNVVHHNTVGVFADASTATGNRAYANVRGITGRNASTISANRVYS
MPNSQQLLTRLRAEIDALPVIDIHTHLRPSHPNGHDLAEVLLYHHLGTELMSAGMPPEAVSTSGLPHEVADPGIEPLERVKAALPYLPRIRNTTVGGFLRTILTDLYCLSDKSDPSDCQLTTDNLEAAWAAAAQRAADPAWPDYVLRERCNIVHSVTVERTATDLGRRFLFISEGCNGFFLADKAMNRQRAFENVERSAGQAIHDAESLRAAVENTVHRQLDRNALALTFWMPADMFWREADDGQLTEALAHLRAGHATNCDRDVFATHAMRHALRTMRDAGLRRAQIFLGAEVKLPHRSVSVASGQFARELAQIFNQFEDLHFELLAAAEIHTQDTCILAKHFPNVRVAGYWWHTLYPSSVRKIIESRFDIVPENKITA
MQEERTATKPVAVSSAYKTASDRRVVVQGLPCATVIAPRYLTEGHKKTGNSPKKS
MKLFTTASYYGSGSSAITDLLSEYSTVKALDSDFECRIAYDMFGLSDLEYYLVENNHRHNSSTAINMFLRLCGIYGLNKNIRFENYSTIFPNFHKSVIAYINELAPMSYKGGSHVDIYMKSDLFIFLLKIRGLIYNIFHKFESTNDDSAWLLKGVTPYERELGKIDYHISYPINVFLEATQRFTENLFGSVNMGNNEYLMVDQLVPVSNTMRFVKYFKDLKVICIDRDPRDVYYNEKKFWKGGIAPSEPKMFVEWYKATRQHKLVEKDDKNRVLRIEFEDLIYDYEKMCHNLESFLGLSSGMHVAPKSKLNPSLSIRNIKKWKDDNEELPNIEYIKKQLLDYYPFKYD
MMKRLLLAVALLGASGVALAGNCDSYYGTAADGSQCGLRSADSRVRPMYAQPVYVQPQQKYVTEEQAEARTDDMRTQALNDMDTLTVNTGKQRWLCPVTESEGTYHYRTVEIDPKNPGDVIIVDGHKWHKNGDGNYSNNLYSEYAFMIGDDNGEFELWDEGNTGNAEISRPCVPVAEGLPAKYTAENPIDEVVLNIWRGN
HSEKLQGKQRVLEFGGGPSLWPSFLLAQYFDEIWFCDYSSSNLQFIQEWLDEQPNAHDWKPYFNFLLDIKQGHHHNEAQYEAQLRSALRKGKIFRCDVHDSNLLFLNQRENNPTFDMIFTSACFEAACSTYDILEQTIRQLGKLLKPDGMLLILTYRNASFYISNGHTFPDLPINEEIIREVLAKVKLFTEPIFTSMDVQLDDPVGDNDGLLITYTYRRTV
MASPLHLALLALIFATQADGRRGGGGGTGGSGGDGDGGEGGAGGGGDALIDYTKDCKAAQAAQTQDLYLMPGSYYSGPLTITHKVDFNSAAADTSSATTTSRRSSMSMTPYSLSALSVTEMIQQMSSGAFKLILMCSLCRAMQGELVRIRSASYGQEERERKGLRFYYPYLSGDESALAVPWNESSRQYWNTSLSPTTLSVPNGTVPVDSWIISATYVRYPDAIPPGRYDTYQPYYPHNLVTLSDICYSERDNGAYPGDIEQPSSSP
VLIGAVEGTRTLRMIRNTITPQTFQLLKTLTKPYFPISTFVGGVS
MTDRTLYYTHNINPRVAVAVARHLKSPVELVRYEPMGADREAFLPLNPNSLAPLLVEDGKPLWEADAIALRLARLDGGDFWPDEHAVEMMMWVSWSAHHFTNAGAALVWHNVTARSFMGDPEPGVVEDAANDFNRFAAILDLTLAGRDWLVGNKLSYADFRVASSLPYAERGKIPLGAYANIRAWHDRLNQIDAWSDPFEGIA
MKKTIYLLLFFNKLLLAQVLNGNFEQINSNLTLKNWSMDFEIPSQIDPNTGETIHDEILYTNASISSMVYATTDSYSGNYAMVVSNAYNETQNYTIPGKAIIHLENTNSHLEDNIPVAENDNISMLGFFYKFIPIGNDKAEAKIKVFDSDNNTIGEAAMTIENTNNFYNYIYTPINYSSNAVASSISITFRMDKNSSSINWGSILIVDNVVTNFSALNIEQFNVLEKWKVYPTITSDYITIESLLLEDKKVNLSLYNSFGQEVEVKKEIIEMLSKQNIIMSHLPKGIYFLTLERNNSSITKKIIKN
MADPDRKVKVPVRAAPVPTLSRKISRSHADFDATVARFATFFLALLASAGASPGTAFGVARLLVRSGAASVVCLAGAVVHGSPEPVTSTTSGGATAAAAGGSSLSVGAESALDDGELGEA
MTNNKEKIMTSKLNTKTVKTVLFAGLIMTLMIPIAGMNLAEAQNASTDKIPDDALMERTGDNWKAQYKNDAEFEASQNTLKTYVTAKLPDNGWNQAMVKDQIRIQNFDTIIEKKDFGHEIVALVAAKEQILGTYDSSDAVAQYHEWTIAQTDIPKTIEEIDQRLSEIVGTNSMKLVPHLVETYNDMAEHGNVPKELIEKDVNFWIMTGNVAVCSYDEECNEEELKELHLLARNQQQDTKIPVIANPMDYILPEAFAVTPQQVIGYVFSYPIGCTGCSITDTDIGLSPEYPWTNSGQIHAGGTDLYTTGSACSSANDVSTKIVTHAEVGQVNKYRYGYGDTCAYATATWTMSHTDGWPWNFSGVAEAWT
MMGTAALRQAVADHYRVHQGLDLDASEVIVTSGATEALAAAIFALVSPGDEVVMFQPLYDAYLP
MRAQRESWVVDIAEVITDYGDAWPYLLGTAIIFVVSYLFGDVTLLGKTALLRVRALYIFVTMAVSILAGEAVAIILGRPRPHLLLDHGLDDLSWLEGFKPFDSMPSSHATAALAFSFAAALAFRPARWAFIGYGMVLASTRFVIGEHFVSDVVASAALSGAIALFLQRYLNRAERAAIADDDQGFAGSAFTPSAPRHAASNEPLDRR
MGWGKDLLPDGRKPREKSIPIEGQARPVVGSTMGTQDDLRRLVDFVAADDLSPEIDRTYSLEGTDEAFAAMRDRGIVGKIVVQPSVGGAGSERDSSYWKSCAPDSADRRRPDRGQFPSV
MLSTVLWFNWDEWKLVYQYLFSKEKEEQIIGIKRVAAWKSRGKLPIAIEVTAYFVELALNQETRSESEIELGLSMAITRLVNGVIDSTLDPNSMNLRQNKLNMYIKADKVNLPKMFVEIRHDASHGRLPTINVLSLLSRTALDWVQDYYWIPQMSRFTTVYQLITQQLLLHKQSFKTLHYGKPTDDHVLKNQKYTHWTKDSLDIVMPVITDSINTIEMVSSVLALVLIDENYLLPTKQFNKFEKLPDKLLKLWSPLLRELHQQYPQSFKIIMLYTLVDRLSLFKNIKKKDLHKINSSSTTNNNNSNNNKLTKTQIKLLYCWIEFFLFDSVMSIDNSIKPTHPKPKEVETNDKEEEKKTEEKMNVDKSSDSGDIKEKNETKLEKDTEKEVFNPLRMDTVYKYMVNKCAENITSKYSSMLLNLLVSNKQQLTGNSLITTIEDSEDRELVHKKPSKPRLITSNTTSNDEVKKLDSWTPCPIGMLPPPLDINNDLMLPVEYDDDIKHGNFLKATDPLHTTKDIHLHNNNSILLSKFNLLLNPSTTKQTTKTTTNGKSKVTTVKDKQNNLKKPKLNNNNNNNNNNNNEIKLNSTSSSKNPTTIGSVPTTTQNTPSVSTTVTRSTNSLKKSKKKVENALDDFLFWNK
MFGTAKDVTTKDYQQIFAETGIDLDDRMAHFNAKGKSMEEEIAQYVNFTKIIPGFKSLNPKDVSNLLKASHCEFWLLGNYMLFNNELGTAISWNGTFNTTMKTIEKFWSPEWVKLVFKLADMIQKLELSLEEIVLIRVIILTYTDRCKLKDREKIQILQETFLDCLNYQLMKSHKDYNRYVYKIFDILLRLRDLTELNFKVNQKFLQEWGFAIHEFPLWKEIVSYENDV
MSVLNRWRQKITVMKSAITRMLYYATIYSPYTSMDCTFETDRIQKLHESLDPEDQLLFNCDVSRIHWQKYIQDIHIPGLKRHVLKTDTSDREKTEEEDVVDRSGFDQVEEDMLPHLDTLTDVLEKSAEMYGDQVALQMKREGAWVRYTYKEVHDLAGHIGWHWRQNGLHPGDRVLL
MVRTYKRKTQRSSISENAVRQAIQDVKNGNTTMRQAARRYSLKKSMLHKRLLKFKSKMGSMPIPESLVSQDEAIQAVLFQDPSKPNKYATQRVFSIEQERMLESYLIDSANVNYGLTYEQMREFAYDYALKLDLNIPATWEEHKKAGVDRRMAEGIHEETS
MSGGGPAEAGRSRRGAGRLQLGRRGEDQALALHAVPVRPVEPAADEHHLGDRLSAYLDGELGHDSRERVQAHLATCPQCLAE
MTQIGERIRNQRISKNITQSELANRLGLAVSTISMYENGERTPSDTVKVKIATVFETTVGALFFDEKDT
MRPAAQFSEAHPVTVVAAGQKRHIWINPMATPLGAMFVGLFMDYLAFEARPIMAQRRVFPQ
HGTEEDQMNGMNSPKENTKQKSVGSKNKKMNRTYSQKKDRNMNSFEILREYDDMECIEKELQCEDEVSEEDDVFEGSGMANSMKFNEVIVSFVSFVYPANRSIKRRILWADLSRHKQISIGKPWMIGGDLNVILNINEHSAGASFVSSEMQEFKDYVNMIEVEDLRNSGLFFTWTKNLKKARAGDETGILKKLDRVMVNEDFXIPLWRKNRELSSHNVEGIGECHSLFKNRVNETEALSLIEDVSSKEIKDALFDIGDNKAPGPDGFSSVFFKKAWNIIGEDFCKAVKEFFKSRKMLKDLNST
MTPPTVQAPAAIAVASRPDSAARKPSAVPATKPAPATKVVAAPATKPLPPATPTPAIRPDAAPRLTTQATAPKAPPVEKPVAAAARPQPQEPAKPVPTSGFTLQFGSFDSVSNANQLASQLSAENAPASVQKINGIYKVRLKNIFATRAEAVAFSRTLPIESFVVTLQP
MKRRLPFTKDCNTVPVIPPKVEYHLSDIGESMRPIINSMADWGSDYLDNHPDKRKVIM
MDSNVETKSHRLSIVINVMWKSNLQDIPLLLNLLKSNHPFKEISSDKVLYDREITDFLEVMVFLIKSTLSQDTAGAIYIWSRMESSMEYVGLDLREALINYLFHQDNICYIIEETHEIISSQQLNSIKKLVNGQIQSRLLNWY
MRRSSLSFFLLSLATCSISGQAQSYQPQQVYTCASAFVEGHAMYVAGGRDSYYGEPVGQMFSIDLSTPWSTSSPAYNRLVDGVIFVRAPNALVDSNNWFVLVNQTA
MDTAARMPGKAAFASTVFRVGDHVHQLLNLVAALVRAAAAITAAADARAAETVVLEDQSAGISVSSAACDDASPLPGGRPFGLDLGSLSQAEFGRCSQDLEQLGRFQQAMSVQTAGETAQRVAAGRYSATGPHGAVELLITVSQVDLDRHDGTGTAFTTYTGPVPLALFEQSLCDPDITRIGMGHG
MALFKSAVSLPGKDTEFGRASEAFSRDLAKLGLRIMDQTTSVIAGTRRNNIDAEWKVLVGFETGVTIAAGVGIVVFPTAFPRALVTVQAWSTTIINLSLANTDLTQFEFARIGGGPPTLDVTYLAVGY
MLSLLALGLLNIHATISIDVFWFGLSGAGVLVFGALVWGAWKFRPPRLEEAFRRLDRSLPARPLQGLRDYQRLGASDPISKEMWDAHQLRLEGEVRKARPVPPDLSLSTRDPYGLRFSALFLFTLGLIFGSVWNLSNLQSSASLRNPAVLDVAQWEGWITPPSYSSLPTLYLNDLTDDPDLSLLKGSRIEVRLYGEVGTYILSETTSARTSELPPASEPLQTFDVVQSGEIDIAGPVGARWSVFLSPDYPPNLSWDGRFETDFYGESTFSFSASDDYGVSEGQATISIDLENLDRRYGLSAQPRDAAPILLDLPMPLNGDRLDFTSKMVEDFSRSTWSNLPVKIKLEARDAIDQVGHAEEVSTRLPGRKFFDPLAAALVEQRRDLLWSDENAPRVANILRAISHKREAVFRKETNYLRLRFIITRLEASYHNRLLDKRRDELADALWDLAVSIEDDDGLEDALERMRRA
MADTPQGPIAAARAQVAREARKARAGLWLEQAARALWPLWALVAAFLGLALLGAPQALPFWAHVALLSGFGAGALWLARGAWRGWRSPTGAEALALLDRGAADQPVAALEDALAAGAGDASARGVWAAHQARMAARAMAARAPAPDLRVSDRDRFALRHAAALALAAGVMAQLGDGGARLAEALVPVAGAAAAVAQAPAPSIEAWASPPLHTRIAPLYLTERIEAAEAIVLPVGSEITLRVFDATEAPALSGAAADATAFQDQGGGAWSVTVPLARSGALEVAGFGGWRFEAIADESPLVAFTEAPSGARSGALQFGFEASDDYGVTAARATIALDADAAGPRGLAPASVFEPVEFALPLPLRGAAESTAETVVEDLTENPWAGLPVILTLIVEDGAGQEGRAEARLTLPGRRFIDPLARAIIEQRRDLAWSTEAAQRVRDVLEAVSAYPDDVFEDHTAYLSLRAALRRLGYAIPENRVAAETPGVVDLLWRAALRIEEGDLSDAERRLREIQQELSDALERGAGEDEIAALMDELREALQDYLRQLAQEAMRNQAEGQQPPPMDPGQTMSQQDLMDMLDRLEEAMKGGMEEMARQMLQQLQQMLENLQMAQPGQQGQPGQGDPAMQALQDMIGQQQGLADRSFDALRQGREGQPGEGQPGQQGQGQQGQQGQQGQQGQQG
MAGLTPPKTAPRKPDFAPLAPYAGRLFLSRLTLWAETLIMAFWPLASLVALGTAALLLRLEQFVPQNYWVYIAAALLVLALYLGGIGVRRLKPPSRIDAILRLDSALPGRPLSALLDRPAYLGDDTVSKNLWLAHTAAMRQAAARARVPAPAVNLRERDPNGLRLLAATALGVALVFSNLPGARVQNGGPNGTALAAVPAASFEAWARPPAYTGLPVMYLSPENLADASLELPIGSEFTLRLYGVGDFALEDGASGQNLVLAPDVYDLSFPIVQSGRITLRESGDVMGDWRITARADSPPQLQSDAAIAKSRDGAMELEFTAQDDYAITGGSYRITLDLDAVDRRFGLVAPPDLPEPLTGALPLPFRGDRADIKDMLTADFSQHLFANLPVVITLEVTDGAGQSAILSRRDVLPARSFFDPLAAAIIEQRRDLLWSLDNLRRVNDVLRAISYAPEPGLFPSASSYLLTRVAIRRMGYMAEDGLSLAERDEIAALLWDVAVMIEAGDLSSAEARLRRAQERLREGLQNGATEQELAELTDELRDAIDEYLQQMAREMQQNGDQQQAENQQNGPTMSQSQLQELLDRIEELNRNGQRDEAQRLLDQLNQFMENMQMTMQQTPGGQGSPGEGVQDTLRQQQELSDDAFRRLQEEFRENGQGGNGQTQNPEGEDGSPPTSEELAERQEALRDFMRQLQDEGADAEALREAERNMADARDRLREGDLSGALDEQAQAMENLRRGIRELEAQDRAGNNGEDDPANARDPLGRPVGNDGGVDQGETAVPDQNASERARELLEEIRRRAADRLRPEGERDYLQRLLDLF
MAYQLTSNIKFAVWQTYIGLWSERVMQAFWPLLSILLMSLGIFFLLRLDEWPIEFIFVAGCFVFVGLLGSLWYIWVWFSYPTLAQAYARLDQKLPSRPLAALQDLQATGQEDMESKELWNVHLSKMLAKAQEVRSPGLDFKLASRDPFALRHISILILLLGIIFGNFYILRQSETNQVNPAISGPIWEGWLQPPPYTGRPMVYLNDVIGKSLSVPQNSILIFRFYGEPGSYVVDESVSLQLGNSNEALDGGKQIRIIQAGQVTLQGPGDITWAFNVTKDQQPKVNILNSIKVDSLGQFTQSFNASDDFGVVSGRARVSLNLAKVDRRHGLETEPEIQPDIELLLPLTISGDRSNFTENLVEDLSKHVWAHLPVSIVFQVSDIQDQVGKSVPNEIILPARHFFDPLAKALIEQRRDILWSKKNIMRVTQILRAISVKPEEIFRDLEDAAILKKIITQLEAIDTKNVTNENIETIAQLLWDLSIEVEDGDLDQAIQNLARAQKKLEEAIKNGANPDEIARLMDELRKAQNEYLLEFSERNSASDESNDRSSKKGKSVTQGELEKMMDKLQQLVEDGRMAEAQELLQEINRLMQNLQKMQSQPGQNSQGSRSRSQKSMEDLAGTLRQQQTLSDKTFRDLQDREPGIPRQNHQKNDQSNNESSNQSELETKNNKSLAEQQRNLQNELKRQRGNLPATGTAPGLASRDALDEASREMDLAIQNLERGNLPQAIENQSKVIDALRDGMQKLNESEMNEQMQVPGATPSDQGTGDGSQNNFTLDPLGRNLGPSGQLGMGENMLPEAEQQLRSQALMDEIRKRSGGLERPTEEREYLKRLLDKFQD
MTKNRNLGGSIDANFDDLPADLKDPKGDTEIWENNLLLRAA
MTEHYTKDNKQNPKGHTGPSWVITDPNTNVSYIQQDTDGNLHMPTQVDLSQKVVSTFDPPEPILLEGVKPGESRTTDIKVEVYDISDPDTVSHSGSMKATYTDLGGFKITVPAGTWDARLVRTTYEGKIGPASVSDSVYRFYAKGVGCIAAIDRSHISAFLVYNKSSNVGRILMKEPTG
MMGKQRAIELARMLIRMWALYLDPVALELKDLKRPQGCWLST
MKLYHEVCEAHVAHIVSIDLKSESFSCAIDEDAKARAQTMYGKLLW
IIATCPADKIYPPFHLVDCDSDILLVGYTNYTLSSHRLVYRVADLIRGRVDPLTSIEGNALLIGSKTSVSVSSKAVPAIVRNTILSAPVSD
MAGGTPSSSTPGRRVLVTEDDLGGEVAPARVSHRLFPRFGDAVGWTVLGTALPGLGLVRRNKALGYGILGFFLGTLAFLVFKGATDLGWFARTITTPHRLRLAAALLLVAALTWAAIIVGTWLVHRPRRLSGGQRGIASVLVGVLVFLTSAPMTLAGHYALTQAATIDSIFGKEGRSQTRPTLGSGKNVWKDKPRLNILLLGGDSSDFRRNTQHVSVDQMNTDTMILASIDTTTGATVLVQLPRNLAKAPFPAGPLRDAYPEGFTNGDPMDQSYALNAVWSNAPSEHPELFTDTDQPGADALKMTIGEITGQVPDYYALVDLNGLTSVIDAMGGVTVNINQRLPINGSADHPEDTTGFLEVGPNQKLNGYHALWYGRSRYMDPLGDFGRMGRQRCLVKAIIDQADVPTMLTKYEGIARASQNMVKTDIPSAVLPDIADLALAVRDSGKQTSVLFVNGKDGFVSANPDFDAMRTRIQKAIQESEAPPAPAPTTAAPTTAAPKPPAPKTTAPSTPEPDPSDSASPSAAASTPAAENLTDVCGFHPLDVASASPTPSR
MTDSRGSSDPGSDAPAPRSRSSAEQPEQGEGVGRAAGLTTLSAVVPGAGLLGTSRRRLGLVILGLAALALVVLAAYALTNGLTTTALQTAADTGRLRTIAVVLVLGTLVWVAAIALTALAAAPRRLSRGQRAALTTLTAVLCLAVTAPAVLGVRYISAHVDAVDKVFEAGGGTVGGGGSSSGATPTSVVGDDPDDPWKDLPRVNVLLLGSDAAEAREGTRTDTMIVASIDTRTGDSVLFSVPRNLQNVPLPRDNPLHEVYGPVYDCGDQCLMNSIWTEAELTAQEHPEWYADDPNPGQTATREVLSGILGIPIHHTVIVNMRGFADLVDAMGGVLVNVQERIPIGGSTYTDANGTLQLDPTSDYEWLEPGPQRLDGPQALAYSRSRVTTDDFSRMRRQRCMVAAVVDQVNPMTMLQRYPQIIGAVGDNVLTDLPQEDLDEWAGLVLQVQDGTMRSLPFTGANIDTVDPNYSQIRARVYEALNPAPEPPAPEPSEAPTARDDAAPTTEPPAPDTPTTTEEPSDELEDVGAVCD
MAAPPPALSRYGPRVLTAVPHFLARLKEEHEHRKHAAAASRVRHLFVSVLPPLAESQTMVWKVYVFTVTTLLRAVAPDVETLSLVFSPWGSDPAKPIELDAEFPRLRELTVSGAAFSAPQLGSPAFPALRRLHLVSGPCSREWTLLTPNVTHLRLSELPDLAVNPTISFRDLQIFTDPGPESLWLTTLTGPEAPNDECSAHYPNLQQVVV
MAVNVKLENSARTITESNGSVLRGGSFVTDVLKKTGSLEKEDLQKSVIKLSQKVDCVKTHIYSLILKQYTEFTQSYDLTTNINSSVQDLTTEMKCLSETIQGDVEMQLQKANNLFTDLSEQLNETNIIIKVLSKICEIHDLMSELSDLKIHQKYSKIGHTLGSIKSLLDELSKSGAESKVFRALRAEYLNEYSEFLMILDNIWDKCVCWQTMMTSSWDNLDDHLKTQLTLSNRNETNVMTALQTMQSLGILHEKLVKFGKRFIQFIIKPIVIFTRLKPVQHRKGEIFLFTFIKEASKTSNIGIKTLLDRIMQSFQNLNIAFMNQNIPVANDGKEEKCLASTLGEIIWPSLSDIIIKDLLEKYIPNTSSHIEKYDDILSSVEKFEKTLVESDYLPDGTKTLSSFCRNLTTQYANKKCQDLLFTAREILKYDVTFHNTKLISVPDTYQPITPLHVRCGKASDYDDQKGVKPTAQTTNEEEATSENLFHFPVCRVSECIPEFLNLVYKTLSEATKTNKKGDVKLFYTARKMFEIYQVVVPTYYEEDLRDLPQISAVHYNNCMYISHHLLTLGHQFSTRLPEPLNCGAATFVDLISPIRGIGEKCFEDQLRRQSHVLLDIMESGGGFVDLSATLMEKSIKQVCYQLLKLNRVWKDILPSNIFTSSLGTLLNIVLNRFVDDILKLEDISSDDSHSFEYLMAIFEDKSPEALQLSAQEMASTVQTWTKFIELRAIIDSSLQNIENRWKDGKGPLAKEFSCNEIRSLIRALFQNTDRRACLLNKIRPLTT
MLGSIGDCFDNAVIVLIDVDGVLIPFPDSEGNGPATHVRHSVVPTGYDAGSPVPIWLNPTHGPMLAELISELPLTPVWCTSWRGDASPLIGAKLGLEPFPHVELARQDITTSHPTATSGNETTSQPGSTPLRPSGSTTTSPPPTTPGRSADCSGHPHLARPNSAGKLREFNATLKDQKRMRHWLMRRNVDVATIAGRKV
MLFQSIQESDPDRPRLADLGLLVIRLFAAASFAYYQLARQFHLAVDFLWDGADWELIGQLAGRGFSSPEGMAVAAIGLQIMTLLGVVLGIFTRISALLFALMTGFVLFTPITLSPSLNPQALVLYLSIFLGLACGGAGRLSLDHLLADRRARKRAV
MSTSDLGLNQPKGQRNEMRMIARAAAVLRAIAESGTALSLGQLAKKTDLPRATVQRLVGALEAERFVITDATMPGVRLGSEVARLAALAHQDPRAVCKPWIQWLSDKINESVDLTVLQGDSAIVIDQVLTPHPFKIVTHIGTALPVHCTASGKAHLSQMSIDLAARILDKPLLAHTENTITDKEILLSLVGTDPSADRCTDNEEYVSGVVAVALPIRGLPSGNYAIAVSMARQSFLNRRLQIADALTTARGSIERSLGLDENA
MIHIICQDFFENKDGDEPYYYFKPESFKYQREYRIVKINKKDFPENGENVTVDGISKDATIISENQMNSIEIMINVNN
MVGPEARANTDWTHELVIRVFENAAWRPSTKSRDHATSRSQGVFGCFEGGVRGGGCRKRLYEKADELQAELCLTDEKTDKERNAHANPVTRVSRARYKRVSERAMEMTIKFQLALAVLLVAVSIQSVQCKIIGKAEVDFSGYCSDASIPPGSTYWQSLNLTLSDIVANTPNATNLTYTVKHGRDDVTSYGQGVCFNQPPHEVCRECIAMLVKRAWIECSSSISVVLMLTGQCALRYQDTPIDVPPPAA
MWNALIDRMDDNGIITASLSALAEATSTPQPTVRHRIGVLRDRGLLQRVQEGHAGVAARYGVSDPGQPRQAPLPAPPEGSQWLRDPGEARALFDQHAGHIATTTPQPEQLWQLWNALIDRMDDNGIITASEPDLAEATSTPQRTVRARIGVLRDRGLLQRVQGGRGRVAARYGVSDPGQPRQAPLPAPPEGSQWLRDPGEARALFDQHAGHIATTTPQPEQLWQLWNALIDRMDDNGIITASEPALAEATSTPQQTVHARIGVLRDRALLQLVQEAHGRVAARYGVSDPGQPRQAPLPAPPEGSQWLRDPGEARALFDQHAGHIATTTRQPEQLRQLWNALIDRMDDNGIITASEPALAEATSTPRPTVRHRIGVLRDRGLLQLVQEAHGRVAARYGVSDPGQPRQAPLPGPALGPDRPQGEDLSWLDHGATVPMPGPAPAPPAGTGLPGLPGPFDPDALTASSWQAGWAEPGWPVPGMGVTDGHGAAISTDFDQPGIDDQASDGGNLGDWATYKVDPAGSLDVDPADLLYLLEAVGTEPDPGPQPMDPPRPQAPPGPTSWSQLSGDQPSQSPGTQ
MNLDLQKYNTVEQEIINLYSLFDESPTDEFINLLRVNLIGLHMANDGAIQAVLQFPSDIVLIIECSVFGNIKLTSFHDSLKCTFDGNKENLLISLHFFQLYMNSK
MTTGHHHSPPSPPLSTRHHHHHPPTSTTHHYHLAITLHYEHDYHQLQPATHHHHSTPQPTAHHHQSTPLPLPSPPPMTIIHHHRSQPPAATTRPHHPHPPPTTTNSHLPPPSITTTHSLPTIYDHYMSLLPTTTHHSSPPLPTITRFNHSTPPTTTTHNPSPLTTTTHHHHPPPSPPPTMSNHI
MSKNGERKSYNWARIVMFSAYIAIGCIAIALLLSAIFGSKTIANAFRLVGEAIAYIVSIVVAGLWVKEKTHVAWLVCYVIFTVSIVVLYIVNIVI
MPDSIDKVLERGVRLRIEGKYEEALQLIDDFEEKMNLTPTEKLRLKLFEGSTRLYLEEFEKALIICEQVFQESQKIGRPLISIESIYIKFIALLPLRRFQEFSKDLETCEILLQSAAQEPSSKFEVGEARVSFIKGIFYWWEQKFDLAIEHQKKSLLTFEKDPIFVENVPVSLCVIGLSHLVKGELDEALKYLLRSIDLSKGQDLLSQWIIALGFNGIGHIYYQQGKLDLAIEYIEKVLPFFESKNIPFFILEVGARYDALIKIALDKNSLEQAQKYLRRYREYLEKNKIKEDYPGYLLSKALILLRSTRTRERAEAERFLIQEIERRDEIISRSTLGAPGMSPISAIIELCDYYLEELRSTNDLGILDDIIPLIDRLLKETKRTKSISLHSYTLLLQGKISLLQMNLGDARRYLTQAQEIAESHGFKLLARAISSEHDKLLEQLNEWKSLKNTEAPISERMNLALLDNTVDRMQGRLAVNPPELSDESPLLLLIISEGGVLTFSYPFVNEWNRDNELFGSFISAFSSISDEYFSEGLDRVKFGQHMALLRSNKPFLICYLFKGQTYKAIQKIDKFIALIQGDASIQKTLDKYYQTGQVLELKDFPFLESLIKEIFI
MVPRRDVKLARYEFAQCRQEPANENGESESMTNCINKARGLAKECNFGNLEDEMLRDKIITGLLDINLKKQFLKQQNLTSEMVISQCQMEEATQVDLVRNNWLQPGPSAQTINKLTGSKQVSTRKCSFCGRGYHKKLSECPARGATCNHCKQKNHYAAVCR
MTWVASLQGLCIRVAITLPNTLPITLPNTLPITLPNTLPITLPNTLPNTSPSLPHHAPRHAPQHVTITLPNTLPNTVQDPSRLRIRLACWMVRFSSHKHPWPKVAWVLLLTLFSDWLRTALSRDFTIKDIIYLHPSTTPYPGGFKCFTCESAVDNFDCNRWAPDMYCPRETRYCYTRHKMAAAGASVSVTKRCVALDDCLYTGCSDVYDQGHRVCTACCEGNICNMAVPRNESSAVFTTTSPLNRTAALSRHWTLWIGVVLLLTHTLS
LPKMRQRKLIQLITQLAGSPSQLGDYSEPDDAGRDVQFILVGDLLIAFWPDHPVKEFRIVDVEEV
MYAVLSGSSSQTSPKQRSFKTALGLVSVARHGSMQISAESTLVGELSILLGYLPVKRIPLDSGASKLEEGKILTEVPILICGGLVGSSCVGS
MRKFSHDSPDVIRDILRDSNYSLMLFSDAEIDALRQRITSKVVKGQTRYYVNCLVRNRDIAIKP
MSTVLTAMRCLAGSMREPTRNHRSTRKANMYLRRLKLPGKIGSASYCVLLHSMYSIILHVHFTILQRCIHILVICYVFSYQFLCGYLKIIHLFLYINCG
MTTDGINQPLTEPEATRRIHEMARDPQVSRTFSGHARQRMRERQIHADWVDHVLREGHVDEARHENGTWRYRVAFVDEWGRTAVVTAIPNHHTLVIVTVTRSE
MNGSIECLYPLPHAKAPYIVFEVNLKKPVITAATHDNTNNNNADDDHQQQQQHQQQQQGYNDDAVNGINPDIGLDVVSLASDDKERMMGGSPDDDDGGEPLAPSHRVPTTTNTSSVTAAAAGAAAAAAAGAAAAREDDYEHHHRHHHSRVEGALHGDDNYKAITIGRGHESQVRITDVSISRKHCLLRYHHGRFCIKDLNSKFGTLLSISSQGIKMPQPGSMAIQVGRTVLRVIARRFAPSPAPSPAPPSTTPSSALGTLDAPMNRVPGGQHDG
MIRGDDADPAHAAWVQSASDDERILFARADRWINYPRAVAVLDRMDELVAWPRNSRMPSLLVTGESGIGKTQIVQRFASQHTEHFDESRQLVTHPVVVVQMQSAPTDRLFYIALLHAIGAIHSPRISTADAIFLAVRLYRQIGTRVIVFDEVHNMLAGSFREQRRVLTQLRWFSNELSVSLVCLGIDAAREALAADPQLARRFGMIQLAPWAPDADFRALIATIIRHLPLMAPTLLDAAALQAIIRNTRGNTARIFEMMADLTVRAIVAGTECITPAAIEHWQPSLREQSLVG
MFELPGEVELLGVCYYKSNKENVIPNIVPENVFYIEIIVDGEVYSGEWGEQRICKRGDILWHQTGEETIWQRTAPDKEFQSFAIRFRKLTEWQRPAHFGRWYNMDNLNEFIIDTMRHAFNLEIDRRILGNYLIHRLLWEFYISTVSIKKELPSAFAKVIALMQNIDMASISISKLAKTVDLSEAHLNNLFRKYLNTTPHKYLLSQRLKHARVLLSSTDCSIKEICSMCGFTSVESFYRVFRNESGITPAAFRRRYIALLQTDLVRNKSSIDMGTKISEQQR
MPNPVRGLVWIRNNPRVPEYLRGLADGTIPLTHEGLHELESWRTAAHLRDLLMDAGALPRIDRQIMLFERWYRQRLTQIDDPEHAQLLRQFTTWRLFPKLRAKAAREPLTSGSRNTAAGRFNIAAVFLTWLDDRGRRLDQTTQTDVDLWHARHRDPKRLDAFLNWAMDGRHMPRLQLPAPARNDRAPISQDRRLALLRRFLTDEDILLRTRVAACLVLLYAQPVSRLARLTIRDVLQHHGRVSLRLGDPPTPVPEPFATMLTTLVADRANMNTAANPDSDWLFPGGRAGQPLSPGAFLQQLRALGLPTTQTRTAAFQQLVLQAPAPVVAQALGYHHNTATKHRTAAGGTWNRYPTTREGTARWP
MCARCCFTVRLHALLDDGTGAVREDLRAFADGLTAMPNPATGEKWIGRPHVQRMLGALATDPGPITHETVTELEAISHWRSVAFLRDLLMRRGCLPQADRHLLAFDRWLRAKLAVIEHVEHRRLIERFTAWHVRRRLHELAEQRPIAPKQVEGAQHQVSHAIDFLAWLHERGQELGDVGQPELDAWFAEGPFYTRRLATAFLKWAMRAKLISPASIPHRRELNPRPLTQQQRLDVLRRLADDDTIHPTARVSAVLMVLYAQPLLRVLRLTVDDIVRTDTEVLLRLGDPPTPVPAPFDAILTDYLDHRRNLTTSNAGANWLFPSINPGQPMTAAGVRKHLRRAGLPALSGRSAALQQLLLDAPPPVIAKVLGFDDTHLTQVAQQLGVNWSQYAAGDHDRLT
MADGPRITSNKCQVAQRIVRTDTDIECSEYIAAIDFGTVNCSVAYAAPGEMGEHGPVLLPFDTFFRVPTAILFNTDGIVEAFGADAREIYGNTVRIGNQLEYTFFEQLKMDMQHDQSVNRERKVKASNDKEYYLVEVIAHILKYLKDELIRVLQASGLPVTATYFDWVITVPAIWRAKGKQMMREAGYKAGLCSRERCPALKEAHTHTPERIEEEKPEKLSLALEPECTAIFCEYKSKMQSSCNSESNESTNENSDNYLVVDIGGGTVDISAHRLVRDPEPHIQVILPPAGNDCGGTQINKEFMRYLEELVDDSGFRRFVLTSDQVVNSKHRFILSAIMNQKFEDIKKLFGKRVKFMGSVHLFPEFVETYENNIKRGLEKSDSIIQLVGLNLRVSRELMTSLFEKTANGIIACIDEVIEKVGSIRLIYLAGGFGGSHYIKKLVTERLKEKDIKCIVPVDHPYAVARGAALFKMNPSVIECRKVDATYGIQANTRFQHGLHDPKYMWTDDDNKLQCKNIFCTVVEKGDIVGKGEVFSMTFNPASHNQKNVLIEFYSSQDTDVFYVTGEWGIGKCEPRHTVTKIGQITVDMPVPDGDKKRGVDVIFSFSHTEIKVRALDQTSNTEVKTVLDFLNTQTV
KIYSMYCGLVISVFHFVSTILLLYGVLI
MLTSWRPDQRLALDGVAAALVLVLAAGASLSALRGAAPWLWIASVVALIGLAVCVALRRIRPFATLPVASACMLALVALLQVLADNPGIPNHPLVWLPIALWPLWSVALAAAAMHYRFRRARSAVEVVR
MELLIAIMCFFGLVSYEDAGINQADAQFLLQNNQTTVKYYYDNQDELNALAPQRAQIDRAED
MLKTVAYDQMDLETIVSVLEFQKELFEEREAKLTAQLEKANSLNINCYLGQDRAYYSYYYIETLNLLLRLSPVESDKIPECSMATPVNRLPKGISRVDYLKALYACTGSKETCHAHKYKEADAQEVSYFSDVSDFEAFVNVLNPRGYRESQLADNIFPIKQRLVKAVTQFAASPVLTEIEEKHLNPENPLNWNDEVAQLLLELEEKLFNGGIAQLPYNRDEWRQKLSENHDTTSFIDEEKGIMPYGQDESYYTKEQLQALSTTQRLSIALLQLVQCIKVGFFNYPFAEFNVEKPWDSQVAECYGLWQKELIAAHSLTEICMFYKRDLQETXXXXEFNVEKPWDSQVAECYGLWQKELIAAHSLTEICMFYKRVTSFIRWEASRFQAKCRTCRKRGDVAELAICVECTRATHIGCLRQGKMTSVPEGTWTCMNCKKRVARATGTRASSTAESVGDEEEPVEDDYSDVVYKPKAKTKKDRLIVTRFEDNPPKKRKYYYDMDEDAEDEEEADMEDEEESQSSSQVYENGVEEDEDENFEPVPKRTRPTTRRTSPNRTRIAEAVIHNLRRKQGTSKEVMKKVETILREALRREDSWPFSQPVDLKEVPDYREYVTEPMDLRTMMNKMKNNDYESLDSVIADYQLIFSNCRTYNGEDSEITDMARTLEVYMNDQFDALKAESMSSSETGNRRERRPRVVYGE
MELSSILQEQESYKHRVDEAQDLDELHAIQRELQRLTQRQLELTDEQAELTMYCSVRERTAVKEEPYVEVETSEAEDIRQRPRNSRSPAVRRNHHHLASSSDYQIYRCIFLFMYVYILATFAPRHHSTMSTWQACLQWIHSIGNQLG
MTEKEEEIDDSEIIEDILWHPSDLSVRPVMPSRKSNKGSDAVPSLSFDAPRLSDFVKMSKEQLDSFNSRIISIISGNTPSGEKQNVIRYLELLSSNADVACILTNGSIGLVLVKMLRHSKVFVACSTCFTYWLRQARESEKVLKWLLWANYYFKYLVKMSILGIINQWNLHLRTADPHPVGRAPGKQEIMRLTAGSCLARLVRFSPCSIQRVMEKFSFKDMVPSCLRQILIEQGSEVLKGKGTHIFCSGKRLVKEKDDFVKQCLDALGMVVASTVPSLLECISGDIQHIRVLRMMLTNKWLKVTMLAHVLGHMMEKCKFNKRKHMKQLLKWRTNSFVIIYYAIL
MNANQTSGSEEAVLIEKRLRLPVYFLVALGIYSTLIYVINWKRYPPLYTVFIFAYFAINAAIAWGIFRRKPWGRILGIILFVLYVYSSLNNIYGSLTGSTLVSRFYVVIDIIICITSLLSTVVLVKSATAFRVEKPHDSSFTAPGQRVKPPRPLPSWLDPTLVSATAATLTYIIVGTCPGMVIFSFLSESYCPSVVVDPAGARGLVVFWMIPFPVIFLLGGLLGFFVNRYMLAHRESVSQRSIAILSGVLVGMVSTLIGIWILQMVFCSA
EGYLALLDALSPEHDWSGLAREIAEGKLLAGHIEDACAIAAEERNDSNDAYWLRLATFCRAVQGDRVGVDFSLGILEEVSPVQPTFYQLTDQILVEAEQSSGAVLSTGMVLTNTLKVDVLEATMARLAKVQVPLLDQEVIDPLAAKNMLEIPGVAPAAKLQLISRGLTEGWLDAGLLLAYLRQLDAAPSQDMDLAALIAADQSFDADVMLMGAAMHAADEIQRTEALDSLKVRATDPAKATYMLPALDLIIGDAEGPAASTAREFRLALLNQDAVAANRMAIALRASSAGSDVGLDAVLKDSWPLMAVAGLVSAPEVTPGALSLWWQQQVDDDTRFAKASLLFTVLEALGHTVPEDVWVWAEAGSPIADGPSMSPALWRRLLVMKAQGDRAGVLSLAYRMTASGTVSASFAGSLIGTLRELGFKDESHVLAAEMLVRRGL
MSHATYFHLDCPTCGRPLQIQIKLLGKPVKCRHCRASFTATGDEDLCSAEPDLDQVEELLRQADQFLAKDEASSIGSI
MHTLTRTTVVTADPDAVWQVIGTFGGLADWHPLLPPSVIEDGADPETPGAVQAFSVNGQVVIREKLLEKDDARRMLRYTIHESAAPVSAYVSTLSVHPHEAGAEIRWAATYEAADALVPEIEKHFGDTIYATGLDALKERFALPA
MSKCIHPLFSFLIFLALVFFSSVISTVNAMRSTLQLLLWTMYGCIFTVSLILPIKFKYQRNVLFFVFMVASFLYIGHSANTSAFSFLSDSSYQFILPSHGSHNHLGDLAGLFFSSLISFTSQYIFTGLLMGFTLTIMAISFSKSAFLGVLVVLALVTFQKKGKYFLLSFLIVIFSLCIIGLYTQELSKIPLIHAGQQIMQKKLHLAPKPILSVRDYYFPQVIRAWQTAPLEQLFFGFGSGNYIYPSIKTGSTTELTPTETHNIFLSILAENGPLSLLWFAVFCILILFYGLKAHNKSFYLFIFLLVNFQTDFTYSIPFFMGMFFFFAGQSVHNEKITNSTEFRFLSLSLVCMIVLTLFAGYSYFSIQNNKHLLDAQLKIALKNKDGVRSQQIISRLELITPYEERELVSWSFQQEMLQNLPDAIRLLEKLSVYSPRWYLLYLPHQFELQKKSNIDLKKYIIRRKNDFSQFPFSKEEKNQLNFVCNEYAKMSCI
MKERPPRPARRSPTELELLEVQIAEVEARIAELERRLADDWADMDVLTAHRAARDELVSLLERWEVLFDAAQPSPS
MSERLSTSKRREAIREEVRRGSVKLELEKEIALSATTTAAAAVAAVSATQTKSDDATNQQEEQPDSIEYQDDVDDDDDEPEYNPDKDIANEEDDEDANYDEDDDVDDEDFIEPSFKRDEKSKHKSTTSTTKKSLPATSATNRVSKQPHPDVLPGMPEPQTRTIFKLDDPNSTTCEYCGKNFRNVIDKRNHRRTHSQPKKYECNLCGKRFSQRPNVILHKTRVHKDLQINPETLRTEFSDPTTATVTAIGSSSVPEELLIPKLAEVRVFHCDIIECTKTFLTYKDLLTHKITDHKNQKIPSVRSLSSTTSTTNSSPPTSSSSSSNRPYKKSTRPKINKCTHEGCDKAFAKASDLVRHIRVHTGERPFICEICNAGFNQRYRLTTHMRVHTREKPFACSYCGKRFARGDAVQSHIFVMHRSKGEAIEKFPGNLEELNES
MGRKRIDRMMGRMSPREKKMMDRDGARDKQGAVLRVVFAFILLALLIFFLLPYFLR
MHLILLWLRELTGDHAANMSDESKKTARHLNEPWCQELRAAAGTMTRAQLAEAANMSKAKVQDILGGHMKPSMDDTARLAEALNYPKLRLLALAGYLEGLSNLLTYLDQIEEQAERMEHSAKRLPGDPLSGATRIANTALTEGNFEVSMRPLFLGTGDRRRHYADRVVLRPLHDGPVSESARTRVEAALYDELAWFGAGFTEGWREGTMALTINVPRFVGLRRGSGTPLLGVPRSIAVVGGHWAGSADVASFLGYAFDYDYSHVAFVASRAFSRLNHHYNDRFRDRDRLEVARTYVEGSDLGRIRVWAADTGDAETVASIIATSRTRKTPLIIHLRPTDELLEWTAHARSAQRHTLAAPGEDLRALHVARGKTDEALLPVANKVLVLPAALPSGARLTPTGEAVDSPDGFFDMWASLAEQAIRGMRERFRLPFNLQAALQRLNDVKIQV
MDIAFLLSNADDIPGLHIYSFLSIIIPIAFNTIVAIFLLCLEIKYNKDFRDWCSDNELTVASYAILSCADVEALHILNTFINDENPNLRLELFRALFSAPKFEKITKWVTYAGCFNIFLEEIPQFIIQVYYQKHIISYTILPTLTLISSLVTLCVGQIEDIEFQVLII
MNKQDNSLLQLEDFDLVELEYKLNLDLKSQLQELEDLEYSHDEIGNPDSLGKIIMDTVWEQFTNQIGITAGEEFIIKDNNGMTLDLRNSAHIQTTENFEKGKIASHNRSINYQKRYDNWQSKFERDPEENIKTHKTRSGREEANLAKGARNIFDQGRATGSSEKGIDMDHTISAGELIRRADVNAHMSEQEQIDFANGKTNLKEMNSSHNRSKGDTPTSEWLDNPNSKGQKPREIFDDLTEEKEKQYRKNDHEARKELDKQIEKGKKESIKTGRESQIKEAMLFSGRALKAILMNLLAKLVKTIIKKLINWFRSKSKNATTLLESLKNAISEFIQDLRAHLKASFKTAITTILSAILGPIMKIFNKVWIFLKQGWKSVMQAVKYLKDPQNKNKPFGIKMGEMTKIAVAGISAGGAIILGEVIEKGLMTIPFFNIPIPLLGSLANILGLFFGALISGVLGAIIMNFVDKFIAKKKKELNTKEQIEVSNKILSTQEQLKTTAKVDLETAKESMSTSISCRHQEAEHIIRSATNNIINNTEQLANINNNDEKLMQLFSDLEKL
MIHLVMLDVISFALGVVAKVKGIAQIEKEADIDRKALYKTLSQDSASRFDKINHVIHTLSLKLTVQNV
MIPNVDPHKPLISALTTFYTLLVNLCYVHPSWLIVPSAETGRHPPNLINEVAARQNGFSDPIIDLAYRIPYITDDDVHLHSETVPLCYLTRVKGEIRALETDEERDADLAD
MTKWPKDNQADLLAFYGTPGPDVERQLVNVVPPFQMYYDGKPVARIRFHRKAAAALTAALNDIWEHYGSDQRKIDALGISKYAGAYNPRKVRGSATKWSNHAYGAAIDLNAEENGFGKGHGTMPQPVIDAFKRQGARWGGDYRGRTDPMHFEFCDASGYPGPVALMDMPQVDGDSDQGDDGGSTEFSAQSKPSFGKRVRNWLVGLTSSGGGLGFLGYLTSWEVVAILCGFSLLMTVLIVWFFGPDKVRGWVARQVS
MKNILKYVFFAGVAITTVSCDHYLDVEPVGKVIPETLTDYRAVMTSGYSTTAIHKALSAIRADELILDEFNDNATFYRDHYIWNDANPDKTTNSFPYAALYNRIFYTNVIINEASAKLAPSAEKDQLIGEAYALRALTYFDLLNIFSKPFNATTAGTDRGVPLALQIDLEQAYVPQSVAVIYDQILSDNEAASKLLNLNTQTAGINYRFSKAALYAMESRIFLYRKEWAKAIAAADKAMTYKSALINLNTTAALPNLYNGPESILALEDPFINLLKGASYAAPTLTSVYDKTNDLRFALYYQASGSRYRFRKGGDIAQKCTFRTSELYLTKAEASAQLSDLPTARTTVIAFIKNRYTATAFNTLSTSIAAMTQTQLLDFIAQERQREFAVEGHRWFDLRRTTQKQINHTFNDQDYTLIENDPRYTLPFPLDARLNNPDL
MKQEVEMADIIQISKEMISYEDVKIEINEVDSFDEEVTELVEVQQTNLCREDDGRNLQDGEISAGTWSYLSNSQVDVQKVKSPCKVQYALLVRSDNSSENSSERKRTVETNKGDETGNNENPLTKHEINMEASTGDDVNNDERLTEHTRTIVKNIEEETEEYELMDKHKQDIINKTENKNEHQSLTFTNARLHDFRKSLSVSECQQKLASVHTEKNSNKCDICGVVLTTAGNLKVHRRIHTGLKPYKCDVCAAVFSTAGNLKVHGKIHTGE
EQENPVEQRLRFQGQYYDQETGLHYNRFRYYDPDIGRFISQDPIGLRGGNNNYQYAPNPLGWIDPFGLKKSKGSKRLRDALGALSGDCHQAHHLIPEEVMDDKAFAKLFQRLSELGFDRDGAGNGIMLPGNEELARATGLPGHWSNHKDYTKFIKEKIIALNSEWKEGMDDMQLILGIKEIQMSARSDIEGGKFEISPNCRLM
MQGDKWFMPFLYQGQYYDKGTELAYNRFRYYDPNTGSYISQDPIGLLGNNPTLYGYVYSVVNEIDIFGLNPLRNNLIKAGQGIDNTSWQAHHLIPNEIWKQNEKFFNNIGFAGQHEATNGIALPNTQQGAIQEGYAYYHNGSHSEYSDKIKVRVDKIKNKYNDNIKRGMNPNQASNQAVKELQDLQKMSHRLLNRKTKSNTCNRVS
VALVNDVENNIRFQGQYHDSETGMHYNRFRYYDPCCGRFVNQDPIGLLGGSNNYQYVPNPVGWVDPFGLKSKDCPDNKGKAHEPDSSIPKDGIKTFPGDPWESVAHQEMTPEALAVRDSVAQGAPLYRIGKMGKSDATEGQFWAIESPNSQGYAARYGIPRDNVEVADFYESGSLKSGADFVTRTAPPDPDGINPGGAIEVVVNPNDVVVSNFSAGVSTPYTGN
MAFQTTALESAAPQQGVVLAAYEYQRFQRGAQPFWTPLRFPGQYHDAETDLFENWNRYYDPSIGRYLQPEPMAAVDSKAGAWPAYAYVKNNPVKSSDPTGLYTLDPRATCDNWGPALKRAREVMGCDAGSQCNSECKPPCNICEYLKDGTLPIVWVARKGELGQDYGGNGPSNVLPGGVGVAFNSYWCDGTTPLAKEILAQAMVHEAFHVCKYLQGIDIWATNDSWLRLSENINLGPADPKDITDRCFKGK
MGHHTGDQLLIGFTRRMRMHIRREDLPARLGGDEFVVLRGEHHPNNHVTAVNAILSMGSALGLEVVAEGVETEEQNLVLKRLGCQYVQGYYYTSPCLPGNSLILSNANLDRTYYFYSAAGVVVCIAKFSCCSS
MPELPDWEKDHEVWEFHQMRTDAELLGLDCMREEALTSSQIVLAARIQLRKLRRELSVALAYTHLSEDTLDEQEPNSRVQPAHVWRKIRKVQASRDRLLLLYKRAHADEVSGSCRN
MGFVKPLCSNSRALSSLFSKTPSNISVVTKPKPVTTKKPSLYSLFITNPSNLSDPGKSSTNWKLPIKDSASKSSHLSSPMRSSTNGKLLIKDPASKSTHLSDPKISSTNGKLQSKDRTAGEEEESPMELSLEAKMLVCRLMEDGYLKNGTLCRTWEAFDVQKIPTNLCFRNFLKTAAEKFGRDCQEVAKWLSGSDLKKIALFGCPSTERKSVFAAKRLRAFFHIQEEVVCRACTLRTSCKFMNKKVGTMNKVILEDVMRLITVYTLDSVPSQMVIPDEVKFSVSKLRAELEWEGGLALRVSPQQRTRTRSRGGGRGDWDVGGAGRGCAAGGGAQVARDGGFEAERELRRGGGTTRNVAAHGLHGRRTAALSAVEGRGDTRELASARGGRLREGGKVEPKGVPLARVVG
MRVRIRPPRKLRKADSTKAMNIDQPPQRGSHSLEWHKSLDALLNERQAIDAFRVWLRLHRRDDALDFYLAIIAFRKHVLKKDQRAMNMAYAMQKKYICPRTGLCDFLPQKLRQEISHKVVQYRARKQTPPVDIFDRLAPYVWSYLCEQHTLFVSSTEFHTVVKASEAGGKAKMAEITAQRNMTANSRVPPLIGFAKFRCRDSQSTTERERFRAKSEPPAKRAPRKEEDIRRTHDDQDSEVNEFLEPEAPGTFPIRHEREEDRDLFVRILCDRLAPLALQQHDEDRRREENEFRLDLGGDTPSSWDEEDAIPPEALCEWSLAPSARVCNTKGVPSGYGVPGGHGVSYITSVPNGVPYTNSGPNGALNGISGSNGAHNMNMVPNDQSVPNGVPTVPKTKNHQNRVPSAPIKGFMHKSASIAFSESNDLLLHQHPPAAPRLKKFDSLNNTAFRPFLKDHLFAVHTHLVAPLSNKCTHPNEFSTSYHAQAPPPPAPLHMPIFDYNEVERHRGSRCNVYQQFSDSSGFCSSESAHLHLAELNERTRIPRDNSYPFDIRPQEDHYPSYLPVSYKDETGVPFVSRIYSRSMTFGLFRHHFGINSWTSKRFMFKGPCEDGSAPYQWTVVMDDNVVLPVYEGKITAECREMGGV
MKKTFTIILLAILFSGCKPEVQIPPTSKIDAKFIVFGHNLSKTTIVDSTKAHEFNFKNYMDFGFRVENKVYDIEYLLLLNDNGRLKAMEEHKSPNSYLYKKTVKKLPINIETIGHKTLIRFSTSREYIIDGLDTIKIEKVFPKHKLILVGDEDDVRNSFYHYK
IYKNERKYNLPYTCFTSWKHLILRKTREQLTHHKSFQRKNINIRTLKEEIKKLQEHFIITPVDKATSNI
MQRPTHLTPAPLLPVAEGGIGWGPFGGAVPRRASGDPRAAARASSHDVIVFTACVALVLFLVLGVAVGGAPRDVDVSSASAPREYRAGGGRKLTQFLAPVWYALPGLRDSLYNLVRRKRALVSHALSLRRPYPEGEAWTDFTMTPYEYQLAEDLNALAAEEAANEREMAELRAAVADAASAGGGGYEYDTYSPGDDVDVAPAAAMAPSAEEVEEKE
MLSCREIFLLKAGSDRYFARCTVLRRMPHSFSAAARVFCREAADHLPTISKAVAWPYISDPAIRSRSAPPSYAAAVERCLTGAGIVKSFARICRISPGDFPACFE
MKNFKFNLPKLLKFITSRILFIIIGIALVIGIYSVQAAWNTKVNTGDSLTPTLWNDMVDKLINLEGRMATQEAKVDNKFGGAFWTQSSIPGGCVDPNPYTGSCSCPAGYNQGTQFAIWVGGCRPCKSLYCWK
MIAHFPNPVQKNAIAVLDGVRAIACLLVIVYHVNLQAQFVHIWPRDQISPAVGSLAVMGWTGVTLFFILSGFLLFMPYAKALIMGDSWPSVRTFFTKRVLRIFPGYYASLFLIVLFAHPEYFQPAHWRELFLFLIFFMDSDTATFQRINGPFWTLAIEWQFYMLLPFLALGFRWLLNRFKVQWRVPALIGCLLLMIAWGLFTRYWGNYFFAHSDATVLMPRESFNYVLFFLYGVGGKFFEDFAIGMLICLCYVYTRNASRDHWLTMRLWRVSPLLWLSGLLWLLFMALWPNVPALAFLEPYIARSGWLVEIGFALGYGLCMMALLFGPFELKRPFEWMPLRWVGGISYSLYIWHLPIIFAFMAYVFPYVQSWHDGVVYALFWVCVALVVFPFSYAFYRFLEWPWIKKGSKLSTKERRATLPQAQEQSAEDESRYATARN
MDVSCSEQLHSRRIQDLHISSKFYEKKLLKGVELKDVPFETEALRKSRVKHQKVRTGCYTCKIRRVKCDETKPSCKRCKKTGIQCEGYPSDVQRSFQEHTYRMNMIDGPPRLQSLIFNLRTGPSLENEQDSRYFQYFCETSAGDIARLFNQSVWERLIPQASESEPFVSQALIALGAFSKGRASNGIEAFLHRQHGLDQYGRALVGMRQALNGSSYNARKALIACLLVYSIESIQGHFATAAAHAASGENLLHGTMLDRKAKSLPPFSCQQDPTIDDDLCRAFSDLDLQALCVIDRRSSKLHERRTRDLNRLLLLMPSSFSNLKESHDWWQIIMRRNFHWIATARKTILEERPKDVEAPSIHIPDDEELDLKDENCSWTSVAVIPSTNPTLRGDCATYLDEIVHWESIAAPLVEEGLRAPKDSREFLAACLEKIQVAMMMIQVASVFIVNAIEWDAYFPQFHTIMDYVNKLRQRLVQEGGKYHFDFGVILPLFMVGSHCRNRLLRSQAINILKEAKSYREGIWDSDACSRVTGFARDVEEEWADDNGWVPGERRFTITRTKVAVGKSRSLHISGYQKNGTLEGKGDFKETYITCDVIANTARKKPFVNDFQEEMKISPPGAIGAISCQIPNLQRTKEGLLPPVRPSDYIYLNRDTFFASTYHQYPNYELKSKATSGITFKKRDFVNRALFVKNLSYNVTPEELFDLFGKFGAIRQIRQGIATNTKGTAFVVYEDVTDSKTACDKLNGFNFQNRYLVVLYHQPEKMEKMRANSNQSDSYAARKAIRPSAVSNTKSTASMGPSSRVGNISPVEDVRNKKTRRTQSSPIATMKSSTTTVMHGKGGDYQHVEHHGGIGGAAVADGRRNTSFLAFVRGLVSRHFSLFKLTYYSPLLLRLRGEIAKIWVFNGGASATGGVIFFLSERISSSSQSWFSFLDACHYIWWYALH
MSPSPVGYGAEPNQNTNVIDWGYPGVLPQANQGALEYGMMAATALHAEITRDLHWDRKNYFIPTIQKPIKLPNNKSQSGKRVGLMLS
MRSLRSKILLLAICVVVAAQAFTVGGILYNAKEKSREQAYGNLRVGVATADKFMAEQAQGMRLLLLSILDDPSFRKSISSDDSPQIVAGLAKHTSRLIADIVVIVDASGSVLYSATDDLPTGTMISEAPLVNGAPFTSVIDAVAYEMVADNLMFAGKPAYLVIGALIGNEYALQIASITGLQTSFATGSKAGRLFLGSSLDPLDRNLMIASIEEMRSSGTVSNALNEVNRRFVSTRTPFVSKQGQVYLLLHQSLDIALAPYKSLQVFLFYLVATTLLVVGIIVWLVSTLMTRPLHKLSDAVKRITIGDYTKKIEVNTRDEIGALAHVFNAMQDGIEHRESSILQQSLTDPVTGLPNRQHALSILRERIGRGNQIAVLLMDLGRFEHIRSTLGHKVSDEMLRLSAVRIKRSLHEDAMLARLEGDEFLLVIPVSGVDAAINEAETNLALLESGLSIRKARVSLEVHIGISLYPEHGDSADDLLRHASVAKHEAIRSRSPMKVYQEGKGEAHARRLQILSDLRVAIDEHHLELYMQPKVCMTS
MTMLRGRTPLGWLQLTHSKSRFIVASAGVGFAVLLVFMQLGFMNMLFDSTTVIHQQFKTDLVIMSADAEGIVPDSGDFSRRRLIQAAGVRGVIDWAEIYIGTLTWTKPSDGTVGQVVVIGVPTDVRVFTNPALDAQIPLLRTSGTMLIDEGSRGDYSAFFGRIAAGEQPKVKLAGETVSAIGTFNFGASFGTEAYGVVSRETFLQLDRSRHPGVINMGLLTVEPGRDLNVMADTITKAVGAAEVKVWTMPNFKEVTRDFLRINSPIATIFTFGVIVGLFVGAVIVVQILTSDVQDHLGEYATFKAMGFRNSYLLAIVYEQSAILTVFGFLPALLLSFLLYRVVGQAVAMDMIMTWSRVMWVFLQTVGMCLTAGTIAMQRIYSADPAEVF
MLQPCIFPGPSQPKDFSSILQPILDELRILEHRGMRVACADGFVTAQAHLAFVGGDIPAQSKAAGHSGHTHGFGCRFCLQQGCYVDGRYTYPPVKAEEPIPQYRAVDSFKTINEQYAQKMVSPFSDLRIFHGTFFFPIDVMHLIGSNIGRQIHRITQGKYDVETGINPLRLNSSQSRMIDRCIAESRPLIPSTFSGNVKSLSDDGFLRAVDWIHILRFIVPTVIVDSIKDKTCQATLNNIAQISNILCHPQVDTSSISVLHECITYWHQFLLDLTTKSCLHQGVFTINQHFLTHIPKLLHCMGPMHSYSAFSNERIIGEFKARIRSKKSPGKNASNVLVDFAALRR
MNSMPSPPDLYSLQLRNEKETSPFRLSFLWLVPWIGSVLLPFPPSHGRKVRKILVPETNREGGRQN
MNNFNKILCLSLIALLGSTGAYAIEHADITEEVKRCAGENDNTKRLSCFDALSQSVKSTPVILAPAAVGKSSTSTVTTDTQVAEKNTAPIVLTQHEKEEAFAKSEEAASNEPEITSITLTIASLKKMLRGEWKITFTNGQVWKQKGSERLSLKAGQEVQLSKGALSAYYLQKLDSNKRIQVKRLK
MNTTLTWMPPENAEGVFYTVSYLVYGSDIWHSKLECTNITWTWCNLAHETNKNSTTYGNVTASYLNCSISEISEGFEPYHQTILTPPKINLFSTATSIVINLTHPIVDYFHIKFKYHIYLNGIHIKETECHHMPSRVITCRAVSSHAVQSHHMPCRVITCCTESLHVVQCHHMPCRVITCRTVPSHAVQSHHMPCRVITCRTTPYYIKEHLDSHTKYCITAKLSVFGRESSMSGTTCITTERGKKHIKPLWLPIVIQLKG
MKQKQGKSVRPKNSKPVIPTPPLPSRKQRHKKHSPKWFIGFGVIALLFMVSGVIYAMQPSQDETKSNYAAKSNQSNPNHTPPTTPEPEENLAEDNDMKASDTGNKTTQTSSSSNSDESKTDLSQTGSSVKNDGSESSSSNPSTPSDLEKPSKQPDQTKEEPSTEPPTKPEKPDKLEEPKKPEKPNLPNPSENPKPNLPQIPAWQKGKWYQAGDKVFYNGKIYQATMLNKNTPPGQFIFFGLINPWNEVES
MNTITTFEEHGEVLPFWQGTIKEPATLLYFDRHLDLKLISETKIQKIHQSVKKNQSLSILNRDIPCREDEQYAYGLDDFLYAAIDLNMFKKIIWVSPLVEHKGNVNDLGQVFWNLLSLIPQHGTEIIDSFKKYSFGIETKIKNTTLMITTLNNLKYMQLYKESNLITDIDLDFFYNPENKNLYYKLDQVLQILKENKITDTIKTMTYSIKSGFMPEPYRRLSSIFSRKLDMQLISNPARNHAVPIETMAALSNRKPINQKYLNYLQEKELDILSGIGWKLRSLLLVQMGQLGEAEKCYYLAKEHGDEAFWAAYNIGMSYMKQKDYEHALKWFQQKKGVVDTIQAHSLILQILCHLHLENFEYGLSLAHRTLELLPMRTEIYKLIEIFCKKMNMKEKDYIYYKENYQKINQLLKT
AAQLADLADVYVNDAFGAAHRAHASTHAVATMMPSAGGLLLDREIEALEPIRRGEAGKLGFISGGAKVSDKLGLLERIAEISEVIAIGGAMANTFLLARGLPTGASLLEQDMVEAANEITATAHDEQCELLIPGDCVIARGADEPPRAKPLVFTEEEIPEDWQILDVGPTTVETFAEAIKDCDTVVWNGPLGLFEREAFSGGTRAMAEALAKLEDATTVICGGETAAAVAQFRLTNKMSHVSTGGGAALEYLQGLDLPGIAVLPEAAADVDEEDD
MLKSVKKINVKNKKVLLRADFNVPIKNGKITDNSRIKAVMPTIEYLVKNKAKIILISHLGQPKGTKDKKYSLKLIADYLKIKFLDDCLGKKTQKTIQAMKPSQIILLENLRFYPEEEKNDPAFAKKLADLADIYVNDAFSVCHREHSSVSAITKFLPSCAGFLLEKEIKELSKILENPDRPFIAIIGGAKISTKIKVIKNLLKKIDYLLVGGALANNFFKVSGYETGKSLIEEEVLGEAKKILKEKKIVLPIDIVVENKKPKNINEADKNEIILDIGPKTAKLFSNYIKKAKMIVWNGPMGMFEKNGFAQGTKKIAEAVLNNKKAKIIIGGGETIVALKNQKKGKNVFISTGGGAMLKFLEGNVLPGVKPLIK
MKTIKDYELASKKVLLRVDFNSPIVEGKIELNDRFMAHVETIKKLVEQKARVTVMAHQGRPGDDDFTSLEQHAELLNKQVKARFIKDVIGDKAVKAIKSLKDGEVLLLDNVRSLKQEFKPSTSNKMVKTLAPLFDLYVNDAFSVSHRSQTSVVSFPKVMPSCAGPVMEREVNAIRKIHEFKEPSVFVLGGEKPEDSKVVIKELGDKVTRILTCGIFGQFCLLSKGFNFGAQNKYITEELGYSKDKELAKLLDDNIKTPVDFGVKAKNERKDLLLSDFPVNYEIFDIGPETIERYCKIIKKASSIFMKGTPGYSEDEKFALGTKKILKTIADSDSFSVIGGGHTRAAIDRFGISEEKFSHLSLSGGALMKFIAGKELPGVEVLK
MNIPLLKNTQDLKNKRVLLRVDFNVPIEGDTIEDDFRIRRILPTLAFLKGKEAQIILLSHHSDATQTLELVARHLNDFIKTSFVSDIFNTHAYSQRDTVYLCENLRFHKGEENNDEDFAKKLASLGDIYVNDAFSVSHRKHASIVALPQLLPHYAGLLFEDEVKYLSEAFEPPQPSLLILGGMKADTKLPLAKKFLDIADNIFIGGAVVHTVWKQAGYEIGRSTVEEVDENYQHLLEHENVIMPRDVIIEKEGKVSIKKLEDVLEDEKILDAGPETVKELSGLIKKSAFVFWNGSLGYFEHGFEKSTVDLINIFAESKTKTVVGGGDTVAAISRFGKEEYFDFVSTGGGAMLEFLANKTLPGIDALKK
MNLKNIQNIVEDFKGQKGKTVLLRCDLNITKEDNTRILILKPTIDFLLKQDFISKIIICSHFGRPKGNLEDFKNFSLLNNVLPQLKKIWEVFILDWPNANSYQEKQFNEIPFQNYQNISSQKPIILLENLRFHPGEETNDFNFAQTLASLSDFYVNDAFSVSHRNHASVSSICDFLPSYSGFLLQKEMEVIKNLNNNEKGFTTLIVGGAKIEDKIGIISNLLDKVDKILIGGGMIKSFLQKNFINNEISKKIMNNYDKFLLPQDIIEAEEFSNDTNTKIIDISSFSDSGIIMDIGPQTISNYTSLINQSDYIIWNGSMGVFEWPKFERGTKEIALSVSRNYSARKYAGGGSTIEAINKFNLKDGFTHISSGGGAFLAALEGEYLPGIKPLLAD
VGNSRVEQDKTDLATELMKIGGDKLILPVDTHCGDAFSSDCNKLVVNAGEIPDGFEGLDIGPRTAAMYADIVANAKTVVWNGPMGVFEMPPFDEGTRAVAEALAKGTGTSIIGGGDSAAAIRQLGFEDKVSHVSTGGGASLAMLEGKKFAAVEILDPA
LPARAGGAHRAPPLTALASLSKLADQLIVGGGIANTFVAAQGHSVGKSLYEADLVDEAKRLLTTCDIPVPTDVRVATEFSETAPATLKSVNDVKEDEQILDIGDASAQQLAEILKNAKTILWNGPVGVLEWPTFRGGTEIEATASACL
MPHATANHDLPNDQRWSLYHDLLENKRNGRLPRGKTAELLKKYGISKQTLSKIWNRGQQSRALSGRADVASLKKGRSGRPPKRSMAEIEAAVKSVQPHLRKTFAMLAASSGIPSTTLWRVLQTKKLQRRTSRLKPMVTDKHKADRVAFVRSFVRTGPNGQMRWDEMLDRVHIVEKWFYQKGVWPGRRSQAIYIQQDNARPHVEVDDPAVMMDGSSEGWTIHLTAQPAMSPDFNVLDLGFLQFDSGLAAS
MITLLFDGFLLKFKFLMIRQISEGTTSLNDLPVIITVVKENGRTSKLTTLLNQGMNLGEWIQHLCSFTEFNDSIRHHADFYIGRIMFDLQTLRNTFPKLQSIGIDGGNAETNEHTIFIAQTILKAFLPDVRSVQLNEVPLQENLSLQHIGMANLKRLETDYQSNLNIDDLCALNVERYITQTNQIAPRCLNRFFKLWIKGSNPMLRELDILCDTEIVPDWNVFLRGLKAEDVAAEDDSKKFKIVNYRGICAEIKFENFDGFYGVKFEVHID
MSSSKSYAEGSGRGRKFDNDRDDRDNYKNNREYERRHREDRPRGSNRGRESYEDRKPYRSKYDDRKPYDDRKPSYNELNRRSQSPHQETPDFKPNFANSGLLAAESKTVNGTVLKYHEPLEAHKPDKKDDWRIFVFEDESQTDMLTLDKQSCYLFGRDTNVADYPIVDASISKQHAVIQFRMLRSKNEFGDVSEQVKPFILDLESTNGTHVNGKEIPVSRFYEVMAGDVLQFGLDKREFVVIKSD
MQYEIFDHTGDVGLRFYGSTFEELFSSAVAGMARLMGRQPGRHLHVSRKEIIAETGNELILYTILSRVLYYFEAQSELYSNASFPEGIIPGETQVLLTGYRINSRFRYDYVIKAPTFHRLVLRPAEGYGTIVFDI
MKIIAKPYVTQHSCDEMDRIFGHGKPSYMIELVEIINAKYPHLIQGLRETVQVDVREIVDVENAATFDEPNRLLIEFKDHTLFVVCDYIELKNMVHTALYGRRSSKPSEIDYSARKGRYYESPILKLFRAVDGSPVEIDASKITEEPEDVSTFDDKDRVLITCDGDSFVVRGSCSDIGIAIYNASRLSEGSKEKNIKHKPNPHYPDGCMIKMIIHSVFIGPNSRHSCWIDFCDIKSIKDATTTENDRKIVSIEKFNGEKVIAEGVYSKVCELWEKCKRGEIEIS
MISRSGSGPNRDFFTRSSTKTCSVPASGRCSRPLRPGPTRWTPWSRIPASTTSGRIGWRSPARLHERPAAGSGNPIAGRSRLPYQRRVQMKSVGKGLVCVVLLVLAGFCFSRFRVEYNRPDPRSARIAESEPAAEAPAAEPADPTAVTNSVSETNLAAVSATTTNAAAAVGSVAGPTNASPAASAKAKAKASAARGAASSTVMYLAGFVVSLLGLAVLLGWEVTQWAASRVTRGIGADPLPAEADPLYEAAEAEWSKGNHLDAITMMRDYLKRNPSQQHVAIRIAEIYEKDLNNYLAAALELEDVLGGRLPREKWGWTAIHLANLYSGRLNQSDKAIATLERLANEYPDTAAAKKARQRLGIPEPTEAPVGEMAADDLPPPPPEDPGNLPQGFRSKKK
MAEHYISSRAGQVQWIMQRVSAVLLVGLAGVHFALQHFTSDAVSTGLTVATRLNNPYWQAFYLVFITLAMYHGINGVVGIIRDYNPRRALRVGAEFTLWALAFFFVCLGAKNV
MHVARGTGRAPQREVYRRRPRARERSRPSRYIDEEVPMSGEQKAKAHAEQAKGKAEEAVGRAVGNDRMVSEGRADQAKGDARQAKEKTKDVFRH
MAKKNILVIIDRWYWALHRQIIGLQPFISDYYDVKICTPDSLDKKTFDESDVIYLSFWKLPFWSRDLQKLCQIYANKIIAGIHSHYSIEQEVNVLTRPDKDVAPSEAIQVYLSKFKALGTISPRLFKLFKDVHENVYYLKAGVDEKLFTQKNPVEVNRSKIRIGWAGSTLNHSGKRGFANILQPLQKSYSDIFDFNFCIEHINGRNFEEMDSFYNEIDLYICVSRSEGASTPIREALSCGRAILSTNVGDVPEIIKSNYNGWIIPEWTLEATVENLLFLNSNRSLLKACALNARKSILDSWTWEQVAPYWLTAFEAVIGK
QHSVDDPTSSKTYSFVALPGNAVRKRPRRRYDEIERLYQCSWPECTKAYGTLNHLNAHVQMQKHGPKRSPGEFKELRKLWRKTKKEAEASSMAGAGALRRSMSMGFGMGLRREDLYQSPSYMHGPPHHHRSFSHHSASGLSPPLSASLPHHAYGVDAHTSTHPLRYSPDAHAHTAQAYGGADFRPAASGPWTSSSRLDLYQGQQHSPSSAYGYIDSGGSGSATEMYNHSASTPRARLPSNSMLLTPLVGPAHAHGTETYAAVADSYYAKRREGSGDEY
MRAPAVVAVAVVAALVAVLATLGVQRWTAEEPDRPSDRLAGLVDDGYAASLFMEQAPDGLPDDLAERMELVLTEDSWTDAQARDVSAALVDAGAASAGGLDEEGAAVAGAVVAAAPDEVHPGLRAAVLNVLAQRLPAVHRSLTGVEMGADTGSGAGLELAEEDLVATLRAAGQDRGAAVSFVETYGDWAAGLLAVELDGVSEIPDAIRQRPDLGRGPGRALVEVLEGCQDCGSLETTVRGTVGLAYDQAVLQAYVAAGDQRFPTLVAPSGDAVLLDLPLTAEQAAEYADFRETTPATSVLRNEFERAR
ERQLFQDRMIRTNVLLDGSSTEEPWLVCTCGPMGAGKGWVLGWMSANDYLHLEHVSKIDPDSFKAKMPEWPIYKRHSLDLAGKLTHVESGYMAEIASKLAMNNSMNVWVDGSLRNYKWYAQWFSYVRQKWPQYRIAILAVTAPDETIQKRLRHREETTGRFVDPDLQKASSLGVTEGLKELSPSVDLIAHISNSCENVPTLNYVSLIDSSGNWELVKN
MVSWAALAGSASIGGLSARSWVQVARYWGDPAAHPSPTVLARFTGPAAQRRLTQGSLLNSVCLSGVTLLLLGGAWLPQHGGAGTQNPVAFAVALGGLVVFLSGCLGQISLVFLGRPRFVLPKHARDDNGDMAGRPPGRESGSSPGRGADAASALLPVEHEGKAEILVFRDEADTYAQLRRYKVYVDGKRVGSLRRGEHCVTFVAPGAHIVQVKISWCTSPVSRVDVAPGDRLRFLCRARSGVATEPTAVYRLRHDFLVLCAV
MSSDAYFDFITDKRQWDVVIDIHNHLPSVKQKLLNDFWMRVTENLESIFSDRKCFVERSDDLFDKNSVLAVRHEDWSDVFKVGFGQLAGHVWVGVYCNDIREDSYNILADQLRVKLQNSRRDSTAWPSWYHLGEDFTNVSSFKRILPSCQESAAADYAKRLQEIVVKSEPILTQYLATWGCP
MDLLTFHKDIIETVRSKAESSRDFIRTTFVEECGERLVEAEELLSFEMCRFEGVNGKRKKLRVDGYSFDDADNSMALLIAEFFNEDEVPSFITSDAKAALGDLRAFLEEALEGNLTDGSVDESQPGFGFACDLMNWHSKITKYRFYFVSDGMLKTRQKGWPEDAVSGTPVEFHIWDIGRFHSAYISATGRDDLVVDFSTNKTNGLKCLAAAESQGDYSAYLCMIPGDTLADIYDIYGSRLLEG
MTETTRAFCVFEGGGAKGVAHLGALKAIQQDQALNICGYAGTSAGAVVAALAAAGWKPDELLSVDSDGNGRSVALEEVDVPDVKTLADFFGEDWPNLRKIRKIYRGVYRRRSWYRSVLQRASLVIFIVVFFPLIYLIYRGAAQSLVGSGFSEVVRFAADFFLVPLAWLGYGAALLAFAYYGYIFLFRFRGGASLLHARTYWIKRS
MVTERVRHEARTHFGCDRLEGAELENQGGDGTAMTHWEKRLFENEAMTGTHTQNPVYSRITLALMEDSGWYRANYSIAERLHWGHKLGCSFAMKSCGGWIREKLKKNESTAPFCADIKHDGSRSLATTRCTDQRDSLALCNLVPYKRPLPIDYRNFAHLRGVRPEGTKYYGGSVELADFCPYNQEFEWRAMNSTDRRDSRCELDGNGAPDAVANAVLETYGHGSQCFDLASHWTERKCGRIRTYSQFMAGCYAFVCSEGRLHLRVHNSSLLYTCFRPGQTVHIRRIVDGWLREGQITCPPCEEMCPAQADKLRPEAADLHLRRSPGRALFRSAFEPFAPQNSRPRLRLRRPRGHSVSLQRRWLDESPLRGPAQNSADEAGIVLRVNEQLGGGTAAGAARRSGAKALDGLAIVAEVEFESGGEKKQRKEEGKKSEQDN
MATVRATISPGLWAAGSLPSLGRQGLASLATAYLNQAAGVWGDSAIAAFSVVNRITFMAFSALLGFGQGFQPVCGFHYGAGLYGRVKQAFWFCVKASFLLLCAMALVGLLFAGPLVAAFRDSDAQLIEIGARALRCQALSFPLLGWITMANMTLQTARKTRQATIVAISRQGIVFIPVLFIATRLWGLWGVILAQPLSDLFSFFLSIPLARGFLRSLNQPPAQTS
ALLNTVLDPLYIFGFNMGIAGAAWATVISQIVSTLVLVAYIPRFRSVHFEKGDFKLSCEDVKVIASLGLTSFIFQISATIVQITSNNLLRTYGAQSVYGSDIPIAVGGVVSKIFVIFIAVVIGLNQGAQPILGFNYGAKKYSRVHEMMNLLLKVTVILSTILWILFEAFPLQLIQMFGSGDALYYEFGVRYARAFLFFAFINGITIIVTTFFPAIGKAKLGAILSLTRQMFILLPVMIILATSFGVDGLIFAGPISDFISFIICITVYIHQIRKIPKVDELLV
MVEHIPYLYLTILPDARPDCIAVGLEEGSYYHKSSGDATVCGVYVAGAANQRVQLTLDYVDVDCESGGLISVSCEGISVSCEGISFLDGWELNGEVFPPVGDAPLEGRVEELCGRHQRKVFLSTSNAASLLYSLPRRGDVFKISVKFIKHPT
MKSTTCSRSLTRRASVALLSPDRSTTSRRPWQAPARRAERSALPAVGTRWAASKFGRDTILLDMKQFKRVGRFDKESGRLEAEAGIEWPEVIDYLHDAQAGHAKAWAIREKQTGVDRVSLGGSLASNIHGRGLRFPPFVSDIESFVLMDANGKLHTCSRRENGELFALAIGGYGLFGVVVHVTLRLVPRTKVQRVVEVIPVKDLLPWFDKRLEQGFLFGDCQYSTDLDSTPEAHLGVFSCYKPVDPATPIPDRQKQLSAKDWKELYALARSDKKKAFERYAKYYLGTSGQVYWSDTHQLAGNFEGYREAVDAKGGTEMITEVYVKRDALLSLLSKARKDFLEHNVDMTYGTIRFIEKDTESFLAWA
MYHKIDMHMQSIARIANAMVSEHTPTGTSAIGTARTSSHTRVSVRGSIDVDDSAADRQKVDKDANG
MGGKRKIAKKTVISGREKVDSALNDLKLNPRSDLLAIFKKTIPAVVNQEFLGDDEGKIYCLDKNRNFVVIFSNIDEFDIHMRNVAANIDVNED
MPASSIARILADSFRKGLLAAHRGGYLDSHKEEGPAPLLWRSNDFLKWAKLCPSEPEIGHALMRLVMTGHDVPHACEVYLLVPCFGFEFSLKFASIHRSFIVLYLDG
MSNTDVVVGIPELLQSLEQAYALPQYEPRSPLEEFVLSFLLWECPANRAEHALKRLVDSVVDFNELRALRNDDTALLLGKTYPMAEERAERMRLAINDLYNREHAVSFDRVIAMNKRDGRKYVESLDSIPPFVAMRVTLAVLGAHAIPVDGRLLGRLIEFGIEEPGATITDASGRLERAIKAGEGLRAHHLMQVWTDDPAGDSVKIGASGRRAPGKKKTTASRRAAAKR
MAAPFDGTSRMEVDEGPYELHGLPTVPELEQRWSITFPTDPGPVDDALADRILTAGQSHYAHYFGDIDSLDPTSTAAHARTLMNERGNCTGCSSPMPLRKFNSSDRLHFHSASRIFRQAEPGDDYPAVLCRKCTGRMATSGHTNFIDYMLAKNPSCPLCGAHRTAQCSPGMPVHPFDHLPWLAGTGCVVGPDTPEWTCRACNHSWGQMFPPDHPQQD
VIEHDMKFVMGLCERLIVLDHGVTIARGLPEEIRKNEQVITAYLGERRRAAD
MKHISFLFVLLSAFFSAQKLQVVDAENGKPIANARIILSDQLVYTNEDGFASVTNDSKDFEISASGFKKEKFKNFNSVIKLEPVFKNIEEVKITSIDIKKFFTDIFKNYQKTYYDEPSVYDVVMKQKFFDNKKLHFMAISGAKQTGITLKMDIIKDMMTLSNYS
MDSKQMFHEKIFAYYQLISNDRIPRKHISRLSSRISEYYFEQYKTSGRKYPKSEKRYSTFLLSDLDHPYTHEIVIKYFKDELKAKYAEYSKIILEMNESQLRLFEANREDFENMW
MAVKMVDVCISLNHYLPMILTLCAIATFGSTEQHVVGWLGQDVRLLCNFTNEDVLAVYWMNGSDITKASYFKQQRISLDDRFALNEDFSLVINDLEVSDEGRYVCHVEFYSAESLTMHTILAVYAQVSTPRIGQCSHKPTGNEFQSYCEVTTTDTQPFHLDCRVTGFRPNVSLEWTSSGTVKKPLGEPFQKTLPDGTADRVVTISVTAKVDEDRSYTCTVKGRATNGTDRTATITVLALGQGVSTWAKIGFGVLGGSMLIALILPVIAVALYRLGHLRALRKEIRTYTFKKPGKENEKAAAHIGLFGEMGAGKSTFLNSIEFAYKGKRSQPTRSTAHSTGGHTELRNCLRLTDYISLFDNRGMKDFSLSYVDQYMDEIRGKKEEAGSSVSTCLISEEIYCAVFVYKHGDSQKKKAAVEFFDKFSTKLRNYSGYQPMIVITHANSLSTETKRDLKESFKSYCDEVWFFENYTKSINNLGKSIEFLKFLKASLYRSEVAIVTHERQNEREREIEAKKGFFARWFGW
MVRRRPCRGGYRNGQMEDCSSLHDRSSPCARPSIPSDRWSHDNTFCNRLTRCFQALTHLTTNREEVMRTKIGAAFAALFAVVLAWAPVMAQEPDGKLDGEGKEIVVLMPSRSNAYLAQWIRGAEKSAAEANYKITIIENNFDQTEQDAQAQQRIGSPNQPAAYVWWPADNQAGLATLRRLSRTGVPVFQVNQALRPEAKDLVVAYAGVDDFFNGQVAGKNAIAARDAYVAAGNKLASDGGNLVILKFIPGYSAGDDREAGFADATKDKPFNVIASEYAGFDNASGYKAMSQLIPSIRGKGINFVYGASDGPVTGAVQALEEVGLVPGKDVYLISATCIADISAIQSAKEFATGVQAAGLEGWFSLNVVARYFEYGKQVKDGQYIAPDTADARPEFNFAPSKINIIPNPQVVVGTDKAKAGEILSKFKLWGETFSDHCVY
MLSMHQPGHSLAAFLAAFLVLIPLPCHWKARNVATVAIIAWLFVLNLTYAVNAIVWSNNAINHAPVYCDIVTKLIIGAQIALPAAAFCVAKHLELVASSRKVLDRDDRKRRLIFESIMCFGVPIIYMLLHFIVQGHRFDIIEDYGCVPTTYHSIPAVFLVYLPPLVLSVATFIYAALALRHFIKRRLSFSIHLQGTGSALTTQQYLRLLAMAVVEIMWSTALIAVNMWSTISPGLRPWTSMADVHSNFSRVDLYPLILLPRQTQVLTELFWWWIPVSAYVFFLFFGLGEETVSEYKAGLFWCRRNIFRRNGINHKSTTSLPTFRAYVPRRPTVVPVLPTIHTGDSSLKFEHSLPSPEMAFGGFPRSKVRDDDASSFTGTASIFSYIHSDAARASQCLSEPPLDDLYPEPDVPRSLGPRQHPTLYPAAWQFGNDQVFGTPGGHRPFSGPTIYLVDSTSTAPVHGIHLTVSTTRTTDV
MLLFRVNGLLKNQQRGREKRGVMGLTTQSLFADSGLIAAMHGGDGGGKYE
MKLPKKIIIDTNVPINANLANTPDKIPDNMVDCVYNCVAAIQHVITEKGLVIDSGGEIFKEYMHKLSLTGQNGLGNAFVKWVHDHQWSIPDSDRVEITKEGDSYKEFPNHDGLINFDISDRKFIAVANAHPEKPPILQSTDCKWWGWKDALKEVGVSVYFLCPEYVKEKYKEKIGL
MTHDTDISSRHENRCARPGCLNPVPRNPRGRPRLYCTPACRTATHRHPDTHELLHVEVDHGSTSSRGRPTGQVWLVRLRRGPHHVVIAVGLGRPSAEHLARQIREVVNPPPLATPPQIR
MQWIAIDLTTQRAWKMDGHSPIETRTGSTPAELIGDWTGPQVIAGLPDAPVLDVPCKATPENGAFPRVRQTNPQAQLPHTAAVAGLVAMDDRWDGVMIWVTGQVHWIHVSAGEIISFQSSALPQIYAPYAVDAPDADAFSAGVALGLDRPERMMAHLAALDAMDLTAAQRAGQALGILTGTDLKSARAYWLGQQVTVVGSGPIADAYAQALAAQSAPVSTTTDTTLAGLTAVFKGMNK
MKDLKTLTKDELFGILTAYEMRREDKLAQKEVSFKASKKGKRKNHTPKESTRSELDEAEAYFMRKFKKGKGKYKSKFPFKCFNCGKVGHYASKCPQNESDSSEEEKKCYSKKKGKKYFKKNFSKHKKNFYSKQSSSSSEENSEDISSSDGEEILFMAMKVKDDEDEKEEGQEAMCDEEDVDL
NEIDAPCVSTLPALDTDQLSASDRQNERHIQDSNKYYFDSEKSDQAMAVQSETAGNKGAQSPEQKKGVTLAEVVTACTEVRSFFPQALRSWDDLVRVGDQIAPMLGIDLPVLNEAKRDMGAESAAITVLCLLEKAAAIRSPGAYLRRLTQMARDGAFSLNPMLSALTNRRNCQLTI
MFIGPTSKWWPKQHNPSSTPAYARETYRQSLTASRKWRERIEIRTALRGKDIASACPIDQSSFVDVLLDIANSSETA
MNFIKFWLTSLLFLVTPFAGANESQHLITQAQSGYYIIENVDIKKGEDTDLPKSIEQFILQTKDTHYFHWNKDSTQFIYYENNLDNEIIFGEDNNLINFGDKDYQLSLIDDKTLEVNSNDCLWWQCSITFRLIHVAEDSPQLKTLQDYQTAQHQKIVARYLKQKQDLANADLSDFVGFYSTSGAVTLKLPLYGSDSPLERRTTGVYYRWFDDVLVRFSSEEQDSVRFYQALDYKLTVTKIQADKNRFDLERYLAQQNRLPEAVLLKSEFGAVYHSTTVSSLEAFYYQYNEKKQEFTFVTYSLPSNSLTGDLAVAYMTVRSIDPKYLGEQIDASAAGLSRTEFEDEYTSLYDAKEFENELYRVLQKELEVTRLFRGSVFRNNLDISLNNNFYNKNELYIFANTLEKQMADDTLKYSLNDEYHVAYQDENSVIFVEPDFQDNYLTYFYMYDNGLTYRLSYVTDPKHDYLINHLKFIQTLRQIKPIHLNQYPQDILNLIHSYSEVRLIEQPAQPVRYKVSITIKDMFDRYYGIIDENIQNILPAIYQDIIPLDTGYIAIDEHKHYQLFDLQGKALTDKTYHKLTYLSHTGVILAEQQNKYGYPLFGILSVTGQELVPVEYTQFILSYDEKSFALVKDKQRYSVTLKGSPVNEAILHQPTP
MFFTYKSNHPIVIHFNGFVLFRYHCCQEAVPGIIYLFNRQIVQVNEFKRIQEIPWIYLLIQ
MTTIRPGSSMCFCRLRPRARTMEKGQERPNRRRLVLSCRRVRD
MTQTIECPKCRGPLKVWLEIDATLSFAVSRNGKLSKRSITDNQESDGRCGLACQNCDWEIHGHDLEDKSQSRVIESAYQQWEELELAVRARK
MMATVAAGGLYQAGRLLSWGDASHMEQRAPVVQQLIDARNPLLADRVFRDRCPFVPLDSEGTLLERVRRWEWASGKPNYPSLSGVPDAVGYSPAESADKWRVFRAIGAVDEVRMLRLFGTSHLIRCAPPGTIALEPLPQPLPRVSIVSGKLASSDDGSDLLRFSVVASWPTRRSRARPARTRRPN
MGQACTIVLRGSRFFFVSVSPYHVGLLLIFMHSFLLYPYQYIVYVYVCFCCLQ
MRFATIVSSLVPLALSATMTLAGPTPLDVFSPPITFPTAGTVLVSKTETTITWDASGAPVNISNKALLMLRKGDITAPFIIAEGFDLRSGSLDIVVPNVLADSDYEFVLFGDSGNFSPTFTIQSDVPA
MKGVGNILAKDAKGVVAVKRVAKKILLLIGIGVIITTFIAGCGNSNSTGDTSKEQNQQQNVGDTNQADPKQPDDTEKPGEPPKGGPDHDLTQDTTFLTNAASALGITADELKSAFESGKKLDQIITDQGMTMEQFRQKMPEPQQKPPMKDNGDKPEAAPGSTATN
MKLQERITAAFPEAMVEVPNGLAEIMTNHPGDHHVLAAAVTAKVDIIVTSNLRHFQAKDLARWEIEAQHPDTFLTHLYDLDPDSILQIIQRWSSDLKKPPLTFVELLDLLNKEVPIFASKVLWHEYSQSVFQTAKKALDKLGKVALEGGLYFEGERYRLWQNRGVLTITTKDNRGEILRLQNGKIQGKLSSADIKAFQKFEQSLETELEQAKTYKSQI
MYRLRHLRRDSEYAAKTSTFMLGLHLATITTMSPIPEHRGERNRAWSRIGVIVGERNGTWLNRGHRGHRDRF
MTTAASGSWPLGVFPGSSAAAPRPAAASLDDGGPARILMVSARFFPLAGGVETHVYETARRLAARGLRVDLLTTDTTGALPARDHLDGVSIIRVPAWPRGSDVRFAPRIYDVIAAGRWDIVHVQGYHTFVAPIAMDAARRAGLPFVLTFHSGGHSSPLRRAVRGAQRLALRPLVNGAARLIGVSRYEADFFSRTMGVARHRFHVVPNGGELPRPAVGRTRRSGDRLIVSIGRLERYKGHHRAIEAMPHILSRLPGARLRVLGEGPYERPLRRLVARLGLADAVTIGGIPSGDRRAMADLLASADLVVLFSDYEAHPVAVMEALALGRKIVVSEAAGLAEIVAEGRARGAPPACRAEERARLMVEMMEEGPIPEAVALPTWEDCVDSLLSIYRDALGRERDATRAAGV
MDPDRNIYGEFDRLQQAQRTEMQVFTGNLLKAYEKFPKGKFVNFTDSQGQTRQGLLMHQDFDIVKQLQQQPVPFENPEQVSLFLTEWSHNRGVVESLELDLTIKANGNARLTGKPPEYYVVQVPEATSRGGKYFLNEALLQAAQEEFYSVGGRMEMRVQPKDLEAVVQVLMEALKVKLAVHDPSYKELLREHLGQSLPTLQQMVTTPVQPEATEQLQIFNAPPAAIATPPLPESVEPISMTAVSEYTAPVQSSRAKTQGILSSEKQGSKAAKHIAQLLHKSGFAELVLAGKNFHQRFEQDGYLPFVIERHGEQLYLSHYAELNGDHYIDAEMVFQIGQRGNLSLLETASYNPLTGGELRNKDYRFAELFSGNLLAQGWAGVMQASRQDFAPGREEEIVVSEAAKTPEKIAYPQGQPALKSDPPLQNSAPSSPRDVVVSPENWGTVARAIAKSPAYLQRIQEVTTKPLQEKALAAMENDFAAFQQSITALRQWYAHARCIDKAANYLQGIQAIAEQFKAGQPLSEAVHRTMWEDRRVATFEQLATGLDRQHPETFLQNVAQRGLQKGLQPQELAATLLQGDPALRDIHKIQPQSTQAYLQKILTTAEQLFTKEQPQPKPIQASNEQRHHHPKL
MTPTDITQRDAKRDDISDAANNVTEMSAPDMDALEFPFESKTQHQSRALMTTPLLSEIRGIEFLKEVISSVDVEKTLNDMFAIIGNMEKQLKKVLSINAILDKDLRASKEIISELRHEKGQVEQKLQRITDETATKEELQAEIEHLIDERNIAQTAIVDMKKEIGALKRKELLARERADDLEIEKSDLIREINYLEIRYSSAIEAIGRLEREISTLRGERIINLEKIKSLKKNATTQAG
MEDEALSTPLSLRTGPALVLTRTRSQEAVYASAAPPFAQYPPDMAVQQLRHQVGQAVDAQASRSDTVQQAVGAQGQRTYEQLMALHQQQQMQANAQMELNQRLMAELALQRDQQNQLMAQLEAQRRTTENHDQGLRAAATSSILQAEALEDMRRRTSARWHAFTATPAPQTVQAPATVGVHIVYGFQEVPKAPTFNGSTKVQKRRFMDQYEAYMREIRLANAQRPGGQQIRQMPLSGCIDPLAVERIAFWEIEAEP
MAVGKEDVVEILKPRTDNREYRRIVLDNFLEALLISDPDTDKCAASMDVRIGSFCDPDGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSTNAFTSSEHTNYYFDVNVDCFEEALDRFAQFFIKPLMSADATTREIKAVDSGNWDTLEVKPKARGMDTRHELLKLYEENYSSNLMHLVVYAKGWATSLSAGESDWTCEFSFFKVVIDLTDAGHEHVEDIVGLVFKYVHLLQQSGPCKWIFDESRALGGACLCRIFWESTKFEGHTDKTEPWYGTAYSVEKITGSIIQQWMKSAPDEHLHLPAPNVFIPTDLSLRNVLEKVEFPLLLRKSSYSRLWYKPDTRFSTPKAYVKIDFNCPYAGKSPEAEVLTDMFTRLLMDYLNEYAYYAQVAGLYYAISHTGDGFQDLVETVIEKIAKFEVKPERFAVIKEMVAKDYQNFKFQQPYQQAMYYCSLILADQTWPWNDGLEALPHLQADNLSQFFPLMLSRTFLECYISGNMEPNEAESMIQHIEDVLFKGPQPISQALFPSQHLTNRVIKLERGISYFYPAEGLNPTFHQLRSVEQLGYITVLMQRNDSGIRGVQFIIQSTAKGPRNIGLRVEAFLKMFESKLYEMSDDEFKSNVTALIDMKLEKHKNLREESAFYWREISDGTLKFDRRHVAALKQLTQKELIDFFDEYVKVGAPRKKALSIQVYGSLHNSEYKTDQTEPNEPNSVRIDDIFSFRRSRPLYGSFKGGFAHMKL
MNIKKIIITIIITVNFISCTQNESKKLNKTQMNKDPFYTENSGYDYIRFPLIKPYETISLNKGEEWAIKSDFKPKTPAEIVKAYGIQKINILLRKIIICYCEKYPIIGGEEFPKAWFIFIPEKNINKGFTTEQEFRAYLQTQGIQKEQIEWNTPKELYEQFSKTYCLSWIPDCN
MVVHTSNTVATEFKLKDFTQGLIIDSIKASSTIEILKGGKTIGETTVVPKMPVLTYFSLCNAMVGGKLPFLIYSEDGDLLQGLHLLVSYGGVLELDNDDVYYIVKMTGLASAQTVTYRNWDSLSGGSPFKITKFALDEDKTDERVDVSNVDLVAYPFSLQTKMYVSILSEYKNAQGQNARRDVSYDFKTLISQDDMLKTLIRLYVKVHRFLKVVH
MNILDLVLIIAIIGVVYFLYINYKSYYSSGLNNSNNLREGFDGKAADEKYGYDDTLSTTNKKYKYKTPGNLADLKNKNGYLYDDLDVNAFDFGRTRKDKLDRKKESIILNEHFVEMQFHTDYRDTLTSFNDIAPDQKKIFNIQDAPTKLTVVPFPHKEVKKTIKDFINLINRDVEFYVSDYRGNNTGWDEPLPQLHKESGWDKEQDELGLPRSLFPEPAKRSPVRLVKIDYVEKYVTEFETEYICTFIIKKENVDDQMIVRVSFVSENHDVNEERDFFKDLDPNITTTPKKQNQKIQDRNHEMVIEEIFVVGFLTNVGQGTGTDAKPINYDNLDWYNFKGLELNGITDQGTIMKELIHKYKERDNSMMTFTSSLDKEGREFHEDLPHMAQYKSYQCTRSTIDELTNKPIKYD
MYQTQLFVLFFTVCSTFSAARVLKVQIHDQDDKVVQFTMNKIESFTWKSCGGNGFGVTDLSLTPDPLEFPGTLHLAMKAYFNTTIQAPLPTTLLIEKEVAGKYIKIPCIDSFGSCSYDDLCELLDEASCPDPLVKAGIPCQCPFKLAQYTLPTSDFDVETSFFPSGGYHMKGSINMNAIFATFTSAKVLKVEIQDEEAKIVQFTMNNVESFTWKNCGGQGAGFTDLSLTPDPLQFPGTIHISAKAYINTTVQAPLPATLLIEKEVEGKYIKIPCIDSFGSCTYDDVCELLDEITCPDPIVKAGIPCQCPFKPAQYTLPTADFDVDTSFFPTGGYHMKGSISMNGQMTGCLDIYVTVA
MLPCHYPAILIGNSGYTSASPLETSRLNALPPISSKFKCLVFIVCADHPCRWIDSSNAILGKALSFATLTVPVSTTTPVVDEALSYFRAGRIPPHDTTNSTFARHFNFVITCTALPPSSLALTTTPITKAKRNLGLSADSLLRTNGPSINKQIKADIREGFTSVVDTTTLLTRSLARAVNYAVTAKTKTYSLLRVDGVIGGTWLSLLCRLLRIIHTYHILSQAGMIQVFLLPIPPSYPQQFMDDEINTILNPAPTVYTRKAASRTQARKIKLLVVSRMFWCDGCPGSVMQSSSSDD
MKRLARHRWVDVEFRSEKQLMILICEGPYYAKEFLEFLRYQPYHFGNRPSKGGSFELSVAAMTYGDVAVNIDRSHRSFKHLKDFDSGKITQVTTGYIMANNRLAYMKETFAIQRDLSVAVKRRVSKKKENKKASKGFISGNVIKLKEVLGTLFSR
NTIIHLIQVSLTAATIGLCSRSLSIDNEISKRVWSFLISQSSIILAVSLLFLFKIMDFEHNNGIFYCLASCGLTISAMGVCIGILQTRNCGMDIDSCRIRRAITALICTSTFIWVIILVQFLIVFYVSRLGKDKFLPSAFPDETFQRNPN
MFALFAQLKGKFSLSMMCLRSEKSLANLRLKSLSSQIAIFKGREKYALKGF
INVLDVDATTRHHWEAMGYFSPALGDYVKAGLIPDHTGLKMKAVNTIEDPLNYRGRPQMKMPKFVINAVGDEFFPPDNTKYSYHLLPGSKQLRMLPNSRHSTAGTDINESMTAWYDSVIKNRAVPEYSWTVRDDGALVVNPGAIKPSSVLLWQGNNPKARDFRVATLGDKAFTATPLQPAADGTYVGNVDKPAAGYTAYFVELTYPSGTKYPFKFTTEVYVKPDVYPYRWEDARPITAPDGK
MSSLARRYGQAYFELARDAKDLDGWRNELAGLVDVFANPEVSQAAQNPKLPLSQRVRLALDLLDGASGQVRNLARLLVERRRTSLLPEILAFYDKLADRESGIVRAEIITAVPVGDRIQREIMNTLSKRFGTSVRTEVRQDSSILGGLVIRVGDHVIDDSVRTHLQQLQSALT
MEAELDKWHDTPTEPGRLRRVQDGEIWKTIKGVDRTLFFDNAHTVELRIGVTMGFDGFAFACSAYARKHSTGNLSLCVQNLEVSLRYPPENLLLCGLTPGPREFSADELQHFMAAFITDLLKLYDERIVVKTAGCPIVAVCCDHPAMCRLCGFSDSGSQNIFCTWCRITKDQLKMEKGLTIDAFPLCDGDDHRKLAEEYSKLHTQEERDAFCQANGVRGSELNRLPYWNPVRMAIIDPMHNLLLGIMKNIWFDVWVKDKALRERTQLKSVPRKLDRIHGYLTKFEMPSWVARLPKDVGYPAGGSLTSDKWKALLLVYGPIMIPFIWDEWSAVADTEYGRKLASWTKNDKAHRKCNEKRARGEQGGDLEFEAPKPKRRMHSKDANNFLSLAATMKILLA
MIDLLEFRRVEVAVVIDDSSTDWTVDIGPNIPFLITLSDSNDNNSTAGPLTTKAGTQTCDLVAGSLDGSVSSPDSQPPATSSRSSPTDTTPQQTPTSPYHNSAVLSNTTPSPSNSAPPDVSVTGDSKSSGVSGTTLGIAIAAVLVCIGIIAGLIIWLKRRHRRRKHPQIDLLDEGKFDPSMLEAQVIQPFTGSKYGPSAKPEVVMVERPAEGSTGGRVLVAQFNEPYDSDPSSQQTYSEKVAAMRPRKGREPSFNTVRLESVSDGSSQISPSHAYPPSSSTGYPIPVSSTTSARSSSSYTQRRTDKIHLDVATTPSIRSAASEEAATPTNESTTSLIHQQGPSRREADGGIRLAGGPRQSWNIGGGTLPPAYGDF
MFAENLDGSFQPTDPRFVTNDGIQKASKGKQYKDRIANLIGIPQIDYVRDEKRRIQIIVVIEVWDKQVRPPKITEKPKATEIFVYEGTYLEDEEFLWNDVPIIQDG
MTSDERRTKDELRFRTATDEWRWLDLRATDYRDHPGIEGVVVNSCDITERKGREQALEETKGRLESLFDESPDMINLHDADGNIIDPNPRLCEETGYDKSTLTGMAVWDIDERADPETARAHWQSMDQDDELTVEGRYRRKDGSTFPVEVHTRRHDMDDEARFIVVSRDISERKAREEQLEQFASVVSHDLRNPLHVAGANLELAREDCESDHLDRVEDAHERMSTLIDDLLQLARDGNQVNDAEAIDLATLVTECWQTVETEAATLRADSDCTVHADRSRLKQLFENLLRNAVEHGGESVTVTVGTLADGFYVQDDGPGVPAEIGDAVFEPGWSNLAEGTGFGLSIARKVADAHGWSLDYAATETGGARFEITGVEVTR
MHSSGTRLSTLCSRSSSKNRQSPMTVTMERTSDSRNLDSSLPSPSGHVSLGSVEMDENSTNKTVERSSDGMRRLIGSGVIVGLGFCALILHVLHYRQEQALHSAILGALLPMALALLLISVGRWVFTSQFSDRIVLRMAGWTGIGAAGGLLFGYPVIPYQAAHGVPLVDVPFLLVNYLTVGALAGSVIGYYDGHQQRYQTQLEDIRDELRTREQELEEENERLDAFASLVSHDLRNPLNVVAGRMQLAKETGDTSHLADALDAVDRMETIIEDLLLVAQEGDAVSDDALEAVQLRDVAKESWKTCETGSATLEVEDSYGFEADRSRLKHVFENLYRNAVEHGYDEVTVRVGSLDGSGFYVEDTGPGIPPDKRDQVFEFGYSTNSSGTGFGLYLVKQIAVAHGWQVTVTQSDEGGTRFEFDTPSEQNG
MTGGSRVLSVLCLDPTGTLAAHLGRADATLAVTARERVADALDYLDAHAVDCVVVAGSDAAAAADRVRERAADVPVLAVRERDGAVTVEETYGPERSQSRFPTGEYARLADRIRTLVALARDRRSVRENRYRAAFEKAFDAIVIADDDGRYLEANESACDLFALPREELVGRRISAFLPDDVDFGEEWLAFGDEPEKRGTLPFVDANGDEHAVEYAAVRDIVPGEHLSVIRDVTDRERRDAELRDRQQRLEEFTSVVSHDIRSPLQVVAGRLDFARERHPDDPDLDSARTSLGRAFEIIENLLALARNGDDIADTEPVDLETVVERAWRNVATGDAVLTVESTATLHADPTRLQQLLENLLKNCVEHAGAGVAVTVGASADGFFVADDGPGVPAAVREGVRGSVPSTSHGGSGFGLRIVAAIADAHGWTFDIADRDGGARFEFRGVDAGNLRPDRHHHT
MAIANQVCKSLSVIPLRTLLELFPSQPLLTTFPELDPYPSRNKGIYLGSIHGVGQAADIRWPTEAERKAMVYLRPAHQCCIPVLSALTESGITAICAIPGINEAQIKKYESPLLKVVAHPVEFSELGRQSGLTLCHGGAGTIAQSLLAGVPLLLIPTTVEQYLNAKRVESLGAGILLEPGTDKKGVSVAMEHILTNPQYRKSAKEFALRHLADTPEDSAERAANAILNKDLGIAVGQEVDRGHSSYTGMKRDALH
MNLQKELVSQEIVIDEKLESGEHKNAVWRKFSGSPIPSTATYAADMIDGKVMDFSKELTPSSECVPRKRLADWIEDADRKDSSDENDSQVEDENKLEHLSASVSGSLDVHSIINTDQKDSSNENAPLVEDRIKQKRSSAPDVVNADPPIRRGLSASTASGKVLSYGYTPRSNRTQNYSKDAEQMSVDSQKLNQGQPLVWVPPPPPDPPNPHRK
MTPFRVLHIALLPQENTVHTGNRDSAMASAKRDWLPSRFALLLTCVPHLGERQIAQVLHRWARSRVSVDEFLSTPLETLQQEWGLSAQAAQALTEPNPGWWKQFGQMHALVRRHGVEVLTAQHRLYPLALEAFCEQPPPVLFAHGALHLLQEGWRFAVACSRGAPHLALEAVDEITRQAMHEGGIPVTGHNTPSYQRVAIAAVRAEKPSVTVLDRGLLDALGEGLNRPLFPAARLYELEFRTDRDLVLSPFPLRAGSLGLHNQRRDELVFALADVVFAVWVRAGGVMERLCRRAKQLGKRIEVWKAPDGTISDGVKRLMEETPSP
MNAGSAAKLIVEALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRDSSEWSAYSAPLAFALWNVVLRKGSQVNACVHVSFHGHQDIKILDLKHAFLFHFRKTVVVSVITFMVFGGRVISASYDKVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIRHVFIGLCFTIHISYLELVCLLNRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVYKKWQVKTEGGLNASASFVAKANPLNRTPHKRKSELYHALCNMLSSILAPLAEGGKNHWPPVGVDSALALWYEAVARIRGQLMHWMEKQTKHIAVGFPLVTLLLCLGDPHIFNTNFGPHMELLYKYLKDKNHRSMALDCLHRVVKFYLNVYAGYQPKNQVWDYLYSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFTMNHMVLELLKPDSLSEAKVIGLRALLAIVMSPSNQQFGLEVFHDTVTKEKSQASLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVMNRIVRHLPHRRYAVVRGMATFILKLPDEFPLLIQTSLVRLVELIRLWRACLSEELLDHLSLSTISALGFFHGVSLSDLVIADLPSVASSISINLLDDGVQLIC
MSSMSVGAGNVSIVIPCCNQGVLLREALASIEQVRNENLLEVIVVDDGSSAVETRTILSQVEEAGYCVVPQPNSGLAAARNAGIRRAKGEFILPLGSDNRLRDAYLNEGVSLLKNNICLGVVYADAEYFGDRTGPW
MTYSILHLSRNNQRTHLMVDDVTTLPVMFVTIYGMNELSKKHLGTQENILTCLESGVTTRFSSHNEAMCK
MDDDRVWSFEKSLWTGGADHYRALVDEECLMVLPQPPFGLSGSQAIDAVADTPRWSAVEIAKGRIARP
MAERTRNRGVWAVTAAMAASLTMLSACGVPDSGVPVVAGSPGPGVVNEQPDTPMPLPRPEDANDSAQAVKLYFHAASSEWTGLHEQVGHFLTPRARSVMPETAPGISVVRVTSWGAPKVSAPDEHLIKVKGEVVGELSEQGVLQPTRRSFSHTFEVRYQQTAEGLVWLVAEPPTGYVIS
MDRTLYQKQMLDLVKDSKLATKLENSIFKWTNDYMETNITPPFMFENFYQDKFSDIIKHLNIKNNPYLLEAIKTGKIKPENIAFLKPEEIYPEKFEAILNKRKLEKAIKDNQATTDAFKCPRCKKRKAKIDQKQIRAGDEPMTTFVTCVECGNVQKF
MELLGAVKVDNEEIYNFLKNIYNGEPTFSSLFIYSCLIAVTRGVTLNDCLANFKPGEAHLESMSRQLNALDSRNNDKGEYKADGIIKLYRMKKIELLLLESSGSLNNKAKSKINYDHHNGIYGSLTMLKTVADIFCFASIECFKVFKIYFLQAEEENLEILRTFDDKHDHLPNLIQFWWRVKALVTESVKVISKLSEEHIVIEQTLDISIIPLIFYIC
MYIANFLHKKMLIFTCSVLISFIVFTIMLPSAFALDFRDKRITQLTVPYSKHVNTLICLKKNLTEGMNQLKQSEHKLLYLRNIELLEGLIKTMVLMHGSLYAASVETSSHDEISSVLLNITTLKFNLDWYHSKIVENTNLILSKETFPLNVQKNFAVSAKHMRTELQKITSLGAATVESYANFLSEYPSINSIRAQFIEILDDDSILVETARVRTRVRLLNVDCPGYYQTGGTETHAFIQKFLGKEGAVYLEFEKERFDPYNRLIAYIWKDGTMLNKKLVQVGLCRAKIASLDEDHVVK
MASPRVSDEPPTSPPAYVVTAAFDGLLAKRLVPKEGRRILGAFGSIRYPVTDPAVPAALRGKLAAMLLQDRERDERTAVLITMLDHTGLRAVLLPDANASSAETRLAVIDEEQGSAAALGEAVRTALAAITAVIAVSGL
MFIPFILATVVLPIFSQSLDKPLATVRLTSNTVITARQFESKVELLEQELGITLSGEQKTSLLNSEIDTELIMQAAEREGITAAQEEIQGAIQQQKAGIAGGQAISDTQFRQLIESQMGMSWADYTDRLKRRIIQEKFIAQRNQDFLSQVTNPTPSEIQSVYEDNAAEFVSPAYVRFDHLFIDTRSMNSQDKQQALSRMEDIYSEIRSSGNSAFNRYMQSSVDDPSLSGGDFGYLPKNEQSTTQVLGQAFINSAFALNQNEFSDVLTSNVGIHILRITDKRSPKLLTLNDPVVPGQNLTVRQQIIQYIRAQQQQQRLAEAIEKTTEELRNEADVRIFTQNLSW
MRSFQRLDETALKARSQEKRLRTRAEAVSAANYAEHTLVLDTQDNTGECSCGRWAMTNRQHVLTPVDLKASHDLHRQMMSHPEQPATIDAKVSKANRPMPGTLPDRLLPLVNRLTKEALTHPAYEGMTSGEIEESVEHRLWSVLKSYPWWYAGGQPKKTMSDKQLKQLEHMRERKKAIQQEADAPYVRETNDVPENDEESE
MVFLASRGIIHRDLAARNVLLDKNCVCKVADFGLYYHNFKYGHGNAKKGCVPVKWTAPEVLYGNIAKLSSKSDVWSYGVVLYEIFTMDII
MKKNFVKSRWFFTMLLFVTTMIMPSMMLAKSITPTTPKGDGKTEPYQISTAEELYWFAGLVNGTLPDGGGKEFICQCNPHGKHYREHGRS
MNLIGIIFVFYILFLLGVGVWAFRFNKTQEDYLLAGRRLGPWTTAFSERASGESAWLLLALPGAAISVGLGESWAVLGIILGIIFSWFLIAERLRIETKKYNTLTIPEYLHR
MAISTPMLVTFIVYIFGMVLIGFIAWRSTKNFDDYILGGRSLGPFVTALSAGASDMSGWLLMGLPGAIFISGISESWIAIGLTLGAWINWKLVAGRLRVHTEVNNNALTXPDYFTGRFEDHHNFHGFRTRVVKHIACILYRHDGALCGIGSRHAQRHFLH
MINMKKWSILLVVAVALMESCSKEKKANNSVKDHKDTIAAVQTPKNKPISGASDLVVKGDSVVIPDFKIEVNLSDKAVEKLQKNKESIIASLFFYGDVDDEDNLPEDIKKEIGPNGLKLAALVLEAKNIMQSNEFKINHFTFPKKLYDVLANKNISLNINVFSGRRAFKDNVLDMESFDSKFSEITTHGNFINLNGGLLSDQKNLISH
MVVRKEKWWNEDRDEGMEERRKKVMEERKEERKKEKKEEIQAEKKLEKGDKKDGKKEERE
MRMKKAVLTSVSLIIVAMLLFPFYWMLVTSIKQPSEVVTSPPILITKNPTLSAYSEVLTKFSMGMHLRNSFIIAFSAMTITIVLGVFAGYGLARFRLRGLKIYLLVLLVSQLLPDVSLVLPLFIIFSRVGLLDRFLSVILANVMLWLPFVILILRPYFLKIPKTLEDAARIDGCSFRRAMVRIIIPLALPGIITAAILTFLFSWGEFVFALTFLSRNEMQPVTIAIYNAIGQYGIRYNRLMASSTIAIVPVVLIFIFFQRYIRKGLIVGGLKE
MLVFAVVTPFIWMVISSISPQVELSAKPPHWIPNNPTLARYRSLIFGSQSGAAIPAATEKFLRGMLSSLIVSISTTAVCVVAGTMAAYALARLPIPGKPRVLVGILSAQMVPVIVIIIPLYLLLRSLADTWTGLIVLYAGFMLPTVIWIMHSYFLSIPESLEEAAMIDGCSRLSALMRVILPLSGPGLVAVSAFTFLSSWNEFFMALIFTGARTKTITVVVTEFSSQFGVDFGLMATGGVIGSLPPLILAFLLQRYIVAGLTAGALKGE
MKRKRGGIVSQLLMILLVVVIIFPLYFMTANSFKTHEEYVNNMAGLPQTFTVQNFVEAFRGKPFGQWFMNSLILTVAAVFITGIIALLAGYAFAKMRFKGKKVLFNMIVPLMSVPPVVMIIPQFRIIKILGLVNTRVSVILIYIGIMLPMTIYLMRNFMKTVPDSLLEAAEIDGCSKRKALTKIMIPLSVPALITSSLVNLVWVWNELLISLVFLQKEELRTLMVGITLFKGRFTLNIPVIMAGLVIATVPIVLIYIFAQKYLVEGMLAGSVKE
MKSRAVAGQIALTAFVAVGAAVSLFPIAWIALTSVKTPGDYFATPFRWVPEQTTFDHFARLFADLGGAASIRNSLIVAFGSMLLTLVISVPAAYAIARARRGILRSAPTYVLSLRALPPVILLIPLYLVYSATGLIDTYVGLVLAFSTFNVPFAIWMLRGFFEDFPEEVQEAAQLDGLSEFSSLVRIVLPMIAPAIVVVAFFSFLASWNELMLSVTFTGEQTQTVTKLLSSLLQSPTGADFGAAAAIGVISMIPGIILVVLCQRFLVQGLTAGSVK
MPNEFMDRLWKYATRTIAVLLVIFTIFGFLFPVYTVTVYPFSNEKNFPRENHRLVPPEWGQNGSLFYHFKDLFESKPQVLHTLKNSIIIAIATTFLSLVIAAPAAFGFSRFKFRGRMFFWWSFTFFIMLPDLLYANALYKMYFDLNLLNTYHGLIFIHSMRAIPYVLFVLYGIFQSIPTTLEEAAYTLGCNRFQTLVRVTIPMALPGVAVASIFAFLRSWDEFVLTMYLGGTETQTIVTLASSMLLGANKNPLQASTVSVIMMIPVIIFIFFTQKHMKAGYLAGAMARTY
MADTLLTGYLPILIALLIIVLPLAWMISSSFKPPGEIVTMNPTLLPQDPTLDNYEAVASRVPLLTVLGNSIYVTAIGSIIKVLLAITTAYALVFIRVPGANLIFLGILVALMVPAEVSMLPNYLTITGLGGRNTLWGIILPGLGTAFGTFLLRQHFKALPKELFEAAELDGAGHFRKLFQIAIPVSLPAIATVALVTVVNEWNSFLWPLIITDTPEKMTLPVGLNLLRSIETTTASYGILMAGAVLVIVPMLIVFAALQRYIVAGLTQGAVK
MMVKANRLSENARSYILTICLLPFLVIMIYPFLYMVASTFKDQVSVITEGFNLIPKNPTLRAYRIVLSTQPFLKYFLNSLIVSLTVVAGNMVFSPMAGYAFAKKAFPGKKFFFVLLLSTMMIPVYITLIPIYRTFIALNWMNTYQALIVPFLAAPVSIFLMRQYIMGLPDEFIQAAKIDGASELGIFVRIIYPLLGPCMAVLVINTFLNIWNSFLWPLLFTTESNKWTLMVGLYNYGTYKQQVFNERMVCATLAALPTTIVFMFFQKYIISGLTSGAIKG
MRWPLPFSPRHLALMPIAALMLVPLAWMLLTSIQTLPESRHFPPVLIPSGIHWENYPDAWNAAPFGRFFANSMIVTLSAVAGNLVFCSLAGYAFARMRFFGRDVLFVALLATLMVPFQVTMIPTFLIVQKMGLVNSLPALIVPNLVTPFGIFLLRQFFRTLPIELEEAARMDGCSRLGVLLRVVLPLSMPALATLGIVTFLWTWNDFL
MRPVVVIKQINQGVLRAFCYLVLIVGAAVMLLPLVWMVATSFKTFAEALAIPPIWLPERLNWENYPTAWAMAPFGRFFLNSVFVALATALGGVIICALAAYAFAQMSFFGKNIIFAVALGTMMIPGIMMLVPNFITMTRLGWIDTYQALIVPWLVSVFIIFMLRQFFMSMPRELWDSAQIDGCSRLRFLWQIIVPLSKPALFTAFLLNFVASWNSFLWVLLMTNRTEMRTLPLGLALFAHEAGIRYELQMAMATVAIVPILLVFLSLQRYFVQAWLRTGIK
MAPRGIRRGLWHAAVLAGALVMLVPLLWMVSTSLKQPNDLFAIPPKLLSWPLKPQNYVDAWRAAPFWRFLFNTLFVAVLATAGQTLSAALTAYGFARFRFPARRALFGVLLGTLLLPGIVLIVPVFLLWRAFGLVGTFDPLVLGSLLGGGALQVFILRQFFRTLPRELEEAARLDGASHARVFFRMILPLSWPAVLVVVLITFQGHWNDFLGPLLYLNREAHYTMTIGLRYFEGKFMGEAPKWHWMMAVTTLMAIPTVLIFLIAQRSFFQGAARTRPRGPTA
MTDPLPATRLPTTPLPVTDTRVSQPLRRRGPRDLPRFALLLLLALLFVAPLYWMVSTSFKPEADTIAVPVQWIPAHPTVDNYREILTSPDGNILRWAWNSLFTSLAFTAAHLTVCVLAAYAFARMSFPGRDAWFWFVLSSMMVPGIVTLIPTYIMMIQLNWIDTFHALIWPGVAGAFGVFLLR
METATKVGVLRVSATGTTESGGRTVAGALQNQKVLRGIYYAFLTVLGLGFLFPLLWMIGTSLKSGPESLSDATSVIPRHPTLEGYRQAIDVLPRYFWNSVKLAFLNVVGLLVVASLAGYGFARIKFPGRDVLFLVVLGSALIPGIVYLIPQYVVFRDFGWVDTHYPLWVPRVMTPVFGTFLMRQFFLGIPKEIEEAARIDGASVFTTFRRVMLPLAKPALATVALFTFVDSWNDLLGPLVFLNSPDLQTLPVALALFQGEFFTNTPGLMAAATLTIIPIFVVFLLAQRYFVQGVVMSGMKG
MSESRYFQYENGCVVTPRSSDVADSHVSAPGWTEVQVVPLDAIVIQRDELPEVKRRSTHVTTSTGCSWPISTEAETVYGRALEHLAVAAYLSENPPVDEAQVKALNALIVNAVTLGLASVDAARGFEEYLVRNGVTVKGADR
MGGPGSGSRSPVVTTSVAYAFLGSALGLDPLVTSSWFWAAASRSDAYWSKVSGLLLFCKASVKHVAKVWHESDRIGQHDDELLLGGGVFCYLVVLAKSIEPMKNEGHMTTGPLVCSELSSGQPLQFGVGGRKWP
MLQQKSAEGRARVANTQDSTSNSEWDIFCRPTRNRYVGRRGLFAAYEQAQSIRRGDAIGNRSLQSRNHTHYVAGSVVERNIHYPTDSGLLGDGARVLTRTMKKITELTGRAGTKLRNRMRTIGHRVMEIARTSRSKGPQVQERLKQGYRKLLTTTRKVVNQAKRFRKEIASGVKRAKDHEQKLVLQGLRKDLETMLPRVRQVIRQSRARVLGGDVHVAGKLVSIFEPSTEVIRKGKASKPTEFGKMVKIQEAENQIITHYQVFAKRPNDADLLVPAVQKHEEQFGRVPQLVAGDAGFYSASNEAELSEMGVKQISVPNRSTKSPERRRHQKKRSFRRGQKWRTGVEGRISVLKRRHGLNRCRYRGDAGMQRWVGLGVIADNLINIGRFLAANDTG
DRRLPLRSAHDNPAIKKVYASMFGKPGSARARRLLHRGQRGYAEMMKTL
TTPGQVAESSRGRKILLVTVAGGFMGAGLALLLALGVAMLDDRVVSPGDVRRLTRVPLLAHVGDLGQLETGELAIWRFRLWSVLQRTLGIADGESTVIGLISALDGEGRSTWLGLIREAAAEREWRTVSLTNRPGTDPATSLPLATALADPGRVLEIVGRHGHCEVAWDAGFGQTASDRSACRQALRAWQEMERCVILLELPPGSRLESVMLAEHLPHVFWLAGSGHARAGQVSALLTTLRLAGVRLSGAFLNRLPPLYRRLPDLSRFGFLLALALALGWETLECPTLQAAEEAPPGNPAARNGVLSAANAGPHLAPWQERLTLGPGDLVNLSIYGQKQYTREAVPVGPDGRLNYLQVNGLMAAGLTIDELRESLNRELADYFRNPRVVVTPAGWRSKKYYLLGTIMDRGAFSLEQPLTIVEATARARGIATGLLEQNTVEIADLARTFLIRQGRRMPVDFVKLFQEGDLSQNILLEPGDYIYFPSAALNEVFVLGSVDSPGTVGVTEKATVLSVITTRGGFTPKA
MHGFTLVELLVVIGILAILTAIVLVAINPGRQLAQARDTSRRAAVTSIVSAVTAFEADPDNTGALPAIPPCVPGPAGTVGTAVGNVDLALVLAPNYIAGMPIDPLPSGGFDATNTGYTICTTSTNPNRYQVGAPSAEIATQILVER
MHQKRTTPLPFGFTLIEILVVIGMIAVLAGIVLTAINPLRQFALARNAQRASDVNAILNAIGQRIAENQGVFTDASDCIRPLPNTSTDISSSAFDLRTCLVPSYISELPIDPSAGTLSGATYDSGYTVTQASSTGRITICAPNAAESAIVDSQPYCLTR
MRELRRERKLYVPLPPRNSMAYLLQDDFEAGAGDVVRVVSTRCERVLDPATGRRSEAILTTAKMSSCNARKELEGMPASGWAVNVPERTCECLYFEKFTTGRARPSAAVTHTLLPALLLLRERAPPGLGGTLSTGGASGFSCPGRNARPALGGPRACPAFPALSGARGACARPALGGAIPALCGVPSAALRWRRRQRRSRAGAALWRGRQTGVEGRVVDVVLGFARFCGADIRKSGCLSYFLLKNDVRHFWLNFE
MGFQSKESSLQAENTVDDDDDDDDVMEESEGQAFSAEESDQRGRREGKAREAKRIAKEVQAEKLKERAKQKKQEIEAVKKWRKQRQQNGFCDGKRVYRTWVLKTANHSRGQERKDQGCLRGIDPEVRQSKAVKRAIIRKQNREFWNSKFGFRGRIGLKKQNTAETTNDLKGFNNGSIAGPAATNRPASPPPRTRPSGPAKEILIPPDLLFVPPLLVGIYEPDSERISKCPWTK
MRVLPRKKEINSVLSHSWLAEEKVGEATPQAGLATATENWSQQ
MFEIASPASLILNKYSRGHVFDFVGSGVEIPAGFEEKYDFHTFAYHAYWNTAGDKAILICPPFGNFRDTVKLGDQKIALTDADSEYLTVYKYPRYYRVEVAEELLDARGQLPVYVNDSEAYSLKIQDNISDLFRDLKILHTLQKDNNLEWIYDWVKYYISVHEIDAVVIFDNGSTKYSIEEIKETVISAGNGKLKKVIIFDWDFPYGPAMSPWTSFFLQPSTFAVAHEYIYQYADFVVNTDIDEYILESDTSLSQALDESGTGSLVIHGHWIENIPLPGAHLEESSSPRVWQFGYFNPTRLNSGKWVSRPQDLNDPTVHFSEHWISGRSLTADPRFRVAHFTALTSGWFDPSRKDQMQLDDTLRIDPLLIKSLKKAFPEKMPESTYTDSIHQVYPKYIEDAYRQKTMYAKGYLDGKLSEFQWKLNFINKNNFVVLRTENTLPTGETLTFYVRTVPFVRKLDLRLMFSTIESAELFREFLVAFNFEVIRTTHEGRGLALYIEYYDKDNYEASMQKMGDIIYKIMLQASHSLRP
MIFTKLKKQFLVVSALVIREMATRYGTKIGGYAWAIIDPLAFVILLSLIFSAISRVPALGTNFPVFFAAGYMPFWIYRSMSDQIAGSITGNKHLLSYPIVHPYDTVFSRLILQLVTIFVVCIIIFSGIGHFIEPLPKLNMEKILVASGIAIMFGMGVGMINVVLFHMSSTYQQVFTIVNRPLFLLSGVFILPESIPHPYQDYLLWNPLVHIVAIFRQGFFGTYRALLVDFNWLLAVSVVTFWTGFMAIKLFDARLRESPS
MKHIKEIKSILEEYYPWHEARVEFMGNFISSLIRSRSVNLQK
MAIETYRKKDSTCDCGELNDVNRRMDFDRLLALLTTGMFACDMDSRLQAVIDVLLTNKSFNVKTISIYTRVSEEDIENFLVDSNSVSVETKYKLAVPVLFLHYICK
MKLSREEYEAMIYGIAELSDHIEYSDLVLIPAGRKLVTLAGNIHFANGIQLQIREALDFDLDEWITGYGYVVYKDNERQYWYDSQEHPDDPSLASTHPHHKHIHPDIKHHRIPAPNLSFINPNLSFLIEEVDKQFFAES
SSLIAELASAAAAERGLTFDEGMEERLCAYSRAVAHFPTAVKEASPTPANL
SDPFLDGAIEVRDTLKLTWKTDTPIWVDQWPLPLEKLRALQELVMEQLMKGHVVPSTSPWNSPVFVIKKQTGKWRLLHDLRKINDAMEDMGALQPGLPSPTMIPRHWHLTVIDLKDCFFNIPLHPDDAPKFAFSVPSVNMQAPLQRYQWVVLPQGMKNSPTICQWYVGKILSPIRTAIPTVLLYHYMDDILVAAQHHEVMEEAVALVMSAVNNAGLCIMPQKVQKIPPWKYLGWRIRAQAIIPQPLQIDTDIQNLHDIQKLLGTINWVLPLLGISNGDLGPLFELLKGDTDLRSPRSLGPEAIESLQKVAMAITSRQAHCWAPELPFYLIILNPTRQPHALIFQWDPQKSDPLLIIEWVFLPNQSTKTILMQHEMFASLIIKARQRLLTLSGIDFACICLPVTNMYLQWLYQQSGAFTMALADYMGQLTSDPPSHKLLNVDFRLISRPKRSDQPLQALAVFTDGSGKSHKSVILWWDDQNERWDSDIETVPVFRRWSTPLNLITDSAYVAGIVERAEASVLCNVSHLKLFALLQELIFLLDSRPHPYFIMHIRSHTSLPG
RNNTHHLVGKLVATAQIPRALPLKWLTDVPKWVEQWPLSKVKLEALKQLVKEQLQSGHIEPSTSPWNSPVFVIKKKSGKWRMLTDLRAVNKCIEPMGALQLGLPSPALIPQDWSLMVLDLKDCFFNIPLQIKDRNKFAFTIPVYNHGQPVKRYQWTVLPQGMINSPTLCQEFVNRALITVRQQFSNCLLYHYMDDLLLAAPSKEERDTFFIHVKKALSDFNLQIAPEKIQTEFPISYLGAILERQRIKPQKVQIRRDNLKTLNDFQKLLGDINWLRPMLGIPTHQLRHLFSTLEGDTALNSPRSLTSQAKEELHFVEQRLNEGFLTYLQQDQPIYFIVFHTPYSPTGVIAQSAGLIEWVFLPNNYTKKLTTYTDRIAFLILKGRGRIIQLIGSDPQTIITILEKDVVEQ
MPPWKYLGLQIAARTIVPQKMEIECNPKTLADLHSLCGSLNWVRPWLGLTNEDLDPLFNLLKGERELVSPRELTPEAKTAIEKVQKALSERQAHRCEPNIPFQFIVLGKLPHLHGLIFQWIEGQRDSLLIIEWVFLSHQRSKTITEPQELIAQLIQKARVRLCELAGCDFTCIHLPVKLSKEGRNSPKRLTKEMFEHLLQSNASLQLSLDSYRGQISVHAPSHKLLNEEFHLIPREKRSRRPLKALTVFTDASGASHKSVMTWRNPQTQRWEADVEFVEGSPQVAELAAVVRAFEKFSEPINLVTDSAYVVGVVSRAEQAVLKEIDNEHLFRLLSKLIYLISHREHPFYVMHVRSHTDLPGEIAEGNRQADSLAAPVEKARLPDIFQQAKLSHQQYHQNVPGLIRQFQLTWSQARAIVATCPNCQVQAMPSMGMGVNPRGLGSCEVWQTDITHIPSFGHLKYVHVSIVTHSGAVYASAHAGEKTEHAKKHLVQAFSVLGIPKEIKTDNGPAYTSKGFLEFLQQWGVEHKTGIPHSPTGQAVVERAHQTLKQVLARQSSTTVGMSPHEKLCKAMFTINFLNCSFENMSPPVVRHFNSGNQFKLSQHPPVMIRDPETWETKGPYELVTWGRGYACVATPSGPRWIPQKWVKPFVPKNPAPAEGDKRQVAVASKRRRRRMEEKKLPRCGLLPAETETFNMF
MDIREELQQKYNCLFENVKLIRDMIGQVYLVEKNNKRYVLKLFRKNYSNQAIQSVDIMSYLYQNGYPVVKIIDTIAGNKYFISEKEKRVGVLYEYIDGVEPNKAEFIEYIGEMAGKMRFAMSKYQSTLFHHDDDFFINRYIRILNQMSYKDIQAFKQHGKELWLRVSDLPLGFCHGDYHTGNMLIDNRQKINLFDFDAAALSYPTYDIATVCDMTDYFSLSDSNFEIGFNQTYSMLERFLKGYSKFYMLNDTEIKSIFDFIAIRHFDIQATIIESLGLDCVNTNFIKNQYDWLMKWDRLCRTKL
MNFDVMGPASVQSHGSQVPWQSREVQQTISEEATVDLRVARAITSRVGAPMGAKQTDSGNGTANFSWSAKRAYRRARKRAANNGGTFYRGRWHTVSSLGAHRSSSTDLRMQPGVTDTRALQGPAERARLKVLTYNIGGISGEAYPVFCDWLVRQREADIVLVQELHWGCGQTEGTWLIGKWQAVVSADPANRFCGVGIFVSPRLQAEVGFCSWIQGRLLHVRCETQKVTVDVVCLYQWAVDERQPAVNEGRRQQVNQLVLLNTWSLSRGEVVNYGLTAEGHAEPGQLLSSSDQFRAIHDYFAIAYDSTCNAAKMWLRRKQQRTPQGRWISVGMPHQPLLIPREDKPPSMDVPMEEQAALAQAEYAEVFGSGSKEKEKDKDKEKNDPWLDQERKPKYSRGEGKAGKGGSWNAASSWDPKGSWGQQAGRQKWGDQGQNEGTLDAQTQHLIQAMVRLSLRHEQELGMIRAETGFMLFLDTPMHHPMSFLPKLQEIAADWTEKNAAGLVKTGLKVMLMMALVKELQTRLEAFREEPEKITRAEATGWIGQGATEMDPVWHYFVWNPKTKQQERSDQQPVATSVLLASLDILFKNLAAPGVLQRFRSTKGLEGNFDSVEVVPFLLWIGLRSNQAHLCYQAFMQLAGSAVTKLLGLRIRPERLARQPAAKKVEECFKAVSYCDWSNRS
MSGCFTSSLCKARRLSLAWLLVLGSSVVSWGEQNRNWWVGEPTLPGYGKCSSHKPVGLKKVPMFVSCVDVFSDWFITCKFELKLRYAEEPFPLVNGGHLHNQKRNDAGPNVIGGMWLPFAGGDVQPLQEIRGEVFGDAEGVFFVPEVSGAVWLDVRVDYPFPYRCASNVWWDVDPNDDSVCVASFQLIFAVAGLAPMPRTTFYGFAINPTEHYPEPFFGTPSMLAELSSFALDYYVRSPEYCGKAEKLSFNDFSQPFGGLYDFKRTWDCPHSWHRVGESADINTTHTDGSLAVQELIDLLDAKRTYIERNTNERPLIHLQWAGPEARRQ
MKRRIVCTLLLSLTLTLTATAKDVGFPKEEPAVSLAKPEGWKSKFEEGRLYITTEDDESVIIEVSALKTPKAKGAEALAEMKASVEETFKNVEYKPMQEGGSNNVGLYILNGTGEDEDGKAVLNAIMVTNGDNDDLYMVFIASTPEGSKEYGEDIATILASIKKP
MLQGRQFRSCQSYLRQRGNVLEMATGNPQSQTVEECCESLKDIERKQQQCGCEAIKHAMRQMQGGQSEEVYRKARMLPRTCGLRSQQCQFNVIFV
MLGTLCVLFLLPSQISSSSILPQALKAQKSASASLFILSRGELNVIQAHDSRVRLPITIEPRSAPLAHDASPISRFEILSAAPLAMPTHAVKLQVCERGAPSRDLDSFQDNSRFVQCSTAAQPRPECASRTRGNGIHLVLAVAQSLQQLPLFGLTLSTPIATVVFSMRRLIAMMTRAKEREGKRKSFASHTLHRYSRLDASAMPSSHGCCTARLVIEVGGTETDPTIPLSRANLQDVENFGSVRSGR
MRKTSQTGWILMAAALAGAPALAADDPNAVIEQLQKEVQELREEVRDLKADRSDAEAAETQDSSLFGMEFLRAKGLTFSFYGETKYRFPESGANAFDAHRFVLSPHYQINDWLVFNAELELEHGGVDDSTGRGSRFDGEIELEQFYVDILINEHFNIRSLGIDLVPLGRVNLYHEPTTFYSTERPELYREIIPSTWMEPSVGIFGKITDTLDYRLNVMVGLEDFSSSASGAGVTATGGMRNARPRLRAANENALAYAGRLHYNGIPGLDASTSFYITEVQGFDRRRSLLAAWDIEAVYRVPRTGLELRGDFAYWHIDKPENLIANNTGTASDDVGDRMYGWYVEAAYHFWPEAWREGRGENMDLVPFVRFTRIVTQSDLPSGSVRLDNGTANRDFLTAGLAWFLNQHFVLKADWRHNLDGSSASETSAASQDYFQIGAGMFF
MDALVGKRSADVPIPRKFGAPRWLWRSVNYTLKTKFQRRERLRDGKGDSNTSYEKLRADERRELAMVLHDLGITGRLFMRGIKRSHWTFKLIRSVPSKNSRILLKIDLEVVRMRWRASWTEK
MWTIDSIWHGLPMPELRQAFLQQVHLAPLPDLQATLDRWIKLVADPGTAQPKIEALREWIKQHGREPEELHTRGLDHWHNTWKAQQEQPD
MSCRASPRKLFRALMVGSVVSFLGFFGLLLTFLLIRFVFLLLYYSYLPIVILRFGISGTLILLWLYLWWKGTVLLRNLLLSRDRAYA
MSTRGSLTQTSVVVPAPSTTRGQCTKLYSNPSGTLLAYGSSRLALLRPLFADSSTAEVRTFGHAQSVNVVKPVGEYYAASGDAGGNVKVWDTTGNYTLKLDSKPLSRINDIACDSESKRLIVVGEGRSAWGASFSLETGSSIGEISGHSKAVNAVAMRPTRPFKAVTGSDDFSVCFLNGVPFKYASTSRRHTRFVQSVAYNPSGSLFVSAGSDGQVFLYDGTSAEERGALVESAGGAAHEKGVFAASWDREGKKVATSSADGSCKLWDVESGKVVQKWAFEGDDVQSQQVGNTFAAEHLVSLSFSGDLNILDVRSPTPSRTLYGHQNPITALSVSQPNFDTFISGDSSGRILATTAEGEVKQVKGLGHKGLVVDVVNKRDGGFVSTAYDDTVKELSKDEFGSSSVPTGSQPKALASGAPASDSLVLATASGIEIFSAPGKSLCTLSLPKGSSSATPTCVATSPSVTAVGTDDSRVHLYSTTDGKHLKSIELRANPTAVAFPPEESGEKVVAIGLATGKVPLYNVETGEIVNARWADISARVTSLAFSPSGSYLAASSLDESIRVYSLKTPSSILSLKNLHKGGASKVCWAGEGKLVSAGADGTIRTLEVKLA
MRGKFSQNGEVQADIDTSSSGSSPHSHNDDDIIEVTNLNFHPKPIYNFSIPDLIKHEPHIESNVQRKRSSRDLLKNDTSDDNYEKGSKRRAISNNVIDLTEVDSHDESGAASDSEITFSNELSRSLNHEDSENSFINVLSDLEQFRHRLEQSRERMHFMRPNLLHRLRRRRSSRAVVTDGPLITSNMLDARNNVIPETISDDSVLVVDDQHDDHEIALRMATDPNFSPPYYAISTEDSSRHPVTALRDADSSHFQEQYYAIHQRSREQIEQACRINQQARERLERIHYSISTLRYSNIRNRSSRVRRRDESLADNARRNLEEQEVNSADVEFVREMRPRNLDYLHTPLNDPIYPRTLLSRNLVSNNFLMDSIWDRVPPPRLHHFQTEDFEALWDLTERIGPAKLRGLSKTELDTIPSFRFSTGTAKETNSKCVVCMSEYVNREKLRRLPCTHDFHSKCIDKWLRSNRTCPVCRDDVKTANQSE
MDLEEMEFSLPLSSDPLLYGNHNTPPGPLFESTSSPERNCLPSTSSDYTLKEIDRSVYTPRILPDPQPLYQIYMMEHNGQLVCEEDEQDATGPAFGRKTNSGAKMQRAPSTASTDSGRGVDCSTTVSQLTSNTSMRRDRLVAGSHFGSQRSLWCELPEVRNAGLLEKLDDDTKKLQEAFFEVITSEASYLRSLNILITHFMAAPEMLGMYLTENSFKTRLSWI
MKKSTKRYILSLLFSTILLCTTIAQGVFAGNVEIPEIVKKKRELVEYAQSVARNTEFRDEPYEGYLLKKLADIGSGEVKLDDCREELESYGCFILETEEQSDVQPRSIAADVAMSTPTVSYLSQNDTWIVGGGGQWKSTYGEGISFPFPSVGATQNTGGGLMLLEFATTM
MLIQFTIMWIIIIFTLILLVYAISLQYQYSLITSYPIPQCYNDYLCMQVVNGEVVEVNMSQQTIFNSSSAQQMCALLTSDNICSFTYTNQDGQQVTEMPGTYINTWADVSGCSSSNNYEGCPFYSIGDIYWRACYNGFTGNQYNDYDRTYFSTGINSENCS
MATIKADIERGLQLSNPAAALHLSQQASALLKKPYSSSILPFATSENPEQWIEYEQLFLICLRTGDDKAAHLCLDRLTERFGPANERIMGLRGLYQEATAKDASALEAILKEYHKILSGNAVNVPILKRRIALLRSMNKYDDAVSALVDYLEAFPTDAEAWCELADLYQSNAMSAQAIFSLEEALLVTPNSWNVNSPSPPHKRYESVSDFEEQLHARLGEVLYISTTPTDRSISITQTLSRSVKHFLRSLELCDDYVRGLYGLIMTATELIKHIQSRTEQSTDLEVPSVKTLEELKTIAIQKAEKLIQSQQSTTHPLDGLVSLRELVQQK
MSVIDEAAIEQFMGKVVTELGAAEGVALHRIGDQLGIWKAMAGAGPLTPAQVAERSNCDERYVREWLAAETAAAYVDYDEATGTFSIGDLVAAAMADEDSPMFVGGAWQLTCSVFTDLPKVTEAFRTGGGVGWADHSHDLFEGVERFFRPGYNTELVASWLPALDGVVEKLEAGATVADVGCGHG
MKTYGDLFSLSAVRCFYLGRKRLLAVSALEPIKVIGFMTSLVHCATRDACYYQR
MGYDVKNNEKMVVKKQAGYSEDSDYTSDFNYPVGQHANSSASQFRNAAGQLATPQRSLETSRENSYETDEQAPPYRQPSYEQHGHHLSPPGQREGGRRHRKRQEDYYNSKNSGHYQETEQEADPLYYNSRPRGYKEQRQRSYYEDQEQYSDSAYGKERYAKTSYKDGSYKRSRHKEANYGDNRYWSYKDKRYKDKHLKDNGYEYGDGEAEYEEKYEYDYSGAECVDCYNQSQSMESEYYDTTAYDQDRYNQGYDDKYDSSYQRNAYSKDSSRTSDGPKSKYSKPYQEDTFYSKPERHKPAEPDTEVTVRRPSLERQNTLYDDQYYYYDSAGQPYNESSYDQSGYTDDYYNKTTDYTHQDNRQWDSGGRGGESQSYYYPTTTEGDQYTEDVTRPQRARAYQTTSRDTQDDEEEVYYSPRQSYEDENYQPGGDYSTTSGAARRKQFSQQNSGSRGSRSGSRDGYDYSSYPYDFPPPSKSGTKKLPEIPVQQTQQQPPTQRKTPSLPPTPAKPPEQGTKDDYQYYTPATPAQKTNGYNENYNYAYESTENLAGEAATTVPPPSYVTDSYNFYYNQHQPQQQHQEETPSLTVPATKAPTEELSRRDTLKKQFQR
MISRHYKQNKIKLKKYIGRNVNILKKLRDSENNFRSFFETIHEMIFVGTLDGKIIYTNTAVSEKLGYSSEELKNMYILDVHPEQYRKEAEIILLKMLKKELSICPIPLNRKDNKLLPVETRVWFGKWDNKDVIYGLSKDLSLVQAEHDKFQKLFESNPCPMVITEIGSSKVMQINEAFVEKIGYERSEIIGKTTYELNLFPDYIRKKELEKQIVNKGSIKNEELEIYSKNGDKLTGLFSGELLNYIGHEFFLTVMTDITQRKYAEQQLKNKLEELNVFFDVALDLLCIADLDGKFLKLNRHWEEALGYSLQELEERKFMDFIHNEDIQSTKEAMKDLSLSKIISNFVNRYRSKNGDYRWIEWRSIAFNNRIYAAAHDITDRKIREQELFNAKKEAEVANLLKSQFLANMSHEIRTQMNGIIGFLRLLAETELNDDQRSYLNDIINASENLTYIINDILDISKIESGKMGLEETEINLKTIIEEVVLLFQPSVNSKKNSINLLIHSDVPLKLKGDNIKIKQIFNNLISNANKFTEEGNISITVKKISDNSDKVIIGVAVEDNGIGIEKDNIKKLFNPFVQADASTTRKYGGSGLGLSITKKIIQMMNGKIKVKSELGKGSKFSFYIELLRCEDDDMLVINSTEDNARKLLAINSNSETKYTDNKKYEKRRINTYKNPLSVLIAEDNEINRKLLIKILHNEGFSCECVENGRLAVKKCLSKKYDIVFMDCQMPMMDGYRASLLIRELSEEYIPIIAMTANAMKGDKEKCIEAGMTGYLSKPIDINKLKLILSKYVDNQVKIKKAIAEKTTLRFHDIVLKIVSELNFTKEIAEELLMDFIQTMPKLLDDLENALYDKDYSEVTRISHGIKGAASNLRIQELAKVCFELEKKSKLQNLELCTIGIKDINNIYFNLYMDLSKRDLREEKND
MKSVDTLEKILIPVILTGFIFIVSLYVGVDIFLGSTMTPAMKSFMAFYMTLLLITLVIISVFVSNRFVKMPMKELTEFLDKIENDQYESFNKKFDNSDIDNFVIQVNKLILYLGNKDKKTKSLINTLKYSNKSLEEYQKAVDASAIISKFDNNGIITYINDKFLENSEYSESEILGKEYNITKHRDMDDEIFEKLWSTINSKGIWAGEIKNKKKSNKEYYTSSIIVPILDSDENILEFLSFSIDITEHIHSLQKAKEAEASKSIFLATMSHEIRTPLNGILGFAKLLENAQIPKKEKGYVDIINSSAKSLLGIINDILDISKIESGKFELERRRFNPFQEFEPAIELFVAKASEKDIDILFFIDPQLPSAIIGDPLKIKQVLSNLIGNAIKFTPKNGDINIRIELVEKSDSKVKILFSIKDSGIGVPKDKQKAIFDPFSQADSSVTRQFGGTGLGLSISTNIISIMGSKIELDSEEDKGSEFHFELDLEYQKSKNLYPQIDVHSKIAIFCYEYDCTSQLGIVKKYLTNYSEPEVIDDPSLINNYSLVIGRYKDLITLDMEKIDIPMIFICHYEEKKIDTTNEHRVIKSPINQSKLYDAIVDILNPGIEEELIIEQDFSHNINAICLVAEDNPVNQHLMEAMLAQKHIKTKMVENGQLALDEIKSGAIYDIVFMDINMPVMNGVEATHAIIKYEEENNLEHTPIIALTANAVAGDKEKFLAEGMDGYIPKPFEEYMLDAVLNKYIKIESDAKEESVNEVSYQEESFEYSIEDSANALGLKLPIFKTILKTFINSIDKDIDILKNHIEKKDLEQIKQTSHKIKGASGNLKINNVYEITKDIELSAKDGIDINYKEKLEKLVNCVEEIRKVYEEDS
MVQVVIHITEALGVLTILMLGVVGVQKYFGSESRSMARNAAIGAMLGLVIVIVMLDPIKLSEGGTFDPRGGPAILAGVVAGPVGAFIAMLFGAAARWYLVGGPYAMGGVVGFALYAAFGIVAGVIFRKYNIQTTIYTLAGLGLFGSVAVVPAFFINADFDLGLKILQKAGPILLVNNIFGTLIVGLFIMGGNRWQKVSQQLQNEQAENARLALIAQSTTNGIVVSDDTGVTEWVNKSFERMTGFSLDEVKGQRLGRLLQGADSDPQVIKEMSDKIRQKEGFDVTLVNYHKNGQAYWIHIECHPFHEADGTLKFMAVQTDVSSQVKAQQALRESERRFRDFAEASSDWLWEMDADSRITFISEQIEVATGKPVSSFIGKTREELTGDDYHTDKWAEMRDAIKSRQPFKDFQYARSGQNGSLQYISTSGVPYYDENGLFCGYRGTGSDITAQQSIYDRAVQAEEQLRTAIESLEDGFVLYDADDRLILCNEKFRQIYALCEDLLIPGQRFSDIIRISAARGQNILKADEIEEWIARRIMDHSVKNAEHEREMPNGQWLKISESATPQGGIVGFHIDITQLKLAKQNAEAASHAKSNFLSTMSHEIRTPLNGVLGIAQLLADTHLDEDQRKKVNIILSSGQTLLAIINDVLDMSKIEAGGIELENHAFSLDSLVSGIASPFQTLAENKGLKLEVNNQIASGLVIEGDSVRLRQIIWNLLSNAIKFTNTGTITLTLEDLTDQSDNDLPPDGQLIHFSVKDSGLGIAPDRIDAIFDAFAQEDNSITRKYGGTGLGLTIVKQLTELMGGRIAVSSELNVGTVFDVYIPFGSASSAEVANISLRDDDSANHNPEPLNVLIAEDNEVNAIIAKAFLEKFGHQVKHVVNGKLAVEAAKDGWADFILMDIHMPEMDGVDATKAIRETEFGKTVPIVGLTAEAFAERHALFMEAGMNGVLTKPFTEQQLFETLAIHRKEDRRRVVREPEPVPSGPA
MRDLASHRKGWEVAERVRFNDLGRLSPQESLAQFRRLFSEFSPLLEKTEKLFHPERETYLAQFQKRLQHLSHWLNNKK
MDRFDVISFQDLTGPKGGEWVRIGGGSFGVVYRGEYLGTEVAIKEVLPNNTYDVEKYFERECVLMKEARHPNIVQYIGLTKSPGPEGRIYIISEFVGGNLRSYIADKNKAFGWPLRVSFATDIARAVAYLHARNCMHRDLKGENLLITANNRIKVCDFGFARIAARNDDEMRRISYCGTDGYMSPEILMGIDFSLPSDVFSLGVIFCEIISRRLVDANTFKRLVPSFGLEADEVREMASHGCPADFIQLCLDCCEVEPQNRPDMRQVIQRLRSIEADIVAKEAKKGTLTNVGSLRGSSLAAVVGQSGRSKRPKAPPRLPSFEGQVNLRRQRDASATIMEDEPMTHGREDSGSSTSSSDEEMEAALEALENVKVGGAATTAGEEARKTIKAGSTIKVSGHGNPWWSDEPADAPIPSVRDSWKKAIPGTSSDWKTPEDEGMYSTSVIRPSKIKTTGESSSNLAKTMSRMSTASKREDGDDTSASTMTVKGANHKVHPSDAGTVEELSSPGGAAAAATAAAAAEEPDFEQTTESYRTARSSHHNHNHQADASVAMATVASSIYEPALLYHRFTLVKSGVRKPVDGTSAMAQSWSGSFIPPQIILANALTKCHVCGKRLGLGAYMDCDDCPYKTHVACGDLAEPNCQELQIPASASRRNSNSKTNNAVEGGSGVPDEPAVVYATPKQAAALSRSVAAENGAVSPPQSQGKASGGGLFGRNKNKRSSSKSPPIGVK
MEQFKMEGGSSLGMGITVVTIHVLKRREQLREAGHPKVLVLLVPLVLLASEVGFTLSLYSTLMILQFSMEGSTSEGMGMTLVNFSSADGGMGSPTSLRRSLVLTFRFPFAKKVCFL
MFLNHVCFESILEPERMIIPSEIYKRIKVIKVIKDETLGYRESIEKNQVMKKDEAIYIVNPLDSAWIDAMVIDNELFVIESLLPKLNLNGKKVYEQGDINRSNLDTILLFEKHRFMNEARKQFKVAKKHANHITNIMDHVTQYDQLNQFLNHLSVELFKNKHVIEEKEVPFSSCQYGTILQPALDPILMVRQIRHIIILKGTNHHSISTCLHQLKKVAMIEKQDPISYYCPFYTEDIDMLYLPKMKTAILDGMSPHRFEPIHPKDKIYNLDHIVMDQEKQLENISELNKYKKCYHHFMNKGYDALHQAKYYQNQLLAFNSHKDQMLHYVDENS
MTMTTFKNLIENYPTYEELSAWLRSPEGGSLVIRDEHLTPENPLVIIHYDKKRSTMTALHVPFFRSVVWNIRTNRPVCVAPVRGQRFGDAPAEAMAAGTVVEDFVDGVMISMFHDGATWRLATRTQLDAGSSFYGTRPFADLFVEALAATGLTLERFTDHGLQYSWVLQHPEERIVVAPAYGIPQIRLVELSAVDPATGAWTVLGRERMRAAIPLEGAAGDKLLPATHELRTLEEVKERVVAWGRRFGAGWQGVCVKTPGNRWKLRSDQYDEARHLRGNQAKRQFLWLERWSEGRLPAYLKLYPEEAHAAEAVVQRFKECTAEFHAAYLRVYKERACRLGEVSPKFRKLLWEVHA
MHIKLYSRHQRRWLLKFTWAILVPRSPHKMPGEATETVPATEQELPQPQAETGSGTESDREQDSTQATKQQAQLAAADEIGEEPVSKAKQSQREKKAQTSMSKLGLQEVTGVNRVNIWKSNNILFVITKADVYKSLDTYIISGKAKMEDLSHQAQLAAAEKFQVQRVAAAAAKSLQSCPTLCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLRPWDFPGKSTGVGCHCEAVSNI
MLHLALEKCKDMNMGKVLITCSKENIASAKTIIYNGGVLENEISKGERITQRYWISLFK
MCRPTESPLAPSPAGEPAPCAVRRRALGSLAGLALAACAAPSQRSVDGVDGSPPLPGALASARFALLGEVHDNPAGHRLRLDWLREMTAARRWAIALEQFDAPAQARLDEARARLGGRVAADPRAAARELAESAGFSFEGWDWALYEPVVALALERGLPLVAANLPVRRDRPVVEVGPPGGWSADDAGTMAAAIRDGHCGLLSERAVAAMVRVQLARDATMARAMVDAHRRTGLPVVLLAGNGHVRTDIGVPRHLADLLPGERGVAVGIGERGSAPEGRFDHWFAVEPVEREDPCEALRRRFGARSTPGGAMR
MIIRFLKAPSVLVLLLFTVALASCIQSSNLKLKTPFTDESNIISIKLGNKTPLSELIQELTKADYVLLGEAHNDPAHHQRQQQILDLLIKAGRKPAVVFEMFNREDEGLITSTTRRFPLDPDKVAAAVNWEESGWPDWQMYRPIVKSALDGGLQLVAGNLSRTKARQLITDYDSFMPDIKTLTQLGMREPLPQVQQKLLQTRISSAHGKGIPDLIVNGMVLAQRMRDATMAEAMIARNFGQGAVLIAGQEHVRADYGVPRYLRFREPEARIVSLAFAESEDLDGPINSADNSQHPFDFVWLLPQNKDQLVAHPLTSP
MPTSSARASPLAVNEERVLTKADFFVDVQLWPLNRALNPRGWLENFPPQEKEHAVNLLNAFLYFSSPLCDALLLGAFRSLSKYFDSRDAPFVTTQGLWQGFCDSVLIVHVEGENPSTTDSGYVFARASRKVLGIVEARIVSPQVALERLIQSGPLPVVFVDDFVGSGNQFTTGWHRRIQLSDGTEHSFDQLEKARGGKFFYCPLICTELGAESIHLECPKVVLEPAHLLSDNYNALSSNSHLWPDHLRPTAYDFIENASRRAGIPLGKWKGFQDLGLAIAFEHSVPDATLPLFHWEGNGWIPLIPRR
MNGTPLHQIEALRAVRFWPGEDKLDVDRWLSNFDDDDKDTAKVLLTSFVFLSERVVESLLVDAYASLRRNIKMADWNLVDGEGMKMKEPTVLLTFPTGEIPNVTDSGYLFARKMRQLFDLEESGMVEPSQAVEVLASSKPNDVRNTALVLVDDFAGSGEQVCKTLERRIRVADGKLVSIQDLAKQTGLRVYYCLLVATRDAKMRLEREHSYISVNCPHVLGKRYNIKSKNCAIISASAANAVHSLIRKYAGIYLKSPNVKSWVREYGFHDLGLTIAFEHSVPDATLPIFWADGSNWKPLYRRS
MLAHSVNRAFVREKSSFFTESHVWPLQTRLDPDGWLRNFQPDEEELAVHLLNGFCYFSDRLVDELFAASFLRLSRHVVLPGTPAVAAKAAWAKFFDELVVTYPTGETPSDADSGHLFVRRARDLLGIPEERIMSPERALEIATTASVSAIVFVDDFVGSGNQFLTTIQRKYGTASQSFADLASSLPTCFYIPLFCTSLAVNNALTFLPKNISCVPLHVLGDEYSALNAPSVFWPAALASDGPAFVKRVSERLCLDDTNGASTTDWQGFARLGLGIAFEHQIPDACLPIFYTTQSGWRPLWRRD
MRPSTAQQLAQTDLAPPIPMGLLRSALFSASILPRGKEKEAPLLNNVPVRIDGGALGGTLLYSGPRLTQRHSLVWQAVICAARAAGVGDNDRFLVPADQLLSMIGSAADDQHQRRRLWQTLSDLSKAHIAYTSKRVDYAGTLVSSVVHDTKRRSKDETPTGWLAIRLNADLTPYLSDEVLFNDLRRKARLGKNYLACWLHDYIATHKVPPAKSVAAIRTECGSTTKQLRDFRSRLKAAMNHLMGGDEALVQSWSLDEQDRLVVVKKAETKVKLLPIIRHETSATPKKKSWQEQAATAARERRAGLNL
MKKIILAAAAASVMFALCGMAEARVHSGKHSQYSDQSVAEESDPLSSIFGGDGWSVSPQPRYKDKRQARKYQ
MLALPLSSPLVTLTRGKRKMSRLNRARNHQLIDFSRSDKIQTCNVYILDRPFDLSSLGNYPTYRRYRKRIEACIDPASWDLARSQVRKSREGKR
MELLGIDYGSKRAGTTVLAHLREGGIAFRAARKGEDADQFILRYCREYRPPLIALDAPLSLPGVFRDPDRYDDYFYRQADRQAGAMSPMFLGGLTA
MAPAQIVNKPMDTRQPALTISKKAMEDAAGEEAAHIAPQTRTPSQVGPILKGLLSHDENGVVTWKGHWGMKESDFNDENAASLEPFLYQRQSLLDLESDSINNEDKRDKKNGKASKSTAAEKKSEALARDGRFSGHFYVKRSPGMFSPRQSRTVRSQEKNLIIRFVKSPKLEHYHVLGSGKNRFGKFTLEGTFESKTGQMDLVRVYAPRVNRFMNSSRVKRELQDLDMGFNHQDTIVSKVITGAGADSTLSIRKGKRVRKASARVKGIFNENIHFKKAVVNGEEKKIEQISTVLKKIMDEDHDKWFCIPVDAKALGLKDYHEIVEKPMDLGTIEKRLRINYYNTADGLKADIELTFQNALHYNQRGQPVYKKAESLLKAFMTEHSKLQTHLRDRTNELTRKSSNSSTDNANACKKIGKRSRVSKAALEPSDDEEKKHENKKQKTAVNKPIKKTRVPTSPTPRKTSVTTPTDKQRKEVKKLREKVKILSQHLKRMGSAISITKLDSEPERESGGQDLTPQPQPSDAILGGRERVNSFADLNDSSQPEGVFSLSQLSNSKVETKFMVDIDPLAPDGGFELDMEGENFNELEDFGFL
MNPDITSDAAIIQVMPAHLANKIAAGEVVQRPASAVKELMENSLDAGASHITLRIKKAGSELIQVQDNGCGMSPEDAVSCFLRHATSKIKSIEDLDRIRTMGFRGEALASVAAVAQVELRTKRMQDETGTLVRIEGSVFSPTVPIATPNGTLFSIRNLFYNVPARRNFLKSPATEFKRITEVFQFLALSQPDIAFSLHHDDREIYELPARETPSGLQERIADLFSVPVQTLIPLQEEIAHVRVKGYISKPDFTRKTRGEQFLFVNRRFIKNHRLEQAIQLGYGDLLPRGAYPFFALFIELDPAHVDVNVHPTKTEVQFDDESGLFGLLRGAVKHALGELDISPDMTYEAGKWMEKPATTRPNARTFGYQELSEKPVLQPNTNSGWTAPRQTSQYVPPKRSDDLFLGDFQKANEALYKGISSSEQPPETIPPPATASPTSEFSDRVWQLHDKYILSQTDHGMAILDQHAAHERILYERFLKHMTAGQAPSQHLLFPQTISFQPGDFALILELQPDLLNLGFGFETLSGRSVMLTGVPADIPPGREEAMLEEVLEQYKSYRDELNLKGRDNLAKSLACRAAIKTGKKLTDVEIRSLHAQLMACTMPYACPHGRPTLIRISLEELDKRFGRIGHMETQGTIKRR
MVNLKQIHELPPILANQISAGEVVERPASVVKELVENAIDAHSTQVDVTVENAGLKLIKVVDDGDGIDADQVQTAFLRHATSKITEQRDLFRVRTLGFRGEALPSISSVADVVLKTSTGAMGTEVTYKGGKFVSQSASESRKGTTVEVRSLFYNTPARLKYLSSPNTELAKISDIVNRLALSHPEVAFSFTSNGRELLRTSGRGDLLQVLGAIYGVKTVSKMVPIQSEEPDLAINGFVSLPELTRASRNYISLILNGRFVRNYPLTRAVIDGYGSKLMIGRFPIAVINIKLDPALVDVNVHPTKQEVRISEEPKLGHMISNAIRQMLATKQLIPDATRDFLRNQFPAVPMIILQNLPRLVPSRKGSLYLRCLLRLRVQIWRMMIQSLSSKETI
MGKVIELPNDVVRKIAAGEVVERPASVVKELVENSLDANVRRIDVRIAEGGKERIEVIDDGDGMSYDDLVTAVKPHTTSKISRWEDLFALQSYGFRGEALYSIGTVSEMEIFSRMEGEESGQTILMKGGKLVYAKHVQTDKGTRVIVRKLFYNVPVRRKFLKSVNVESRMVIEMMQRFAIAHPNIHVTLIKDGEVVYNLPPSVDPLENIKMLFPWVKTDMLMELNNTVEGITIRGYISKPTYSRKNRLYEMCFVNNRYVRSGYILKAIEEGYGSFMEKGSFPFAIIFIDLPPEEVDVNVHPQKLEVKFAAVDKVFGSVVKSVRDVLRTMNPLPFVLKSTSKADAYTDVKPTPPAEKQMREAPFPGYQAPSKIYSASRGVDNQIRTPTTKPQKIK
MDIRILSREVSSLIAAGEVIESPASVVKELIDNSIDAGSSRITVRTSGGGIDTLSVSDDGKGIPSDQAAIAFERYATSKVATVADLHKIGTLGFRGEALYSIAAVSGVKLTTKFERDDFGTEIKIKDGGDINQSTIGVPKGTTVEVVDIFSNFPARKKFLRSVLSEKGRIHKLVQKYALCNPLISFTLIQDNKTQLITSGKGSLREVVNAIYGHQIAEGMIEIDRSNLDPDWNGPVLTGLVGLPSHYRANRSHITIFVNRRLVSDKTISYALEQSYRGLMPVRKYPIGIINLDIDRGEVDVNVHPTKAEIKFQNPGEIFSVVERTVRPFVLETSPVKVVQQNIQSGREKIVDPSRFWVKPLNSSKEISTEIIEEFARGPNFSSKYEVQLSGISQTKSVPVLRVLGQILRTYILCEGEDGLYILDQHAAHERVMFEKLVDMAEQKTQEIQTLMEPVAVTMTPHQIAVIEENKEIFDSLGLSIDQMGPETYLIRTVPIALSKADPIEALNNVLDSIAENTHYESWEEKAAYSAACHSAIRAGQILSTMEMEQLVRQLEVARQPHTCPHGRPTMINLSKGYLERQFLRKM
MAEIKVLPQAVANKIAAGEVVERPSAAIKELVENSIDAGASEIRVEIKNGGIKYISVQDNGKGIPKDELEFAFIRHATSKLREIEDLYEIQTMGFRGEALASICSVAEVQVLTRCRDAEEGVTMTVKHGVASPKCEVACNFGTTMIVENLFANIPARMKFLKKDSTEAGYVTDVLGRIALANPSVAFRYISDGKEVFSTSGDGQLKNVILNIYGIDHAKAVCEADYSEHGVRVHGMVGKAELARGNRTRQTLLVNGRYIKNHVVSKVVEEAYRNVLMTGKFPFFVLNIDLSPQLVDVNVHPAKTEIKFANEKEIYNIVHTAVKNALYKITAQPVSATPVPEVKPMQAPEIKSVPVPEVKSAAPAKSEPAAPDRKIIREFMQNTVPDTSAFERETRRVTAFNEPTPMIDKFFERFEEKPKVQSSVAEDIKPQPVVVEENPIEVQKPEQLDLFEEQTAEVYEQVLPIKVVGQVFDTYLVCQQGDSMYLIDQHAAHERKRFEMLKADYTSKKTSGQMLLTPIVLDVDAVELQAVKDNAQMLSDMGFEMEEFGRSSVIIRETPFIGDEDEIKALATEVIVVLKDGRPMGLLSFEERLLDMISCKYAIKANKGLSHIELEALVKIVEELEKDGITTCPHGRPIKIAFTKREIEKMFKRIV
MDGKTNGRDNSIITPREINVLGPEIANLIAAGEVVDRPASVIKELLENAIDSGATIITTEIQRGGVTYMRVTDNGCGITFDDLPKTILRHATSKIKTAEDLNSIWTLGFRGEALAAIAAVSHLRIISRPQTEEFGGLLDVVGGEVISHTETGCSPGTTVIVEDLFANVPARRKFLKKDVSEAAAIYAIFERVALSHPEISFRLISDGALKMRTEGNGDLRSAIYSILGRDFTQRTIPVSYEYEGVGVTGYIGMPDTARANRNGQMFFINGRYVRTLTAQAALEQAYRTFIPEDRFPACVLMINIPPGFVDVNVHPAKLEVKFSNEKLIFEAVYYAVRPALEEKMTFAELPLGINAPSAIDNYKRRAQTVAASFAPVHDSTQDKRPEQITIEETSQNKATNQKSDFSQAFTPAQNITKAQQKPFVKSETPSSSITPALLESMAAIVKSNQQEVLTYDEVSTSSPSDKDTTQSLPSEYQETIEDKNKGIHENADSPKTEYKILGEAWDCYVIVETSRSLYLIDKHAAHERILFERLRRNMRERAAEGASQLLISPLRVQLTTEEAAVISEYSSDIRATGFDFTLDGNTAIITALPQNFTPEMATEAFVVFGSELQVSVGEARDAIFERTLFSCACKAAIKGGRVYSDAHIRWLCDELFSLPDIKYCPHGRPVTLEITKSSLDRQFGRIK
MFLPQEIVDRIAAGEIVQRPASIVKELLENSLDSGASSIEVTADKGGLASIQITDDGCGMGDDDLPLAAVRFATSKLKTFQDLKSIRTFGFRGEALASASMVSHLSITSRRAEDSIAYKCQYSDGAATPNTLKPSAGVLGTTVKVDDLFYNVPSRKRSFKRDSDEYNRLLDVVQRYAVHRAGGGVGFVCRKKTANSVDLNTKSLPTVKELSLKKKAKADLPPNDQVKAKKEAIGHVFGSALARELVPLECGESDVEAVSVAAIKAINEGTMEEEVGKRAGGERKEREVRRYLGNLRRVEYAYVLLFPPSPPLTRSLWRLARTVHKFTFAYKCKGLITNASYCVKQNSSAFVFFINDRLVQSTGLQKAVEAVYHDLLPTRGRPFVYLSLELPGPHVDVNVHPTKKEVAFLHEDRLCEAVAIAIRSALSSVTSSRSFSVASVVPTAMQEKREKEKKAREVERERQRDSAKRAARELEEKENDDFETAGGGSNKKKKGGGSRQNNDTGLVRQARHE
MACYFKNFLTTTTVFVVVFVFVMFFLSDLLLGRGLEGAYEGLVIGYFD
MFTITLIFVWNARYSILIKVCAIILYPSVCLCRDMLPEIRSVCMEELGLWMKLYSSEFLNDSYLKYIGWMMHDKVPDVRLKCVLALQCLFGDPVLLSKLDLFISRFKLYSMPVTAALLKVQRPLSPIQTNKVLVFTKNFIGLFQDSLCCNPYL
MKVVPETKAVDQGALPAGRSCAFMLRSGPRKEFLESLAVASLFLGSLWLLTAVSVSILFYEFIVKQRMLSQRMADQDLVRKASCAGWFLLLGAFLLLLDAIYLPLAEFFGHERGLSPALFLTFDNSCVLASILVLGGLIGPAEMLEQDEVLRELAHMAFTQKKRIAFPGRVNPNSQHCIVSFPGKYADEWDAAVRMVEVRSGACSLACVFLTDQQSGLGVHKENPENPSECWCRSLYGRVPAAAYVSIVEDPETMTEDDLQFKEADAKAMGQIFVKREGQSDEQWQKDKKDAQISAQRKCEEVNFVAPWGCQWFQEWKQNVDDAVELCQTLHVFYFKDRVGCGKLKWEELSNETSVKEARQNGGLGASQTAEVAYLEKCGYQFEDVALTEAAAGELLGALPGLSHGREGTVALVDLALVASQATILPPDAPKPSDVEVALNALRWAARRGGLLERDLRQRVAGCLRKQGSQYSVASVRVGAEAVKLAREIGMAQGDALALGRALELEREKLAARLPCWRIRPLKLKASLLGRFVLQATRFRDALHLEEVLKLQEFLDLGCQLCGSWSKEDLEEVALLLDVGPKDVEGAQALAPLVGAKRLRKALAPGGFAAQFPQAAPLCSQDLLEAIEAAAQKPSRSRMPEANASSLPLAPASWEPAPTAPSEPSAPSAPSAPSAPGPPTAPSAPSAPSAPSAPSAPSAPSAPDSASRAPSPPEPPSVESQASPEASPFMPPPPVAPPESPSPSPVRTSRPSSASPKKMRPSSPTKSAGDDGSYGEDFDQFDEDFEEESVEEDD
MELMYMDQWIQKRGILRNKDEHKAHAMEINRNAESKVKKLTKEAFQHYEEKKNVHEAFKILMKLQGVDLARASLLLSVAYPDTIPFFSESLYNSTHWNIETGWEQTVPYSESAYDEILQKVEVLKNEYATGESRVRAVDIEKVAFVMQCEATISSNDCHS
MASWIQETYSSIFSQNYQLALKKAFGHFMNSLTAMKVHTRNNSFSFDVCVGLKKRMFHQLMDEHEVPKQKQYASKGFSLPYVNEGGRIKQRLILYVKSRTERLKYDVLVAQESSWTSLNWKGIGLFLFSSLLVSAVLFMAVAPVVGKIAAVIFVIIFATRATKDLKPVNFEDDNIAYLIQMLIEVDCLLEQGGELAFVHGRNRTIFEGLGQYRRN
MKRIPWDRNSILLRLTLFMTVLLFGQSVLLIGALAAGGLLSRTREDAFASLTQKVITPRNYLQSEMTSRWSSLYPYADQFAEMLPPLAAAAQGDAEREERFLTGAMPLLVGMLRATTASGAFIILDNAGAPDHSSLYLRDYDPTLNDDDNLDLYLVAGPSAVSTDWRIPLDKIWKSRMPRAQTDQPFYNKPMTQAQQGVDPALLGYWSPPFRLTPPDIPIITFTTPLPDADGVIRGVMGVEISLYYLQRALPAVGYRAPSDPSFLLGFRPSRDEAVRPILASNAALNHFVQSGAPLPDDSSDTPSLLTDAVTNEPYYASAQSLELYAPNTPFAEEEWSLIGLVPRDNLLEFTLALQRILLWSFLASLALGMACGFAGSYHVTRPIVDLARRVRANRPGSAASLGKTGLREIDELAGAIKEANRRLLDSTVKMSAIIEMVNVPIGAFEYREDDPRVFATDGLWRILSLNEQEAEELYRDKDLFVRRLGILMSRPEPEEEYVYKIQDAPVKWVRINMASAGGATLGVVMDVSAEIHEKKKIRFDRDFDSLTRLYNREAFRRRVIAALENGLSGVCALAMLDLDYLKPLNDNFGHAWGDIYIRTTAALLNEFCPGHCIAGRRSGDEFMLFLHGFTSRADVREAMDSFYLKLNRHSLSLPDGTRRAIAISAGIAWIESADVAYDELLQCADFTLYKAKKTAKGGYCISDEDDGERARPMEADA
MKNLKQIQNKNIEILTINSREVSKMLDIKHKSLLRKIDDVNKDFTEHKIVPSEYWIESSYKDSSGKTNRCYEITKRGCEFIAHKTTGTKGNIFTHRYIEKFEELNQIVNGHKSITVNDANNIISNKLDKAIATIDNKYANYVRPLAVDKVRIARYIKQRLGIKRADEDFYLVKERVLILLDAEKWEDVPVNKLKDAFRLIDESIDVMIKGRNLRQVNWF
MENELVNSINKKVTLDSREVAEMVGKRHDHLIRDIESYMKILQNPTMGNGLNIKGSDFFMKSEYQTETNGRKYICYKVTKKGCEMIGNKLIGEKGIIFTAKYVTRFNEMESNIPNSSSSMITVVESQLTSLVNNLVSEKLNEIEEKCSQYYRPVSKEKQNIVSYIKRRLGINKANEEYELVKERVLIKLGGTKWEDIPVETLVDSLNIIDESIRIIKADRKFNQVSLWD
MNEPTHEFHSYPFMARQNNTTATRRHLHDPLTNSSQSHANTRHTDLQDSRRVGNSRARVRKSQPAVLCSKSLDQRTSIATSTADLANNGLSTGNGQYSTQTNIVHDKTYSRRNVSDYPESFQGSDMSSTRRRTNSTSSTSTTSLSYLRKAAAEVIAQAHQTHLKMKALQQQQQQQLRPTQLRTSDSFEELRALSDEHGIDDSASSAAGIRPEYRHLHHYYQYHDQSCEPEIEDTVAPQPYLRHSQTKNKHQQLSNNFSTYYDYYHNASNTVSAQVVPEYNTDTYHQHHSDNNWPIVPQPSTLRSEVHTHSTNNYQQRRRQRKIQPLPQPIQTLHRFPATESNSVFSINTDDNFLIPELSSGQENLVAQLDGTQINPLAKMMREEVLAMTDQQTPLGEAECTKEQYYDGHTGHEDREDRELYKPTSRRERGYTPGQDIHRDLISSIRSSFLASQSNSNRSNSKSLSDTELQPWLGYIEEQVDSDTERQQQEEARDRLQERRLLDTIAQLEQEVADLRDANRELQACLHTSKERYDSLVTEHSIQIRHIQDEHELSKEETKKRTKKFHDEAMRKRQKDEERRLTSMQDRLQQVQKSNKELSATIRALQREKLETERGQRDDLVVLNSFLENEIVPTLQNALATPVMMDTQQKLHTTDGIESEVKPLKLQAETLWDNIHQLNFADKLVESPSSILPKAKLNIATTNMDHPCDPPMKHYLLKGEQSSSRSCSTSRGRKCLNLLEQLWAILITVSIITPTDFRGHTGDEDRVWRNQQKRHSDSSSISSGKTLVVPRLVISGHPMYSIATATAMTTAETTPTTATDPAQQNIHTALISDGHKDARESLDRQTVKGTEQEQHIQYHLEKQRVEHHLEIERIKQQCTQLYRDSLEDVRTEILARIAQKKVEGQTKKKKNN
MAVMTQTLNIAFDIVDDEIREIVEEEVIGLHNDLIDAGQAVWLSGNFKNSFAPVIKKGDFEWRIENDADYASILARGRRLVNGRMYGSEKWARGMDPMIAKMEDKIEKRTDAIQS
MLGFNCKKSADAVVDCLGESLLTSIKVNVDATNPKLVHVEVNYSGSLTVASVTYNYGDGTTETLTAKTSSHVYTAAGTYTVTTSIKLTRGSSTCTPSPKKTITVN
MEPKRPARKSQLQAYIAVLRALSSVKLDWSQQKLMVDLRKQLKISLEQHMKSLDKVLKDPEVSAIREGLPLPARGDGAPATGAKRERSASPQHRGQQAALPRASAPQEDRRSAKAARRSTQHAGGRGSGLKGGRGGRGGRPGKESSSRGGRIARVAPRDPKPPASMPRQSPPQASEDVHKYVGRKVLVYAKDSTPQWLEAVVTDYNKDTLKNCITYDFNTGHESWHWLNVDKEVKRGVLRWAAGPPIDLQAVVAMATEDGQVEV
MFDGLMGGLIAIAIIFFIAALIIGWLGWERRRQIKYEEKQLPEKSFIDEEASHASENEPQNKPTNITA
MGAAEKLEIIQLGDTESPFSDKKYRTEYTYDGDGVLTQIARPNGTNVTYSYLPGSVILSSISNGTGTYSFTGYDSNGKANTITSPYDLKNEFTRLGPYLKTDKLTNLSIPRVYGTVEISYKSNRWLPDVIKIKDTTGATFGSVTYNYDKDGLVTQVGDLSFTRSSSSGQITAATLGGISESYTYDSYGAIATYTVSYGGSPLYSYSITRDLLGRIDEWTETVQGVATTEDYIYDSRGRLSEIKIGGVTQSSYNYSFNSNRTSGNVRGTNFSATFDAQDRIKTWKTLTYSYNANGELTSKVNSSPVETTSYSWDFMGQLKQIDKPNGDVVKYRYDGKQRKAQVQVNGTAVANYLYQDDLRAAAR
MNIAVTGFSGLLGRTLQDCWHGDDIFVDMYHRHPTDKQHIQHISLDLLDQKNIVQTLRQVHPDVIIHMAAMTHIDACELDKQQGKAGAVWKINVDATRAIGTYAAETGAHVVYLSTECVFDGEKDMYKEHEEKHPKNWYGETKSEGENALLSLGIDLSIIRSVIAYRPMDSTTVFGKIVDAYKKGIKFPAVSNQFFMPTHAEDIISAIEHITHNHLFGTFHIVPPEHITPLSFALEIGTHFGYDTSLVYGQTLEEYFGIERAKLRLKHACLDAALSQKVLGKSARIVREVLRKLSK
MGSCQKSSSSHHSQLVFDAASLNYDEASTSTSSPGIMSTFEDTICAFCGIPLKPGAGVVLDKCLHNFCRDCLLGAIEVTDDVATTGCPVAEETGCDGSLLDREVKAMLSPEAYEKYLTRSLNIAVQQMNDFVQCFNTRCNALFALHDRNNTFEFKCGKCFRLNCLKCKTLRDDVGQCECINPPDRKIAKIDPELKRDWDEKWNHAQSSIIFSGANGGELIQNTREFQCNICFCDIGSGEGVILKECFHLFCKDCLNGTIMHCEDLRVKCPYTVNEFMCNSFLTSGEIKALLGQEEHEKFLKRSVVQAEMAMSNSFHCKSVNCPGWCEIDNEVGIADLEHFFCPVCKRKNCLKCKAIHEAVSCTEYQQQLQEDEMDTKTKQMIKAKITSGQAMKCPTCKVIIEKVSGCDAVICQMCKTHICWATKGPRWGPRGIGDKSGGCHCREKGKVCHPKKVWYCSRPHQKEDWSRHRSDCFPAVLTTSPIFGRYLSATRDIPEGTLILKEKPLFSAPNGKNGAFLLCLGCYGIVPTDQPPQLCTKCGWPLHKNCVQSKEHVENECAIFSKMGITPSSREENIYDKIVVLRGCLLKLRDVKKWDCVGKLQDHKEDRFRTTDGANLSKTMVEFVQNKCGLSEAFSDIEIDHVLGCVMVNAYVTEDNLTSSPNFHAGSFVYWNGSMPAHDCTPNCRWIIVQGGELRLWSLRDIKEDESLCHCYAWGGTGTIERQRHLLKTKFFSCTCRRCADPTELGSFFSGIKCKIESCSGFILSQNPAQVGSTWACNQCKASAMQELEVDSFIQELREAHFAVTSDCPSPEEMGSGWRTILQRLEEYDESVLNKLAPTHFFIQEVEQDIMLRISYILAQEQEFQIEREERLRLAGILVKLSTKCLSLLEKVAPGLTTHAGRQYYYLQQGQGIFIMDQIRMQNESDSTSSQALQALRKEISHWQGIRKRGARILESESPGREEWVLGRAMVDNDETSSGGL
MTTAFVILHYRTLDVTLSCLETLLESSPDSPIVVVDNGSGDGSGEEVRSRYAGSKNVTVIVNDQNLGFAKGNNVGYKYVKEHFDADCVVVMNNDVLISQKGFAEILSSYMTENNLEVCGPDIITPEGRHQNPLLRRQFSTFRIFKWL
MNLFRMLGICTLLAGTFLLGISRCAEQTPCPNDPSEFTETQHFLAPIRGIEVNQPVTVYLKSGIKQSVTIQAQSSSQKLLTPKVESGILRFPQQGCPSMGLVNVFVTLAEPIVSLVVAGEAEVYSEESLDVHDELKLHVLDHGELNLDLDAQQVESVLSGEGILNLIGQAEEHILTLLENATFNGYDFESKAYWAKLFGAGDAFIQLEGGTLEVEISDSGSVYYAGKPTQIQQQITGSGKLIQAD
MGLSEVRTVLIRGSQRLTHSALIKSNSPSLFLAQGMRNAKASSHRPCGRPSLRIKLGDSIVNRQNDLLLLTLGASTRG
AGLVMQASATTIRVDVGKTGLTFDPNVITAGVGDVLEFHFYPRNHSVVSGLWTQACIPPASGGFYTGFFPTANNTVNSQVFRVTINDTDPHVFYCSQNTGAHCRNGMVGVVNPFGSNTLEFYVGLARGALTAVSPPSAFGGTIAQANASASTTSASGGSGASSSTSSAAS
MPRSWLQSSVLALSLASVHLVVAQAAPKVHLIKAGAGGFKFSPQQLLNVPVGDIVTFEFYPPDHSVAQAEYGDACVPYELSHSGKVGFWSETQNVSSVAEVTRYNITINSTEPVFFYCAAKGSCTDELMVGAINPNATQTLQGQIDAAKTAKFQVQPGQPLPKEGGASPSESASPNAQSGHRLSTTVVIGIAVGIVLFLALCAALFFFVGRSRSLKEAVKRHDDGANMKPVGVGGGYTELGMSPHHRQSMFPQPPQTPQSPYPQQFGSPLPPYASPHMSTSAGTGVQYYNDQKASQPAIAELHSPTLGQREFAAELEAPHTYSQEKKR
MAGKHASFGTAVHAALKVYGDARRKGVRFGKKVFIDAFLSALKKEPLSLEDFTDCVNKGKEVLGGYFDAHKDEETGDARAEMKLEAPFSLGGFSVPLRGLLDKVEFLHTGEARVTDYKTGRPKSRNEIEGKTQTGDGGYKRQLVFYKLLLSLQTREKPYTMTEGVIAFIEPDQGGRYREEVFCISDDEVEVLKEEIRRVSKEIYTLAFWNARCTPRASFKTREKCRYCALRDMLR
MTQPTKLRIRYFFNYPAVMDGGGGGKVIKDITDKLSLIHDCQPLDIMTKELDFDVFLAFGFTYLNPEVLLWYRDKGIKVVLYPIFDRMKPLWQMKLLKPVMMKLPILNVYSHRKQVLESADIIITANQSETRDLVELYDADKDKIKLMHYGIDDKFFELEKTITKELFFDKYGFTNFVFCPASCIYPRKNQLALIKALKGTGIKLVLNNTHIIKEYDPAEFHNLVDNDPNILCLERPDLDMMISCYKNAKVSISVSQAETAGLVNLEAGYLGCNLVVSDLEALREYLQEYAIFINQNSEEEIRNAVTKAMQSDYNPKLKQFVQDHYTWDKYIDELLGHINLIVK
MRGEIILCLVGGGIGFVASIGTVIVTNWLNKIGKVRLYTKMVYSRNPGWKSWGFYQTDGDIIFQIPLWLEIQNTSKQTRVIRDVNIDLVNQGKVITNMKQCNEIKLGETVDFYGTEGTYSFIAEPLSIKKYRCQFMIRKSEIKGSSEFNEIRLRYYNERDQEKSYHLRCVTDCWKLGALDLDTGWKLLPCKSV
MSEYSEISLLIIGGLISLVSTTTFYIIQQLMNWALVNRGKVKIYKKIVYSKINGASWGFHKDGSDIVFSVPLWLEIQNTKNKKEILRNLNIYLYKNNKKICQMKQIHHYRDAKESELKAFGDNGSYSFLMEPNTISRYDLYFILRREELNIDFNEIRLGYYNTKDKFIELFFLEVEKPWIVKKNSIDNDWSQLKRKNIRSK
MRYLVFCGAAVGIVAPAFGQSAMMAHAQICPGTPEPVPGSALAAKLKRSTLSDQKKDEFETTAQFQARIRQQADREFPGSTVSVAVKVSPYKISYNADAGTLTLEMARSDYAVKRSGLSVTEIESSSRVTGRYAAQNSYGAEIEVEERSDSEFVIAWPGLPSYGVKVDLHVSSDDARILKQNAEMVIVGSIAEPFLQRRYDLDLPTMDSPTRRTTESYALTLSPSCLYLRDINGKAFGLRLSKAR
MEQQQQKAYWLSLWHLVVSLIDLIQPKQRTALAAAGVVAGLADIELGLEEFSVLFDDIAGLADSIPGA
MVKKILILTALSLLFLGCEDGLRLKIRYDQIQGLKQGDRVFFENNHIGNVTDVVYTADGDYLASVAIRKDFANAATEHSKFYIITDPRDNEKKAIEINLSQKDGEPLQDGAVIDGSTRALAFFDQVLGKFDKGVETLKNGFDQFLEDLSGVPESEDFKALEKELDELAEDMKQSGKSVQEKIRKEVLPKLKEELDKLREELRKFGREKELDPLEDKIKKIKKEV
MRRAAALLVVGVALLASGGPAAADPPRPTNYRSEVTGAEPPLPPEVDVRVVGGDAFLELTVARGTVVVVPDYGQEPTADAAPYLRFEADGTVRRNERSQARAVNDDRYGRTDEVPDPDAPPRWTVVAHDGRYTWHDHRIHW
MIFSTRPPTDEERCDVIAALLNKGYEDQILMSHDSVTVELGRFHDKDADPNMAHANILNIPSRIIPMLKERGVSDAQLEKIFITNPATVLS
MYEVKKRRWVFEGDEKLARESCARAAQTEDTETLFEAADYLLSQAERGDKNADAVYCMERAAKAGHSQALLAMGQMAEYGWAVGKSKKYARRWYEAAAKAGNEEARRPSGG
MTAALDYSKHAQNLAIIFGHISASSPSIEQIEIDLLNRANQIITNLFAVADSQSWRLGILFLSLIVAEAIRQTDDKLLKRTNSGSSIIATGSFLMFG
MDDTSRRKFESIFQLYSHAWGQFNARRVYEWQICIVFWTALALSVAGVVNANSLPVIKEFGIVTMVLTGIILLSAVALHFWFVHGLTRAHKIDRLIGCHYGELLQKLTESEFSEDFQKELGDGRKRWEHALNWSTGLQLGVSLLLSISLFVLIYGKINVPVTEVKEVKITASSPIAIFPIESKAKDISPHHAAEALPASVGVTAPTQMSKVEKNPR
MNSHSNGDGQLQPIDLLKSELKELKKEESDLFSALANDNFDDYDERRLNEIHNEMSDLEREIKKSFPDSPKTALRGGFFTPKFRSSH
MLEVAVSAVRLRAPSPRRRQQQQRSPGKAHTVFKVEILCNGRKHCVEKRYSEFYALHKRIKRTCQVPDFPPKRVPKWMVKVLQQRRAGLEAYLQGVILQNQTLPKELLHFLKLWQGQQEPHLGWDADSSFQDFSPCAQLSHRPGVSFHSDPYVLPSSTDLLPNIILIGVLQGLYTQDHHLPGSKALNRVRDAKNDYGSGPWGWCSAPFVLA
KEATASIDNMKLTRECCGPGFMILSGDDGMTYEMMTDPQIKAAGVISVASNVAPKAVTRMVQLLNEGNASEAQSLAQNLEPLFNLVTVKTTEQTPYGEVSCRARNPLAYKALMSLLGMPS
MFFLFSSLIWELLFLSFFCPSFFLIFSFLFFPVHPYSFPLFFLFFIYTRRLLSFFLSFFLSFFLSNPVIIYTLSFMFYSVFLSSFLSFFLSVLFFLYCLLALVLLFPGYFFLFSSCFCFPSYLGAILSFFLSFFSLLFSSLLIFILSCSSLLSFFILPFLFFYSLYSHKFSFFLFLFYFIVISPHSLSFCFYSFQSILTLFPSYFFFFYFNSLSFFLSFFLSFFLQSFLHISFPYTSTFFLSLHQCRQRSSLTATQPKQVRFNRYSYVTRVFSLPCNSHKVQQVRTKTNALNIFLTLPHLFNRLH
MATITWLGGSSTDGAVAANWGGVLPGASDTIVFDGNATQNCTFSSSAISQVVQIQIKEDFEHQIVFATSSHAISLQSMIIEKTGAIAASTATTFAFSHSSFPFTSGGLATYVSFVTGAPELGEPEFTNGVFSDSTSRNNVTYTFSNPASSIMVLDDGVYPHVTITAQSGTAYFKMGYGTPGTTYGKVDMLNFNVSAAVEIREGTGTYYPTANDTLKKFKFGGTLTISSNYFYAYKSQVEFIGSASGLTFPAEGQTTGYGDGSAFNTQFHDIILSVNTAGHTIYLNEGRTLSCNYLEVGPGAKFIGPAQHPGAEIRCIKRPGIFGTWNFSQVADGIYSSNDSRPFMGVPQGGTGLISHTRTLLLFGNDQNPLASDSQLFFESDCLAVDRGIRIFEGADHPISPATGSGILWTKNTTPSTLIYTDDAGTDTTLGSGGGGGITALTGDVTASGSGSVAATIAAGAVEHAMLDNDCVDGDNIADDSINSEHYVDGSIDTAHIADDQVTYAKIQNVSQTNVFLGRDSGGAGIIEEIDATAARAILNVADGSTAYTNALAAQASLTTPVFSGSLSNSVTGFTSGSYTIAPIDNIIVDDNGGGSGAWDATSDYWTVPITGFYLIEFSAAIRYISGSQLAISAIYVDEGSGFGFRINGSSVVGNSGGVSTGSGILSLESGWKVALYCYHNGGSGKNLIGDNVSNMKTHLGIRMVHI
MAISTTSPKGGETMAASSSPKAGGQCLCSPTTHEGAGSNQLDPHVIRTFSSTDMPPNPAYIFLRRCLLSAITILFLSAAVYFLYPSDPSLQLARIQLNHIHVNSSPKFTLDLSFSLLIRVKNRDFFSLDYDTLDVSVGYRGKELGVVSSKGGNVRPRGSSYISAALDLNGLEIVHDVFYLIEDLARGVIPFDTDTMVNGELGFFFFKIPIKAKVSCEVYVNTVNQTIVREDCHPQSPHTQMSIIKGSKYNTDPLEEEGQIAGRWRVLMNTKIPPIQQQGFCYLRVDKIAAVNLSGLSVYVFVDKSGHDSREDDIMNAMQIKIGPYQMPWVILRKPL
MSDTPPESAPQIFAEGAWLAGAIITGAGYGVVIALFWLCFRALWCQLKHRSSARRRNMFFLFYVCILFVVGSLLLGSNSLFTQYAFINNRGYPGGPSAYEQQMWSIGADEVGNVSYVLGNWLADSMLVWRCIIIYKNFGALSGRIVLALTCLTQLASYVMGTFFLLQLSSPQSSPYSYAGSSINWTVPYLCVSLTINIALTTLITIRLLLYRRTMVQLLGPGHATECTTVVAMLVESAAVYTTFVLLFFIPYLMQNPISYTFVQVAGEAQLIAPLLIIYREAQGKGWISSASSVSSTTAHGDCDIHIGRFSDIEFASDASYPHPPGGRGEEIRRCRREEIELEEL
MASSYAPNESSSSIFAEETWLQGALLSCIFFGIEITLAAMSFYSIFKQMGRSNRRRNISFLVFIFMLFALTTAAQGLSAQFIQMGFITNRDYPGGPSQFFSGEYSTPSNLASTILLVCANWLMECLLVWRCKVICSSSEGLLWPIMIVPCLLLIGTFVTGSLFLHHIIHSAPPTNYTLAYSGTSLILNVTATAIIAGRLLMHRRRVTKLFGPGHGSHYSSIAAMIIESASLYSGFLLLVIVPFAINSSVSNIFQQLIAQVQSISSLLIIFRIAQGKGWTAATGKAIASSQLNTLTSRGAMPGRHENDYHLQLKAISADSQEAFIQKKVDEALARLNSSSEVVREDSAV
MFLIFYNLAAIGTNKMCKTHYYSRLTNSNNIVHNFFSNNNVLTDVVFILIKKYQSILKAKLSFDEIIVWLQKYDAIQQIKTSTKVSINRIVNLEAFHF
MSAPKKSKGMRAFFKRNITKLKGKLPLSSAANVSDSTSSMYSDNQPSHAEPKTAQMNPTPHEGDVIAAGPSQLLDVDQPSVIMHSTNNIHTSKDATTPVPPQSATHKPPALQALTSALKTLHNAAEVFPPLQAAIGGLVACAERVELNSKHHSKMEDLARRLASISALLQLHIQASRLTELSQFLAGKAASVKEQVDIICNKQDRGRAGYNRQAEEDEEDILQGYRRIAEILGDLQIEASLKTWNIVEEQRADSCLTALSPVKSAVYNSLLSHDVHCRACTQNTRSQILLELEQWSQDWTKPNVFWMNGMAGTGKTTIAYTFAQSLKDCAALGASFFCTCTLDKCQNVGRIVPTIAYWLALCLSSFQSALLGVLEQEPNIKSQSINSQCQQLIKDPLSTAENVKTKGLVVVINALDKCNNANGVQTILDILFRITPDLPLKFFITSQPKPDICHRIKAQSNLNRLMCVLHKIEKSMVEADIELYLCEELGSSVSAHDLTQLAKLSGRLFIYAATAVQYVQQTGTMVDPDWLEAILSLLPNLDYENSDIDNLYTTILEAAVHQSG
MDSITDTRTAAPAGAPPAAAATSPPTARQPEGPAATSPPTARQPEGPAATSPPTAQQPEGRATAGTPPAAPPAARLAVGLVLLAADRLKITDAVPAPARTALTATLGLADEAAGTVRRGRREAMRTVRSVAGTVDRMANRFADDHQRLTAPARRGATAVRHRLDRARRAGDAAASAGRADAERYVAGRVEDTLAWAGQRALPPLLDMAVPQLVGDIVPRILDGVLPLIRDRLVPTIIDDLADDPAVRDLVREQSRGLVAAAAEQVQNATGSADDRIETGLRRLFGGHR
ELLLHRPLMPAPTEIKQFGAMCELLGTPNEKIWPGFGALPLAKTIQLPLQPYNELPHRLARVPPTPETLDLLNGLLAYDPRKRLTTRDAVTHAYFTSWPLPQDPNAMPSFAAHSFEKHTAAHVAAAERYTGRA
EGEGVTEREGARGGGIDGEGGGRVFGQPLSVSLSLSLRAVGCIFGELLNNSPLFPGENDIEQLCCVLRVLGTPNETIWPEITELPDYNKITFKENPPIPLRHIVPDAAPEAVDLLQRFLVYPSARRIRAAQVITNNINTNQ
MQNPLIGHKLANFRVEQLIGRGGMAEVYYGHDLMLHRPVAIKVIDARLRDNVTYAHRFV
MAESLLSDASHPEMMGRIGRYDVERLIGSGGMGVVFKAYDTELNRPVAVK
MIAKKLGSYEIQNLIGAGGMGEVYRARDTRLDRTVAVKVLPAHLSNEPEVSPPEPLFVTSGATGGSNMT
MGLLFFSSAGTDIYTKEHLARILLIIERISQAVEKTWRIEQLSDAINSYMEMLSFVSHELKSPLDSIISLGNTLAGGYFGTIDEKHKGYVERMVSKAKYLRDMTSEYLTLSQFETGNISLNMQHVFILKDILTEAVDIVMPQAAEKKIKITLPGTESDVQIYCDPLLIKIVVNNLMSNAVKYGNTGGEVTVRLDLSADRVTVAVKNTGPGFPEEAKQRLFRKFSRIEKKELMERKGTGVGLYSSWKIIQMHGGSMKADSVENQWAEFSFTLPAGAA
MSVSKRKYEEMLEEQSDKELASILGISYDELCQLEWDVDTNESSDGLIYDYIYTFRDDSNLEILKKIQGIDIEGRYVYLQPWESDYLNLIIMNLKLHGISNHLNSYLFLKIT
MDGDLSSDDLPLLPHPFPLLFYVIHHQPSLMVCPGESLEGEMHMALHATPYLLNGIELRVARWQLYNLMAPLMNGVFYLVLGLWTVLPTEEQQLTACGSGWDGYTAVLEPADEVRLLGSRGRASTLFCQLAVLRCIVKHQYRGFWQ
MTGHFLKNIGLPYSFVVPVQSEAFMDTHPDVLLAHSRLVWITKKIAAGHDEEYQEPNELLLVGYKKKMDMGYHDDGEKVLGPTVATLSLGATSTMFLRMKTKYFTGLHQTGNGINLLKDDPVLPGCLLYDSRRALKEQYEQGLLTELEYDEGRRKILKSTSDSFPRIKMELHHGHMVVMHGADLQRYYEHSVVPDGGLRFAATARYIKEHTVKPEDIWMGRYELPSGQAYSGE
MVRERDEQSRRLLAGELTFGESGDRPRDRFSIIDDMNEALKDSRATYDLFLQLLSAQPEVSKRIAWRALPAIVEAQDYALAERYVTDPLSWLAELNRLAEKLPLMPAGGAPRLAAELSNYVRDLSLCEAVSRGLGRVAEADALRAAAIDGITDYAMRAMALRELAEPGAIFREFDKARARPDMTDIRYTREEDWQELKRVRLAALLDAPQAFGVSHASAAAYTDEAWRDRAAGRGKARFILAFDGQEAVGIVGHVPNDQQELELIAMWVAPSHRGTPIAMQLVDAVKSHAAAQAHQRILLDVAPTNQRAAAFYQKLGFTFLPEWEPLESHPHIQLQKMEWTVRPADETEVRALAQRGESMDGQ
MSISIIIIPCIHPSHPHPPHQLSTSLSSTPSFLIPLFNIPVHTTNFIFTHVLILLLPPYIFRPSSILIQSSDLPLLFQQHLLDYNIQRAYDLVLKGHLQLVVFVATDIPDAHGEKHLQTNQVNPTTNT
MMLGRTSYYADDGDYVMDEDPGFEQTSNQSTSFAGPENLDNAVEYLNRELALNGLPSPLQFVGANENQAADIMNAMFMLLQHRQRESAYRSDLESNFRRVESDYDTMVAKLERQQDRTALVEREARAQIAKCSKLQSQLKEVNERLKVTADELKRTKSSFLKSKAQFDHEKRKWERELKQAKEKMLKLTNDRLRDAKIYFTVANPTPFRGKKLGEKDEFYAQVLHATEQRERELLAEVDHLKQSLYDLWRELQHMHASVVPGDSPPPVLNMPFELVRETIEQRVYEALQALRNEWDDHAESIAAAHALADREATIEKQNDHIRDQDDRIQVLASKLGELSNDMVQHSAQIENWLHDDDIGRAGGGDGASDIQSSASSFMQHARPLAAAGARPPFAAAAAAAPRPDPLPAYGATGVGYGASPTPSRSQAAHVVWPAT
MKKPVLYTVVVGLLLLGDSPGAAQQSRGGAAQALPEIRAVLDQYCVTCHNSRLRTADLALDAVDLARVDRHAETLEKVAAKLRAREMPPAARPRPDEDVYAAVTRDLEAALDAATQPQPGRIPVHRLNRTEYAAAIRDLLGIEIDARALLPGDEAAQVGFENVASVLSVSRGLLEDYLSAARRVSRLAVGDPSLNPGVETFRYSKTLVQEDSRMSDDLPFGSQGGVAVPYYFPVDADYTVKVLLRRQIYEYIMGLGEPQRLDIRLDGLLLGRFDVGGEATGMTMPESFAGNTQGDPEFEEYMHTADAHLEVRVPVSAGRHVVGVSFVRRSREAEGVLQPPITGFGRVANELYHGYPAVEVVQISGPFGAARPGDSP
MRMTLLGAALVAAPSANAQPLLCEGRPGITEQTEAVAESWQVVLRNAFDTDGNAHQNLSAFLLVAVKRDGAEPCLAPYLVNSFAKSEDGNVTAQIVPTNRDYPVFFNRRVTIAPSMIIDWDLIKSDGGAHFGNLGARLSGSSLFFLDGILSDQTLPTDWQ
MTDNLQLGVLLFGVFLVIYIVTVLGNLSLVLLIRVSPRPHTPMYLFLSSLSFLDVCFSSITTPKTLANLLSKLQAVSFLGCITQMALFIIFASAECNLLASMAYDRYTAICHPLLYHIKMSKGHCLLLVAGSYRGGLNNMISVTTSIAQLSFCQPRIISHFFCDIPPLLALACSDPWVTQLLVVGCGGFTLVTSIVVILVSYIYVLMTILGIPSVSGKQKAFSTCASHLIAIGLYYGTTMYTYLQPSQHGSQAGNQMVSVFYTMVIPMLNPLIYSLRNQEVAKKLSEPCSPGSARHLQALRPQPQPQAHWGGLPQAALGAGLLQLFWAGYPSPASSRRWSSEQHWALGSLLKRPAERGLGLFGLSPATAARPQITGLSRGAAIRGGERSAKGARGQALLAPKAEQQSPAGSGFPVSSKRRIAPVGGYQNWPWPTCCFLTLDARSRSCLTQQAFGYVLGTDEIRQHLVNHRALLLAAVSPDDYITICDPLFSFVTSLRDAVGIWVCLGTHKKMEVSVVKVKTCSGSVGANSSKWSEFFLMGLTKDPQLQPILFVLFFFIYAFTVVGNLGLLTLITSAFFVVSAVTEFFLLASMAYDRYVAVCKPLLYHVIMSP
MQVHDAFVRQQTVSIVVDAGPVVPLRNAVATLDALDDVVEVLPERRVIADPGKILELNDVDRLRLAFDPAQSLPDRRMSRFQSP
MFSSCFIFIILIVINYSRWTKESTVESDEIKNSFLWTIHLDSTKGLIRGPQRINETVLDVQLEICSCSSDGLNQENGSNERDSYCSVICDYKDKNRSFERRSSKKVRIAVVSFVVDENYFDDESLSVYEKTLRQSFDCIESGSCQDIESMEETDYDESLNHLLVKRKTDQDSHKNGDKLTKNRKGKKHKTHSAKHNNSKHAHSGAPTLRTIVPDPPIKPIDKNPFEDAQVQLRTFQSNPAVCFMFACPSQSTIHNDYNHSDEHEEEPIYEQKMATKTPTVVTESSHVTSTTIAATTPTTTTTKPELSSSTKPVEVSTVRVRNTPKGYPFANRSKPRNKTKSGKESEQTY
MAIGKTIGSSQEPRVLAIGKTKTYLIVAVALVSTDKGKVRKEVQWIVDHITGEGY
MLAIRPDQRRDNRPARTAPPDVEETGTTSRRLAQDRARQQQDRQKVIAFNSEGARFFTSVKQ
MKASPAHRPPALPPEALEFIDSVGDFIQYWGFRKIQGRLWAFLHLSPEALSAVEISRTLGVSKSLVSLALPELLTRGVIREVSRGHGRTIYYETSPDLMKVITGVLRGRERKLLERSSAAITKLVALSEKKPTPSINLTKAHEVSQFISGAQAILEGLIGP
MLKARRGKEIDDSSSPQTVTHACLEAELRVADQVGRLMEFWGFKRVHGRVWTILFLSAEPLSTSEIRKRLGISMGAASMALAELRRWGAVREAVTRHRAVHYEPETNIWRLISRVMQDRERRILDESLEVFEQALSVLRTSAGPSAHRVERLVLLTKLVQGMLGMLLSRGELAAEDTKKMRV
MKGYTRHRAEDAAAIGTLAPWESLIADAIGNVIEFWNFKRSHGAVWALLYLRGRPLDAAAIQAELGMSKGGVSMAVRELEQWGVVRRTRSPGDSAWHYVAETDFFGMIGKVVAEREGALVDSVVRDLTRAEALAVERSVDEAELERVQRMRRLAEFVSMALRTFLKTANLDIGATKDALDAPDEGG
MVDAGNNTSITLPTNSVVLNGSVVDAESNLQSILWTQQAGPTAATIVSASTNSTAVNNLVEGSYLFRLTAVDDRGATGTDSIWITVNAQPTTTTRQIKINLFGGTNPQTTEGWNNWNVGGSKITNRSSAAFNYADGAVSTVSATLSYSEAVSDNGASFGGTMCPPAVLRYTSYATSNRTLTLNGLSAVKTYNIELYASRSNTGNSTRFTINGVAQTVVTDNNKTNAVSFTNLSATNGSIAIAIARVNTYNYINGLTITEVSPSTATQATPVQNTLPVVRDVEVTTSTSTSVGAKGLTVYPNPMHGNTTLLSWTNPYRGKVTLKLLDARGLQVQMQVLEKWQTTLQYNLNTIALPAGNYWLVLEANGVIVQRQLMKTFR
MHIPLKYPKKETRLLHPIRGFPSKFVREENALEEIQRD
MEYFNTTQINERQLELFTDKAKNQNNLILKTFRAFPDKEISASDILINSVVGEAPITSIRRSLNTLKKKLEIVEVGQKEGLYGRPETTYSLNKNIISKD
MALGHHRDPSFGRRLIEGDRHHPALRRVERCEPDETIGPEVEARLRLYAFHDGCPCLGAHLQQVRIDATPAHLHLDEKVRTVGRNLHLGPGLGRGQTVEHHRVAGRIGAEQVEADVAVVLIAGRIARVPEAGRVGQPGHR
MLGNDLFWRFLKYDDGMSGHFIDLRWTGYNDHDWWTKWSKGEEVREQGGRRFRIDFSNCNVYEVTDA
MDWALLGTSSSLSVSSPNSMISKELDSSFSASFALEVSSSSSEVSSSSFCPHESSESSSTRWSSVVMFTLYVGTSFIGDAPVPDVTISCVTLSFVSSSALKPSFVVDVGTSSATSFLMNSSAATRVSDEDGASYIDVVLFPWDDQQLDLHLSRREEGGCHEFIGFDQPIHLFEDFTDIPNDFLRRFY
MSRSSWFLFPESPFPWVPKRADGHLKHEAHARFSTEQPYKSVCSSLQSLSTEIDPGDTRSPHGSPRRQNLVPRTAFRAVEAYSRTYSPISSAISAHDRWDRVVVFSSILVVGDGIWLDTTSGNPRSSWRTRRRQEVGEGEGKFVSRDRSASYLRERRNQQPPLDLLVARDVPVPARLLSNDRPFGDGQRMLVGGADADPLEEFQDRAGSLSHASHSPWVLEIAHGLMSQLNRSKLDRSYTVVSTTTLIAIDSVYIIIEKLDFRLSSPNLTTARVHLILGCQRDRKKIGTDIVYSKMAKSSKPGYVVHSALGPRDRVVLVFIRSSSGNVITPHELPVGSVQSLISSSVFGQLERIGNYSEYTVGGDTVETHEVWSIGRPILTHANISGLETRAYKETPEFWKTMTTGRTEMITSTSENVSEKKKLGHVQYPG
MWPQQEPPAGLRRTPSGVALRAGLRPLKKCHTVSPRREVCHHKHNRFHAWAQSSAQKAAAKSRLSSSSSLNLDGSDDDEDAGQKDAPAAIKPAKGGAGGNNSSLCLVSLDGSRARVEAVFSDFVQLSAPHVSIFEMEQVQDGELGAHFFDDVDDANSVLDSDAEEEMAPLKERRGGGKMAASKKGKGAAADGRRGRRPLRAVLTGGPCSF
MGDSLEAESIYLEALSIASDFGPALSALGNIYMARGDYERALEVMIRGAKMDSPRRREMRFTMRSKSYTATLIRYRRSRLSIQQQLLYVSGSSDTFARPDLTHHSEVWRLERHRHTGRLCQRARPDRIQCFCGLQPRRSAVQQSRAAEGPAATGRSVGVEPELR
MGLVLLGAAGSAEAPEPDPAFARQLPAEVGDVSTFETVSGAVTSASASGRYRFYVNPLFQALYQVMRYRVRFTVDGEAAPTEKVVWNRQPGQRVPLVVWARVASEEPVRWRSITPGTDEYLIEMGRLMQILSAHGAVRSQDDR
MKKFLTLLLLTIMFLPVFASGSQEESNEPRTLVVSTWDYDIEALDEFLFTPFEEENNVIIELDLGRDGDRYTKLKNEIDITDIDIFLATQATAQKGIEDGLFEKINKSDLENFASIFELAKNPNGEDYGMCYTVNRLRVAGNSENIPTSWAEIFADKKSKVAIPHMTSTFGPMVLYGAGEVSGDASENIAKIENWVSSANVDAYVSTFSTRKAVINGEYDYALLADFGYTPDLNWGDLDKVLLNGNTVNIVKGTKNNDLAMKFIDFLLSAEVQNESLDRGIDSPVNKNVEFEAGQKDGKTDLALYPDAVLTDIDLVNKNRAAWISKWNELFTE
MVYKETTIPVLVAPIPPTPLTQPTTTIPPPPPVSIVPISTTPLPPPIFSQATTTTTPISTTTTEPPVNVNVSDTGDNTETKTPVTSKPLSPSPSTDSSPILGGDNFEFDSTYYGPYRLPTDKDEEAPVTKQQVQSLDDKLDRLLASSSKYNDIVLKPFLDTTFEQYTEAIDKSSKAVEASTYSCQKATTNVAELFYNS
MATKFAITPQLWDKIHHWASFPPTGGSPGTQAAVTPPGAKHRDALWPQCMRAAIAHMICATSTLHNSCDTLATLLTDASLSPAARHVRRAPLAGHAAQGGPVPAGGAADPSLAPRRRARVAPGRPEQDAEHKSRQGVELITFPKPKLPADIEEILRMPPSQATTFPTAVANPSLDPLMNEGAISGSRINYSDTKRGRHHSIVNGGVRMRIPIERMYFSPPPVNVVYPPEVHDYNENFTRVLQTIKKRHDPTVTSVAQGVLEWKRKQKAGRIGQNIQEWLDRFYLSRIGIRALIGQHVALNTLKPHPDYVGIICTRANVHDICHEAIENARYNSLRAVVERYGVDNEDQFPPVKVIVVEGGEDITIKISDEGGGIPRSALPMIWTYLYTTMSDEGLEDTIQEGIQGHTVPMAGFGYGLPLSRLYARYFGGDLRLISMEGHGTDVYISLNKLSSSREPLP
MENIHFVSLVLGLNRTGSGDRWLAGGRLLDFDHLSGSAKAHTGMPGPSSLADDILGLAMVEPGLCSLWPAILAHGLANDAFGLAGRVPITEALTPEHQPTQGVSMMLAAGLVLALDRKRMFSGGNRK
MEPWEQVDTNLCHVGGVIKILILVSFFFFLSLISLGYIGLILIIFEGTTFTVISSMSYLVNLTETRVGIIRDLGKLLGSSDSINLGWLIRCLIVVSIGIAAVIPIVTKWVFIPFFRKFIEYRFIRYDYISNIILITLILSAFITIASFTSISVLFSTFLVGIFLIYILSKYPKGPFVILSREEGKRKVDKSPTFIYTFKKYLLGP
MHLRRPSMTAVWLACRENTRIPRVLFGLFLFEAVLMSHKPVSQRPFLGEIGLLWQRLNVALHGNTLDTPGVSFHTGGSIHQATSVWLLPQTKCKHDVGDILRGPGVLP
MQLTEYKKLWNARKWMKRNEPFLPTWHAHLGYSLDLFNHFKKGATVEEVAINNELNQDLLQRWVDVGIVLGHLKQKRKGIIKPKRSLVKYVSKDSDSSVGILIKEMMELHIPTMLSYRDLMQGKEVNFFETDYGDTVAQTSALLETIAFPKVLEVVKKEKIKSVFDVGCAYGGYLKRLHVKKPKLRLKGLEADEGVAKKAKEETENSNIDIVAGDIKQYESDEEFDLVMMNNLLYYFSKEDRAELFKKSSEIAGRKGTLIVISPLVQSKHGQAFASAFNSFMSAHENMYPVPSERELKQYAKAAGFKFKKTTPVVKEGGWYMMVFKK
MPLETSRRAVRDSTTGVVAMTARVPRACTLSGTEQPLRVAEFDACMYAPRGH
MIKIIDSTLCMLNDYPLTKEQIKCFISFVGNVGIKDLQINPLIYEMLEGELPEGFVYYLELGTMTYMRGNYPIDDKIKYYFTPKRSTLPNEIETHQLNDITEPTDFHIDNRLIKIVGLDSLLLNGCDGAVIWFQKKIPVKTTILCPENTYHCATATALLFLEQKGYGVVTTFSGIGNKAATEQIIMALHVSERYMNNKLFPDFANIKIWLEEIVGIKIPSFAPVIGDRIFHVESGVHVDGIMKKPANYEPYPPEEVGLKRKVILGKYSGKNSVMIKLQKLGYNEYTEKDAEKLLKIIKQKSVLEGTAVSDSEFTKIVEGYDCHEKTTKNS
MKEGIQMESNLHFADQTLGYALYNQQINLIELQCIKQKISAMARMTFDLPFDSLYNKPCLLKLGLDDIRVCIPANTIQIKNAHELGIRQLKIYFDPSRQDKSLLINALNQAKKLRMSVTLAALNISGFSLHVVSLFQKLCQDYFFNRLIIEDNENRLDPLTTYSAINNLQQILAVELEYHCRNTRGLATGNVFGAIKSGIRYVAGSIGGIGGYPAVEEVLMSAKYLLHEPLVVPRNLAFSCKEILGRMGESIPATKPIIGSRIFAHESGIHVDGIMKKSELYEPFSPETVGLSRMIVIGKHSGKAAIEEKLKELNISINPSYVPQVLEKVRELSVRQKGPVTDAQFPKLVREATL
MQNILERPNSINIENQEQKNSFINYIRKGEDNGLMQKSSLNSSTKAGNVLILQTLYNSIITEINARSPMRQLASIETISTNAIDIISEDGKFSSGWIGEVEAREETSAAKLKQQRIFVHELYAQSKASQALLEDTTIRVKNWFGERLRDSFVKMENNAFINSNGIKKPRDILSPNHDKIEKIKMGNKITADGLLDFINSLKEAYLVNATLLMNRITLSEVQKLKEHQGRFIWQSSLSGSFKQTIFGVLVVCSSAMPPIDKKGNIAIGDFKAGYKIVDRSGINIIRAPYTEKHFVKFYAVKRVGGGCGEPRNY
MTSQVMRRCSAIELPLGSRRQPVFAMVGEASADIAALGSAFESHNKVVQAALTDTNQKIDAINAEIDKLNEKQAAMTLSGRGEAGNGLRKELNAVAKFFRKKDDSDLMSLHAGAAGSLAEINNSMSVGSDPDGGYFVLPALSNTMTKKLFDVTAMRRLARVETISAGDRWEEPIDNGDTGSGWVGENDSRPSTSSPKIGKLSIPLNELYAMPPVTQALLDMVGFDLGSWLLNKITDRFGRDEGYAFLGGDGVGKPRGLLTYPISTAADDTRPWGTIQYLPTGAAGGFKTGSTPPDTGDCLRDLTWKLRTPYRQGASWLMNSNTISQIDKIKDGQGNYLFRPSMTAGAPSSLLGYPVEIDDVAMPDIGANSLSIAFGNFQKAYVIVDRIGIKLLVDPFSAKPIVLFYAYKRVGGGLANSEAVKFLRFSVN
MVLVLGLRRVKFRWLRRGWGELRFCRLGGVVEFWWRKGRGELRFCRLGGVVEFWWRKGRGSRLWWLRWREVVHGFGGVGEDGMVGVGYGIGKGKEDWKEAGVWFG
MLLVSLACLLATAMPAQAFTLIQGTTKLYVNPNAGYTTSWVQVHATYNLPNACPNPAPTFKFTFDSRSLWSKYVGGCNRTTFLWDTGWSAYIKAPVTPTVGSHKIVVTVYSGSTGLPGGAASFTYRVYQAPSSPSPRQSPISTPSPMPSPIASPSSSTCTTTGALPPSDTGSLVDNLIAGAMVASVLPIIGFAIFGTSPLLAITRRRRLLKLLGLTLFLAATLSCTSASTPTANVSPVASPSASPSCTSG
MNTELQPPPASGSKPPSLNLPYLPPEMISSIFSQLESTPGQKDGLLSCSLASFAFSELTRRYRFCDVDVPMQWEPEPEDNDESDTIAEADPVPTVQDSTSSAEQDSRSVEHIPRAPESDTSASGISTDEDKTWRTLHDFLHTSPFVCNYIQELRLDMSSHLEDGSGGEDARLLLKMLHHLPQLHTLTLADIDFYNLPLDVFDGTPGRLKLSQLIIDCKRVVPEYVVFRGILQILSLFSYIGCLRVRNVDFNMDDALSDWIVRGAEFELPHLQIDDVEVNNVYPLGPLLSALTFRLHTHTPRTLSLGDFRRQDLQSLGQYLGLAWSGLQSLACDFDMVFKDLLNGSSDASGDIELLNYLDLSACRALEHLDLRFTMQQPVTAEAVDGQTNTDVTSRAMHEHNERIWSYITQILSFLSKAPSSLTAITFSIVVPSADVLQALQLELSLVESLLVNTIANGGLKTVFFALRKHDGRPPQKVVCEMFPRLQEHGALQIRYE
MSGSQNNDTKRQFLLERLLDAVKQCQIRFGGRKEIASDSDSRVTCLCAQFEAVLQHGLKRSRGLALTAAAIKQAAGFSSKTETVQLHDVSFAWPPVICLSSLPHPDLGVKVESYRDNSYHKYLQSIASEKFCRSKQATKLKGYNKLGNDSSMFKIISRASFLVLRKRSSE
MQIGNPTDVKHVAHIGMDGPSANKPSWMDEFNSEFSSTPVNSNQQLKPPPAGNQDSWPPTSNEKQKKSRRKQLASIGSPGGGSPKVSDKKARRQRTSNLSMESTNRDSSSRERRNRRATEPSPQVVLPDIPKISRQKKPKESCGSDRSPSPSRFEY
MDPRHGELSRAMRNRCVEICFLPQDSSRVNASIAPSYTCESSLYRLRNVWHFDAQFAIEETSKASYEVGLDHLSVADLQYLYNSSNTFFSGQADICHGESILSIVQRYLSLVQEHSDWKPLGSMKKEVILGGASLAIQGPLQPLHPLVNQPLASISSTSTTLPWLIKLGYLQEFKLALYRLKQDLARADEAGKLLKPSQMTPLERSLASRRIPSLMKDAAQPVGPFLSDCGQAVYDFIQGLDEHVFGNPDIIPAIRAVINYLADTFRITGAKSMDGGEFQIYLQIGRELCASLVNSLPSLKPLASALSRSLARFQAGWALTTGLSMQKIWDSWSQRRLPLKNS
MRPFVLPVLAAAIALGAVRPAGAQAEAEFVWVGAPLSEALYELAEATGLELVFALRLVEDVRVTGRYRASDDPDRALRYLLRGTGVRAERIREGQYVLIKEPLNVTVAGETEREAYTGTLDGRVVDAETGAALMGAHVWLVDLGLGDVVAYDGSFAVADLPTGRYVVRVSHVGYTPVRLELDVFPDSPRLPPTIRLQTETVESPDADVIAGPEPPGVQPGMTELGARQAAAIPYALGEADLAATLSWLPGLTRTGGASGALVVRGADPTQTRYVRDGVPLYEPWHAFGLFSAFQPEALSRVRFHRGSLPASLGGGLAAVLDVETTDALAGDTLRTLGLGAVAARAVADVPLGGETGMHIGFRRSALGALLTPGLRAEGGAWVLDPTGGDPFRRTGRPDVTFSDAALKFSRRVGRHGHVEVGGTLGTDAVRIDLPIGIGGMDYGWRTHTASARYEGLVGQTTLVTALGYQTGHSAVENRRLRLGETRTDQALVERGASLDVDHFVSLTHQLRGGIQVASREVTGKQVRPEGADDARQRVGEVAAYVMDTWRPGEGWQVQPGLRAEARVVDGRPMGLDLSPRLFARWSPDEDRLVVRAGLSRQTQAVHRVRDLVAGRYALAASRWLLSDGAVALARAWQVGAGVEWAPSDPLAFSLDVYGRRSRGLLEPVGSSIQEAGVIPADLLATHPAHAGRAAGIELATRYAVADWTLGFSGALSRAQIRPETGGEWRASAYDRPVALGLIAQRAAGPWTAALRLDVESGLTRPDGSRDGVQVRASGAVGAHTAFGGLQWTALAQATARPLGPSISRGVGEVGLPLATDARGLPGWPVVSVSARW
MKIKLKGVLVNPLVSSPLSFIIMKQNWKIEEDEMETQFKLVVKDSGTYTADSFTELIWIVLKHRV
MHLSSFLIIAAFSLVCGQAVSPYPVSDCGGLAGAELQLSNPPGCCSGGAKCCDVSDKSLCGAAVTHLSQGCPAAAGIHPSKLCVGVDSDWYCPWDAVCNNAGGGCFGGRYKRDNCDGQATEAATETAADVAADTDTISFTTDFATTTESSAPTTLVDASASHSETETSLATSINSLSTDFS
MYRELSPSRRGNNTAGAGSSFASESSTTGMVEISLGSSTDSLPLHLPATIHEDSDVLHVNSHSTINARSPTPRLLSLPIKDTLNSRIHPQPIASDSDLDDGDISSFTPSQQKQTLDTHGLPLQRINKLQIHSQQPLSNSHSGTRPVHIDPVLIPFIVQFMDSHQLYACLPLSWLWFKAAVAQLYSRLTFSQLSGKKLALALQTLQNSVIRMATASGSLSPTHLNTSFIPSVVTNRLSNGSSATAYSQKSSPLPLMEYHMFVKDIDISQIVFENPAHTPLQSWYQVRDLLGLCASTLHSLSLAIGDDSFMDLPQDYIYLHAQIAFPQLSHLTVASKCQKLPEKLILELLRASPIHGLSTIDLPRCLPNMGAASWFLLSERGGRALSRLVLTPALGPNMLGWDEHLFSTGLEQIAQACPSLRVLDLSGHSQGISDQALLLLLAHTRDLREIHLPCGLTDAHLVALLTNEPWHHLDVLGITCHCVDGEAREKRPPSKGGMSCNKFTDSVMLALLDHLSASMSAESTDLMTVYLPVYALGVKTSRRITTIEWLAGLSESTRKGIEEVVYKGKIRVLTPTNRLGMMLH
MPKWSRNINHIAYVDHTIIFSSAEEGSLKQIMRVIKDYETISRQLINKEKSCFYMFHKVEARLVEKVEQITGFSKGNFPFKYLGCPVFHNRKRKEYYNDMIKKVKEKLQN
AGLQSSGSYTFLLPAVPAETCSAGAFSETDHETTEASDTWSGYVGPGLHSSPPSRAVYV
MEPWLAILTGLLTACALYLVMCRNLVRIVLGTVLLAHAINLAVLTSGRLTRAIPPIAQGEGGVPETAANALPQALILTAIVISFGLLMSVLVLAVRLRRVLGTVDTDRFRAAEPPPDVAAAEDEHRRHEA
MKAIYWKKIEVWCKYPFQHEIDYRYIEFHSKKRHNYKTKLSFHCASTVVGKNRVSFVANELGLNPKNVKLVKQ
MQKRRALITMGMYAGSHWQALAYMRMDAFMFEAGDYR
LIGRGGLLNHAPTISSALKALHDALLGHRAAVQKDILHRDISIGNVLVSLGDEASIFTDVEPQDRKQAGFLIDFAYAIELSRSAPSGAPHRTGTPIFMAMGVLREHGPHPIHDLHSFFYVLLYLT
MNQVPDKSHSSPKHRDEKKSSKSPEKPEKNPLEKHGSHKSLQQEGEVAEGSVGSQDAGVPCLDFHVTRREKVFRRILKSKKLPPPKQILHSLGLGPPIPPGSLFSVVPYPEEDRVPTATEDLRHFIFVEPEGAAAEDDVAPEAEAQDHLKKGKARHKSSKEKPNSPQSPKSLRDHSPETPRSSPEPMKSQLQSDSTLERPARLRRFRWIVPAHAEVELKIRFSPTVPGQFDQLRNFEILGSKRLYQLPCSATALYPSISQNPRLVFPRRRKSKEKEDIISKEYVMSTKQFHFGPLLCGESGEWYKAQNCPGNSEKLPILNDSPMEAEVHFSFENDSKGETFLLDPPSMRLQPKEKKKLSVWAYPTSAGLLGDSLVCWIKDNPEPAVFRLCCQGGHVKLRVSPQELHFNKLLLHRTDTQTLVLRNDSPLPMAWHLSGLDDLGDDFSASQGRGIVGPRTDFEVKLDFKAEKIGITNKMI
MLEWRRALAGDKRLKVDLAWTRSLTHQRNSFRRVGLQRYADAFGDWRPCSTS
MPTSFAGIQAAEAGGFEPPDSWTAANSVYVVHSVNSLVRIHTRTGQVVQSAPIDALFALPASQNATDPRFIWDAIHSRWLGVIASYNFNDTDDFLNLAVSESSDPLGAWNVFAFSFGDTFPDYPGIASSSASVVLTDTDYGASLTTLDGARLTIVDWSALLAPTTVPYVQLVSSAAFNPRPAQVLSTSPYVHLIWEDIATRNILYGQIQGHIVAPTTIDDITSSTTLKAFTEPISPRQPGGTIADGAIDGRATDAVWRNGHLWFVSTRPWVNGSAKTFDGVRVTEFLTTATTPTFSQDLLLNEDGADDFMGGIGLSGNGTLFVVYSRSSTTDYVSTQLRTSADSFTDEVPLGQSTTAYTGAHGRWGDFVGVAADPVGPGSVWLAHELVANSGAWKTEIA
MSERAKGLWAKVQPGDVVVFYATGRGVIGYGVVEGRFESGEPLWPREREQGRAIWPYRIKIRVEKVFERPKPRPKGMLVAFAINKLGEEAFNELFW
MTEISTPTDEPQSEAEQLRAEVAALRMALSTAERERDEWRIVAQQCGYQGNEEGEKAKTVEKL
MAVPEGYDTGQGWQSDLAGTRYVLPGTPAVAVFTGEETAEGGFTVLDAADGTPSWTSAPVRAVEEDGTVHSLTVSTGDADHLVAWSTGTSGADAVSRGEEIVSLDIFPATGSGEAVEPAHHIEIDGSGTVTDGGAAVLVTGDDGVAVAVDPATGERTTYDPETLDVPDGCDDCPVSGEIIGVTEAGPLLVDSYGYSGFWVPGAWSSGTARPENAAEDSAFVDQVTDTALVARWYGKEGSSETVWAVLDGATGETRATVRCPETYGANDTPAEDENQAVSADGRYLAYGHRVFDLEEGTGHCFAETENTNPVRFFAVTDDGRAFGLAGTDEGDAPVELDIASGEFTEMAAGTSLPLAHLPGMGVFTEETVGTLVAYPIG
MTRKVGRAVLAAALLVALSLGVLAAFGGGDSLPAPPKPPRKSADATAPQTRLQVAGAYDTRRGWEIAGASDRYATAPSAGLIGYLARVSETTYVLKAVDARTGEQRWQSREWQPLSDPLYFPRLFGVAKDGREYFVASSHGDTGDDALTRGKAVVALDIHEAGTGSVRHLEVPWEESPEVSGGGAGVLIGRDGPEAAVVDPADGTVKRTGAKQLKPPKGCAACGRLTEVKGLTERGLLAAGTKGFWVRGGWYSARVAPRGADRGSGVPTAVADGQVLARWEKRKGTAHARDNDLWAVHDASTGAVRTTVECEKPFLQPGEYPEAVLSAGGRYLVAGQLAFDLREKKGYCFQEKGLTKGLNLASVTDDGIAYGAVGARSAGEAIEGGGAPVQVALATGEPEALSANTRVPAADLGGVGLFSYVDAKERSHLIGYARRR
LFRGQRHMCRAIFCVEGQSHMCHAIFVYRDRAIYVMSYLFRGQSHMCQAIFTGNDRARCVRSFLWRMTE
MEQKQYCYLSSKYSIFKYTSKNELPPLSSYSYLIAYNCLNQCWSQLNIVNISKNELQEFQNQIFKWDYKFKKFSIVFKQKFLFFGVENYINKKGLKNKQYLFNVITYANIFYIVLFILNLKGWIFTNQKD
MELTETIPEVKLIESFPGIGTKLSAEIVAKIGNASQCSHPKQVVAFAGLDPSVFSLGKFIASENRITKRGS
MKRISILLIFLLSIPAVVIAANYRFVSGPQGGNWFVLGGAISSYFSAAGMNTTSSTGGGVANVVNVDRGKADLGFSVGSLLGAALKGEGKFKKPVKNAVVLANLYPQITYFIARKDFVEKNGIKTLKDAIAVKDLRIACLKPGTSSEFVVSSLLKLGYDTNWKKIKKEGGKVQFASYSDGAGLMADNHIDLFSFSVGEVASIIMNIESQTDIVILPVDADALKALSDAYGTGTHLIKPGIYKSVTSDIPTVGDYTICVIRKDMPDDVVKKMATTLFEKRGDLSTTIKDFSAFNATTAMPATLPMHPAARAAFASLAE
MAKRLLARGSRFTGCWIISGTKYNDAEEELLDLGKEKIKWVQETVKTLKCLMRGPLLTSNEVVVDDEDEDKEGSNDVADDDSSDEDWGKSEDKDLVVEEEEELMELEDERYGFSKSHIYLSKLSHVAIA
MCNWLLRSPTNIQSIHFTVCSVKRFGTILKSTHSVITRIIIPCYSSDLYKIDMTPFIFFAGIPVTTISVPIISHFHAGSFIGGIVLAFALVGIFYFGRKFCISRSGTRYRTIEETEAII
MRNVSVGSLFGSIVARDESITVSEFKLNAVKDCQFLKIWETWQKGKLQIRIFIYPNSHQPNNVCLEFYPDKSISI
MGEERFGLHASGRLELREGGRYLLSARSDDGLRVILDEEVVLESWTWKPAREEVVEIELDPGAHSLVLEYFQIDGPAVLQLTLDPLRDAVGGLPTAAHAKD
MTEARRWQRFTMAERLARFSVYLGTVALFVFSLKTVQIIPEFLWDAPEQMADLFRRMWPPDWPSYTSQGVHEALIETLNIAGLGTLLAIFLSVPVGLMGASNITRSGILNWLARLILVSSRSVNTLVWAIL
MQNDMNKREFELFSTLVYERLGISLSDQKISLLQNRLGKLMHQKNMDNYRELYNYFIDHEGESADNELEDAVTTNVTSFFRHEEQWSYLKEYLLQHASKNKDKKLRIWSAACSSGEEPYSMAMMLKEHLNDFDTWDIKILATDISEEILRHAIQGSYKGDTMEGISDYLLNRYFSPEEDRYRIDEDLRSLVTFRKFNLVYGDYSLFSHPFDLILCRNVMIYFDRNVQTQINTNLARILRSGGLLLVGHSETIPAHVGGLKLIKSSIYTKH
MNNTISDMSFSQLAELVTAQTGLHIRPQDNKTFRKAIGLRMKLLKLTEPEEYYQFLKADSAESDLEWKELAAHLATGESYFFRDKGQFNLMKNWILPELIERNKSKRTLRIWSAGCSTGEEPYSLAMVIDELLPYRDDWNIFILGIDMNEEAIGKSRRGIYRSWSFRTMEPDLQKRYFHKRKNEWEIDERIRTMVTFYYGNLFNDSYPSNTTDIHDMDLILCRNVFIYFDFSVISVVLEKVVNVLNEGGYLITGHTEIHAQSAGLLRVRCFPESVVYQRGGDVPVETNGRARRRPVVQSLVALPSPVPTHVSGSGAQNTEAPESTRHQPRSEMVHSQTLLTEAEVFFRDGAYGGAMKKLEPLLQDEPQNFQALDLMARACANLGESERAARYCKQALAVNPFAVQPYYLLAHVAEEQGDTEQAKSFLKKALYLSPSFIPAYLDLGALYEREEDSARARKMRATALEFLKAMPPHFTVEPYEELTVGELSLYVQKMLGKGNS
MKIKESEFNRIQSYVYKNYGILLTEKKKTLVESRFTHILEVRGFTSFTDYFDTIEADKTGKEIAEFINKITTNHTYFFREEKHFAFLKEVALPEITYHEQQSKDIRIWSAGCSSGEEPYSIAMTLEAYLGKNKLLWDAKVLATDISLKVLESANRGEYERIQVEKLNKDIRERYFLKLNDEKFLVKDNIKNEVIFRKFNLVNNFPFKKKFHIIFCRNVMIYFDKDSKKELIDKFYNALVPGGYLFIGHSETIEDRSQGFKYLQPAIYKKE
MDRTTLEAFEDLIYRHSGLHFDERNRKILERGLMRRMRATRVRSHRHYLDYLRTHARSRGELKKLLALLTIGETYFFRYLAQFEGLKKTVLPELLARNRQRRSLRLWSAGCSTGEEPYSLAILLQEHFPHLADWDIDILGTDINPRSLKTAQEGLYRARALRVMDQQMVDRYFTPAGSGYRLVEAIRERVRFTHLNLQTDTYPDPSAGIEDVDVIFCRNVMIYFRPPTIKSILEGFRRSLQPQGYLFLGHSESLASITSAFSRISCEGGFFYQQREELHSADLFPEPEPPPSPPRPVIPAQPEPPPPPPAAAPTPPPKEDKPREPDTEALFRQAEKAFHQEDFETATRKYDIVLRQNPRHVGALLGKGFIHANRAEYDKARLLCREALAIDDLAVGGYFLLGLIRDLQGDAERAVEEFRKAIMLDIDFVMSHYNLGKIYQRLGRHKDARRELDNTFRILEKLPDESLIPFSGGLSREVFLEICREDREKIRA
MGTIISHNMDAALHHPLLLARLSEFVETRMGLHFPPVRMADLERGIHSAAGEYGFATIDSCIEWLLSSPLSHSQIETLAGHLTIGETYFFRDKKLFETLESRILPGIINARRGREQNLRIWSAGCSTGEEPYSLAILLTKVLPDLKDWNITLLATDIAPLSLKKGSAGVYSEWSFRDTPRWVKEECFIAKKRNFEIVPRIKRMVTFSCHNLADDPYPAFINNTNAMDIIICRNVLMYFSRDRASEVMNNLSHCLVDGGWLAISPVETPNRGVPPLLQPVYFPGAILYKKGLKPRVDIVRPIAPPAA
MEQTVVSESFVDSVTFKRLKKVMTEGTGINFDYYRESYLKRRLKVRLTATKTNTYSSYTRYLLTNPDEFNFLIKDLTINYTKFFRDPDVYAFLETTILPELLSSSKRWVRIWSAGCATGEEPYSLAMLVHEVLKSQDIDCRVNIYASDLDKSALATAESGEYNKRMVQCIDEKLVSKYFECEGDIYRVKPFVKKLIQFEEADLMTIPRHRHLDLILCRNVMIYFSKEIQQKIHLNFYDSLKTEGYLITGKTEFVGGEAADKFVGINPQCRVYKKT
MGAAVSPKTLTLFRRYLVKHTGLHFPPPRVPELLQKMEQAARAFGYVDVEGCLIWLMSSPLSRNQLDILAPLLTIGETYFLRDAESYRVLQEELLPELLEARRQGEKRLTIWSAGCSTGEEAYSIAILLERLIPDLKEWDIRLCATDLNREALEKAQRGVYRNWSFRNAPAWLFDHLVKLDDGRYEVPPQTRRLVEFTPFNLATDDYSSLFADGTVDILFCRNVMLYFHEELRVAVADRLYRVLRDGGSLFVSPSEVDHRIFSKFGCRRFSGALVFTKGEKADNSRLPEPAVVVTPFASEAVPPSPAPPSAPAARGSEPVAGKTDSAPGRTLSEASALAARGRYQEAVDAVAPLAAEGTDSGALELLARSYASLGRIVEARHYCEAAIAVDRMRPHTHYLLSIILEEQGLLNEAAAALKRTLYLDQDFLVAHFALGKLHRHLGKEEECRRHFANALHLLERRDQHEVVPEAAGLTAGRLAEMIRAALEGRSAHA
MNAFENIPVDDGAYNALISLLRAKTGLNFEYYNKSFIEKRIKSRMIRVPAKTFDDYFRYISSSSGEVKKFVDGFTVNYTFFFRDYDVFETIQDLFIHGLKVKRQNIKSNIRPDPSKLAKFRTKKNAAKNLAKMSNYKDRPARFYIDIFVFLNQLSYYQKMKKPRSERNCINIWSCPCATGEEPYSLAMILDNLQSQVPLFPKYRIVASDIAHEAIAKAKIGVYKNDSMKEISDYYEKKYFTREKTHFGHNNVIKEQLKNKIEFVEEDVTEGHKLPYRYDIILCRYLLIYFNHVNRHKFLKILEDRLVENGILILGKTETLFDSWGKLQLVDSRHRIYIKSHSKI
GAGDGAPPARGGRPLRAWSAACSTGEEPYSLAMALLDSLPSRDCRDTAGRTPPRAGAASTGAPGISILATDLSSRALDQAQRAVWPIERASEIPEERLRAYMLRGTGASAGLMKARPELRRLVTFRRFNLLDAAPKRFGLFDLIFCRNVLIYFDAATKASIVARLIASLAPSGYLFLGHAEGLHGADHRVRCVAPSVYRLQPPAGLEGSRNTPSPEHASCLRTSE
MSRSRAGDDGLERVIAFVEDAVPFEPGYYNEAYLGRRIAARMQRRDAADHDEYLGVLRDDDDEREALLDALTVNVTGFFRDPDMWADLRPVLRELSTERRRVNAWSAPCSDGREPYSLAMLAADDDEVTERRVDILATDISEPAIAAARAGVYETTRTTDIGAELGPLSEYEPYVEEDGTRFRVRDRIKQRVTFETHDLIRDGPKSDVDLLFCRNLLIYIDGAYKEPLFETLTASLGEGGYLVVGKTETVPPGCRGAFEPVAKRSRIYRYVGAD
MAKHDITTGNENIDNNYLVSNNIGSTCDISATSGFFYGHYMRKLLKCNDSDRILLKSLRQIAINIVRLINDFTVGVHHHKTKKTPLPLRENRFVLVIDPPSYDFAKFSACPAHFGLFPGEELMQGKGEQQQKEHGPRIRTHLKVKQKNDSHNFHRRHWKHIVGPVRVVYPIDVCQPIMQVI
MLLLVTFIFSSSTIFAQDMRIDKDKNIINTRDEIIPGYFIMDFGVSSLLDAPDPMDLKVWGSWSINLSYMANIDLGTNVKFLPGVSLAVDNYMFKDDVSLFRGQNVLGEDKIQFYELQQDEIRKSKFSVTYVDIPLEFHYIPNPTQKGLRFALGGKFGIPVSAATKIRYKNDGANFIDKTKNNFFVSKIRYGALARIGYGSFYLFGYYGFNSLFQKDKLDCDCNGGSPITIGITLFAF
MLRSEGPMLPLFFPLRSTVGSWMKTHLVFYNVRRRAFMVIFRCDVVC
MAISSRRSENNESDSNPEAVDLPDRTQRALEQYITITPDIGRAAGADDLVLATSESGSSYLVDLQSNTCECPDSEHRDPTLGCKHLRRARFALGRWPIPANVAERYDIDPSLGEHTDAEIEITPAEGVIAGTPDME
MRRIGIWFFLSCKRHLCRLSFFLILLLFPLGTFLIRNLQKDGEASIRIAICAEPGTEKGEKGLQALEQEVVLALTGRQAEDGLFQFYQCGSEQEVKDEVASKRAECGYVILAGLLERMDQKDFKRSIRVYSAPSTVAARLSSETVFAAMIELYDRELFCRYMEQKMGNEALAAGDVYDKWKENGSTFDFTYEFAGGQETKAAAASEPSVFPVRGIVAVYVFVIGLYGGAIGLADEKKGMFLKVPYGSRILCSLAGQAAPVCLGAVSGFAALWTGNCMGNGAYELAALAGYSISVTVFSWILGRVCRSEEAVCCLIPFFLVGSLVFCPVFLDIRRYVPEFGMVERLFLPGYYIRLFG
MAAMSDEPQAGFSWNFQTSTQERNTGGDFGVAFELEIENEKYFRLAFFQAKNGTPSEKNIQFSWNQVPPCYNDSKNPSKDFADNRLQEWIFYDQLDISQSDKTPQDHQIYRLAVTQEKGRTEQKKAVNNEALARMCHEAANWVHYVVWCKKDTKAVVNKADPDSSEAEKEIFEAHVPLVISLQDVKKGLSCLDENEFAKALSTTASTVPANLWKTLLTKSSPEEESPHLSFADFIAKGNLPTPNRDWLLLGAQDAQKLIGEFIRMGTEWFVIEGGGEHPLSFEQENDFIQNVIVSPKEFSDVTYKPDSPTSKMTI
MDRASGSHAKPVMPPGGGPLAPHSHGYSDGLQSATQARLTHANELVASCWSAIEAMREGKLKAKSFKEEGGKLMGASFAAVLALQEVLAAAAAAASAGGGEGGEQLAGFSVGGGSGSVQPPRFDSMNSFGTSGSSATSGGGGGGKRAPSLLFVLRVGAEVRIGLGHAAFMLQHHGQASWTELLLRPGTDAQIKAKFKEVTAELIALEETLWSSIDPDAAAHRNLRGFSSRRDSLLQSSSVATGTGSSGGGGGSLAITGSAATGASSRGGSGAGTPTPLPSGSSDLLRQRPGTPGPAVAATASAPAASSAPSEGGGGGGVVAKTARITLREASRAHGKVAGLIFFPDADASARHPGGGGDGGCARGGGGCGGCIGYVAWAEQGNLKLVNLTSCIELVISGAGEGLVAMCHDPRGLIWGGHEDGQLRVSSLSTSSAAAPPLRVAPACTHVTALAVDPGTGLVWVGTEAGTITVVRFVAPSATNSTGSGGSSSSAGAGGGGGGGCRALGRLELERVLYHPDYAQPASSFDGDDALRATGSSEVASRRWPAPPAPCFVRRHLNRISRISNGDDEGGGASGGSASGARLPGPPQPPHSGPVAAVVAAAGRVFTAGGGGRAGATIFAWESAPPHACAGAAGRESTQWLRSPVASMAAIRWSELAGAGGGAGGAEVHIITGHENGQVLMWDVASGYPQPVLVLSRGRGLVKALGVLPDLGLLATAHNNAKITLQKLNPDIRVPPRGDASVGVWQPRAVSLQAHRFSLAAMATGPSFLLSSAAGGSVKLTGQGAIRAMAQEAGIKLP
MKTKIVQGAYISLEAKDALKREASKRGIFITRLVSEIIESATEKIIRKELRESGKDKTRKNKKPLL
MNRPDKPSNVAKDDRDASTDQTPSTDMQPEDTGTADSGNSAQAAEKAVKQTTKTPAEQGGKS
MKIAVIGAAGKAGSKIAAEAHARGHEVTAVVRNKAKLGDKPYDIIEKDLFNLTAEEVGSFDAVVNAFADFVTEENLFLKSAEYLIEKAKLSGKLPYVFFVGGAGTLYVNGQEIYTMPDFPAAYYPVAAKMAKALEYIRTVDDVNWIFLSPSAEFIDGEKKGGFRLGLEELLVDKYGNSSITTGDYAVAVLDELENPKHLRKRFTVGY
MAVQAQTDVTATYIKNAGFDEAPIHYTVAGGTVLTAGVERIGTVGWIFPVPEWRNESVINNNAVQVASGEYGTVANAQGFNNVAVPATDRNGNSAGAAISMSAGWGDKAMYAQDAILPSGRYVLKMDVYNAHTVATVAYNYFGFIPLDGTPTYSKRLNYPSNQWVQDSVSFFLTDETIGKINLGFTTSSGGSGNGAKFFLDNVQLIYYGIDKSVLKQLIDSATVMKNNPQDVGASTAYAELNLAITAAQAIHDKTNASATEVLAAELSIREAIEKVHSAILLQSRVTTWTTLPYDATEAILNPSFEDLFDDTWENVGPFQRQNNTSFDPFKLGTFYAERWISSPGNLENLRMSQIVRNIPNGTYLVTAAAHAVQQNDNTYPGGAYLIGNGAITEIFDRKDYSVIAEVVDNTLEIGVEVAFSGNWVAFDNFRLSYISDGSPYLVASVKDLNFTPTQTVKTFVVSGGNLTGNVSLSVSPSFQLSKTSLSASEVMAGAEVTVTAVATQAVPEDNLVISYGNVQQVVRLSLTEKMEVTRRGLFFDQSLSSEGSFAIVGDIYGSATVTAPEGLIVSGTTFTNTEVADSAAVLLIWDGVTRIEDKYIYVTSGSVKDSVLVFAVSDNIISTWDGDDAEGDASLITNFGWSLMMSDGVTPVAGAFNPFGATSGIRYVLTTNQNYVYRGKPWQGGRLAYLRTWGDPPTNVYNLNVNLEAGKTYAFRGVASWHDNASTNPTFTIGLNTGMSNTGTSLASQGHEFAVKRTTADYQFVVTPTVSGTHYLTISSNVVGDAMISPLYLAMYETVLTSTPSVNDGSVRVYPTVTTDKVMIETSGKTGMVRAYDSTGKLVTSARLKGDVQALELPAKGIYLLQLQVENTVQTVKVIRAN
MFGSRVFPALALLLALTSIVGASGPTSIFIDQIEEYALLATCAELELSTIVRNMNRYDVDAINNVIAVIFLCFSSIDAAISIFNTYLNNTDLLN
ERRMAPARPVRLNPREVVALNEEKHNVTHAVVRLVPAKTYNCMKPLSRDCGSRTRIFHFKGGLKSSLPKVVVKLQARKRLQVHACMREGHNEDEKEPKWVFLCTLPHEEYVSMLAPNHTTADFGTAKCERKFRRRRQNA
MHEQSVNLINLKYGIIKGLAAGLARMHSFGFEYGCIRLENTVIGNDGFAKLIDYGLLQLNARMAEEQELESPETFASDVYSFATTAHEILCGSRLLYNFEEFDRFGGRMKPMFDFYGNDILRNTLFACWEENVSMRPSAEEIVGKLKKLPDDIIPIEMRPESVYMNNFLSIQSIDGDENVVLNSLYKDETSKNVWNSITLPPEIKQQILLYIPVTEYLYDILLAIRDVFSDFVSDYQFATLHTKIHCSKLGRHKPYIPAKLPSAYVAALLVVYKETAVARAWWRHNLFKSEPVIHILLSNNNIQGFPVDVYNNPLIMASERRFSNLVSLMLSYPILVDPTIHDNAAFRAAATNGSTNIVELLLKDPRVSPSALNNAALSVALRHRRIEIALLIFQDTRFDFHAGEPLVVAATTGNLNIVKMLLGRMDPAVQGPKALKQALLEFLDSFNNFLLKQSFSVPVLIDKMRADYRAALIEILIADPRIETRSAILAAQHPAFVVWAKYLNQILLAESSNLFLSQFAELALDYAIESEKHEIVSSLLENESVCCLANGKALQWVVEHGSGTLFERILQISETLNLNVAAFSIVQSAFAKSRFELGQLIFEDVRCPQIVKSLFQNRFDSDTPNNIAFDSDCDDFVLDDTDVEDGDDNEESEAE
YSNLLTYDTVSFGVCVVYMITPETMLNLGYSDLTRKYDDPCGIKTKSHTISACVAHKIVKKVYVNVGYGRQFNDSNVSNTDHNNNIYTLGVTFSF
LNKFIAENKEAILSLAKGLSELGEVLLVAGAGFIAMQSKILMATVSLSAFSASAVSATGALGAMTAGLSAAGAAFMRLLPVAVIAGLCLRATYKNSA
MTAEYILLMPVSKFLFFSVLGLSVCFLLQRFLYAEAIAMCPHIYALAAILIVYQLLFGYQSTSEESLTYFVGKLASFAIMILSIGTDFEFYLRRSTLPFSYVILALVILGWFVHRTSSISVNYYLFGFANRNAACTLSAIGFAGFLFAKDSHSKIDYLWMSILLVTVLVGGSRNALAMCVIFIFVRYGISMRLIAVMLTLAVIIAFVLPELGIRVTAFERLLGTISGEVPLDRIAQRQGTMMMIQERPWTGWGLASPIQGKALNISQFGAHNGYLTLIMYIGLPLGITFITVIIHGVIKRLRLLRFKDNALNYHIAVIFCILFGANQEDYLVGVNQISTNLFFVSFVVTGVYSYYLRNDLIEFNDEK
MAGVEDRVVRENQDAFLVPLSKAVRDELEQFIRNAELILPVLGHRTLECVVVNTEKRRKNKVQILAFAPFLRTF
MKKTFVVSAIILCASVIPALSYAGIEGDAYRTSYICNDLKGNRRWTATVEIRHKEGDIYNITEKMSGFYTGFDGKISWVATTDFERAKDEVRPFNMDQRICDDSGKPIAISKQEFDYKNNTVTCTYEDLVKNTVSEKRFKFSGNIINRMLQGLCGQKFIEAGQTSKNIQVISPEPALYNIQLKMVGEEDVEIGGRMRKAYKLCFDPMLGVLNFVKIFLPKSFVWHSSEPVFEWLKYRGLESSVSSPRVEIISLEKGVTTSIPAPQGPAS
MQGWHPAFVVPSITLLYVCTASFSAPHMLDIHPRRSHIAQPVFTTSLQISRSTFCSWREHVYYLF
MVTADTMPVTVESREEWFNEHNPGKRPLWVIENPSGEIIGWVSFQSFYGRPAYDATVEISIY
MHLVEDDDERDDEGVVDPRVDGDAVRVLPQQRADHAEPPVDLGDDGVGVAEGDGAGAVVVAAGDPEVAGLLGVVAGEEDADLGGAPQLDHGRLHDAEHEVPPAGAVAPEHLPPRVQHQRLRSHRRPRAARGEEL
MAEGWEEPEPPRPGAPGIAEWGDGASALSREAARGRRRAEEGRRAPSRFRRRRVSFREGPAAAAPPPPPPPPPPPPPPPEVPGGARARAAGQSAGQSAGLPGAGAPDRAASPAPTLGGRRVLELREIVLRRHRGRKGGVRHRRGNPSESLFSRLPSARSECRSSGFPSSGRL
MTRKKRLWLIGLGVLLLAACQTQAPVVEEEPPVIVEETPPIEEEPVVVEEPVVVDTTAELRAEVQALHDQVLALRARAVEIQANEQLPDQYDAADQLYLAGKTALDSEAWETAKTALTGARPLFEQVLENSYRLSINQQKDLIEQLHRAAEAADAYNLAPGLLANAASRLEAAASAEDEGRLADALALYNEARQWYDGAVKRARALSVKARIDELDYATADPGNYALAGEKLATADSRWQELDLTAATDAIEEALLRYNLALARGWELLAGTQRSRAEANKQLADDIKANIAVAEQYDTAKLVWDNAVEAAAGGNNEYAAGLFEQAEELFAVVYQTAADKRSAAEAAMAAARNRQGQSLSTAQEADQTLGLTPENSETIIELEPTTDDSINVEVLEGDAAADGSATTTETGTGEGE
MKNFILIISSVYDFSTDLVVQRLEHNGDNYIRLNKEQLCDYEIFLDPVNATLMVKGEHVDVEISKIKSVWFRQPVFLRNTPGRSININEQLSLSQWNAFLRGLMVFNESYWMNWPQATYAAESKPYQLITAKNIGFSVPQTIISNSLGFDRLHSSKFIIKSIDTVLLKENDDCYFTYTSKANPEDFSLERTRQSPITFQKYIEDKLDVRVTVIDSKVYAVAIKSPSLSA
MPAVKNHDAPSIIAEISVEMRQFPSAQPLTLGTGVLPGNHESAGNKKYWNNKRKSIHSIHAL
MLGRSRLEWCFKYLVIQTCLQDQDKLHVNRHSTAEEEEAMPLLFSSRFGVLRPTLAAMESTYKGGKLTGH
MKKQIILGIVIVLSLAVLIFVSSLFKIGTSTKQETETIQVNDELEIISTNPDPLEGAVVMPSQIIEIKFNKIISVSEFKHKFDPELEHEVIPEDEKLGTTFKIKFKKPLDLGSGYTLYILPDTNGVDKKILGKDVIYHFSTIKYKGV
YQGQYFTAEVQILKEEGCDALWQKTLISFEYNGLISSDCVSNRILLPHHLDAPETKMPRQPFSCDSPDEFTKHLREFKYGRIENVDNYMMKKYLTRIGAVYGYMHYFDEETQTIQDKQTYFIGWDIDEHGKEFWITADHIIETRSEGDEQVQDCRLKVGWVPFLSDEQYQDRISGFVLYPGNNTPVYIDGLTYAHVTFSMIITMVLIPLLMLLF
MQNNRGERRVCRNYQDGHCQYGDQCRFSHGGNPARRNDPPNRAERGVCRYFQNGTCRNGSECPYQHVGQENRRDGRARPAAGNATDLPPPPRLELKFQAGTEVVPTPSSVEPFSIELKHSADQVRRLRGYEGQLLQKYEATVADRESDGTRLESLLVPEAGVEQFPGTGGCVVAVDPKNAKRLDDAFEFYRLDDGAAILRVHVIDVQSLVAEEHEREARSKLLEFRSTLLPFKCSKRLFSDKDAQALYSFSLKELKPAITVELKLRAGEGLKEVLADPTRFRVFRSRVRLTARVAQEDDVFKNGCNTTVAENDNDGIKAVKQVYQCILPVLEARGRAEAIRATETMEPRFNNNTIKLVYTPTRHEPRVLTNFLLKSVFGAGLRPVLVNHPHRECIPCLLPFGQCKPLFEDNDLLCRTLVPRYVPEELKPKTLSYVDDYLLMKAGVGLVEFVQLAFPNLHKECFKMEGTYTTLKEAEEHGWDYSCVVNKPAREYMSLMLTEIAMNHLEGKGCRWSEEPSVVSRLIKDVNAITKVLSGVDRLNSSLIRVLFAEQQAIRDGSCCLVPGFSIKKENGRFDIFLPQMDHTIRDVPSKGLRDGGKLWLSVNPCSGMATSVTLRAA
MDVPESTITPPVPSSWIANAELGSVMCVDPTVRPVRVT
MSVGIMLTPIIGVMDWKYAQEHINWGTLVVFAAGISLGKFLLDTGAATWLSEATFGAIGLASMPIIATIALVSLFNILIHLGFYSRRCIQAPPRSNSGAAWRLQMRRWTDREGEEIPDG
MALDIYEVLRERIDSHPMGAPAHPSLNEILRMLFTPEQANLATHLTWAAQPASVISQVSGIPEDEVTRLCQAMIKKGIVYTYQRKGNQLYALLPLAPGLYEFPLMLPEIEGVDHDRLRNLWLEYFEKAWVRTFAEMNPAMQRVLPIYKTIDDDTGVLPFEQVADYFEGARYITVGKCACREMAHKCDAPIETCFYLNEMGQYLVSIGAAREVTREEAMDILLKCEDAGLIHQGPNTAQGGDVICNCCSCCCTQMRGKLEWNGFSPASAFLCRVDEEACTACSICVDRCRTNAITVNDFAVINDNLCVGCGLCAKACPEDAMAIIRREDAQEAVSDMKAWALDAVGKRGRTEDYLRNLKPVLPKA
MASIQVNPKERTFHLTNKYCSYLFRVMENGQLEHLYYGKKMEYLVNMTVLLNEATALCLSVSLMATW
MVNKQNFSGEPEDLKISIGELQTKYGIGYQSLRACMDYSGITMNEGAR
MIHGNLTSSNILLDDNTNAKISDFGLSSLMTSAASSNVMATAGALGYRAPELSKLKKANTKTDVYSLGVVMLELLTGKSPADVMNGMDLPHWVASIVKEEWTNEVFDLELMRDAAGTAAVDELLNTLKLALHCVDPAPAARPEVQQILQQLDEIRPDAAGATGSSEEGGSIAAASASNNE
MESLNQGSLRSILSSTNSVQLTWNHHFLDMAISICRAMSYLHGRNHIHKDLSSSSIFVGLNYRSTKVGWNDVFGFERAHGKPRPCDVYLAPEILSGNSNYDTRSDVYSFGIVLWEMITKESPEQIYSEYQEKMRRNYSFTNNEFVLEFPSEDSSIPEYVEDLFEDCTDGNPYKRPSFEDILRRLEKEKSKLIPKES
MVEVDSGDVLAMRDSAYSEILDEINEKRQKPSAALLFGLGFGFLGLLAIAVAGPPGMIIWLLGLGAWAFGAWLDSYKRTVVLFYDLEGTTYTAFEQVVQSFDRMAASHGKWHIEAGGSVQDLTTWKRNAGASHIVKRNPTTLTYALPKNVTCNVTPPSIHVGKQVIYFLPDVAFIDDGRKVGAVSYADLMVQWQPSNFIEEGTVPQDCEIIYRTWKHPNKNGGPDRRFRDNRQLPVCRYEAMHMRSASGINELVEFSKVGVVDPFAKALKAMPKRAKQQSSPQIGSSG
MDNSAKPNAKQAATIRHVDQPECRETFADSINSVFFDGQTMRIEFGITRMDEMKQDQPLTGRRYPACRLVLPVSAAIELINKMQQTANALQQAGLLKPSGASAATAPVQTPVQDKPSTPAKSPVPDRASMLEKLSLLDKTSVLGKSPTSQK
MGRGRGRPRKSVPPSPARSPTPLIPTQQDATAASNTNDGSSSVPIEKVEDEGFCSKASSIAPKDLNDPADCKQQDGTKKLWVDVLSGNRNPANGLAMEYVAPTRVNGEVEVEIDAADIASEVKFWETSLIMYVLGGDLSMTAVKQFMTKFWNFAKLPDMFYNEEGYFILRFHSFQDKDDVLMKGPYTIRNMPMILRDWKPDFSLKRDMLRTLPIWVKLPQLPLHLWGVRSLSKIGSAIGNPIVTDECTANKFRVSYARILVEMDVTQELPTDITIKDSAGNKMKQVVEYEWKPLFCDKCQKMGHRCAKDPPPRMEKQWKPKPPEAQPVEQTPLLTDEHMTGKEADTWTEIHRNGKVKGKGTQGDNSHTQVMCSNGGLNKAGKAREIGSRLLSLRPEIVVLIETRVKSAKADSIRNKLRLKGKYLDNYHNHENGRIWLYWDDRAVDIRLVSSTTQMIHCGLYDINGNFLHWFTAIYALNQLEHRRRLWKELEDLHQHQQGPWCIMGDFNNVLRAADRIGGKMVHESEYSDMISMMDKAGLSEMDSLGDYYTWSNKHVNGTIYSRIDRVLGNVDWFQLNLDATLTNLDPGISDHALLCLKGRDKAN
MSEVKFHTKPTQINRQDPILIIDDIFHKLWVYPLTSGYYAGFMVWPNGMAECSIVGINLDGTYGAHWFDQYSIDVHTRQDLVNFVKTHDEWTEKEIIFGLNNELESE
MKVQVELDHSALDHAVTCREQADTIRASISELRSVAAVLGLSDPEGEPTATTNAALARSLSTLINTQTEAVNGLDALAESCDELVAQRMVESWEELPHPAIMWAMDFVHTALLGCGMIALLFIGYIKVDRWRANADDRAVVQVMYTGVGGSDGAR
MKKTSTKNSSRSLCMAMACKASWRSFYALLLPLLFLLLSQAAVKATGQQQQQWSQEACAPFSCGLFHDISHPFRRTTDLPQCGDRMYELTCDGDKATISIGSTHYLITHLSYKSGTIRLVDLKFASGSCGLPSQSLSPSDLSSSGFDHYQNGW
MSHPQLQQIQHNSALNISCRRGLAQKSSCTVILGGSATDILHHPSPLFKTTIAIVVKYKWTFSPEFLIEILLFRRTMFFTKYPEIFTTILFCVCICLVYLNMGMFWQTGQWRFLYLLETFKMVEISSRFPVLFCTHCLLELTVGSVSTLPGKRVRLARNGLFTTQCKSHSKPSSLVRRHFAKTSIDASVTRQNKLILTCQ
MCSSPVIAATATCPCYFSLVLVADAPGAVFTATLRPTAASAAAQRWSAADRAVLAAASIPRTAAATDYHVPGAGPNGSIAILYMVYGVRQTLNVVGEVELLVDGAPGAGARPRWGGPGAVERGRRSDPSCPLAAVWVLEAVEPACVARDIGVGGDGRALRAARCRPPPPTARIVGGVPLTDDLAAAFVVRVSSEHSMCSGALVSPRHVITAAHCNLWPGDTVELLRHPPDAEVLTVIATAVHPRWEPAKLAHDLAVLTLDADAPGYYSGRQTAGRGGGGGDNGGGGRGNGDRTPPAVVNHDPAVPAVGSGVRAAGFGITSPAWTRPIAPTAADDARTVDVQVVPDEPCTVAFAAGEKEDKALLWRGPPLATLLHPRMHVCAGVAAGGCDACQGDSGGPLYQSALLPRVRGSGDGNDTGRVGNDAPVFTYVLVGLTSFSPGCATPDVPTAYTRLSSYAGWIDSRAGRDGSGGRGEGEAGARRRREAPGGGGSAGDGGGGGAERGAAAEGPLGEEAAAAAGDGAAGGTGGWEGGADGREDGADDRGDGPAVSGNEDGVGGGKGGGADGGANGGADSEADGGADSEADGGADSEADGGADSEADGGADSEADGGADAPGAAAAAAAGGGAAGDGDGGDGGLSTGAAVGIGVAACAAAAVAVAAAAAGVYYGRARRAPPPSSPPPPPPPPAAAGAATAPAAGGAPSATAVDVGAA
MAISDATVYDQLIIAAKLRGFSPTNKSHMLEELCKLEARKAYADFYFEQWANSLEGYISKVWIKGISLPVLQAVNLMSLIFYGVPNFSKLLNTYKSSAKWYLLYAYVNIALAHALCCDLVNMILRIMNWFGDYQSLIKYTRPENFSDVACPLYIFVKQLLSFLPNWIVLLLLWDEISRKRFQKKSQAAANVEGRTILGHEEISRLLCSEAKSQSNRSTIARKERNPTAVSSENAVIRKLKESFCPVPFVVDK
MRRPNTEQTPRPLGSGRSRQSDQLVADLARLSSEHTAAEAGREHSRRLASVVDEAVRSVVAGHTPVGWAVVAVGGYGRRELCPRSDVDVVFLCARRDQGSQRLANALFYCFWDAGLDCSPSVRTVAESVELADDDLATMTSFLTMRQVAGDPDLALDVSRRLLAVARRKGGLPLARRLRHERDDRLARAADALYGLEPNLKDGRGGLRDLHELVWTAEVALGTSALAEMARLGYLGLDEVSHLEAAADFLLAVRNRLHYLTGRRVDRVHFAYQEDIARFLGYNGASGTDPVVLLMRDFHAHADRLARAADGFWEHLARDLSAPDEGLATRIGRRLGAVDRSRRAPTVSDGVLPPSPDAAPATPASVLALLGDAGRSGVRMGHDFVRNARSALAASGTPFIWTPREGEAL
MSFADAHKDAVLERVAVEPAVSGHALCEAITYVADDAVITACEEALRRAPLGEGGGGADGPRVLPERWAVMALGGYGRGQMCLRSDVDIQLVVPDGSADPGPFMVALLDHLTRHRLKTGHGVRTLSESLMLSRTEYTFATATLTARHLIGDPTVTEAVRAPVYHHLSGPGLASLVEALEIDREKRIRRHGDTVYLLEPDLKQGVGGLRDAQLVGWLGLVTGRIYDRAVMLAEDLLLKVRQVVHAVATFKCDRLAFEYQDEVADRLGLRAAPGEDPSVELMRQVHLAMRLLDGRARRHLEYARGRVQAPRRRRLVGHPGFVQLGGRLARADGAPPRTAAEAVEAVEAAARTGLPFEAGLEDGLETVARRLGPGGRESPQLNGLLLDLLLSPTTEASAALHLLHHTGLLTAVVPEFAPVQGRINRDLYHVFTVDEHILAVVDKLKAIGRGDHSDDHPLATRAWAHLDAERRPLVVAALLHDVGKGYGPGHHERGAELATQVAPRLGLTATENPRPRRRRPRHPRRAVPADPRRLVLGGAARLRRLAPPAPRQPLSPDRGAPADPRPLRRSDAPQR
MAITSMNCSDPGPGRLLEEIMRKRFLLVLGGVECRVLILITIDWVQIVSHLVVYEERRDPQR
MDAGVREEITRLAELAPLHNPAAVAGIDAAQARFPGVPQVAAFDTAFHAGMPQVAATYPIPWEWTTRWDLRRFGFHGLSVEYSVRRARELLGRAPPRLVVCHLGAGCSLTAVADGRSISTSMGFTPLEGVMMARRSGSIDPGLLLFLLSRRGMDPAALDRGLNEQSGLLGVSGISADMRQVLAAAEAGQERAVLARDLFVHRLIACVGAMVATLRGVDALVFTGGIGEHSASIRGAVCEAFGYIGLRLDPSSNGGSSPADRDIALQASAVRILVIAAREDLSILRQVKRVLRWS
MDNNASVLVMNAGSSSLKFAVFVGKQEDCIGRGSITGIGTEAVFDAKGSGFDGKEFPAVDNENCKSPSEGARLLLDWLEEILPDIKLLGVGHRVVHGGDLTKPTVVRPYILAYLKTLSPLAPLHQPFNLDIIEFLQQARPEVQQIACFDTSFHTTVPSIHTRFAIPRIWHEKGVRRYGFHGLSYEYISGRMKEVAPKAYAGKTLVAHLGNGSSMCAMEGGKSFSTTMGFSVLDGLIMGTRPGVLDPGVILYFLREAKLDEPAIERMLYHDSGLKGVSGISNDMSVLLKSDSPNAREAVDLFCLRVIKETGGLMSLLGGIDALVFTGGMGENGSAVREQILSALGWAGIEFDQEKNANPPRGEEVMISTPNSKIQVWIIPTNEEIVISRHTRETILSKH
GDLDPFGLLYLMEQENLSVQQAGKILMSKGGILGISGTSGDFRDIEERMDKGDKRSELAFKTFVYNVKRYIGEYLAALNGADCIVFTAGAGEHSARLRKAILENMENLGIVLDEGKNDASPTEGVISHDESRIKLAVIPTNEEIVVASEVKRYLEQKRSTK
MTTETALLEIPASHTLPSTPALMQRLVAEFDAVWVKNEQQNAFLSMAGGCVLFIAGDPVRFPECLDVAVVLPELQRFFPSRFRIAVAERDSEDGLAKRYGVTRRPALVFLRDGQYVTTVSGMLDWDDYIKEVAQALALPTSRVPGIGIPVVSSTTGSSCH
MSGWCKQWLWGGLLLAGTVTALAAEPVVVPEGNFEHPVLLDRERRTEWVASFRVDARPVNREDYAAFVEAHPHWRRSAVAGLFHDGGYLRGWSSDVTPDGAPEAPVVQVSWYAARAYCEAQGGTLPSLVQWEYLVDLRRRLNGLSDEDYANAVFAWYGEQRPAAFEDRALGLSGFIGPVNEWLEDYQLLLANGDRVDFGGGSCGDTGRLILRYDSAHYATLLRYQMRSNHAPETTASNLGFRCVYP
MPTPHQPKELISWEDGGMIPIEDGFGDDMPVSKNGPPDFSNLYVRTHFPETWLWIDTKTRSNTETTMEVLVPDTITSWVASAFVMSANSGLGVTTAPVERPWRNYIPNGDNSTEAPKVREVETVQCWVMDFQPDARTSVSIAWRQTVTQGSSHALQFLGRGNCWNPNQDPGPDSIVGSYSRRRTCALDTLIISPPQNLEPRLDSAAEAPLGLEPRFVFLSLNLAETGQKEE
MAPVRHEGREPVEVDRDAAVRVSDEDRELESRREQGRRGGVEAVHGGADDGESGLVGAVDEPEDEEGYAGEEEEERDAGVFSTTETEHQDNHALQGPSRFYHVQFDQKKHRRQSFRISTRHIIRSNTSSRKASKNSLIVLEKRAAATYYYYTCREFSSAFSGRFSNAAIKSIAPLEDSSYFSVSMLLKFEQLLRWDVPCIFACMYSQLPKETVYKYSAQELAKHAVFI
MLQINTTSQANIQYLRKELPALAGGSLERQDAAQAARAADHLVREDGGRRTATGAKARPDLAAPAAGALSAVVMPLLPAIHQMQHDNPDGAEVRALADLRAQAYAASHHPSLQGWDGEHALSATLPGGERLALPTRDELLAAKGLLRQAPALENEVDGMSKMSDAALLQGFKSDPRFAYILLMVTMLLKLAASQREQGAAMVTFADKSVQEMGQRMIGAAEERRTGAIVGLAVAATVGAAGVGLGAYAAAKNVKSIRTNEKTANAAQSQAEQVRVANARDAAAAPAGRSATDAQRAAAGDSSLHNQAMKAHTEHTINMTQNGVMQQGGYAISQMSQSTAQIANAEYDVKAADQTRQQEIERNNSDTFKSTSRANNEQQVADDEVRKDSLRKFEAMVQENVDTLAEMIRKI
MEYQLYDDIQKAACDGRSLEAVKEDIYRLWRGCFGDTKAYTDFYFEWKVPGNRILTIYKGQQISAMLHLNPYTLMVAGKKVESYYIVGVATRIEDRRQGLMKRLLERALYLMNQEKVPFTYLMPAAEAIYYPFDFRIVYEQEAWKKYILDAKETRKESLSTEGDITTLWLDTKNIDDLNRLTDFSNSLLAEQTTVYVLRTQAYYQRLIAEMESGKGSVVLCLQDRELLGYTAYMTEGGLQIAEALYHSKNKREFMSTMAAALPMDEEVERDSNAALDYHGPAIMTRIVNLNHFIKGLSAREDINLVIKVEDKILSENSGNYRLTFSNESCQMIKTDLIPEITMNIASLTRLFFGKLSLEELKPCITGKEPDIILNKLNKINYNAPLRINDVV
MEEKKSKVMSYEKVYTEKQQKDSYDLWKLCFGDTREYMDNYFAWRLKENQILSLYSDEAMTSMIHLNPYPLILSGKEIQTNYIVGVATRPEYRKQGLMRKLLTKALEDMYEEGHEFTWLMPASDKIYLPFDFRYIYEQQRRKITINKDNASVWSEEKESDVQAAAIRGLGNKEYQDKIDQSDIYIKVLDSSNSKEITRLISFVNKVLDKTQEIFAKRDEHYYKRLQADMESAGGNVLIVYNDKRIIGCVSYMLEGNYAEITESVIRKKYTRQIVETVVKAIAAKWTDKKDSIKNDKNTDEVKDNLEITFLESEFMDESVLDDFHFNGETKPIIMARIVNVPKFMKGITAKEPLSIIIKIEDPILDGNHNIWEFNFQNKIGESYFCEISSTDKIPEIVLDIGNFTEIFMGHKKITELEQNLSNDVLEKLKNIKFFHKIFLNEIV
MSNLPVSYVLDNTVDSAFDNSSNNSESDTIIIKRSKSSTNIKSKINKKTGSNILSLAETKVINPNEATKSTLALSPTMTSKTSTHINRFKFLDHEYLNEMINIVDAKFKEFELRFVDILGENFKETNSNGNISLDIKNIESILNEIVDRSKNNNKNLVNLNDNLTAFNSTISDRDLVKDDIINHIKTSEENLSKALESLKLGNTYTDKYNNSLKQLGELLHELTELKEIKSDLQRQKEEQEKSKLTIIKHLESIQLLNKDINLSQIEENLQKQLKSQNEVTTESFSNLLEKLELLLNKLEDINSSKKDTQLAALEEKLDYFRILTTKHNEDITEKLSKISTESIAENEKRITENNEALLKLQTESITKGIENLLKPYIEDTGDLHKCLKGGIDQLLDLIKNKISNQDTNEKFEEMFSNLKETLSVKSDKTDDFVTIEKLKQIFTSDIISSVADKTQQTELVKLINSLSETFNNSKEMQLKEHRNISDSISGYRDDQKTGIAELKTVLSKQSETISETHSQILDKQNNLFEFAQGLSSDIKKGNTFVEPLGNQIRSFEEKVNEIFSKTNTTMDEIHQNILNKQLESVSKIEENKDVIIGSILEHKNLAIDNQKEVIEFISKLAKKIDDKNEMSDQNTVDKQYKEIEKINKLLEVEREKVAQLTNKVNIYEQKSIYVEQIEDLKKDRNELKSEIKILNDDKIKLIGELSSCSNKYISLRDSVKELIIEKKEIVDELVKMRVPENFEIGNDGRLLKSSSSESTDSSNNLVNNIILENIENGSLFVKKRMSQKKKTALLSTGDGGNDGFYDDKENRALRDTVRKTRNFTGIY
MLKRWKACGAVGVVLMAAFGTPARAQPVDQGKAAFTLRYVASPKMTRTKIKLAQVFAGPGRFTLERGAARCKGPIGNAVVNSHYCFDAGDANSREPWVPQGVSHVSDANDAGVWHGRKPVVLSWYHRKGEEDADAVQLTFVDQNLEYRHVRLVVPKRNGKDYGQVAGVHTGGVLWYGRYLYVPETNRGLRVFDTTEIYRGKGADGYVMPEVGRWQTRRVSDSDDYCRGENPDPRFSYVGVDRASRPHRILVGEYCGNRDDDTRNGRVVAYPARGGKPVTRRGQAVPGTVLTLPGQNVQGVAYDGDSWYLNQTGRGRTGPSFLHRAKEDRGTGALRDDKVVEAPTGAEDLSLERDRGVLWSVTEFDDVGRTLYTMPKP
MANLNSSLQSTMICIIFPRHLAFHIFPHTQFKVRIIGHLLCPGASNNDGEEKLQHTGSPSNLTNVNVL
MPSATPSATASSAAMTGTSGGGVDSHTVIIVVAAIVAAIAAVVLLGWIARLKGIWPFHLLTPKDADFEDEMYAQQPATMPEPAKPRSTRPEIDYRRSTLVPAPMASPLYQVDGAPALPLEGNSSSSINKPQTDRHSRYSIRTASHASLGPTLLALPPQEMLPPMPETVSKSPTMPSSSRSGKMSSAFPADQRSATSASMPADARRPPLEPSGGSRDSAVFEGAGFRSR
MAFYKRSHAGYRRLLPLFLVIFSVALVLMLLIRKWAISDFSYIAVEEESYSFPSSSMETDNRILLNFGDFKPKLPKMNDFAISLDRRNFLPPRNIDLFPNLAENHINIVLYVHNRPQYLQVVLKSLANVKGDVQKKIGYIVQAD
MIKPIRSFLISALMISILSACSIFGGNENQAAMVQATPTLAPTTIELTAQADATSLTAAGQIIKYTYTIKNTGAAAVPGIIGLTNATCPPINTVGNLDGNLDPGESVVCTSQYAVVQADIDKGSVTNDVTATVNGISSNHAVTTVSKAQPSVLNLTKTATPMTYDQVGQLITYNYVIMNSGPTALGPAQFTVTDAGFNSPFNCGDANASLQPNGTLTCAAIYAITQADLDRGSVATSATVSGGGAAASPPASVTVTKGGAAVVTPAPNNTNLTAGSTIQHKVVVGEWLWQIARCYGADPAKTVQANSQLADPRRISPDTIVTVPNIGSAGRIYGPPCVGTHTVQAGETWASIAQKYNADALVLQIVNGNDLTVGRVLKVPLNSAGVAQPAK
MVFLFKSIIFFLVFYSTLSTAHISFDDKNRFIMSGNRMSVNIVNDGVDAALAEVSVSWGDSKNVDYVPLVVSNPLLKIPAGGRASVNILYQGEGLPKDRESYMLLNILDVPTAPHEPNNLQIALRHRLKLLYRPPLKETLDDAMANLGWKLLGNGDQIAANNPSPYYLTLTDIEITDQNHRICGEPIEHLMISPFSSTSLEIPNCSPASLRFGIVSDAGNMRPYQAELIPDKNNLGSRM
MARWRRRLGVAALGAAMLASLAPAARASLVITGTRVIYNAGSPETTVKMSNEGQAPALMQAWIDDGNAEAKPDEVQVPFFLTPPLARVDPGKGQTLRIFFNGYPDGKTLPSDRESVFWLNVLEVPPKATPEEGHGVLQLTIRSRLKLFYRPKGLSGNPLTAAADLTFKRKPNGVLEVHNPTPYYVNLQKLEVGENGAHGSKTPWMLAPLSSDELRLKGTGAKSVQYWAIDDFGGVTPYQAAIAD
MKTSIKSLISAGVIALGLMSALHAQASVVIAGTRVIYKAKDTETTIKLTNEGQSPALTQAWIDKGDAKAAPSSIDVPFTVTPPVSRIDPKKGQTLRIIYTGEPLPQDRESVFWLNVLEIPPKPTAEEADVNKLQLAFRSRIKLFFRPAGLEGNADDAPGSISWRVTHAGGKPALEASNPSKYHVSFANLELVGGGKTAKFEEGGMVGPGEAKTFPLTGDVAQGTEARVRYKAINDYGGAVSGEAALGSSATKAASR
MTIKVSNEGKLPALIQVWLDDGEQNKSPDKIDVPFILSPTIFRLDPGKGQAVRMIHSGEPMPADKESLYWLNVLEIPPKADNADERNKIQIAFRSRIKVMYRPDGLPGSAEEAAKRLKWSTVKMADGKYALRANNPTPYVVNIGSVSIISNAKHYDAGSGYVLPGEIQEFPIIGLNAAPGIGSKVDVGVINDWGATLNLEQSVSTIK
MKPLAIALLCALSVSAAQASVVVGGTRVIFDGQQKAATLSVQNKDKTANLVQSWISPVSADSAAKDTMIITPPLFRLNAGEKASVRIVRSGKTLPDDRESMFWLNVKGIPAMEGTPAKNEVQIAINSRIKLIYRPASLRGNVPESVADKLTWSADGNAVKVNNPTPYYMNFSTVTVNNTPVKSATFVAPFSSADFSLPKAQNHGDVKWQIINDFGMRGNEHTARY
MRCVPPSWRHDLVAEVDLIEEVARLHGYQALPDEIRPYRPGSTLDAPLWTVAAHLRDALSALGLLEVRPIPFLAGGENHVRLLNPLAENEAHLRRTLLESLARRAEHNLAHMVGNVRLYEIGSAFAPGDSLPDETIRVALLVMGDREPAHFAGPATASYDAWDAKALAERTARVAFPGAEIVLQPTEDDDGRLWSVLIDGQSRGEVRRLTLDAPVWAQAAFGFELVLGTMSNGDVAPPGEHAHGSSEREAPRRPRPYRPLPNTPAAEFDLALLLPPGVTAARVEQVIRDSAGDLLERLAAFDEYMGSGVEPGHRSVAWRLTLRHPERTLRDKEIEGRRTRILSALQQELNVRQRTS
MLTRNKSAFTLLESLVTLAITACVLLTIEFCLPLLHTNSNTPPDIAFKGVIHQIEIQRYQFVNANEHQVALKSAEGKRMLLEVKNNKLQLSAVGAGQIILQQKVKSLTVKNCRGYFILKLLTHDDQTVDALLYLPKEK
MKGDMLKPDTFSEHSLLGFPPGFCGLLITFNRFHNYVAGELERINGSGRFGPNPRLSEEDAERKIDKDLFNTARLYCHMRPLRQYHLSDYTRTILNLNYAPDSSWVLDPRESFSQVLDKVDFPVSTGNQVSVEFNLIYRGHSNVSANDEKWSQDLF
MFDGSAAERIKERRRNAVDPEAFLLDIDAIQPTDGEEGLQFTPKFAERVENRLNRLQVDGVEPTDIGSIFGVSDDNVSKSDRSYPAYKTGSTVRSWPSAGAVQLDVAVDKSIRAVTDEWTDVPSRQRYRILQSLRSFQEQCLFCTGALSISDQTVESCCSNVEVVTISCTDCGRRFLEFTPDSVPEV
MNPTLRNWLIILVVGAAIWFSPIPTGLKPDAWQIFAVFVTTVHGFILHPMP
MHESHAGYAYCAVGALSLLKRPAEGPWSGESHCGMDVASLVRFLASRQLPYQDPAGGDGDGDGDEDNFPQPSALSRDAVAGLCPQHVGLNGRCNKVADTCYTWWVAGTLDCLRRDQGGGGGGPDVKIAREPARRFLLEKTQHVIGGFSKNPGGPPDVYHAYLGLAALAAMEEPGLKEFDVTLCVSLQTVANIEAGRRGLLKRYSAGDNNMASDKVVRLGELMAGCEAEKLVGNGAGLETAEDGQLLELLLAGRMLLTRT
MVKKFMMASSLLALISGPAYADGVTQNCILTGVVNKSIAAQRGLDVYIEFKKARPASRAESCDLSKGQTLKVDETATTGLEALPHGTRVAYQYTVLADNSAQWERLSPLL
MCTTPPREARAERSEPWYLLASFRDGETRARILRARDERPRSATQPADGDHAGRHRHEENDGPIVGRDEVSQSV
MEAGEAGALGELAGLRAGEAVSSGEKEARSEGGRGSRREHRPSGSGHRHRRERSGSRTAPVRAGSTLYGYTKHTAYVHESTKPDDLDIIVSKVTDKLSQWGKEFSDRAAEAKERKREERQRKKCEAVKKTISAPRPVDGSDDAVVDGEWTKPGRGRPGVQLSARPSFGSEGSGRPLLDASKTSGSMAERMAKRSNGFEVPFWPRDKKDKKTSAASSAQASPQQQKYTLPRDEGYDRRGTQIGQFMDPPADLAAAPWNQHVNKANPFSPSHSDKSKHQQRSNSPSSFFSKFVPSPTSLFSSSSSSSHNSSPSSPRQKLQRRGSDESFFGCVGISVNEERAAQPVHHRAREDRQPKELDFRAPPAAPEGVRELFARKSPPKLGQFCRTAGGGGGRTPFADSDDDDDDDAKFDDAYRQPPARVSPPLLLNAQHCPTVSDPAVDRHSMAAELVRGPSRGDQRGDDGRNARASSPAVDDGRVSWWDPPSPSPSLPPKSSSRAKGKGRAGEAEAEPEVYTPPRQEWKKIGETGAGEAARAQYWKDYRKKLRDPEFSRGSGWDEGRPF
MKKKNRMILIICVIVAVIAIAAAALYYFVFRNSSGGDANNVAYVDSVSMLAGLGSGTGVQNRFSGVVESQETTKVEKSADKTIKEIFVSAGDEVAAGAPLFEYDTEDTALKLEQERINLESIQNDITGYYNQIAELQKEKNKASADEQLNYTMQIQTAQTNAKRAEYNKRAKEAEIETLENDLTNATVTSPMDGIIQEVNENTTYDNMGNPKPFMTIMASGEYRVKGKVNEQNVWNLSEGQPVIIRSRTDENMTWTGTISKIDTSNTYASTDSSGSVTYIGGSGEGGQTSTSYAFYVTPDSSSDFMLGQHVFIELDNGQENAKEGLWISAMYLELEDNDAYAWVAGANNRLERRHLTLGDYDSGMDEYQILDGLTAEDYIAFPGNLLHEGMECVLNDGTHTNSSQDTDSMDLNGIDGMDGSDLGAGDMLPSDDGMITDDGAAVDDGMITDDGAAVDDGMITDDSAAVDDGMITDDSAAVDDGIITDDGSVTNDSSMMDDGPMAATQAE
MDTVNLGSAGLIDEALFKLEMEMYMDRFSRHVEEAAKKVLLVEEKELSDDNVRKVMERIAARYVAFKLFRTEILKNSLKY
MADRGTSFDRALAIVTAFEAAGETSAARMLLLTQIQDLIDAADAARAGASKGDLTMGDHFCIVWNPDGMRPPRFRHDTVDSATREAIRLGTENPGQTFHVLENIGHAKTPAAVFTSVYPTHLVITESNIGFVTAGAATGRAVAFESTPNPHGDDIWQQFRNSRGATHIIAEADYIPGVKLKDGGPEDDTLERAGAEVIRLRTWLHRILEATSGSGGISPRQRAIIHTACTEALTCEPPPFPNQAKASLPGFEDSRTNEVGEKPWLPNDDGWIEWSGGDNPAPKETVDVLLREDRVARKFKSSGLVSAVWQWNWKGDGRDVVAYRIRRRYKEAAPHDTNSSLVFPGSISDPPVYSIRALEHGEYLPGDRVRFTHRSGGRDLGVGRLGTVVEGSDTSRNCTPVRWDEIDGRKEECWGPYTGSIEHESLPQFEVGDEVEYSETSARLIVRSIDKFGRLDLVREDDSSPAALVYPTSVRLICPVQRLGRAG
MGELNNMRKQLENIPTKEDFEKLQDAIASLPTKQDFISLNQKLDRILKRQNNKMPIKPDCIPLNSVEEVQNFNNIDEERYDEVVTYLKFLGGQTLEESVKFCMKQIIMDEALSKYSLWGEKDRNLELYNTQLLYAVYEAVATSPYFMVPNQQQFYDAIKEAIRFAKQRIRNAIRRKPGEKGRRTRRREEADAIFGINRREEEEIEDREEREGGGESS
MDGLKAEFAEIKEITVDRTRKAKLESFQKKLAGLQFLDPACGSGNFLTETYISLRRLENETLSLLHRGQIMLDVGDPIQVSIGQFYGIEINDFAVTVAKTALWIAESQMMKETEDVVHMSLDFLPLKSYANITEGNALQVDWASVVPKHELNYIMGNPPFVGYSLQSKAQKDDILSVYVDEKGKPYKTAGKIDYVSGWYFKAAQLMQGTAVRTAFVSTNSITQGE
MNRIYFSYLLSLTLPIVSLLALVNNPASAQFPRNIILEPLETYPVDVSPPSEFLQSEIIKCGIDTDIPPGYVPVAYLYYSSCSGFNINATKYQLPYSGQIICGVRSTSVYPTPSNYVPVAYSYTSGCNTASSLLGSTNSTTIATPFSGQIICGFTPPPGYIASATRYQSSCNINNIIRDTNATLIIATTPPIPIKRPLPCGVVRVPLPDGSGFYWVGQRCIKIFD
MSAAFLIFATLATAAFAADSSVVPTPSVAESLSPSISASPLTTLESTTQVSSTTSGSVSVSASTTAASTSNAADMVNVGPVGGVAGLAMGIAAWLV
MNDDGTGSDEVESWLVEPTLADLFDDDRLTEQYEAVDDIELRDREFEYTDAEAVFDNEEELVVYWPLEDETGVRDRTGVPAIDLDWQLDQRVTWEFSAENERAGGAERTGTASTDLQISVLTGSFDPDEIETTLENWADDRFEEQTEDEDGDEDEDGFSSEGDRNGYELYEAGEYGFAVGTDHVVEIQTDPVVETMAALEAVLNGHERGTDRWTDDEGVQDLLDQAGVGHLSEAELHEARNRENLVAGRVEQRFGVETADADELLEHRVEQRFGVATTDTDELLEQHVQDQYGVDDPDELSERERDRLRETIEREFASLEEEVDRSLESIEESIDVEEWEDGLEGSIRSLEIDGETTELTTAFLYESESAADVDSLHEYVGSNRDVSDRWGTLNDYEVDASGRVLVVSGTIRTRSLLF
MGDFRFCQRFLSLLPIFSPFALFAVLLLKKYERVNSRLRGNEAAMTKNID
EDEEITSSDEEPEVQKKPAQKIEKAAQKPAQKAGQKVEGSKENLEEIDKLNNEIEYLEKMLGANSSTKKSNLNKRMEEEGLGVGFLDFLDDVQHKIKKPLKKQDYSFNDQDKEVLLDEADLAKLMAGGAKDDDYQEGFSDLEESDLASGGDGSAEESLADESGEEEEEGEEGEGE
MLVVELSKISKAKTMPTFKSPKVALLWRFGCYGNPFLHHNGDVTPYYGAFVLGDNHDMTTTISLCVYIVNLTIA
MYEFKRDEYGVILEEEFVKAIEEADNIDEVAVLRDILESDDVYGCLNCSTLLSVKESDYGL
MSYYEGVDDADIKDIAHMVMNNIKVDQPHRLRIPHQRDNAINGRRHLLQALKEFSVRMGVSVSAAMAST
MKYLLTGGGTGGHVYPALAIADEIRRRQPDAEFLYVGLRDKLEARVVPGRGYPIQFVRSRPRPRSASILALTLFGLSLMLGILKGMGILLRFRPRVIIGTGGYVSAPISFAHGLLSKIGLCRARVFLYEPNAYPGMLNQAVGRYAHRIGLAFEQAGRWFDMKRVAIVGYPVRRELLEIDRQEARRKLDIPDGKKVLFAFGGSGGARA
MKYILSGGGTGGHIYPALSIIAEIKKRDVAAEVLYVGKKDSLEEELTKKENITFEAIEVQGFPRKKLNWQTISTIISLFKGLAQSDKIIKKFKPDIVIGTGGYVCAPILLKAQQMGILTVIQEQNAFPGKTNKLLSKRAELVALNFEEAKKYLKYKKVIVTGNPIRADFNQIDKVKARKQYAIADDEKFVLSFGGSGGQESTNEAVLDILKSDRKIDFKLYHVTGKVHYKNFMDQLRGINLNDNIKIVEYSNEIPSLLMASDLVIASSSAMTLAEISAVGVSSILIPKAYTAGNHQVYNANSYKDKNAAEIILEENLNADTLYKEITSLLKDDKLRNVMAENSKKLGNPKAVEILLNEIELLLNERK
MSGGEHRLILTGGGTGGHVYPALAVADELKDDPEVKAILYIGAKGHLEERLARERGLDFVGFDVSGLPRSVSPKLVTWPFQMVQAIGQCSALFGRFKPTAVLGTGGYASAPPLTCAATSGIPYAVHEPDAHPGLVNRLYAKNASVVSCGMEAAKERLVSKVGETVFNGNPVRKGFVHMIEKEKAARQLGLVSHLPTLIITGGSQGAQAINDAVFAMLPTLFSDTNTMQVIHQVGEKNFANYKEQLPHEILTSARYCYRAYFEDLSVAYALSDLAVSRAGAMTISELCVTSTPSIFIPYPFAAQNHQEHNARALEAKGAATVILQKDLNPGLLATTVKSLLFDREKLKKMKEAAGQMGKPNAARDIAEMLKRLSSGYQSKSS
MSTVVIMAGGTGGHIYPALAVGTELRARGVNVCWMGVRSGLEDQLARTAGFEFDAIRVKSLWGKGLMRWMTLPLWISISLWQSVGIILNRRPDLMLGMGGYVCGPGGMAAVMLRRPLIIHESNAIAGLTNRILAVMAMRVLSGVEKSDLGRKAVFTGTPVRAEILAAARQKPAFEINHDQPLNLLIIGGSQGADALNQVLPKALAGQPEDLLPKIKHQTGSGRTDKVRSAYQISGIDAEVVDYINDMAQAYVWADIVVARAGAMTIAELSAMGLAVILVPLPHAARDHQRANAEVLMRLGAAIVCLQNDDFESKLRTELMNLISNRERVRELAERIRANGRRDATNAVVEYCMEALET
MSSGDQEIRVLIAGGGTGGHIFPALAIAGAVGSLYPKVRLLFVGAQGRMEMERVPAAGYQIVGLPIKGMPRSMRPLRLLQFLWAWWRSNQKARKVLLKFRPQVVVGVGGYASVPAVQAAQKLGIPTVLQEQNGYAGKANQLLARKAKRIFVAYEHMERFFPSENLVVSGNPIRNFLHGKLPEAQAARRELGLPVNGKILLVMGGSLGAGTINNAVVKNLSLLAQHPEVTVFLQTGAGYHAEVVQAVSGYGGENLKVVDFIQRMDLAYAAADLIVSRAGAISISELCVVGKPTILIPSPNVAEDHQTRNAEQLEQKGAAVMIRDQRAVVELLPSAFALLEDAVECARMGQAIQMLAHPNAANVIAGSIVELAKEELRNE
MKTSDRIIGGIALLSVAIIVIKLAGSMFGYDLIQMAVDATRPPAPAGWPNVVAN
MSRSSAASPPAGDRPLHLTTVQTLVGTALFLPVAAPGLPALVRADPATWVQLVYLALFCSVFAFLAQTWAVQRTSASRASLLLGTEPVWAVAVGIALGGEHLTPLTGLGAALMVAGTYWGQAVERAHRSTPGPLPPPSHPLDKDSACPTAPITPPVGTTPAGTTPTTT
PVSAVTGEGIKGLLLYLSARIEGKK
MARFMNRQPKFSYHCCTSASLLRCKTPLTGFLASELSHEVYLIGWYQELNVVSGFCPTPGSALASHMDVDKVAFSGSTETGKSPLRVCEDADVDKAGELAHFALLFNQVHSIYAISFPFKCAM
MPPYGEPDWASPGNTSASNNMVTVNTGSSNNNNATGVSSGGGRPEREKTKCWIAALSLLDLGLAAMMAALGLLSLIEMDFKELNDVTEAFLAIYMVVFAVLLAMYEFAWWQPVPSINKTFRKNFGFMYGLKGKGFYLIFIAFLCIGMWKDETTAVKGLDWATGLAWLGAGCGHVFLSCCVPNADTLYKPSTAGLEESQQENVV
MVLLLNREKEDAVISRLLFEVTEQAVLDTSEGSSQDEDEEDDFFKALMSPGPTATEGTNSTRLSDKMGKELASWCSEKQRNKLLEQAMFPALSHAVWVDVFVKYNTAIPSSATVERLFSQGSDIIKAKRASLTSDNFDRLVFMKGNMLNVEISPENSE
MVTRRYLLGGEYPSEEFVQASLEKYFFRQGFDIDTGSYIDLICRDKESRDVVWHIEVKGKTSQPGLDFRTCLGQLGVGSG
MRKLLLVCILFCPLVHSQTVPPPPPLFAYEVDYDNDGTVWLDLELFTQFVTIPRHEDYYDIDLSGYTVQYDDFNNTILSGTVSFTQSLEMYYNFFYSGPEYDTAMLNNTYPAIYVERLAFDGDWDTDGIINGLEDTNANGFIYDDDSDSDGIANFEDPDVLSVSSNQFKEVVIFPNPANSSLNFYAVKNQIDFVKIIDLTGKIFLQKQNPAENIDISMLSPGVYMVRLTFGDKTSDQKLVVK
MSNSGGTFQEMWATRPPRIEISGDDGQLAGVCEGIAHRYGVNAQLVRLVFLIAFFIGASGAFVYILCYFIMPKQGRANAPVDVFLQPEAQLPPEEKGNRVLGWLLSITLLFCYVPILLQFPNLYGLASVCSTLALMGSWWLLHQRQPEPPPQLRTTHNYQSGYAQPDYSTNTWSPSPATLETRDAFPLEPFLFTVLGLLGVFTVMVGKAVVTDDWSRFGLTEMFIDEAEDIEPLDRNLGTIKVNMWELGPLDEPVELDVNGTVGSIEVLLPKSGSPVDVTCTVDVGETDCPAERVEGGGQLLTLKLHQKAGSIRVVEPAAP
MLVSFVVCEDGSIIDIKILESSGYSLLDTNAIKIVQKVSKINL
MLSIVRLLLIACVWIMTMVMPALSQGQWNDVSDRLDGVQNWEELCTIEDCSQFPEGYATQVIGPEIYYFSHYSILTEKPPAVYSIRPQRFVDRDVSGELIRSFDYSDTMRLPWCCYYLMSFFDLGEDFPAIGKFEPRDKIVSATVKLVSWDAGDGSITSIRRMMRIDEPYGSPPPTFDEIFLDELDSLNDDFWLIDFQPASADNIPPRYFFISKRRLFNDRFIAGGCYRRCSFSTVTFAEDGDNRKARFSVSGLWIAETAEFHCTEDGSGRVCNDAPEALNQIDEFLERLELLFQTAQMKPG
MNHSKKIYTLLTTVLLLVNFIVHSATDHNGSPVQVAKEFYSVYMDYYFRMVPPDATIFNSMMNKYVTPRFNKEIKESRICEGGHDPVCNIDGVEPGLGYVYIIRAQDAYDDWKEIKAEIIKSGKERSSVKVYLGRRETQQIIIVSMIKNNGQWQIDNVSDFRPAPYRFQ
MKNNLYDHGLIKSFMTFGGVVPEIKRFDYEVSYVDQSRIYGLDNNGKLYNKKKIKIKKNKMNHILGSGDDKLTNKEYVKKLDTAIPQLSAIVPVPNKTSNLHSIDTSKNDGISVKTELDSFDILNINSEEEVAFTIHKLPSLLDIHFKRLELENIQKLSTSIKPSERSTIKYSKEITEIAPQLIKLWKNYIADFQKQINKYNLPKDFPMPNFLKNNYNESDDPVEKKSLKSSKFDTVSSQLSFEKKYKNQSKDNTNNNYDTSPIFFDEPKQLYIPRHTESHEIPENRQILVKENFSSISNVSSSKNMSFYEFIGRSQKLDPWKPFQLNPKLCENIYKASYKKPKEIQKCVIPLFLKGKCLDVIGNTGCGKSVSYIISIINQIADIKSQTGYSNINRLPLAIIIVPTIEIALQISDEIVKLSSDINVSCSRVIGGFSFECNINEVFHECDIIVTTVGKLCKMLKAFNFRLLKYLIIDEYSMFVRDKISDNFSKLMLHFKNTLSKMDVQVSMFTSVSSSKVARFLKSCIGKDFTTIDSSKNPEFNKINYIFNRKTIHKVYFVMKISDKPKLLLKIIRNIIENDINTNSLKCTRIVIFTEKLSWSKELTNYICNEMPFINCREFNGKLTLEKRKDYLEEFQKTIAKIQVLVSTDFVNREINIYSLNHVINYDLPSYFGSFHHRIERINKGIVHTIISKNDSYDQFCIPNLTNFLNNIGQLSDVLKENFDDMLRNSTHKY
MITVQNESLIQPYYKVKILKGSGTSLSGEEINGSDNLQFNIQEISITEKEREAGIVELTVKDSTGVYDRMLVNGVLLDIEWGLLDTKDYWNPIGSSEISGSRKRRIKCAIINRSQRIGDGASIYTFTARTGVPSSGLPRIETYKSGSVQSLIKKVGNRIGAVETMVDFEGMNTVLTSKNAVTQDNINDFRFMFILSEKYGFNLSYQTDEKNLSKILRIYCLSLSKSQNLDLNTFRGIKGQTHYFNYGDLNNSNIISADLDANVSQVGSNAELTTGPDGKPQLVVRPAKTESVDVYELNMDAINREMKSLPPGDRIKRVLEVLNSGSEEFMADLLPKYFRKSKTTTAFEGNGYTAKMEIVPNPDIQIGDKAFLGNGGSRDAKSVIAPRFKSVQKGGVIQKNTLYRVVEVSQKIGSSGYTMSLTVAR
MKISQLRRQYHERICNEIIRIKTGTDRKKQKVDYPNFADVGNDSSIQIAWEIFNKCSGIKLKIK
MNRVENHELGGEKLAAFTRTTAEERFVRALYTSLDEAIAGSLERLRSRKGITARCGIGCSHCCRFRIPLSVIEAHTLSQHIKREFTENQIETLRMRTLQWHAWDGSRPGRHPSGMIEQQADLSGYDHCCPLLVNGACSAYLARPVVCRTHFVSSHPWYCLAANDPKSTEDEPVAITSILTETSRFSMAIKDHVEDTGADFCRSVMLLPHWLAIQMGWDFAFPL
MYPDEREEEALGPKMISTTSLQRPSLATVDAQLIYASTSAAATAGGGTINRHELLRKIYGSSSAKESMKKKSKLNLKPSSVTLLPLNVDNDLQKREHEKRPLSALSAYAVRGDSYGRSPSREKIDDLQEKLMNMKRNYAIILKENNVLKTRLRRCANEAAKKDHQLRNVLISQAVFYLRSCCLCLLYCLLLAAFDTVKPHVPGPSDDRQTIVNSLQQKNLKYEAMIREISDEVNRLKDDRKAMKITDLREQIISLENECQRLKKCLNSAAPLSREQTLIHREQLSTLHNSVEQLKNENEQLKKRLEKIYRDSEAVTASLSTCNRADLIALVVRLQMQLKHRNGMMHRDLNAFGLSKRPITNHSFKIGDEQKQRESKLVKELDKAQQRIRAKSVVIQNLRKEIKALADEKNKNEAAANDRKTAKNRISSIQQRCATGAEKIRISNKKNLSDSLMNTTAASQHQLRNSTTSDNQQSLLSNHTTTMANSLTPVHSTDLKNLVNASEPSASRQSTASNSDSSASKSEDSTSSVSSSSTPSHRTLRNRASELSASTDEEVHRKAEEIRYKNAAKTIQKQWRLHSNRPQQPNSSEATDRCDMSSSASATITQDKVRDGTALDFVIDMVSSHFTRLQLLDKLQ
MKNIRVIFEPEKIQVEVPSGSKIISAIEKSGIYFELPCGEKGICGKCGVKVIEGAPPPNETEKKLLGEKVKDGWRLACQSRINRDSTIFLENRAASYHKILTSKTLHGILRKPQIKKKLINVEKITLENPVSLQEHIARHLGVGIEKVSPFILKDVVDYNGAAETVVFHNGDPLAVEKGDTTSHCYGIGFDLGTTTMVLTVFDLIECKELISVVRPNPQIKFGDDIISRIDFSLRDDGLEVLHRIIVDEINRMIDEAAKVSGIENHHIYQFVLSGNTVMEQIFLNLPLNSLSKIPFNPLMKGPVEISAFRAGIHINPEGIIFVFPVLGGFVGGDTAGLILTTGIHKSEGIQLGIDIGTNGEIVIGNKNGIIAASTAAGPAFEGGRITFGMRAQTGALERCWCEEGEIHWQTIGGNSLKGFCGSGLVDLIAVLRRSGIIDEAGRFQENSDSVFSHMLKNKNNGFVFVLEPEKNNSVYLTQKDIREFQAAKAAIRSGIEILIKKAGVDPKDIEKIYLAGALGNFVNIENIKNLGMLPGFPTERIIPSGNTSLASSLLFLCNSELRKDIAEIIKKTRVIELSLYPEFQEVFTDSLFF
MESDRYDQVSYSQHGLFVTAKGDTEWPIQSQADRRLNQLRAGPHRLSAGLKRLW
LGWVELKNTGSAHTISPPTQSVADMQSSPTHSLSPADQALLDQIRSKVEALDKANGRTFDDTSERMSTSLLAAAKDAGITRADHIVLSRQTDASPAAHNIFVVQGAMSDPASLRTHMATAEAAQRPVQESLNQVEAIGQRQAREQADMQRTQEQQQRVWAPSM
MVESDAASKMGRPSRLTATEIVAGIAGGRLTCERVVRDCLEEIEAREAQIQAWQY
MDAAPAAVVTRTSEPKVVLQVLLQSEDTLRVTPFKEGSVVESTIKTSRTSHFHSGLVAERAREVLSILARANAGAPDLLAELVSAGQDIWTYLLPDILREKFDSAHGRNLVLHLDRPLLGIPWELLHDGDEFLGRRFRMGRLVSVDAARGDVLPRSMSGPLDVLIVADPCGDLPAARREAAELEAVLEQAECMGRVTVLSTKVSVRALRDELSKHDVLHYAGHADHMEGRGETCLRLADGHLPVRLLDQLRGKVTFPGLVFLNACGSSDATQAMAAGLDPLGQASGTASSFLLGGTRHVVATLWEVRDEVAREFALGFYQSLGRGEMVGEAMEAGRSAVTTRHGAERLLWAAHLLYGDPTWAPEPGANLNFDDFDVLDGLEARHREELLSPDGPTRLRAAAMLLRLGDRSVGPALRRDIELLERWLSPHASRAEARQAAFVVRALAGATGLSPAGETDVLPDVEAVLQLLTRL
MICSILETTRNHLPLVVFCGLVLLVAGCGGNDSDPKPVDAACADVGSVINDLASDPRNVVYGFYTDFEPMSYADTQDRSDPAFHRPLGYEPSLIEAVNELSGEWLQFRPIGIGEEFSGIWLLSAADPHNPFDMVGGGITVLPDRRYAQGDREREKPLITFGDGHVKIFQSLLVRNESSINSHDDLVSSHTVGLLKGTTGESRLLQLAEIADARGYLRPGTIITLEDDSTLTTEEQSHRITASESTTTPGIETRKRLVGPGDDIPEIQYFSSENEQIEAVTDGAVDAVARGEVGNQHAADNSNGELRVTAVDRDNSEDIAFSYPHTPEGDSLRGIMDKLINCLTDGGSIGFAQWHENPRIFLERAERNAP
TVHTTRRNIQPALCFLHLLCVRLASHTQFPNHKSHTFPISFATLTYLRWRCVLRSLSLSLSTPTASLQRPILLVSSQCSHCQRLLSSPSHSRVPTQNSCYLQRIKKGNQTTSKILTLGGLAAFPLQIKTLQPQILGRMAVSNNKEARNSVTCAACEHQSRKCRERCIMAPFFPSNKPEEFQATVKTFGISNIANI
MKEDAIKAFSKATNILKTVLGTGHVRTMELMATLQLLKREQNAKALNVQAMAMKVQGDSEKAMQLFQKSLLIYNEMLNAPHPYVAAVHTNMSAPVFIEMISIL
MNLLYQCYLCNSPGGFLCEPCAHALLNEKCRKVTQGKCSTDSLLSPHCYTDRIGQIIQVAKQDNRLRAAWALAKYTEHFSRQALGQDAWDLILPVPSRWRSLRSRGFHLPRLLASAIAKSCMGRALSFIECYFILYSPFRLTLPQKYLLPAERLSNAENAFFCLPISLRDKSVLIVDDVISTGSTLANVVAKVREHHPARLEAFCLAHSHRHVSVPSRYDQSTRTT
MIGVNTVLRNYQIFTVPFSKWNYSSFRETQHCQDLEERFILIVLLMWNSTTSTTCVLTVNIYNIACLSVIFVLNVRQLSIKCYNKREREKEGGPKAYNIMFSKAIKYERSTALQLSAYVLGKYTDLVVAILILLSRLQYLARCIMQLTVYIA
PPREIKALLKQLKRLQNNLGLFQDLTVQSNTLQALCREMEDAGDLSPASIHAMDVLIDELHKRRRKSRQAFARCFKTFAQKKNRRRVKRMLARAAA
SSVSSLFLPQHPGISSIRSEKQGGQQCEQPLLTSAPWYLQKVRKGGQQCEQSLLSSAFLVSQVLDQK
MADRSLHLAALILLGAAVCGAQPRGRILGGREAMSHSRAYMASVQVKGKHVCGGFLVAEQWVMSAAHCLEDVADGKVQVLLGAHSLSQPEPSKRLYDVLRAVPHPDSRPDTIDHDLLLLQVWRAGIREARLMERPGRAGEPPECPPQESGRGLRQGDSGGPLVCGGVAEGVVTSGSRVCGNPKKPGIYTRLASYVAWIDGVMAEGSAA
MFPYIILLLIGLSLADGMKSSIIGGKEAIPHSRPYMASILRSKYHTCGGMLIRQDYVLTAAHCLNRSDFTNRDHFEVVLGAHNINKVEGGQQRIPVRKFIRHPMFEQNNKKDYSYDIMLLKLKKKASEKSP
LKKVVPCRLPLSAGAAPPIQSRIIGGWECQKNSHPWQAAVYHHGLAVCGGVLVHPQWVLTAAHCFRNNIGVALGRHNLGAEEDTSQLVPVTYAFPHPLYSMNYLKSATSTDDDRSHDLMLLRLSEPWAESWQMGKXSSHCGWVGGTSAPGGWLDTRDGQ
MEVKAHSRPYMVSVQINNMHVCSGMLIKTNYVLTTAHCVDDIEYSGKNKLEVVLGAHNISQKEPQQQRIKVKKYIKHPCYKSNERPNDIMLLKLKSKAKLKNSSIAGWGRTKQNSAASRVLREGDSGSPLICGNEPQGLAAYTYPHNCLDLQYPEVYTKLSYFLPWIKEIIH
MGSGVTSNKDKSLRGDQLRFFNASSLAQDSCSHSELPHITTLRVLKRRLDSVASFMSHSLGVPLVARSVQLARYAGTAGERYVKHADSGPSNPTRTLTLLLYLNPNWDVSTMGGQLRLWPSGEDGSPVEVEPLMDRLVAFSSATLHEVLPSHAPRSALTVWYHSPDPDAFRHSLADRALRPTIEHWRSVPASPFLYLHPDTTSRIFVSVPAYRDLDVHSTLASLFGRASNPTRVFAGVLYQDAPEDAGMHDPTLIESWKGQVRTRTWHARDAQGPVVARSEVATMWEGEEYYMQIDSHMRFGKHWDLRLLDLIRRCPDPARSVLTTYPPNYEPEAETENRATPSDCVARGPVILYVSKLDDNGMPRVSGRVFTLSNPHAIPPPPLSNLLNGVSGSGDPQRDAQRQYGLLPSRLASAGFVFAPSSILNDVPADPTLRHLFFGEETLWSARAWTRGWDLWTWQPAAWPVRSEPFKLDDLVRHRWSRSYRRTWVGDVKDAGGTDGDNGQWSKERTATHAVVKAVLGMPGGSALDEEHALGGVYGLGTVRSMEDFGSWSAVDFARGQVKALGV
MASRLILSALRMCKDLALHTLPATIRPTLATIERSVTDTSYPLHILVHAVRRNIRPGLVFEIVMAKLACHDSLFFFFILFLVLVSVLVSVPVLVSVPVPSPVPVLVSVLVSVPVPSPVPVPSPFPVPSPVPVLVSVLVSVPVPVPLGWLEVLEFARRRALASSDTVPYTRKLCRVRCIHAWNWVAIIDEGEYIPSKEVAIGIEFGEAGVNVGVEQQRTTKVYARQRRLLRGQICVVLSA
MTFFLKCVRRNIGQTGSVVCLSSTLWFTRNDKTSDEPSHNTAFNYKEDELPPLPANPPNIPSPSLTHAFLLKQASLLSIDSSSALLNRTMEALFDLNRSYCKHMEELAAIYDLTADKLPLAFTSAELYSKLVELKLSIKSERDELQALILMFEYSKKLMESSIETSFLAGAEQASTHASQYLHTAQSTVEKELRRTKSIEISLRLAEKYHIENAEGDAKEPQENPRVLDKESFVASAQELKPTPAITESSTEIQISQYEEKEEDTDDKEKRGKFSFLFNSDEQVKISIKEENEDDIVISSKTEDEEPVTEEEEKEHKEDDIENAPYKMPTY
MSTIVTEFDLATSSSSSTPSAAAKAKSQRADFAKVLAEFNKAATETQYERIRDGILKKHKMTEQEYSVLTGPEKDKINDEIQEAVKRAALQSRGMSATAASSVAANGLLA
MGRLIALIILLVSFQTYTKKAEAKLSFWQETALSFDDYLRDTIQPAAQKMYEDYYDRWGKDIGNQFLATIRSVSSFVKETSSYSYKYWSNRYPETFSDLERVPTPIKRSYEKFILTLWRSLNTVEQATAHLPNEYKDASEFAVLVINAIKNAKQHPQQPQLDALLRQLRPHAADPEMRDCYQVQVIELGFNNAFNIGCNIFVTEKMVKELPDEQLLAVLAHEISHGDQGHSVESIFSLATSTGAHVSQLIMDELLWMFTGDQTQRLQRVLGSGNMPVILDAFGEKAPAQEMEADHFGACLLKRAGFPQEWMQDALLALHKVSRETYDQMSSDFDDDVNGENVRKYPSLKKRMDAAVCL
MKNTFILFLVALSFVCSSCNRTPSVEEPDVLKVELKETPVSVSDFFSKVEVIPLETSDSCLLARILRVRVSGDTTYILTQDYPTFRHITLMAFDKKGNYLRSIGRVGQGPGEYSQVYDAVISEQRNRVYMLSPFGSMYTYRLDGSFIDRKILPQKMNFQEIGLTPDGDLLTWSAQNKDDGVCIMRLDADSAKLINEFWSDDFWLNWACRDMFYSYQGKAYFAPAFYEEVYELTSDSFRVAYRWDMGEQNINIAQYHFNSNPDTRREEDRRLNEYRETGKIAFNFTNQYQNGKYYYAQLLSLASKPKWKYTNLFYRKKDGAVFYFEKTREGIRIDPEVLTEDFMLCIVPTEELENYKSILPEEEYRVLSKRVEEDNPCVVKFYF
MKCFSVLILFSSLAFAELVIKPLEKSKITTGDIIEVEVETKPGESIPSLEGERLGNILYVLEQDEKKLKVIVAPAKNNNIEVKSIDVRLQGFDFEYKQVNPHKDFLMEEKDYKLLTPVEPWKKIIVTFFLVVAGWFGVRFLVQKNRVRNEKRKFAIKANEALEIVKKADSRQEIEAFFRKRKEYETMLEWNEKSFSTFVYTLNSHQFKPAWSEAEENEVKKAFMNLKKSMGVKSGI
MKYIITLVLAALVAAPNAYTQSDLADLLNTPLVEALPSSSTNTDTSEAPASGDGFEYTGSGTLEYHGTNLDVRDVFGTLRLLTERNIVVSQDVTAKFSGDLYDMTFEEAIEVICLATGLTATKRGSYLFIENVVFETKVFVLRHSRAEDLKDLIEDMLGDGEQVTASASSAVGIEGDAENAGGDAYASNDVIFVRASSVNMRAIEEMISKVDAAPQQVMVEAIILTADMVYSKELGVDISVLQSLAFQDLNASSNGFGVTTGPISADQMANSVHSLQTDFTGDVXXGGINLGFFRGDVAAFVRALQTVTDTTVLAQPKIMALNKQRGEVLLGRRDGFLTTTVTETGTTQQVEFLETGTRLLFRPFIGENGLIRMEIHPEDSEGGLNTLGLPFEITAELTTNILVKSGHTAIIGGLFREKXXTIEKGVPGLSSIPXLGNAFGSDVDGVXREEIIVLLTPTIINADTYGEEFSSEDPVALQELVSASPKLLQDMYLRTSRGLAKEGQYGSAMVMLKHSGKADSDSVEARKIRGQVHKGLVPEFSGAEVDRRIMEELLQEVGSR
MKAPKLEQSEAAFLDEEQARHVLELLNDEPLKWKVAMYLLMFSGMRRGELLGLEWQDIDFDKRIIHIKRTSQYVQHMGIITKSPKNATSYRTVKLPEFVFDLLREYQAYWNKLRNDMLDRWEHFITITLADGSTQTVRNDRLFIKDDTTPMNPDSLTDWTSNFVKRNKLPHFTPHSLRHTHATLLIAEGVSIPTVSRRLGHSSITTTSKIYVHAIQSADEVASDVIDGKLNPFHHDNGKEEAK
MQAACPVRAGKRPGLPHRLLAGAGAVVLAGWLQAAEPGGETLTYFQRTVQYLQDAAPQVRSDFATTALTSLAIAYSEEARLAREQARRAGHSAHLWAWSAAVDRWAGQVPLLLDDIALGFPVQLTLATDGSLAVMVADRTVILSHPRLYQQGVFEQGILKAFCASHRCEQWAPDGGGAAPVRASSLRVRPDWVFSAQGSSCTYRGISVRFPNAQDMAGSRQLCQQFLQEVTALADELSWQQRHQVAIEWSRLVIQATPGRPEHMLQVNAAGDAVLVTVPTLYRSAAAFEAVLPWIRSRVAGQGEVRVDLDAAALLHPGP
MSKIIAVIIILIALVFTGAGKVNAQNISGESAWLKADQAQEYFDFRVENLRNFLQKYNSPLAPYAEEFVIYADKNDLDYRLDFDIVI
MKSSPAIILRARTSLIESQRYHKCFGVYWATDAIPPAQNSRVSTVRPAPFLESVLELFDSLLINCEPWARSKRLPAGF
SRSNDSFRAKPTGRLWPPAARHSLMGSTPFAAVVHSASDIWRSRLLKTTPARHGNQGIAILENSS
MVTGLCDVRHPMIHTLTFLITSGYSPLQTDPEKGQISSEQHQPASEQHQPASEQHHLASEQHHLASEQHQLVSEQHQLASEQHQLASEQHQLASEQHQLASEQHQLASEQHQLVSEQHQLASEQHQLASEQHYTASEQLFPIRNKFNQALNRSGMHRANRQR
MEVAALEQNQLPLGFSMALAQHPKAMERFSRFTASEKETVLAQARQASSKEEMQSLVRSLAQ
MKTFLSVLAVALLAALTVGLPLEPEIKFTTSTEAPLDPDHVPSSVCRSMTPSHGASAPQNGVSPYKISLSSSSVAPGGVVQVTLSGKGVEEFKGIYVQGRVGDEPVGKFLPHDDKAVVISDCPPSQQNAASYVSRQSVNSVTLNWTAPNEPSTVVFR
MSISFKRLAVVAVVALAFVPGIAQAESYAADSDLKPATIPIKIRPSFRFEAGHDSNQRPAGFRL
MIGVEAARKKTENRYRRRFSQWAVDGETETAASLPLHPPTEAVVLQRGEEVQTWVRDWRAAERGLSRDARLHWETRRWANAGTNRVPVRLELPSPDDVAHFAGRGAHWAAARDRAAELLDLLGAGSSRDAVRRRLAEVAGLDQDEHGRLREVLAWLLAHPDSGLFPRQIPVRGVHSKWLESHRRLVLPLASSVTGRTDLGLRGLPQTLRIRVLDPALAPAGLRDLTVPVEELSRWQTAPRRAIVVENLQTLLGLPEMDGVIAVHNPGSTGAPLLDRLPWLHAADLIYWGDLDVEGLRILSRVRGRLPHTRSVLTDRSTLTANLDLTVPDHRNAPRGLPEHLTGVERDLFSALHELGDVRLEQERIPWDHAVQALRRAASEEP
MKTVDHVRVHLDRWLNSHLHAIVAGDEPFPKPLTVPLLPSTSKSALQKSWHTVQPWAATWFAALLPDGVTLRETPRRVGTSLQEIPTHIDIASLDACVQFAGGSWTVRREQAQYRFSRLQAQFDTAFIGAVFRRTRDWDALDFDILLRAADWFRANPASWQGLTPRQVPIAGVHAKWLTDSKRREIAALAGIESIDLAQRPSEVRFRYCDPQHIDSGGRQWDSHTLGDNVSPVYQPSVVVLCENKDTALLFPRFPGLISVWTEGNAAHRVAQLDWVARASQVLYWGDIDADGYQILDRLRQVLPTVQSILMDAAAYEQYEQYGTSDAPGGRRLAAQAENPLGALTDSERSVYRCLVSADWPQHRRVEQERIPFPVAIAELQRLTAQR
MHITQLNRECLLHLFSFLDKNSRKSLAKTCHTLQEVFQDPLLWSLLNFHSPAELKKDNFLLGPALKYLSICWYSERVKVCNIEDWMKNNFQKDFCNRHENTVSGFLLEVCNRCPNLLSLTLSGCGHVTDDCISVLLKSCPNLKTLKLENCVRITDQTLEAVTLYGRSLETLHVDFCRNITQSGLERVREKRPSVMLRAERSANMIPDNKPEKK
MGRSKYRHLYHVCPIFVPLSRLEGLHDKLLRSNLTDTSFLIVNEREGPLDIPVYQQAQLQDDVWEALHGNKDDFLVYDRCGRLTCHTVLPYSFLHYPYIDAAVRATYHKRIYGNCTMSESTFLNGIGGGNMPNIHHQQHHQHHNPGSDTDKQDSN
MYWELKRRAPPGVPVYQQAPLQSDVWEALDGDKDDFLVYDRCGLLTFHIVLPYSFLHFPYVEAAIRATYLKNICNCTSNSTSWSGGNNITRNGTVQFNQTTTAPTEDPDLGDAARKGAHHHKSHHDSHHHPHNHHQHQHPSSQNQPQRQRDASQSKTRQPGHHQHHHSHPQHHHDHHHQHHQHQPANHTDNK
LEALLLKLRARNLSDVQFLVVNSLDSAAEVRELERRVSFPVYQETANELVWSVLEGDKDDVFIYDRCGRLSYFIPFPLSVTLPGRHPVVEEALNTTYYASPCGDFCPQDSSAIPLGSSPHEHQQQRIPGQIDAEGEGETGIAVSPPATPSEGLGWRVIHMVFGDGHQGQVDSHTPSRAQEGGVTRGAPHDHQERHPTPTECVSINKAI
MDAEATKADQQKFELRKAKALSTICLSIEENLKSIVYNIDSLAAAFSQTAKDLENAEKNIPDDEIAYKTFVNLPRSYDNIVMQLYQFR
MHIPLPPIDAVITWVDGHCPRHRQKLQHYLHTHQLVRPETAAPTRYNQCGEITYSIRSLLHFAPWIRTIYVITDTQTPDILHELADTALKDRIQLIDHRVIFSGFEHCLPTFNSLSIETMLWRIPDLSERFIYLNDDCFLIKPTAPQDFFNGSRLIARGDWKTQSHAKWMTRISQYAGLSPKKVDMHRFMQESAARQNGWTRRFFHLPHAPFALHKHLFETMSEEPGCAYFANATYPFRTMEQHWVISRAIHLALKTDSAHIDNRLQSVTVNAACYAPEKIWRRLHDAANHPTTAFLCVQSLDLGSEHLRADIIKWLEQVIPMPVLKKTESREEGKKEAALCMEAAL
MLRATKALMLVTDLGFVVYFSVTGLGLIPPEWAFADYGNPLMADWNWSFLWIDLLASATGLTSLWLLRTGGARGAGLMLVSLVLTMASGLMAIAVLDPSR
MFDNNFLSVHKRKDSRLLTKDIELSASPRILLAPFGRSEQPESSKTSTQARRVLTASLGAKPKGALEQVVHRSLVCAQPGDLHRIGV
MSDAIFDVHTHPLLPAYVQALTEESGSADGVTLSPWSGEQHIEVMDQHGIDVGILSQPALAEHLVGSEGQAIAPAIKAFFAYSGFNEDEKHKIARGNAACLFPRLG
MILHGLQEPSLPSILVPQNWQVYIVGSTQSGLSFSSSSSSSSLRSSSGSIVRPFARSGAIKNRLWALISPRCPVIPHSRGTTKRD
MADALEVMEMRFRQMAADNGTSHEMFLMVTEKFDAASEAGSIFIRGGDCGQVLDHYRKIVAANAERLSAGR
MDATRLQAELQTLIAGEIRKVADSQQSLSDQFTALNAKIDSIEAKTADFAVAGQVTAIADEIADEIAASGKRLDAVQATVASLEARIDDTSHKLQDLTPDKLLGDLPGRLDKLEQQGKEPGFDPQPLTESIQALQQEVEELRKKGSNKPQAAGGKARKKA
MENFNITRFGQALKCHFLVSRKSWIRIFGIYTLVMFVANLFFTRGVAYMSYSRMEELYQGEELVREYTHLVYQTTGFCMIFFGFAMLFGASYMFAQMKDTRKRSAYLLWPVSNSEKYIISLLHSIILMAIITVVSIVAADALRVLIDAITGRVFVWGVPLYGKYFSSGPEWQEMLMAVTWMFYFHSLYIIGGTLFRRFQFLSTSITIATVVILLMILFAQIGWDNIDFNLWYYEPTGEVYKLSDGRTIDIMRAVYNPSFYFAILGGWLIVAAHYWASFKLFCRMQVINNKWLNV
MPLAPRHGTPSWEELLGRLLCTAAAALSVACQTTQPDMPPHFLNYRVSARCNASCAHVLASTQCSDLQGHLPFCSHLPLQRVLAIGGGEDCGTRLHSVLQRDAIACQLSCEFHALLSRYDCLGGYAAKWNCHSCARVYQEWTCAMLVPVYPAPVDPGGGPEDPGGGGQSTTTEGVAAVLPVRPCREFCHRVEEQCPYIHPAAKEQYAGEPVFICIDPNIPDLPSISNSSYGPPGDCYRPCHVNASLSSTNDECTSATIVGEFTTTTSAAASSGSSSMYVSVVVGERTLPVLVTVLCWVVVLARTCARQRLS
MWTIRPMAGHELDKSECQQRNSFTTALDRLQAVALGWPSVNQRQFRIPTNVTQLSKSSHWLTPPLRDVEKDPPQSEGFRATVYPFS
MSSTPAASAPESSLPTATPTMQLPRTETNGQPRMSNTPVNNQDIQDADNAPRVGKCFQSEEEAYQFYNSYAQTKRFSIRKCHLKFRADGTLSSRYFVCSKAGVKNANPTHVAKKEQAISRTNCMARVQFSINQEGIWTAQKVTLDHNHQLVSPDKRHMLRSQRQLLDDDRHMIKQMRTWELNKPKYMIFASFGMVKCYTLLANGLQQLFAK
MNQTINDVKIEKEIIHEIDHENELKDKLQSLERIYEAIQKENQNYKNKYNGLRISELSRIAGPLYQTISQYKSELKPSKKMKIVLV
MFYPQPRYRAVPARAGAALLACVLGATALVACSPANEEDSTVGRPTHTSTATPGPIAHKKGDPQLAAVGDTVGQFLKGDDEKSITYYRIETGMHMGSPTEHQPRYALSLIKLYIAAYVLEHGSFEDKYLALDMIADSSDESAGKLFEKYPRSIDAIAKEYGLDSTSAGEDWGHSMTSTYDVVRFIVQLLEDDPTHPVLVAMSHADAVSADGYQQNYGTAKLSDVVGSKWGWSDSKDRHSSVSFGENFVVAASIEGSAEELTSYVRKEITGKNLVKGNNLNKEALAHPGASTTRLTTSTAAPSSISKPAASTESPEPASSTTSSSSTPSSSAAKTTAAR
MSNEAITWSLRIKLPPGNAPAKHLLFVLANQANGDPGRGVPMLSHPSIAYIADVTGMDRKTIVSGLQKLRDWGLITDTGRRVGRTGQVPVYELHTGPDLLDPIVAGISNSPENGTVPKSGPLRAVTVPNTDGNSPKYGTRNPRNLKAGEREGAGTRAEPISPPTEAGRACLLMRAAGASHTNPSHPDLLAAIAEGITGEVLADTVGEALDASVRKPFAWAIATARDRHRSGARPIASTSSTGSPHAAHRPTRESRSARIQRRNAQLDALESGGSDQFELAP
MGCGEVHEVGNQPVAELIKSSVMRFLDAVRSWLRTLSDVDKFVFYMRWSLHAGVLVLLFVSFQPAWESRDLVTFDFHTVLWVVMTLTHFLVVTVVLERVPELNSKQRHHADGWKNIGLSLFWITVGAAMVVTTFSSMSGSSPVMAGAVVFAFGCVGLVYSTFSRYPWVKTIIAAIATFIAVKHQIINSPAMIVGFTVFVVGISRVSMWSSKTVKELDRARNLESQLQVSDQRLRFAQELHDTLGQHLAAMSVKTELAIALDKKGDSRITEELLELQKLIRLSRTDMGQVVEGYRGIDPKRELDGARALLSSVGIAVTVIGEVSDIPTRCHDTAAWFIREAATNVVKHADATAVTFELSPRSVTVTNDGATAAIGQMGGLAALRQRAATIGAQIVITGNPPEFSVELKWG
MGNKKMGRPTDNPKDMTRNEFRSWSRAASKAKVDYQRKLITGDEMMRIVKTY
MKKRVIINIAILFWVIAAEVLVINASSKAQNQPLSFDVVEAVSSVVFVFNYFNVPLPLAIFLAVVLLLLPAWLVMVLVRRFFGKVTV
MFAFPILVWINWSAALGDSLTLAEVQDGKLSRDQKWWRYLFLKSRLTEFCIVFSASICIAFKLIGPQILGANWSIIDNWDYFNWLGPDLNLPLSEVWHTLMTKTEVGSGVGRYRPSYYTLMVLETSLWGPNVHLWYLARTLEFGLFIASIWWIVSRFVGMWLSAVLLLPILAQPFWGDVWARLGPQEIYGCASVGLILFGAYGLFAGQSRGLRITGACVIALAGVILIGTKETFLPIAGLSIGLLFAAGSLRTIPRTLALCLMAIISAAGLAEFYIVQKIVLAAGADYNGNSIDLPLLISVGSEAFVHAIRIWGSVYLTVGLGCMFVLQRHGKDLRQWKLASLAAGLVFAFLVCLFVTQCVAYRTVAPTDMRYDFPRMLFDPLNYCLLARPIRESAAFGPD
MTATPSSEERRLLNRWIAARHGWDRFKAGSRRATERLARKHHDLDLTDQHHTSRQEKPMRISRIVRQTPVKHGGDWTLTVSTIQVGFRAYETTVFDDTPDKRLQGWRLGDRVIETSKETANTREAAMEQHREALHAARVEVPKAPAIEGQPRFTVQEIGPRDFYVYDSVTCLSYDPRFTREAAQAAADRRNAAQEPA
GLGVRILGEVKKEYADLLRRADAIFIEELRNFVDEATGKTWYDLTSQAFTVFLPVKSVGVMGDGRTYDYVVXARSSAACSSMCSSRKPPSSRATAPRAPSGWPRAPSTPT
MNSANCGQRCRRIMPRSTMRRVFWPPFTARRWKTMCSRISVSAPARPRAGISRINRNAAVTPMSGLSAKEREFLKAVQSGSVGAVRALLDAGYSPNIAARRPDITPLHEAVIQGDMLMIKALVGGGAKLNVPDRRGDFPLDTAIFEDRDAAAKYLRSQGARRHDEDDLTGGFDDDASDGGIDAEEDENEMSPAAGNAPAAGGENVQHDFAESAREILTAHIDALGADAYRLPPRAKRGGASPK
MVHFHLKLRISGKSKETLSSFCTLKMWRKKEKKIQCANQFKPIFPCVKNLFNMKNPETTHI
MFHVKRIADNRLDVQLSGKLDSDELHVLVDHLMNASTGFTHGRMRYCIHDFDRPSLGALAMELSQVAQLFILIRRFERCAVIADKRWLRIASKIEGALIPGLKIKAFAPDEAQAAEAWLEQP
MGRAKDVVKIALRSDPSRDSNQHPEVIYAILKQHFSDISYSCMPLAADFYSTLPEHKESAFDYWVRLNKAADVADESLRRQGKRMEDVNKEVAMMFVRHCPDQSLAMVFKSKLVEQWTAKEVQERIDEYQCEMKTKRTEVSSLPRQNAALMLNSEESHSEYSLDCALQSCKQTIEQPRRLYESVPTSQSSVDRMTDMLSKVLESVNALRATNSFSAPQHTRRGRDRCRICSEGSHSTLQHCRTHCLCFACHQPGHLSLCYPAASHQCAVTAPPVKIDSLHSERGSVGISNASLKHTVDLKVKFESIKQSLPSTATVIMQNTSHLLTSDSLFHTPVVINESITVRAMLDTGSMACTISENIERK
MNFSSTDFSLSDFSSSDFSSSIFSSSDFSLSYP
MDGKIQKQRKYLSIEDFLNKNKEKVTKIFLIIVSSISLVGVLIGLICTSILKDKGITPNVNEYLGTFSLKEIKENCKNLTYKIVDEIKNENIILEIDVSNDNDMYFLYEVNQSKCEIYFDGNNYINEGFDIGDLSPKDFVYSSYDNIITSLYFALRNIDISTSQSAYIENDNFYLIENDSSNYQIYNRYGLLIEGKIDGKYSLESNL
MHCRRPRRIPLLGPLRAFRFIWSRVAFPAPDGAAERKGDCESGFLLSLGFPPNDHLLLSNRSHVLHTLGRAEEALSDAEAAIRCRPEWAKGYFRRAMALISLGRFEDALVSLLQCAVLEEPDSLRSIKDEITKALHRLLVKLTNRRRVSLEGRGNCLNGYNRLSPALSDPSIPPESDSEDSEDSEDGRRKHPSGVAARPVEEEMQLRKLMDKYRHEIERSRKSSTGYVRHIDLSNVEKNDFECTLCYRFLYQPVTTPCGHSFCRTCLDRCLDHSTNCPLCKTSIKVCLSERSPTVTEFVHHAMEVVMPNECLDRRRQHDEELDELAAAGKDPHHEIPVFIATLAIPTITCPLHVFEPRYRLMIRRCMESGTREFGMCMPMETAENGYADYGTILEIRDVEYTPDGRAIVNTVGSRRFKIVSKSARDGYNTAAVEFLEDNVVPTAKLGESRQLHDTVHTLASRWFESIEPMTKRRILSHYGHMPSVEGEYWTLSNGPAWMWWIVAILPLDPRIQLSILRETSLVRRLEVLHRILRCVMAQQRSRQNGAVSQ
MSVLHSDSRIFRDPSLCRETTWDEGGCVDQIFILQKAFMLASENRVKEALDSFSVALQYGPVRPQQLSALVDSILRNSSGNTPSDSCSGDSSAVFTCPSCRGFLCEPVTVACGHSYCKRCLRRHLISKCTLCQETTDAAIGTEKVGTLKPNVILNYLLEKWFPAETKRCKVIGEIEDLSRRRLFDKALALANEVIESGPGDMLALAYRAEAYAGLGSYESALNDLEVLCESSPHWPEGYFRKGNVLRKMGHVDDALQVFLHCLALDADFILARKEVEKILYDLLLPASADARLAQQVADLSSSSCLPRKMALVNTQVQASPPSLLDQKEDCDVPPPPPALGELRLRSSTLLLTPQDQDLPKRKLPVSAEGRSKLLRQEDATVPSRGVPRELLEASDFECSLCIRLFYEPVTTPCGHTFCRSCLERCLDHAPQCPLCKDSLREFLASKKFSVTPVLETLMKTLLPEEYLERLRSHSEEMEELSNLRRGVPMFICTMAYPTVPCPLHVFEPRYRLMVRRCLETGTRCFGMCISDPQKGFVDYGCMLQIRCVHFLPDGRSVVDTVGGERFRVLARGMRDGYHIADIEYLEDVKVTDAEEMLKLQELHDQVYRQAHTWFGSLEPRFRDQILQHFGPMPAREIDIQATANGPACCWWLLAVLPVDPRYQLSVLSLLSLRERLLKIQQILTYLQNVPDP
MLRDLVLGEICAQHLHYPAVVWTTVETHLIYVPQDSDEFFRATSDEGTHSISSHLQLIARESSFFELTNLQNQLISSYEFLKNSYRIHVADTAPRKFAGIDFDHHVEPSREKVKICREETLISATYQDSMSSLRAYSLLMCADVAA
DKAAFSLTLLQEKLTQEEFLYEENWLIVRGELSDRMTDVMAACLDL
MKFLACSLYIQSIEHLHFSLLIKLSLELMKNP
LSVVVGLYLILTYSIFPAYYTSPLVHGSGPIPMNITPPATHLIFIVMDGMRADILFRYPMEDTPFLRDILLHQGAWGLSHTRAPTESRPGHVALFAGFYEDPSALATGWKTNPVEFDTVFNHSGKAWAWGVSSVLNAVNLGNLPQVNANAPPRGISSMSADEWSVGRFL
MGFIETCSDTVCVDGVGCQKGCKGDSDCLGDKVCVGGGCVAKQDNGDTCSEDKMCVSGRCAADKFCCADGSVECCKPGNSKYCGDTSCVSETSIEKTVCNATSFTCADTGDGGSCDSTKCIKGACLKSCKTSTDCVGGKVCISGQCGGKLPNGEGCSSGASCDSGYCDSSANICCTDNDAKCCKNDTNCAGLKYCSGQNQKKKTCDSTDKTCTVEADNGQCNSEACNTDTGLCYEECTHKSHCVEGYGCDKSNKDCVNNSLDNGEYCFSDDDDACINECMGGYCCNDQGGDVSECCNSNSHCGAFSELLEVKQTSKNTCYTTDNCTSSGNDFCEADDDSNNSSSGGSAVTCRQGFKADLSPGTSQPPPGVMSKAYLSKVDIFVKYGGQGTLQTSGTGQKYYISSAKLKIYGPKTSFSSSLGSHIATSEATVSSTQGWHYDSFH
MEDDLPIPFGHRLRDWRRRRGLTQAELGSLAGYTGSQVSRIESGTRRVPTGFAERCEAILRADGELMDTWLAAERRRARLARPPARVEPSMVRAMSSIYDAYLRAEGQVDGGALLPALEAHLRALAGWRQAAEGQVRTEVTRLSADFAYLAGWMRYDRGDSGAALAWYETARGWAESVGYTDLASLSLAQASTVAWTRGDAPRAVDLAGAAADRGRAAHPGIRAWAMIAKARGHALMGEASAYARTLDRAETVAADGGDGEGPAWLQEPTFTVVLSLARGTAMRDLARLTRRPSAARIAAGELGLGTALMPAELRRDRIMVGARLADALGLAGEADQAGELLAGLAATAATIQSERVLGEFRAVCRRLMRVYGTSGALRDSGEALRVAGVV
MSLYSLHDYASKFRQIADMYDGTAKRSAELTELIDSYTGFIMNPSNIPLWEELEQPGSRRGHELAAELREVSARCVSIMEKNRAIKVLGGVEEAAAYFANVESCIEQEFGSFHLSSDSKVVMIGSGAFPITPMLIGRQKNVEVLGLDIDKEAVELSNNVLKKLGSTLPIRLVTGEIHDFKLEIKAATHIIFSSTVALKYELLDRLHALTSKGVVVSMRYGNQLKSLFNYPMQPVDESKWRIAEQLSCPGQVFDVALYCKV
MPPDYSNTVIYRFYCIDPDIDPDYIGHATNFVKRHSLHKCRCNNNKNSSEREYHYKVYKYIRENGGFDNWKFEILEYANLKDKDEAKTLERHHIETFKSVLNKLLPALTPEERDEHKKKCSRIWARNNLDKKKGYYNKARKDPEVRKKDVERSKKNREANPDKMAVYLEKIVCDCGAEVCSKGMKRHLTSIKHMEYLKNNPQ
MVFGASEGWLGIPKRAWIYVVALYVLGPGLLVDRVLKQYWGRARPSQVLDFGGTAEFTPVYKITDQCLSNCSFVSGEGAGAMAFVIALLVLLPFWKAALSASAYRAAQGLALLVLVGAGWQRVGSGGHFLSDILMSYCLVLLVALGLQKLFFIWGVSGRV
MSVLMLLTQIFMGCTQSKPQLKFQYILSKDMVINESGCGYGTFVADEQTSAKPETFWNGQDKELCKAYKSSLIIKLLQKISITDVYLYCKESSEVIISAQPDKPGNIATKLALGWNKVTINSSSSFLSISFLKGSKLGEVLIDASQKYENVKIKSPEQKKVLMQDFIGTNAFVDVPLGLLEPFGCIREYHDWPDWNEPQKDSFHLNISKQGFDFQNFYVNLNKLGKTVVPTLQKSPKWLTGETNVQAKPITKNAIASDPATYSRHALYIHKYAENFSKPENGNVKYYENWNEHDKWWESGISYFSPYQYASMSSADKDGNQKKMGPNFGINNNGEKNKLVMAGLANPKTDYIHALKYWCDKNRKGDFAWDVINYHRYSNSAGLPDNQPKEGICPEKGNVYAEAKEIVDFRDKYLPNVEVWISEFGYDTENSPQQCKPIGQKNSELVQADWLIRSYILLSAAGIDKAFQYMIRDFAKSGLYATSGLYSMTLKDQPFLRPAWNYINTFKCALQGSYFEKLTVDSSANLYIAIYADKNNTSKKTKVIWLGTQTGQSREYNLKNDGEGTLIQFRENEACGFRKTISSSTISVSETPVIFAENGSGAPSSCYNLQLVPAKDLKVKDLYGLEVATLTDDQSTSNDPLFGLKAQNPASAWKTNYNSKGSNGIIFFLNALKRVHSLQLFDGAGTGDIQISTLSGNDWTIISTIKMNLYNKWKTVIVDSDVNEIKIEKVNGSPDVGEILIYEKVKY
MAGLEYAGLAQRLKLPSVLVIIVTPNTSFDMKFLELLLMISPSLPHAPDRRATTIYKVIVELVKRTRHRLFIDDTSVLEALLSVMGTKNAYKIHSNPEPKPKRTIKPKETTLSSQNAEALTPADEPFRAHTPHTDAPQPVLAKPAEEKSPTPEASSERHDEKKGQCDDEDSTQALNDSLLHKKLFEKYELEFYRGICDMEIQTFLSEKPAVNKLAGEPKE
MQNLQILIYDRNEQFFTTYDQTTTPIRLAQLIQDAHYYENFNLSEIFYFKIKDTEYYFDNRNSPIQKYLSYLNKSTLIFYRGLSGQKLLESSRAIEISKLQNDISNIKQQAEIINIKEFSNNFHSKQFEQSPQKQTQKHDSKKIHIVEMPTNYITKNDQKNSNQGVFSQSFTTYGQAQQFNNINQKVNQKSSNISDLSSQFQGAGKSEIMNLDTFAKIYCKQQMDKYSQQHYNQYSQKTNQQQNQNKKKPNLIKKDKYLIRVDANQVQFEDICKFLNDKNINFSVEKN
DAFEVLAENYEFRENEIFSVTFLRAASVLKSLPFTIISMKDTEGIPCLENKVKCIIEEIIEDGESSEVKAVLNDERYQSFKLFTSVFGVGLKTSEKWFRMGFRTLSKIRSDETLKFTRMQKAGDSSLLKISLPIPFSIDLSHGFSGFLYYEDLVSCVTRAEAEAVSVLVKEAVWAFLPDAFVTMTGGFRRGKQIGHDVDFLITSPGTTEAEEEQLLPKVINLWERKELLLYCDLVESTFEKSKLPSRKVDALDHFQKCFLILKLHHQLVDSGLSSREEGKTWKAIRVDLVMCPYEHRAFALLGWTGSRQFERDLRRYATHERKMILDNHALYDKTKRIFLKAESEEEIFAHLGLDYIEPWERNA
MTVELEYIKRRMDELGIRHYTLRIRHFVMQPGEKLELEAWQEFFFLTQGICDVQITSDFGMFDMSADNISEMQYEHQGLISMQNTSSAATVHVKFIQVIPLSNGN
MVTESPVSSFRKPLKKRLVSPNAGFRSEFLRLENVNGSEGYFPLRSLPTVWNLCPQINPGAAKPHPTAAKLKEHPLSLRGMSLRQTGGQRGIKVQSKVIAAQKTLQVMMEIKPEPSPVTSQVRSQEIACSIVGFATNLTFPCAPSKSTYKLIRCHSSVTFAKSLFLVHGFYKDT
MWWITSRRIHLTAFQNWVCDEVLPEIFRTGSFGQLDVKAEILLDKRIDKLSLQLVNTKNAFRRQLLMDRLRRICNIAQQPMPEFELIGQSVNQMVLPGLGG
MGANLPCFVVGSFTRANWELAKRNSQSVFDDPEEYIYFVALVQELHGHARELPPSKLGLLHRLRRMKRRFKINLPFIHAVEDAD
MTMSSFLAALYLTLCIFLGFASVGSGVGIGEGVQPNPPTRIPIGNGVSVPTTLEPIVIPIGQGSPVPTTPEYWKEYCDWLYYKQKTVKPGPIPCWWATTLPPAVTLPTVNLPTKPASSCVQIKPGDNFWADWVRAILSGKLPCLGKIVYAFYY
MPFVTTTAAKDDQCPLHNNPVSIYLSIYLSIYLSIYLSLFVSIYLSIYLSIYLSIYLSLNISVCVSIYLSIYLSIYLSIQILTSIFHLYIFYHSDLTTLSIYLSIYLSIYLSIYLSTRVVTAKESMEIVSCFLFVVSSSSSSFSSSSSSSSSSDSDSSSDSSTSDSSSSSSYDSSSSSDSSSSSSSYDSSSSYDSSSSYDSSSYDSLKSLSFSLPPLSFSPLSFSLFYLTSLTHISLSFLSLAHPCVSLSFSGAILLGVQTSLSQRPRNMSRDLHQRFITNAIFYATNKPSPLQSFLTTHLLVTEKLKQMALHHSGKNQSDTILRDYINDHKESVKPATRRVSQESVVVFAMLVFVGLLICAVFSVANQSARTRSSSVGSSFDIYEGNPYRLDRHRHRNRSARAHSPS
MKVHGNDLELITSILSENPLIHRPDIVFGKLSDGLDYCIFNSESQKNSFHLNLVLNVGSIHEEESEKGIANFVQQLILTELNRELLKIRTEHVTNITSSTDFHCTIFNIYNEIENSQLNDSELRATFFDALEIFLLTIYKFREKLSSSSSLFTEKIEEIKNKVFDAIEESNNSIANYIEKQIFSQFHRNTLLPKRWPIGEKSSIENITVSGLLKFIDRWYLPNNMCMFVVGDIPASNELLVTHLSSFVAGINISSLDERISGLKSINETSSFHNIFCVRDRIGHKTIHDKLNIMDELRDSDFRREVIVQHPNIDQISISIGLKLDICPLIDEGEIFMNAVDTIISNTIHTKLLNALSKLECEESTSISWDFYNSSRENCGWNTFSIVANEKDWKTAFRLGIQQILSICNTKMPIEEFEEIVLITISDYKKSAEEESSDDPKLVLDGLVDDWLCGSIPLSKKQEYQLFCKVVDRINPLIIQYRCRALFGHILNYFEKQYKFNEGLSFKGCIFVSKPLDNFSQNSDFDQENSINMSNNMQKNQETESNFIKSLLEEYKSCIDEKRESSVELVHDYSQDQAIFEKNGFEIERMSENFEFGILDALKASTYISIDKIFDSMRTNFSLFIGNLMNPNESIENLNGNYSIKELDSLAERIKLNPNNIQNHSNEQKNLDLPNDICDLGP
MARERREERAKHLAAKRAVWLEKEEKARLLREKQLEERRRRLEEQRVRAEKRRAALEERQRQKMERNKELYEAAIQRSVKKTWAEIRQQRWSWAGALHQGSPVHKEGASRCSLSAVNLPKHVDSIINKRLSKSSATLWNSPSRNRSLQLSAWESSIVDRLMTPTLAFLARSRSAVTLAGNGKEQVPVCPRSASVSPVSPCKNQRVHRCAERRRPATTSPDVTPRNKPHSSPHQKKKEKKDKDRENAQEKSALALHKRHSTPSGQARQLHVPEGSPSPKSKPALAAVAKQRPASSSPGPSTSHRSSLARSAQSSPKRRVRREPEGQPKAHERKNEPKECGAASPALEDSWKAAENSDTPAEVTALSGTASPMPPPPPSTPGKPMAGTTDREEAARLLSEKRRQAREQREREEQERKEQEEQERHQAEERAQQEARDRLQQQVELARQEEQLALQEEQEAQERARAEQEEQERLQKQKEEAEARAREEAERQRVEREKHFQKEEQERLERKKRLEEIMKRTRKAEGADAKKKEDKKMVNGKEEKQEGGMAPDGKKYPGSLPKEEELPEMQPSSPASRKGLSPEGMQHSSPINPTLALVNGLQSSKHENGFPSPQEPSGREHPAGSGLSMANEPILPFANKEPFLNNAVAKAPQVTEVL
MAENTINTAVSGMTVTKAMSSPFTPEKRMNCENPPPANHKTNQGSPSVKHTDPMTPPAVTEKRTQTNVQASPSRQPASSNAQADVEGYMKTDDRMRLAKERREEREKSLAARELAIKEKERRAQLQYEKTVEERWKKLEEQRQKEELRRAAVEEKRRQQLEEEKERLEALMRRSLERSLQLENRNKRWAWGPNGATQGDWENTIPPLSAASALSHDPAVPSAAAIQSGNGMNPRWPILIITFLRSTVATCHDHPNTDSLNVNRLFTHTQSSLARCNSAVELQLSCLRCRVPSSPHRSPYRGSPSRRRNNLILTEESSGPLSAPNTPKKERLRGERRTGSPATGSPVRRAKSPVDVPQRSASPATPKLLPKGRTHSPSPLRQYPSSPVKHRLSDGWREEKQAEIGKNHQEAMSVKAEIHNKSNSNDSLERKPESDKSVALENPQRKNEMVETPVKKQAKSITSDVRSSKSAEASPINSPGKIVGERTDSEEASRLLAERRRLARVLKEHEEKQRKELEEHEKLKSEQKKRQLEEKGGENCKLEPHQRKTQKQEMELQAQVEEDKEDAEMQSLKLAERQQQERELSKQQEEQERQLRKKRIEEIMKRTRKSDGEMKRDESPDPISPVLHPISPPAGGNLTGLKPQGKPQQTNSVNEKKEISGKISESRCTNEQIKSPSLTSTHFKKPIMNNQGMINPTAAKTEEKVKLKEETIRTIKQDIDDRGTLSLNSKEELKSTTKKNVSSAEKTQEKNNNSANKPKVPTQSANTPSPNPHEHNGQGQTVAQLSKTDTVHPAKPPVVVHMNGQVTAEGSREDLIVRKADVRHVNGQGNQIVPVNQGTTVSQTAGHVMTQKERLPSSLSSLADSKAPMALLHLDKSSKPEQVHYMEVSPVSKEELISIPEFSPVISPQHNGINNLRALEDLLDLTGQIAYPRISPAANHGDCNKNLIEGVCSPGADGQLFSSSPPASNKHNIK
MTINIELLNELATVSSTQVCGQITVRGFSIPIENWLRFRLSNSSARAIRVAPDVTCCVAFGNDHPYLSQALSSIAAQNMLPAKILVGIDNSSGLSEETQNDLVDIHHFRGRNGPFFIMDSLIRMADSKYILIMDSDDISHPDRLELLLNCAVEGEAEVVGSAVGNFEEYDEKISTLGVFPRDPYEALANGLCHAMLYPTILIRRSAYLDIGGFSDFDFFGMDTDFIVRLAHRRRGVNLPLPLYAKRRRTMSLTECRSTGMESDRRANILEYTSTRHRALFSG
MADIWGIGLRNCTFATVVACSGAVVDVLSESDESLSIGTAFLVAGARNVVCNLWPVHELSAAAIMLKCYEKLCSAAIEGDVDVSDISAFLGEAQYWFRDLTDSEYFDVLDRFDPDDKVTGLDNRDIREWAPFVVIGAPPWYSQ
MDDEEELPEYLKDITKSLPKKKKIGRNYPCPCGSGKKYKKCCLGKDFNEINKKFFENHDLKQNIIEKVERYPQNSYLILQLEKDELCISTSYGPYTFKEFALYFSRNKIKLSDPDFETLFEEIREFLEEDKFFTWRSNKIVDLDSDKIHEIELIFMGYQKDFILKVMKETNDTVIDMMFLQRALLNYVGKMIHDFLIKKGYTSSYPRMIEDLNAEKEELMQEFEKDFIIDCRASQKLLYMSTLLKEIEDKCDSPIEYSLAKGLAINNIAFIQQKEITKSFPEKKDGEKFFTKPDILLWNDEFPIAIYCDGHDYHEKTPDQAFRDKNIDRRLTILGFKVLRFTGSEIHNNLERCVEEIKNLYLGDAYSKTSQEVLHRQLLRINPEKLNDWEKRFYNSMFDYLDDDNRLTLKQERIVKQILDKSESYKSSPISKLDKYDEELKIIEKTLKINPDDEKLWFNKGNILSELSRYDEALESYDKALVIEPDFDLAWIHKSNILLKLNKYDDALKAIGKALVIDPDFEEAWSNKSNILFKLNRYEEALESIDKALEINSTLAESWSNKSNALFGLKKYNEALEAIDKALNIDPDFSGAWSNKGNILSKLKRNDDALEAINKALKNEPNLDVAWRIKSTILYELNRYDEALKAIDKVIKIEPTLLWAWLNKVKFLFELNRYEEALKTIDKAIEIEPMLLLYGISKVLFYLT
MQQQQMQQAQMQQAQMQQPQMQQQQLQQQQMQQPQMQQQAGIGGAYPAMAIVVPVPVPVMVVAQPAGEGGAPNAGTAPMVSYCGAQAMEPNAEASARFRKPEASAEEGQHDAMVADESQSLGTERGPRFCRLQDGGPADSSETPSAWRLRPEDEGKELTQAGDGIEGLQEWLQQAGLGEFQEAVSAWCKDMGAIWLSEVQENAEELADHLQLCPAERLATLAMVPQSCSG
MFTIKGRATVLCGRFLAVHVSREGHILRPESTILVHQALEEAQLAVGVLALLALAAHRAATVLLLLLQDVGHPPHVLHVAVEVLRHDGLGAHRAEGREQHAGLLENRDDYAQASVIVMAASSSSPAASNSVVPDVPTAIPSPRPEGPGPSPAMRRPLSLVILASDTFITSVRELLSRYSSFRVDGHQLRARWLVTVAVVTRGTNVTFMSSTGERPYQNCAEFAAVDLCSERFRAPDAVRFSNLIGRFPFEKELQRCPNSSVNAVWREWGEGTWDAKDV
MKKMKKTVIWPVLLCLILVNILVITPENVAAGNTTVAAIKNTYPDGSAWNNNYVYEGTKQCVAFAGMVFNMYYGLSFKKVPYHTDKSQVKAGDIIWYSATGVESHNVWVIGRSGNTVTVGEGNAYCIHNGKKGRVCWGRTLNLNSITIKKVYSAPYAINDSSSSPSTPSDSNYTYYAKVEGTDGSLSLNATAASTDSGAKQLTVIPENAVCKVFGFGNVGKWKKVIFNGITGYAYGDYLKSELNPVGHIESITSHTAGRVEIVGWGFDYDTPNEKCQMDVYVGGPAGTGTKVGSATSDARRDDVNDVYQGVGNNHGYNMSFDCGNLIGTQTVYLYLRNRGAGNDVVFGPYNVNIACPHRNTYLIGAKSATCSQEGYTGDTYCRLCNQGVAAGSTIAKTAHIWDEGKISVQPTETAEGLKTYTCTVCRETKTEVLAKLPAKEPEEPQKPQEPQKPQNPQNPQDSQKPQNPQKPQQTTTKTTVAPGTTVSDSSANGVYKVLADGKSVEYKKPVRKSSSVKIPDTIMVKGVSCKVVSISARAFKNNKTLKSVTIGRNVRKIGKQAFYNCKKLRNITIKTTSLKKNTIGSKAFTGTYKTAKVKVPAKQMKLYKKILRQRGMSAKAKYRK
MASLSTYFSRIRFAVSLILAVFLLVGNPTTADAILGFGNKKRIKVPSASELSIQQGEANRMLVEARTLEATSRSSKARDAYKAIVKAYPLTTAAAESQFKVGVLREKENKPKKAFEEYQIFIDSYKDSSYFKEAIKRQYHIATYYLENQKTGFLGFGANIQPSKLIEFFLQVSANAPYSNEAPNSLFNVGVVNQRTGKIDESLAAFAIVVDEYPGTPIAAKAQYEIVQLLSRTSDKSYNPANSRQHREAAEDFLNQYGNDAL
MNDGKQLFKRRIDFLDEETKEKHHISSNERKNVNDGALNKHTRSQRKNSLQDKEFLTTLPDNNAGCETQNRPQGKQMYTVQSVNYAGDSESDITFKKIGLELLKVFDNLNQDRQLEKNLKLAFRNVKALNQDELVDCKRMQQGRINGASVQSDEKRLRNTDIMSQIALQQMLCDNQRVPPMQNLNEHYQRPYQSLPPGIANQHTTGSIVFSTPTCISSTRCAADKNVVPDYLVRRNMNNSQTSTHFDDLQPHLSESSVARQTNTNFSLTTNIPQRHCVAVQRKMSKSKSAVESNQPWEKPKDYKSLEISKSYSKEKEANSRIQAKGLRARKENASTTTASKYNAKIDKLYKELECTVKGFDQVSDYIPKYKSGKRASTINAMNNISEQLNDSDSSDDSATTKFHRIKRKRSSDTNAINNVFNLSKKGTLSIKEFDQKYKHLFENQPIVKLHRLKEQQTVKYVKCCEEAPNHIVGIKLNNDREMTEMNTRYCVLCYSKPHNTVAHYVRRHKTESYVSRLTEAQLHDLTINTNFAEPQRSDHKGTMYYKVICYFCKDVLIEPFIKLYNHYSQHTGEYAYVCSYCLYCKPFRTDIESHQHRKKACRQAKIQVKYRYQSSGACIYLYFCSICNYVQLNKANVSKHLRRVHSPSEAIDDNVKNCVLVKIRRLSTDSQMCETTLPLPVNEIEKNGVQNDSTSVPKFAAHSRSQSLNINSVESSREISEDVLQHEWLVPRGADWMKNYTLCFSDRNFVIKCLYSGCDFLATKYKVLFKHLQDQHEIKGNSFICSRCPFEHARCQSWESIFDHLKIHLDSYVYICCVCSFHHSSRTLFTTHIRQEHDAQDVPYVQISTDCEPTYAELGFVFATGMYFFSTIYNCFCCEKQDMEKAGLVLHLTMYHKIRLTYHCEFCCKVLHDPKSSEDHFEESHSFGKPKIYCKLAAQSNLTITSIQPLKVNLWKRELKSDHEDYNTIVF
MQGEYRVSEEGVLQLPCYDYWTGYRYDGCAPEEDDTYSNGSAPDYYLVWVTVR
MRTLRASLLILAALAASAFDFDEEDLSVPSTPTYFTADDDNEMTAELMHALGDGEPRVRNKLFFKSSKSVQATGGSVAVRVAQARLESADIEALKSLAKTGGYYTLSLPSVLSDPNSPPVLASASACTLLASRFEEHLQLTMRGRDRVVALSYVLPKVPARCPTDGLPRLALDEVLFNTTATQLFPLEGPKPLGKVPDAAFLPPAAAAAAAAAKGADGKGGAEGRPTA
MTKQTSRSTSHMKENNQPLSFQNQVITRSTQNRIPLKDSTKVLSNLQQTQLCIVNSSKQAQSSKDQLKKDTKPQVTFRQPITQKEQIDTTTINQHDKRGQRVDEFEEIKQIYPSMLPFRIQLNLCDLNSSDCCDIQKVQETIILNDDSHSDGHNTNLITGSDQVSLVIRAFLSSLEEHKMEQKSMIESLEQRMSIISPETQQILKKKIDLTFIMSQEQYFYFKRLMKNSFSYIIYQNPLRELNEQHVPQQCCACQMFHSLHRQEKYHTCDSCNVMIHQSCLDTIKITDQEWMQIFHICGKGFQCEFCKRSNSTMRLVDKHNKNQVYCHEVCLFFYCKKSKYITERLQLPLRRLTLKGSSYCTACQSSLGSVFLNCAKSTCRVQIHPYCAYQNLYEFIFEATTSATNNNYTIKVHCKDHRTSKFMQSLSKCNQNKLPVLSEEEKESNQLKLAQKLLDSKSQVCIENFVIDRARTVYMRQKHFGIVTVSLKKQGKHYAVSDSLVVNPKNVNYDLLLDQRCFFKSAFNNTYGFKFEKMWEVFQKLKFNLSDSRFASHFYENRESFQVYKIMKRTLVSTDYKFLWRYLHWTQKKQVSDSNLKALKKNRVNSLYSMYCSCHRDSQQNLFITCRSNFFCRYAGKLHKHCLKDMNVSTSKQKQQETSLNYTTKELKKTSKSLQRLNSKTYKVLSDFGTFICKSCEQEELNTFRKTDDLMKFLNSHDFELISDAIVTSQICVGGKPIPFV
FPGSSSLSWVAVRVKSLKNFPEALVVCFKNGNVYEYAYTKMAAEGNYSPREVFSTMRKLKFAKAASPVRPGAYFNNVKRLLREYKIHGKFDSFDKFHMSLYGKKWKAAIQNIRQFVSGLADLLVGNSRRSALLGWPVLF
MALRPYEDVIGPLVIPYRGKEYTLPQVTLEDGLKMHASADNTTPLSMGELMRIIMGDTAQQMLDDKVPPAVVDRALWAGVADFQQGREAAELVWENGVPKALMEEILQAIQQARTTPTAAATTTKPPASGTGTRNQKKKARRSRGR
MVFHKLTSLHLVSLRFLNEGLARLLFLGCPLLENLVLERCVYDNIKVLDIYATKLKTLTIENLELDDAGSDGLRQTVLKIFAPNLVSFSYIGPVARDYILQDPVCIVNVYIHLVKGFEKGSLKGLGYLMCKLIGGFYNVQVMKLSIIFLELLFYILSEPVCFPAPFCNLKHLKIYAGADKRHLQVIVHLLKNSPNLKALHVDFVTSGWIHWKGKWQPEDEAVACLAYHLQTVEISNFEGHDNGLEFIKFLLKNGLVLEKVTVIWSMKPEKPIEIIIKALTIMTFPRASQTVEIIFLEPKPLDCFD
MKTRKLMTLVLLTALTITALFLTGCSKDSPTAPDPAPIPRDNDPVGPAGAFISGTIRTDPGSSLDLTNARVAVYDSPESFYADAFTAQAAVSHAGGNNWSFRVGPLNPGNYYLDVWKDFNNTGRIDDGDFYGAYLTNAGYLQPIPVLENQTASVNFQVSMSKSIMGFKDK
FLCVIHQTVCCWLKEGSAHNNVVGWQNTKPHPGCFFLGTKLRLLFRPSEAGISRFARIATHRITGFSSSKVCFHCREPGHGVADCPAVLESQDMGTGICYRCGSTEHDISKCRAKVDPAAGPFPYAKCFICGEMGHLSRSCPDNPKGLYAEGECTDSLHLVKLNFCVLLTWYRKK
MDWRALPPLAALRAFSAFAQCGNVQAAGTALGVSHAAISQQLRALEAHLDVALLDRSGRSMRLTPKGEILAKALHAGFAAMIEAAQDITGERDARPLHISCTPTFAASWLMPRLPSFRAAHPEASLRLDPTPALAELSPDGIDIAIRYGTGPWPGLETELLTLSPMVVVAAPRLVGEGPLPPLETLSDYPWLEEASTTESTDWLRRLGQGDLAPRALMQVPGNLLLDGARDGQGVAVTVHLFVARDIASGRLRELHRADRPGAGYHLVTRPGVMRPALKDFVQWIRREAAQDPPVNPALP
MGIIEQMQEALRLLEVTKDDLKEWIDAMAESSWGNEDAIEESNDLIRTVESLLHEIGA
MTLVAHQKLPTDKVTIRMYRMGFGDCFLLSIPHEDGARRVLIDCGSIKKDPKLNKSTSEISKQVIKDIRDDEGKARIDILIASHRHKDHVAGFSDPVWDDVEVGEVWLPWTESDADPDALALRSLQDSVATRLNAILGARGKLGLAELAANALSNAEAMERLKTGFKTRDRRKPRYIGAEDTDPQAMTTPHLPGVVVHVLGPPRDVAVISRDLPHDDEELFLRLGVGNETGSEAERNLLQPFGREWVDDVAQILDPNHIDLLEKAISMDAENLLSAIEDSLNNTSIVLVFEIAGRFLLFPGDAQWGPWQQIMANDEATKLLRKVSFYKVSHHASHNGTPQKFVLDLVGKDTTDPLVAYVPVTPHGGYRDIPRPPLMEALSHRFDRLAISEIDKEQTGFVRQSKWSIDFEVEVDHTI
MSKAKGMSRAGRGGGKRAKANVRREADAPGARPGPRPAGTPRAKVWAYRQGLGDCFLLAFPREKPSADGRTHVFILIDCGVVLGTPDAAAKMRDVVRDIRQKIGPANHLDVLVVTHEHWDHLSGFLQAEDEFDGLAVGQVWLGWTENPEDPQAAALAASRAKALNALRLAAAGVRLAGGGEAADDIENILGFFGAAKGGTTGDAMAVVRRLGGSNVVYREPGEPPVALPDVPGVRVFVLGPPRDERFLKRTDPRHDDHEGYGLALDVFLEATGNQEASWSPFDPMRQIPMPVAREIGFFKSRYWEAGKADEGWRRIDNAWAGDPTEFALRLDNLTNNTSLVLAFEFPDGDVLLFVADAQVGNWLSWQSINWTTDSGSVSGPDLLRRAIFYKVGHHGSHNATLKEGGLEIMGRLQLAYIPVNHDMAVKKRWTRMPLPEIVERLEQLIPGSVMRADQASPTAIPDRVVTTDLYFEMTF
MSWHPGPMIAWTEDGSGYVVATSPDTLIYHLHDSAAVIWEALTQSDSGSDVVARVSAATGLTVEDVGPDVRTYLQRLLDLGIVVHDPGRPDD
MASAWLIQKAQVSIGCQLCESGSTIQWNCIDCLLLMCSKCKQIHMKFKNAINHKIVDINESEPREYSDKNFNLNEINCQDHAGQACCLYCKDCKQFICFKCMTKVHKGHETLDTEKYKFELDRLIKIQRETKNKLCTMALFNFPRKIGKDKFGSEKKEVKVKQSHLNFKITGQFTSDIKRITSVSSCSGDSLWIGNWGNEVKHVNLTKDEVQVISQFTISLYDMPVTSSDNILLSVGESSLKNLNEITGQLSDSKYNVAPMLATGVHVTTDQKVIIGAITQGAGFTGEGKRMVIVMDKEGKSLTEYEQDKHNKPLFTFPDKISCTRGGNICVIDRLDGSNTDRVVVLGQAGHIIGVYSGHPDINYPFIPFKPSDILTTTLHNIIIADKSMLHILNCDGQIISYCRMDFIGIM
LAEKEVIRFSFEGTDWFWPKSLEEKQLAEIKELMEQYHQKYPLRPGFPKEELRSRLFSKWAVKAFNGILLYWEEQGQLATVAGGLRLPGFEVKPTAEEQRMIEEILARYEKELFQPPAWEEVVKFLGGKPEQRDELLYYLLGTQQLIKVTEGLYFHQEAVRRAKELARNIIKEEGALQLAAMRDALGSSRKYVLPLLEYFDQIKFTKRIGDQRVLFSG
MALLKAIDAINSRGEEPQLESIAYELQERGYAISPNAIYTSTMRSWLSLAGVFEREYEINWDVVSEILGINKDFIDELYTLTPGQKHFLLSLLNLDVKELIPANKVAQHTRSIYKLKLTTKNLVKDVIEPLATLGLIETEKTTGGRGAKPNKVRLTSKAQSELLAPLLKSIANETRLSEIELNRTFDDVVNELNHPDKHIKGKALELLAVWMIRLTSLRFTKWRSRENGKGEVDVLAASDRFVYSRWQIQCKNTNKVDIDVLAKEIGLTFVTGADVVMVVTTGEFTRDAYQYAYRMMEVSRYYMILLQKDDIEAIKRDKTNIVEIFDRKARRVFAKKELDVSDQELNEIEQEEDLMAEAIEDEISDNS
MIRIFLLLLVALLAMPCPASAQDPGRVAPAVGQEVQDWRARLEMARLLGYSKRYDEALGEYRKVLVEQPDSREARIGMAQVLFWTGRNVEASEAIKGLDENGLTAEERLLWADLAVTRKDWAGAGRLYRAYLVDHPGDQAVRFRLAEVLSWDGRFKDSIKEYESLLAAAPGDRQIRRKYAQVLEWAGRRDDAIRGIPEKSRGLAGRCCPRYWPYSCWRPGGARRAPQAWFPSPHAFRITRPVWPWPGCWP
MIMNTATGLISILACFYQAMGLKVTGYVGQSVVLKTRVDPSLVHLSRIQWSIYTNTSIIVVWDSGVLKEPFWRHKGRLTLNISTGDLQIKNLRVDDSLTYTVSLLTGTNNQTEIQFELVVDMEFSRFSKTSDDMICTWI
MQRFKKWFLSIIKNFKQHEKIKIDLNNTKIDLNNTKIDLNNTKIDLNNTKIDLNNTKIDLNNTKIDLNNTKIDLNNTKIDLNNTKIELSQLKKEHYKVLDFHLRKITPQAFLEIVEIHLAESCNLNCFGCNHFSQIAEKEFPDIEIFKKDMQRLSEISKGIVGTFRLMGGEPLLNPNCIQFFDITRYFFPKSAIWLVTNGILLDKQNEDFWNSCQRNKMQIRPTKYPIKINWDLIKDKCDQYDIPLIFFNNGELEKTSWKFSLDPSGNCDNYHSFTNCSMANHCVQFKDGKLFTCTFPAHVQHFNKKYGNHFEVCEFDFIDIYKAKDYQEILFFLSKPIPFCRYCKVSQWAEIGKWRSSNKTKHEYLI
MAYIPQEKLAFSCHLADHCNLRCKGCDNYSPAAPARFTNHEVFRRDLVRIREIFGNRVSSIQLLGGEPLLNPEIDRFLITARQIFTEADQTEISIVTNGVLLNAMPDHFWQTCREQAVVVRYTWYPIPVDYEAAVKKGSRFSVPVERYGRPGEEGKTLQFDPFDLTGSQDGEWNFRNCFHANKCIQVREGRIYTCNIRAFADIFCSAFGIGMELSPQDSLDLRQDLTSEQVMEFLSRPIPFCRYCNIRRRDDGHIWRTCVEAAEIHDWMLFRFDHWGLKALNRYDRVFFLPEAGEEAEFAEQWKTVLDTGLEDHVQQKVLPLPVEPAALHEALRQAGAGEADALVIMCQVQERRLCLEKAAVEAGYKHCYLFAQED
VEDLVVSHIRLSAGMSVSENIQQTVRRLLSVLCDAELHLSSSLAIRDPSRKVAHLLAPDRGVEGVQSISGCPFVDFIAGPDRNRFADFLASAAAVDEQVAPAASLHMHLQDTAGRVLPAEIFHAPLPDAAGAAPHSGHILGIREQGAQ
MANAIFGIGCRYGRTLPDPIKQAIELNSSMINDGAVLHFCITILGCTHTEPERWQIGWSVWI
MDVASEVSQIIFENHLHIVGISFLYWDHFLTLNTEIHFLWTRRKFASAYSFFIIRYGAFLINIPGLVTLFLTLPTNVFVLLRLGFQCLFKTPSQLHFMEHCLRDCDTRSSVSNLCCYVPAHVRPLSPKQASSVESGGHRDMLDDNCSGAAYFAVMLLSNLANMMTFITNTPVLPGSLATFANW
MSRQPPGAVEALLREWHALCVAVALLLCLTWSSGCAFVRGQVGEEFNEQDVQAIQKGVTTRAEVAARLGASDEIVQAAGREIFHYRRYDSKLGYLLVIS
MRLRRCVGHLLVTVVAVLGAGACDAGRVPTAPPVPVTIALAADPGDTDVLNGARLAVDLVNEFVGDLTVPLAAQRGLPGLGGAPLRLVTVDTGSPAALTKVPGRLSAEGAVAVICAGPTATAIAVSGQTERLRLPFIDALTSTDNLADLGHEWYFRTAPTDRMLAEAAFGLLRHQQVAAAARVRLGVLHDGRADNLLALVRQLAGTAGYRTVVEVDTRTGPDYAIASEIALAGATVVVSLAGTNGGATTAARVAGALPVPAPVLAIGPGFAGMAATAPAGEPVVLRAVGWSAEYVSRNPLASAIAERYKKRFGKAMTAAAAEAFTATLTLAVALDTAGDADPTAVRAALRQMWLPATQLMLPWNGVRFDATGQNTLAGAVVEARTRTGFRVVYPRELSTVPVPW
MLRCCRCGCIRRDNCVPRELSFSTAGEPSDGKPATEFFEVTVGGDEGSAMLQGKSGGNAVYVGDFVKRLQFASFDGLGKIDRDDLDRQAGETIERLTGSLFAALYPQPVENLAKIHDRHENFILL
MTNSGGFCFLRHSWSIWTSQNLVTILLFFIWLVGVWLLELLKFAHFD
MVENVILDLSREHRHLFHVVRLNGFIHTDDKLALKEIWRQLGKEMEVEDELVNRTNYADTMASLLALLSHPSEIMGADEGVTSQSVILVIDEFDMFAAHPRQTLLYNLFDIAQSRKAPIAVLGCTTRLDVVEMLEKRVKSRFSHRYVYLSAPRSLPAFWQVCRQGLMVDRTDVEGEGIEAGLEGYDDFHKHWTHKVEELYKQRPFQNLLQYHFYTTKSTSAFLTEWILPLSWLSTADMRLRCPAVGAEAAAGVSLAAPESRLQLLSALSELDLSLLIAAARLDVVAHMDTVNFAMAYDEYSSLVGRQRAQSAAG
MLVKSVLSAGLAATAAHAFVVIPIADADRTISDLLPFDAPSKAHEQSIDIACPGCPVDIKDGVAVDDVPNHLEMTFSIDTSSNGDSLLANGFELYPNPDPFANSLVAPQVTEPSNMDDKAHVKVQRLGYRFHVYPIAKEEESQQLELIGVDLQVVEVGGAFVNGIPAVKIRLVKNGDSLAIANVQTVEAAPAFGANCTTLLCKWRAFIANKIAAMRGSGRPCHGNKEQAGPGPHGHPHGMHGGMNGMPHGMPHGGPPHLPHHPAMSSPPSAAPEGQFHHPAPFHHGHRHHGLAHVFIMILTHILLPILIGIAAGVTASIVGMILVSIVLRVYKVIRGRKPAPEQPPSYKADPSEAVLADEEKAGLMEDQEHLEPPPSYPEETPAAKL
MSRCSTLASRCAAMAAGLAVMLMGAPPSQAADLDDYGPPQGSYKDRWGDSGDYDSGPHRSDYRRSSSCVPRDVIRSRLEADGWRYFENADPRGPYVVVEARRPSGRLFHIRVDRCSGEVVLARPLEPPPRYFRRSRWDERRYDRRGWHRRDWDRADWGRSDWHPRTRAGVSLRAGLRFDDVF
MGLRGGGRGYLYVRRLCGVHQQRQPHATAPPRDLPFPRRQNHIRCLPRRKHCASSGLDHALDVGSAGPKCK
MLPNRAGSLEALMHLLHQSRVELLGLGVQDSRDATVARLVTSDPEITEQIFMEKGIAYTESTLVVVAMRDPNSELLKCLREFHIAETNVDFLYALFPHPDGRTLVAFHLEDNEFGQSVLHNAGMKILTQEDLSR
MRVWIASVLLTALAASPAFAQGTKPAQPAPTPQQKPAQQAPAEQKPTEQKPAEQKPAEQKPAAPATMEGSPLAGVRFTERPFPLKDDGTYAEYMGPVAAGIGRTCGRLESYGWEFAGLDAAGAQARADRIFQSTMGAFKAVGYTITEVTSKVAEPGLAVYTADADKKQLMLFWAPVQDAIMLLLCENGGKK
MNCGSWYMRQGTGLQRWTDGKTYVGEWENHVYHGPGALYNSFEESQLDQETRDAKAIYTGNWQDGKRHGHGTLRWEQDNSDRQRRGFGDRQFSGVTKVYEGNFRYDLFHGYGVMTLERTNLSPGKVPFPNFDPLYITSFEGEWDSDWLETDTEARKFSPLYENLHPLRDGRAQRDLKLHEVNNAHMKKKDQKFTRYFGPDPLGVQAAGDPIPDLALAFYQTKAGDAKHMSNGTAMYADFTVYEGEMKNGFPDGFGKMTQYDHEGGNKGKQVAYYEGHWQNGKFHGKGKYKTADGLVYTGEWDDHDEDQDQETTKRRPDHQETTSVWFAAGVGDPGPTAMTSPAATFQDADSSSIEVSVTNQETELHDLLAPIIRQQLDLWFERSHQQFQQTVTHMLEELSSRISVAPDLSSKLESSSVEEDKFKLPISPRSRKAEAISDPSADIEMLSTHLTDSVVSSSPPPPSRSAPMLPQLQEDEEVVPRKSVSLQPRNKVYILAFWKHLKGGTYRVLTLIL
MAVVGYVRDDEYWPEFSTVVVRDGVMAGDDEYVCPPKALDEGGLRIGFGTIGRAGAGWMQLVCTSGPQRVILEVHDQRPPPVGAEWAEAFETPYRSGSASVGLTHMTDGWAQQAVSLPSGEKYRVQVSHRWLQAGGGEWRLRWWPQHRERPPRWLRRANTAERNLKPSQLAADAFAVAAWSPAHEIACTVDQLAQRLLAAPDQTAEAITHAADREWLTFDEALPAGSTIVLRVPDGAEVADPDALLRRRLKRAGCGPGTTVSPVDPGPPDRRNLIGGLSYLMPSQDSQNETPPQSPDEY
MRIGDLGCPGANAVLRAFARKGIHVHGWEISGIQDGGAGLRENRSVPIGSPEIGEIRHRPFLSSSRGPVKPGDSLHNLVVLGSADALAGAAKLAADALDRCRTTAESHERALVVEVAGQDSGWLALHAGLAGGADVILGPEHPCGLDRVLPRVTRRFDRGRAPIIVVSEGALPDGIPVPNGVATWLSEEISRRARKADGRPRPTGNWASGSACARSTRCTPASPASWSRCAAPMSSPSRWPRQPCAKRSLPSATAKSTLCSADEAG
MAGDADYDAAIGSELAASLYGADVLEQNLEDHEQNFTRFLTIARDPIDLPEEGVKTSIAFTIQHRPGALHKALLVIAGHNVDLTRTESCPMAGRPREYRLYADLRAHSIINQSAAVDALEAVTTEVRVLGQYLEEPEGT
MKEIAEKGLKNAVAIGSKTGARHYGLSVIAESIETNKKNYTRFLIVEKENRLKAEDIDKASISITLPNHKGSLSKALSIIAFYDVDLSKIESVPVIGEPWHYRFFIDVLFDDYQKYKSMLQAVGPLTDDLQVMGEYKSGQRSFEQIHAQTK
KAAHIYELLPVESKIQDRSGNVTRFLVIGKDQPPKTGRDKTSIMFATSHSPGALFKALAPIDRAGLNMLKLESRPTRHQNWSYYFFMDIAGHIQDDLVARTIEEIKAVTLSLKTLGSYPVFSKEEPLS
SEFLSRLKGVKLETCASTADAMKKVQELNRTDVAAIGNASSGKLYGLQAIQGNIGGGGGGGGGGGGGGGGGGGGGGGGGGGATLIMSTSQEAGSLVSTLLVLQRYGINMTKLESRPIMGNPWEEMFYVDLEAHLDSEEMQQALVELTRLTRHLKVLGCYPS
MTSGYLLQLIQLASNRLVLRLQLVKSLLELLFLLQHRQPVLRRLDLLMGRLAELPGETLDLHTEPVRPGLGHQYRVREFLRRHLDFTDGQPRRYFCHFRGVSSDSSLHTVLWMWNHDVCSKRSRTEIQPSQEPEKETAPLVVEGVYNRQIYICVKQICKNCILMYMLS
MLINFYKEKIRPHLLSIVIVLIIFTVVGYLFARGNSEKIAEFIKEMFTDKNIIDEMGRIDGFKLFLNNLRVAIMSVLLGFIPFLALPYLIMAINGAVIGGVFGAGATLSGGLPIWKIIVFGILPHGIFELPALFLAAAIGIRLSRMVGQAIMKKEGREPVRPFIKDALKFFTLVILPMLVIAAIIETTITPLLLNLAM
FGHQIEDLKGKNLFIRLAMDEFEHIEILERELEYFEKEKKFKDVEIPLTEIEKTVINLKPLLPKEKKVSDLNELNILQMALSLESKSIDFYQKQEKESSDEIAKKIWQRLKEIEEGHYKLIQAEIDSLTKTGFWFDFREFTLEEY
METSTENVAADSVAAVVIDGDLTVFTVDGWRTRLLPALAEHQVLTLDLGAVAEFDSAGLQLLALLKLEGNAQGRQVVLRNPAASVSGVVALYRMQELFGLEPA
IKEHNVTVPVYRDVGGIHERLGIKVVPSVIAAYKGKVLVLGIGIKSEEGIGTSISFEWVSGGLSLIDLLDALPMVSLALGLTLYVVACIILLLSRRGEEV
MLDATTAAMAQSIQSLHAATFAGFEPLQPPAPTTNDPVYVAALHACSQLGFIAHDAECLARAWLAQSTRTGQFDAALWPSDPRDFGLQTTPRASAFVTCPQALGLYAVLPDANWVGRMARAGVPTVQLRYKSEDAVAIAQEVRKAVAAVAGTPALLFINDHWREAIAAGAYGVHLGQEDLDALTHEELQTLRCSGLRLGVSTHGYAEMVRADAVGPSYIAMGAVFPTTLKKMATAPQGLGRLAAYAKLMKSYPQVAIGGIGQDQFAEVLATGVGSIAVVRALVNADQPEEAAASLMAAMRG
MTPLARMIDANINRASEGLRVLEDVARFVIGCQTLCAGCKSVRHALRRYGESLGSSAPALDGLGRLAARDTPGDAGVGVSGAGEYQRPTVASVAVAAGARACEALRVLEESAKAAGAIDAARLAEKARYETYDLHRRVVIALGTGRRTQWKLCVLITEELCSKHSWRYVAKMAIDGGADCLQLREKAMPDRALLVRTRELIEMARPSGVAVVVNDRPDVALLAGADGVHVGQDDLPAGEIRRLAGSSLLVGVSVSNVEQAAAAVLDGADLCGIGPVFPTSTKQRPFIAGLGVLSAYRSDPRLAGFPCLAIGGIGPENIAQVVGRGFGGVAVSSCVCSAADPAEVCRRLRRELDGERSSPPTQDPRHPPA
MNRSARPVDLRLYGLLDVGVCGTDGARLAAMAAEAVAGGCTLLQYREKDIPDGRAALARIRAIHQAVAGRVPLLVNDRVDLALAAGVEGVHLGQSDMHPADARRLLGEGAIIGLTLKTGAQADELYRLPVDYACIGGVFATTSKDNPDPPVGLDGLNRIVFRARLARGTDLPLGAIAGIDSSNVAAVIAAGADGVAVIRALFGAESIEGRARDLRARIDAALGERGARP
MKKKEIAEDLGELEHDLGRIRYELEETRDELEKTKEELESVKAELDNIESNRDADLKAINDDFCYVIDRMDRMDESTRESIDAIWESMLDKH
MIISDIEHASVFTTGQVATICQVAPRTVTKWFDTGRLKGYRIPGSKDRRIPRQNLIEFMEAHGIPLTDLVGASQRHVLCIRLEPAVADSLSGELGSGFRVHLAETAFDAGTIAMKCRPDCVLLAISGDQQIDALVAEGIRDLLGQDAQIIGLEKTPGHSQPITDLLDDVQLRPISPSGLAQVVRHSARSR
KSNATIAAAFVSGPDYKGTLGAKSHARLGIPDYESLMGSMARSEINSRGLAKSDFAEIKFHRMAEAPLYGLKLGRYDLAVASAEEAKTWTAANGGRIVFTGASVPLRALTVQPETVSAGAQQKLTATLQKGNSLNLALSTATRADFKSVASMLNTTPTSLPGAKIISAAEARALIAKGTPVYDVRVKEEYETAHVPGAISVPYNEGSAKEVGFDPADDQFALNRLPKDKNTPLMMYCDGTICWKSYKSAVMAIQAGWKNVYWFRGGFPEWKEAGLAVESKKN
MLFELIITLVLGILAGTITGIIPGIHINLVATILLSVIAIINLSQNQAIVFIISMAITHTFFDFIPSIFLGAPDSDTALSILPGHEFLLKGSGHHAVLLTLTGSILSIILLFAITPLVTFLIPRIYPFIQQMLGFFLIWTSIFLITRKKKAILITTIIFALAGFLGIAALNSNISEPLLPLLSGLFGASTLVNSIQTNSIIPKQVISKFKITKKELIKPAIATTIVSPIAALFPGVGSSQAAIIGSQVTGKLNENQFLILLGSINTLIMASSFLILFLIQKTRTGAANALLQIIDLDQTNIKIIFATILVTTLFVIPITINTSKIFAKNIHKINYSKISIAILLFLTTIILFFSGVIGFLIFIVSTILGITCLKVGVSKSILMSSLLIPTTLWYLPFF
MKSFSVKLNLQYHITSSIIISFEVVCKAHGVASLCYGLLFAFGSFGYSIPILGPAALLKGWDSSENESLKFLALFLAGVMFGVGYFEWVMADHQKAKDVFVCYHVVLVILVAYATFGAAVSWLSWLYVSLIVLLMIAGFFTKPVGFRRV
TRESHVSLDQRTSQKAEKTEYPREVPAERTQQQMDAHEKEDNRSFLHRHPLMVVLVIGSLLLLSIAGYFIWLVYFHPY
MNKDTTKSSRDGSSTLMSAPMSPGDFTEWGVQHVAYIKPVAIKDTNAYAIFAANGQQLGVADTLNMARAVVVQNDLEPVNVH
MDIALLNRGWNRTCSDTIVNLEARKLVETANRLSAFYLNVGLTRIKFVEEIKQVVEKEQGGYDESSRTQSVNLYVFSRTARICKTGLAAE
MVGWFASLLAPYVLSQMVVCCGLEVLVAVWHVALSACVALSFPPLGHFLLARALWLYCYCCWVAALPYLVEPSFCGGVVVVTTRKSWYDLVVPLHLLLFSNRGDLSGCRGVPGGCVLVAVCRGVVLSDRVPVLMRCPVVTGCLSRLPFLLRWYRDRLGGCDCTRLASGWLAGFNDRRAMVSILPSGVPASQAVPCWLPRLFSFARCSALEGLSRSEVVSVSWDPHPREPVEGVLRAMSVLELTA
MQRDWNHASIFAWSVANEIKSSNDTGRTFVRTMMDYIRNQHDTTRFLTFSTNNDVVPAEASQYTDFVSRNSYQNFEKNHCKAISHSQTSPFSSQNIAPMDLSTLPLEKG
MESPIALPFESVVKTAIEQISLGVQVKIAQDEEKRNREFNQYIKELEFYKNSYDKELRQVFDYWFDLARATMLTANENLTKSAKEKADKELSQLTSLSTISKKKMDTLKYGGKETCRVLALYSQMQHHPFMNDNPIGHVYLFCKVLSVIKRDILGQDVDPLNIIKVLINDYSENEKEVLRSRKYIEKEYEKY
MSAAHRAAAPLQTTNVLPLPTAPGGPYQRLARAQLVPATPTARTVQSTYASRFGRWDDLAGVRRKPQRRLLEAADLYFPPELYPVVGHPLVAARGPAAVRTLLLNRLYDYLDFTTELENLAVIPVASKIARGTSGLLLPRQMQADAFKIVTDEAWHAQFSFDFTQELEQVTGVPHAAGGAPVFVQRLDEIAAQLPRQVAGLEALLFAIVSETLISGLLSHLPRDERLPSPVRDLVRDHAEDEGRHHVYFRSVLRHLWPALTLRERHAVGPQIPAIIQAFLTPDHAQVATRLAAVGLSNEEILQVITESWPEHELMGEIAQSAAPAVRYFTEVGALDDSRTRDAFLEAGLLAPAPDTDTGAGAGGGCAAGRPEHLTPGAPKTPQDANTPGSTRP
DLITLIECFGKLDYRASTDISVVVDFGSNIGISALYFLTRNTNVQVHLFEPVPRNIKRLRDNLKGYENRYKLTECAIGTKEGEFDFSCEDSGRYGGLIEKDVESFHGSSSDRVITVKVLMANNVLREICEKHKTIDVIKIDVEGHENKILRSLKKEILSCVGRIYAETENNQKIQGFSSERYGGLARYYRT
MSNTRQKPILITGCTKGSIATARSLSHIQHLTTLGIKTYTLDVSHLNILFNNAGGLFVSFLIDTPVSEMRTITRYSRCREPAAVSQLSDTLRMELQPFGIRAVYMSTGIVGSNITLKQMRDMLAKLPQNSFYEPVRAEVEEAWSGKMVRGKEMDADVFARKVVADLLDGWFGTPVWILRGWTGTTAWWIPFLGCFWKGLWDPFWRRFGGVAFINV
MWSAIVTFISTIINFFLNRKKSEQQQTIETANEASRASADITRQDEQATEHDITESEKQTDTAIADLHCADSVRSKNRIAAEAINRANRPMR
MKKNYILFLFTLLFVCTTNAQITLSQSTSETIVAGSVACGAAGVVSDNIFYRAFDINALGYPQFDVTEVAFGIEAVTNESVGFAVDVVIYETTAFPAGTLTELARVSVPLVTADTGTIKIVPITASVTADILVFTVETPDESGSGGTTGFQIGSNNLGQSASGFLSSVACGLTTPTDISLVGFPDMHMVMTVTSDPLSVDEFSFNSLSVSPNPTSDILNLEMPNSITEFNTEIYSVTGKLVYKGSNKAQLDLSNLNSGVYILKVTTDNGTVSKKVIRY
MSALITHRSIEAICQLPQVPELRLPTRSSRGFGLRVPLGDSLARRACVSWGSHARTDRGRRSVSYSLSRRQEPGVLVLAGQQQEAQRVLDEFREACATPLEKLEKVVDSMVLEMEAGLAAEDGCLLKMLPSFVENLPKGTERGIYYALDLGGTNFRVLRAVFGGPGEGCMQESEIFTIPHDLMVGESDDLFDFIASKLRDFVLRETENKSPPPGRKRELGFTFSFPVMQTSVASGTLIHWSKGFKVAGTVGRDVVEVLREAISRQNLDMEVAALVNDTVGTLAGGRYINGDVMIAVILGTGTNACYVERAEAVPKWKGPPPKSGIHVINTEWGNFGSPTLPTTFADDDLDRESVNPSEHIFEKMMSGMYLGDLVRRVMLRLAKDAGYFGDSIPEKLTKKLALTTPDISKMHADDSPTLEVVGEILKKKLDLDPTRLEERRVVYSIIDIIARRGARLAAAAIVAVLRKIGRDGKSGNGTSKTVIAMDGGLYEHYTKFRDYLNEAFVDLLGEEAAKNVVIELSKDGSGIGAALLAACHSKYVRQFLTLNRSMDWWTSKWTSGRMQRIHLLVLYFHCALSCAIVVILTNPNLGCGKSCANISALEDDRGVSRITTPLFICGPTRICVVSLLEKFRLPELALSSRGQVFRLARKDQELRGSQVISVESWIGSSYKFVFSTFQSPVTSFTRLYSIGKCGRIIRVARLQNLCKRRPPVSSADDDCSNVRFHPEDGQRASVAVAAGKDDLPTTLTLFTAGEYVSKLVSMTQTPVAVQDLDSSS
CKNQSETTPTLRPNHPKYSSPHTTFLVVSSSLDQMSWVSQFFDNYGTHLLAVLPFVAAIAYQNRHQLNATIQHNKSDFPEEADLIIGKFDFDTEHLKRAVAEFRRLMNLGLNTKGQLMGMLPTFVTEIPDGTETGTFLALDLGGTNLRVCSVRLKGRGKFDIHQHKTAVPQELQKASSASDLFGFIAEQVGIFIKEYHEGAKTGKSFNMGFTFSFPVEQTAIDRGTLIRWTKGFEVKEAIGKDIVILLQTELDNRNIPVKIVALVNDTVGTLMARSYVAPGDHHRLGCIFGTGTNGAYIEKTNKIRKCAGQFDSDNMIVNMEWGSFDCPLIVLPNTIFDKEVDKNTPNPGKHLFEKRVSGMFLGELFRRALLHLTCYGLFKYQASSTLQKPWGVDTSVLTMISSDPSVSFKLVHEIVLEKLGIHGASDLDLRIVYNVSRAIGRRSARLASIAIAGTFLHCGLSETSGDLDVGIDGSLAEFYPKFEEMTREALREIIGPENEPRIKMGIAKDGSGVGSALCAAAAVKAARHHRTAAPVISE
MAGKVQTVLGPVNPDTLGVTFTHEHLLHELPLEVFRHPLPGNIPPFQGDPFSLQNLGWLRQYPYCFDINNIYTDESVRKAMVEEMHFFKTLGGGTIVDNGSVGLRAKDQAQFLTSLSKETGVNVITGTGFYVTFAQTQSVLNMKVEDMAAKMREDLLVGIDGTDVKCGVIGEVGCSWPLADFERKSLISSAIVQAETHCPVIIHPGRDKKSPEEIFRVFQEAGGKLEKTVMSHLERTLHTKEDLAEFASMGSYCEFDLFGIEVSHYQHNEDIDMPSDAQRVERLYHLIQQGFGDKIVIGHDIHTKHRLMKFGGHGFSHIIANVLPKMRKRGFSENDIKKILIDNPKKWLTFDAWTFVLQLVQSWKANINFQSMAENRKKVEAVLKEFILSNDVLLKVSALLLQEFNNGLGANTHQTATVKMFPTFVRDVPDGSEKGKFLALDLGGTNFRVLSIDLDGDQFNMMNEIYEIPQSIMLGSGEQLFDHIAECLSHYLEQLGLTHRTLPLGFTFSFPCIQKGLTSALLVGWTKGFNCSGVQGEDVVQLLRAAVKRRKNVDIDVVAIVNDTTGTLMSCAHKNKECRVGLIVGTGTNACYMERLENVETWTGDHNPPKQVIINTEWGAFGDNRCLDFLRTSFDHQIDEVSLNKGKQLFEKMISGMYMGEIVRRVLVKLADDGILFKGKLSEKFKTPYAFKTKYVSNVESDPKHKMNETMTVLQKMGITDASQEDCEILKLVCATVSTRAAHLVSAAVATILNKIKRSYTTVGVDGSVYRYHPHFKELMEKKIEELTDPNYKFDLMLSEDGSGRGAALVAAVAVRSAK
MIGEISVIRQAAEAVEVPYKVTQVLDSDEAVRLDQIVGQLDIPIRYLKDVAQAFYAELVNGLMAHRRHRNLWLPNECSFKMLDSYITHLPTGNEKGCYYAIDFGGSNLRAVRINVTGTGTMERIQSTFSLRHATALRPKGLLDRTATATELFDHFAKNIGNLMEEAGDVSDPGHIYPVGFTFSFPCTMLSRRNAILLDWTKGFETGRDTTEQVEGRDIGMLMDEAFKRNHVNARVSIILNDTVGTLMSVAYQKPQGYPECRMGLILGTGFNICYVEHDYLHYGYIGKVVNIECGNFDKLLPTTPVDFEIDWYTSNSGRGMMEKLIAGAYLGDIIRRNMILYLREKAPAKMWNIGTFTSIDAAEILNDQSETFEKAKEIVKENWDAVLEHHHLAGLRRICEAAFSRSAGLAAAAITATARKTRSYVTNKTTCAVDGSLYVKNQWYRDRLAYYLEKVSRSDLIGSVVMYACDDGSGKGAAIAAAMMAED
MEHDSHSLIFLDLTILKDLNNMLQTTVYHKPLSRNTLLQADSNHPPQLIRSIPTGQFLRVRRNCSSTQDFMSKASQLSQRFLERGYAQDAVDTVWDEALNIDRLSLLTKTPRPTQTTKPRLCFSTRYSPTAGKIKHIIKKHWHILQSDPSLKEICADAPRFTFKRVRNIRDRLVHLDMKVSHSTTWLSNPPTGFYKCGHCTHCSNSTNAKHFSHPMTGKSFSINYFINCNSTHIVYLLKCPCGLVYIGQTKRQIKIRISEHKMAIRTKNAIYAMARHCMEANLCLLNSGVLKKLVNPLEVAILSIKCYAERRTGFIH
MKTVITEVIASADGQGRFLNNTELQAANG
MDWKGAWELKGRAAASTENGKPLRLPFAKLHATPVIQVGPVPVVVNADLTCYLQVDGDGKITVDVKQDVKGDFKVGGSYSRAKGWAPVSRANLKGSPVKASASAGGKVKAALGAEAAVGLYGTVGVVGNLSPYLRAEGEVRGDVSSDGKKSLKGKWGAFGGVDLNGALQHAAEDFRDADI
MKFFPVLISADTVIGFIEIALEWLGITKSKSSFPISAAHKKILEQRLQEVSNTTLEELRPTSTTLDPMGYQVRFHPKALREFKDLQAALPYADQREGVEKEVLRAAQTLQSQEFVVIRQKEIQIYALRKTTGWMYLGLWANQKRVVVYFLTSQK
MSVILSALAELSTNVDPSTIVQAGPVPMIANPFPGVPDFTSLGGKFTEWWQRLFAVGWGIGIIIAGAYLIIGLVAMAKADANNPHAHAEGKKKAAGAGIGLACLAGFAIIIGAVLAVAG
MWSSFLAKQTRANLKKLFTSIDFGDDITRLVSQRKGRARSGVASASERVLLGDDERRAKLHKPKHKSQGLTSEQAKRPSESNVISLAPLEFKLTNSSNQIVTINLGESFTSPYISEVDLKAYAKSVKDSSASQTTKWNYLRELSSLIRYNNEVTKQPEFFSKGVYQSYIKHQIDLVQKGKSKNKMETIEKKQSFLSNGFKLLGLPVINKSDRFKIKAGGNDLQTDNYDNTSWIATVRTLIPEHERLYNATHKTNNEQDFNDFIACSCLLLTIYTGMTFSELANMVCEEYDTTYTRLGTKDIVYSAVKYRASIENPYSNFTAKRSAKTCVHRLMEVVQSTKIKHGITSNRVLFMLHEGVASQITTVDISGFSGKLMRQNHILLDLLKKRPDYKLGLQRIRSSVIQRVSTVRGEAAGVLAGRHSLSVHRSYNYSKVSNESAQKDMTKTTHSLEMYARGETIKVSIEVGRSLYEPKIINQKEKEESGVSELKNGGTCKGNETPESKEFRKRLDRNTLLKDEDKKHMGCGFIIKCFGCSNFAVVDEVSDI
MNNSSTLLSVIITVHNGSQDIGACLRSIALQEGIDDYAVEIIVVDDRSEDDVAGKVRAAAIPNVSVIRIDDYTSVDLTARQVALDAGFERAKGSVIFLIDNDGIAPAQWMRKTLDLLASTGSDAVAGSLVCRSPPPVISALQIVDSAFYFTWCRIMNGLGIKTGGYFGNFAVRRDTYRSLGGFRALGFALTEDLSFIQALHDRGKKVAFLGGVPVSGMASPSWSAVLERSVRYSTGGISYLAAAFVLWVLSLPLLAVGGILWGAPFWWAALVRFLLGGWLIASSLPRKIRPLYIPALLCYEPIVISIAICVAIRVAASRHIHWGGVTYRR
MVFLINKRGFFCISKNFSRSGSYLANWWALVFYMHTFAQSLSLLGVHCLFRYSMITGQFQFLFKRWWGILLLFVIHFTVAGLYACFGIFGLGPTDFRRFHWTEDMKNYMGITIDDRLYYFASVFKYLDENGQVHYGWDILGGSGCFLVCGIFYTIVCWTGIKLYSFVKKSVMPATKKRIQLQLLNVLLAQAISPLVCECLPVGAVVYGGILGIVQPYQGIYVTTFVSGYSAVDALLTLILFRAYRRRALTIFLAPFNGCKTVGQVYSLTKTSKGLNSSQTTSENPAQTIKQQE
MFSPPSVRLLMIPLASVRSLLFTFRKTCRVFCENTSLHGLKYVVGTGFYENSGHQRLAQVTWAIISLLGIVFTMVMMKLSWVRFGSTPTITTIETTTYPIWNIPFPAVTICNINKIDRSRTRNLTRQLESLGLTHSQAVNLLVAMASLVNFDAINATYTEHERLLGKLGYNPQTMLLDLNQPCPSLIRYCYWLGQEVPCDKYFHVTKTHVGFCCSFNVVPAMLVGNKATQLSTRGSTDIRLSGAGKHVGLSVTVDIEPQNYMAPTKSFFGAEVFLHSPNDFPADSDFEDTLQPGWDVDFCVTPMPIDSSDSLRRVPLKKRQCFMEGEGTVQSGSPFSSNLCMSECRLRTIVKLGGRNVSSFATLHVHFKDLYCVKYRRDAFMTWDVLLAAFGGIFGLCMGGSVLSVVELLYYFFVKPVTFYKQDQKRREARGNVMDVRGRSRVGQGFQPGRYPVGYFDRKKVIMRDRMSAKGKKKGMGISSFGSFSKGRQSNEPETLFLY
MTPAILLPFYEGLRIDSITATEDAIVLRIATVAPSAPCPKCSRAATRVHSHYQRTLNDMPWNKVQVRIQLTSRKFFCDYTDCKQAIFTEPIPQLAKRHACKTHRLDGALALIGYALGGEAGARLATGLGFSVSPDTLLNRVRQQGSPSLGKEPVRAVGVDDWAFCKGRAYGTILVDLDKHQLLDLLPDRLAQTLAQWLKSHPEVEFVSRDRASAYADGAKIGAPQARQVADRFHVLKNLVEALEHLVLRYQRPLQQACRLVSTPTVSTKATTTGVEGTVSPSQAESQARRQRRLARYEQVVQWYRQGVPIHQIARNLKMHRRIVRQFVRASEFPERATPRQRPRQEDSHSEYLQERWASGCHNAAQLFREIQERGYVGCQSALRRYLLSWRASLPEGLRDQRGRKVKAAVSVRFSPRTTAWLLLGYAKNKDTRRPSLNAAFLKVLIELCPAIGVAQALSLQFFLLFRQRRSTDLQPWIETVLASDLLELKSFARGLSEDFAAVSAGIDTCYSNGQAEGQVNRLKLIKRSMYGRAGFTLLQARVLPMRSMA
MERRKSLGFPRSSLFGSSLRTPRRAATPYVEALCVWVLLLEPPSLFCTAAVITPVSPFISICTFLSLSLSLSLSLSLSLSLSRHRSRHTSPPPRYVPRLIAFFPTCITHCSNSVLTSLFIQPNQPHVKPQSNHTLTQTARHINYQFNHVTSPTITNGINTKLKLGFPYPHFITVI
MDVRYKEINSEIRKYIKAIRAIHEHTLKDSVSLWVIFAAATFHGISNELLRIIAFSFGLYFYARIANSGDPSLLKKSEYENLQKIKKTLISNVKTLQNEKRKETFILRINNIHNKNGKIFERNKKSWFGIKKIPHVFIASYLFYMACFIDSVVSW
MIGSIDFWNKEAKAPLLKRNAGMFSSKLKEMVPHINRAESWLDIALNPNNDIKQLNESGNEISCNSDSLIQLDQNIEINLVDHTDNPEIDRIFKLDAERTFSDEENRIKMITVLSTIYQEIKDYHQGLGFVVAFFLLQLTPEDTVRLALSLNRYYLPGYFKTAPFNYVRDAKVFEKLLMKRYPDAAKKIEESACAEAFCSKWFVGLNVHVLPFPSLCKFFEILFEKGNLFLFQFGLSIVDVCREDILNAKDASQVLAILRLDEKVYHDLLEHKDFLGKEEERAGSFFLHLVDNALDIKITQDEVNNLREIVLEEMMQQEEKRKQIESQMNFDEDEIVFSDED
MVEGLSLKRSVEHLEVCLQALSWRSQKRREWPSPSRFFDRVRCAHEGVILGEASLTFW
MRFLVRWIGNALLLMIIAGALSADGAGTDPMVVSGFWAAMLAVALVAVMHILIKPIQAALGAMGCLVNLLTVGLFGLVLAFAFWALAFNLVGYFLQPMGDAFTIAKPSVGFVAAFWMAVGNWLLNMALGDDDKAAKDKEQAKR
MAFFRFQDLRVYQKTMEFVTWASRIAEGHYSNGQGQIISNKLVDASLAIASSIAEGSAAPKPIFIEHLKQAKAHVRECVVLTELMLSLKLLNERNYEYAYSMLSEIIRMIGGLITSLSKDVDETPRNKQDNEQENDINV
MKYMDIGAQENGDPLLPLLYVIYCAFLLLSALFTGLNLSLMSLDMEDLRRIKEYDENPKTRRYAANIIPIRENGNFMLCTIVLGNTICNAIDVLVFDRITLNLELLDWERIILINVAPAMFIIVFSEIIPQVICTK
MNLSFKIKKSRDFIFDYLTDMQKFASVHPVITKIVEQANGGYLVYETLKLGFIPISFTYPVSIVANPDENTIVMQATVMKLTKIEMTFNLAELSDFTAVHEYISFGAPLPVRVILQRVFKKQHRQLFKNIATR
MYNKTQSCPFPSTVPPQTTITISTPLTDPVFTRIDSLNNAVAALQQQHQNILTNADKGQTQLLLDAIMASPPSGQLKNMLMADSPLSDTVLIAFMTHSINKPAQKKEVVLANSPLPIRVRPYIDQMNINQNFKNQLWAAQNGQPNARTQMENLIKWLDDYRMQTVSDLITSTTYDSLGYKTDSIIAYLANSNMVEDRIARCNLFFKKADYTQAQSELGVINTLVTGLPVEKQPLFDDYVSTSDILLQTLQLPDSIADSLIIMNQPYLESVASSEFVYAQSTAKSLLEKAGIPQEYNVWLPDGSVAKSLSLSANTSHYDSETCNKLVISPNPSDGNIKISYQLEDGETGGEIRLTASDGKVMNSYQVTGEKGEIRINCNTCISGNYILSLYINGELHCSKAVSIKK
MLKAMHGHKVYVDTNVFIYFLEQNADFFAAVEPIIQAIDCKELQGFTGEITIAETLVGPYRNGNPLLIANALGFLAAAAFSQFFPTTNSFLIVPRNYALHTR
MKWATALAAFDGGISMGDGRVVEVVTGPRHDVRPLRGGGRGLRSSLKAVPPHVIISPTPTPGLRGEST
MADFTRTLGVFYLILLALFVVLVVALCSLPRGWRAAVKHSAAAMVLIVIAGAGWNYRHKAMLRSLIDETRCTAEHSPDNRYVAHLCLSGRHYTIRLNDLVTKQLVAERTVIDFGELPVTLWENQKFLYQDGDSEAFGAISLPPSYIDRLLAKLP
MPGFGHAMIDHFTTPFGRRSLTAAQIQAQAKAAACPPETTADKWAVVRHVSTARCELGLADRAIAILSALVSFHPETNLQAGEGAQLVVFPSNAQIRLRAHGIAETTLRYHLGALVEAGILIRRDSPNGKRYARKGQGGEIAEAFGFDLTPLVARAAEFAAIADRIAAAERAERLAKERVSLKRRDLRKLIEWAAEAGAAGPWGALSRQLEDLIDGFPRRPSGEALATMDAALGSLLTEAHKHLDVVAESTIHDGNASETRRHHHNSKPETVRIEHTNGGSPEEPVAPQDREGRAGSTYPLPMVIEACPDIVDYARGGVRTWGDLIDAAELVRGMLGISPTAWREARDAMGPETAAVTVAAILQRAEHIRSPGGYLRSLVERKRGGLFSLGPVLQALLRARDPATMHAGRDKFGVPRPSGVPSMAPRRAGG
MHLTTRFGSCFDYPALKIYSIRDMNYRFSVQRQNTSEHTALNASLEGNWQFPHAPVSTLRSSSRTVATSSGVWVGGRECDRHDSLCAVNPGGPVLLSIARPHDARRTACTFFFVREAHVSFLCPSLPLADTQRSSQRERNPETRRSGNEYKMTPEGYTRVYVGGLNESIKKEDLQMEFEKYGKLNKVWVAFNPPGFAFIEFFNMNEAELACCNMNGMEIMGAKLRVEISRGRGRGGGRGGGAGGFRGNRGVGGRDFGSRGGTTAGYRGSTYADYGGSYYTGRGGGSRGRGRYGEDYMFNRSSGYVTRDGYTQDVYGGSSGDTGADYYTGKDTSTARYRSRSPAGRGSHRHLRECT
MKPSKAVILAQGHRASSAPGPRPFARGEMSPPLLPVANRSLLEHALVWLEAAGLREVAVLAPEGFSTRASAAVPRVGWRFDLTWLERSSDTGVGESLASLSDFLAGEPFVLHMADCLSRAGLDSLMGDDAAGDRETILFVRETADHGGGVVDLRARRQFSPFSDHCAPAGVAVLGAGVAEAAADVDPSPGHELEHLADYLQRGGGRVRTRRVADWWRLDYGADALLEGNRFALEGIRADYREATMYETTVQGAVVAHRTAVLESSVVRGPAIIGPGARLSHAYVGPYTSIGRDALVEGAEVEHSIVFPGARIQHLSSRLEASVVGAGARVFREFRLPRALRVTVGDGAEVSVA
IRPIKRDQVAVAPRESTKAIKAAVVTSPHHETAHTETKSAVVAHSPVVVQESNKVVVVEVKPVSVEVKNSPAVVAKTESQAASEKKEAYKSEIAQAINFYKNSPYHRFEPVKLQQ
MLESIALLAFVMALAAFLGGRKTAERLDREIASLKAEIAQLAKEGAGGTTPDLAAAKPTETGFPTAAETDAAAHGPWSGAREDARIAAGESAAEVESEATAAPVAPPEAAAWAESLESRIGGRWPVWVGGLALALGGYFLVQYSIEAGLLSPAVRLVLAAVFGLALGIAGEVIRRGAEPAIEDRFRNAMIPGVLTAAGAVTLFGVVYAAHGVYGFIGTGIAFVLLALISLATVGLSLLHGQALAGLGLLASLLTPLFVSSGEPRPWVLFGFLAVAWLATLAASRLRRWRAVPTLANAGLGLWGLAYVALATPFEAPPVTFALLVMVAGVALIWPGRAEPSAEASRAPPIGAATEPGAWERLFTPPHLAVSISAAIVATVLSLLFISPAVDAAHFPVSEFMVIVVALAAAGSLRPSAAYAALLAAIGAVVGTWSLTALSGVLGYFDPSFAASSPA
MSHEGARPGSVEFYRQRAMESLRQAEQATTEEAKASFLALAEHWQRLAQAIENPSW
MGYRIDPRQPTDVEVRRVLAEQLGRGAAALRAEGGPDAEAVHDVRKQLKKSRSVLRLARADLGPAVARHANGELRRVGADLALQRDADSLVEAVERLLSPASDPDGDHSAPVTADPATRQALGVVHEMLVARAERVRSHGALDRSTVIGAARTLEQTLAWLQLVPAQVTGWDALAPGFTRQYRRGRTALRSLPDQPTVDELHEWRKRVKDMWYHQRLLRRLWTGAQRPIVA
MAHCNPGASSHPHHPRWYRLRSKTAQSFWLEAYFVAKKITHGE
MSQMRSVFFAALILYCATSVVADPGDTTTIVTFNREFFNWADPHVARFEFYDQSVTFSQVTLRITLGCPGSPGDCDPWDRLGHLSVRVPTSDTTYDRFEIARFITPYDITGSGGPGTCSWNYDITAYQTLLHDSVTLSLAITTWINDARGWLITAAFEFIEGVPELFPYRVVNLWDIGYLIYGDPDNPPESHLPMATVPTDSYTESILIRAVCTGHGQGNTNNAAEFSNKWHQIWVGADFFRHSLWREGCAQNPCSPQLGTWPYDRAGWCPGDKVDPWDNTYSNFAPGDPVDFAYEIEPYTNHCRPNNPNCRDGVTCSDCDYNYTGHTEPNYCLAVQAILYREPVSAVEPHGDAGLPRTAVLAQNYPNPFNPSTTIRFDLPVAGQTRLTVYNIRGETVAVLVDSRLTRGNYEATFDGSNFASGVYFYTLEYGNQRLTHKMLLIK
MRECFFRLIVYLDLLINIVSYLGVAPWLLNIIQ
MLLYLVTFWLCLYDSFNGYLGSIVSFGSLRLIAGSLADEKKILSSNRTDKALLIFSLIHRKLPTPPTPRNREALACLALRLHVFAAISVGLNQILFLRDFEDF
MTDATHEALYGALRQYPVILKKLKIDALASRSLSCITPNMLGWFPLVALGGRMAVHDFTEFSWDEQEDVKAVLASRGLDLRDFRITDNDSHQASTRKAVSRRISVTRIANGKTATYDTDHFAPWLTDFAEALEAGEFDD
MRLNGSFGLKATVAKSPFKRQFLHLIFCNVAEEAFAARFRVPQRVVSTETLPRALDERSAARKTAKMSDQFWM
MSSVPTPPANPPRAHGWSNYPLLWLQVTFLRLVTAAPAKNDKIPEDIDVVHSTIPSRDSDRSIKVSIYRARGITPSGKVVLNWHGSGTVLYFFGEDKPYCAWMAGQLRDEGVTVYDLDYRKAPENPFPAGIEDVEDAILHFASQPTTTHIAVSGFSAGAKFAVSAAVHTKPILESKNSMAKVNAAAVFYPSVDVRPGANRKPPSPAGRSGVYFPLWVFGVFSRAFCVDPASAYDKRASPVLSEASEFPPHMLLICGDADAFHDDCDNFVKKLNAADPPHPDAKFLMVPGEGHSWDKKPLCEESIEAREVAYRGALDCIRRGFAEA
MRWLIPGMTALVGACGSVKPTVLAEGQGVVRLECTIQEDGRLTDCNVLSERPVGQGFGEAALSAARQARLEPDALRPPGAKVQYNIRFRLDEPAALDLQPPRA
MCDVKCTKKCIEKSMEKFLSERTPTKRYASFDYCYNYFYSFYPNNISNIASKDNIQTSCFQLGFYLASWGMFRGSSKLLQKSVKYFKPLIRWISNADEELWKIDVDSYEDNFQKIINAAHGIREALKENDNSFNPTETLVTKIMLGVFGNIPALDTYFSNSFGVRTVNEKGLKRIYKFYSQNKEVIDSFEIHTLDLATGGKTNIKYKKAKIIDMVGFTQGLNGQKVFK
MATLQRFKLLATQCGVAQSPTRSPRTSPLVHLPQRRKTTLRMLLGRRSPRRQEPQLPPIHPERKARHVEGLVCIFGDVV
MAVKSRVWISSIFLALFVFSSVSSVRVEEGTEAKQEDSSVLTLDASNFDEAIAKHPFVVVEFYAPWSLQSSPVTGTHGSSLAFKVS
MKINNANIDTEIEYRIPVSQINDKHLREATNSNIPYFWEFGDYQRKRNDKLYCIVDSHLVQFPIREFVMIAWYDHYENRGEDIPASAIFYIHKKSNKVFMLPNFDYQLHVIAVPIDFSAPEVMDTIEGGGNDSYQVYRERFKKFNMVNRKKRIKSIIGAL
MVSVTESIPNKGVSEFIGPVFAEKVFMPTMRKTEEHFTKIREEVQYLAMEKALEKGANAILGFRVSFAPYQAQGSSWGVSMVVASGNAVVVK
MKKKIVILGGDGFCGWPTALYLSAQGHDIVIIDNLSRRNIDNELESNSLTPIQSMSTRLDAWQEVSGQSMTFYNLDVAQEYDRLSSLINNYQPD
MKKLISILGTVTITSSAIPTVIAAAPYQQEEKILKRNKRWGEVQWIQIAQQWRNAELAYQRAGKDFQSNQNHTAFSQAQQRFLQAQQSFNNSKNEWQQGLTQWVNQLAQERNQQWWNNIKSEQATQSEIQWVTNKTVALALKLGTNNVNKNKIGEIIRTKNNCNSEVSKAAINGAVGGAVGGAITSSPSAVTVGASSAVAGALAGSVIPGIGTIAGAIFGGIVGFLGTIFGGAASGAAVGAISGAAGAAAVEC
ELQPIHQTATRSSKFVGSVLASLVGLSHRFQAKVDPGGVQLKALASIIQKCRENAYGMLEVILQRNHWIPADCNAVSVIINHPAECSENDASSCK
MTFAYEDVSGDTELASARAQVSTSESFSSPVYDSGEVLTTSSDVDLAEIAAQRLPNPSFSTNTTGWTGNNATLARVTTPVNTG
MERRGCIPGTSSSQDQGFLGNEASFPRECGMYSTPILRLFEWTQWLNHPSKSLWGSMKQGGVLLPGKDKTPLTMESIPTISCSHSDIKVDGFRIKNPIFLLMTTYVDHCEGHGKMMEFKAALRCCSKEQADWKERNGKKSNPRRQGRPGSLRRLDGKDMSHGGNLACLEICQGSLACILNATRYYAKIMDVLNGYHEAILREDLTNSIASLMSTVTETLST
MVQKGILLIFLGILLVSNIGFTMFVGGIVAHVTNCATEAIPTGNSVPGAISSAAVTGNATGNWTLGANLSVSTSGYGSGRVVFNTTGSTIAACINITADNVVFDMNGSVIQLSNAAGSTPTVVGIRVEGANNVTIRDGYINVSTTSVTEATANYFGIMINGSSNVTIINVSMTSMSGPNVPVTTSIGINISNLDNAIGSTPGGILINNTNISNVSTAGIKIENSTNVTILNSWFNAGGSGTWLNGVPAISATHQNVTSGTNGGDRYVNVTTTMFNSTLANVTVFFYGNLSFGPQHLMPLPDNLPAGGGAIGGTKKWFGHILNFSSSDSGSIANITFHYTTSQITTAPAEDRLTIHRLGSSTGDVWSLPMDVTRNLWYTNSVTATNVELFSAFALFGDDTYNNPDSSTTSNPYSGKSDVPNPTLDYSCSDKKITVKTESELSGGSISMKYYCAASASCGSCNGGAVGNYDSSISSDGTATFDYFGPGEYQFEVTSVGSSENYRPTVWYNSNWACYKPDESCTKECTPTKESCADGSSIETKSCDAQGNLVPTNNVCPKSAPPPAPEPEPEPQPAPTPAPTPSPTPQPPPQPPKVTLDLTTPDKAEVGKEFVVKATKDGKACTGCSLVVKGQDGKETKFTTDKNGEAKVTLANKGSYDLSLLTDQGTVAKKRTVSVELALGTAGPEKKTGAGFLEDPGVQTGLIVVVVVLVLAAVYFWFTGQGKGKPAYKK
MAFFRNLSIGKKFFASFGVICLLFIITGMIMWFSSSDIKKGVGIIKDEVVPHTIDFIEIKKDIIQIQQWLSDISATRAAEGYDDGFIEAENYYKDAVKLIEFEYRFHDKLGHKEMTDLLTELRIGLDEYYEMGKRMAQAYIDGGPEKGNPFMDKFDPYAAKLSGIIDNIVENHKIKLDEHLERVSEVSTTTMTRFAIIFAVTLFVCLFIGTALSSDIKKRVNLIKDAFAKAINGDLTSTVKSDSKDEIGVIADSYNEFINELSSLIGRISNVVDDLGGSSKALSSVSDKMFNESLQTSEKANAVAAATEEMNVNMTGVASATEQTTTNIQMIVSAAEEMSATIQEIADNTSRGSEITRSAVKEAQDVSQKINRLGDSAKEINKVTETISDISEQTNLLALNATIEAARAGEAGKGFAVVASEIKALAQQTAEATNDINEKISGVQSTSGEAVDAIRHIVTVINDINEIVITVATSVEEQSATTKEISSNVSQASCGVQEVNENVNQVSAVTAEVAKNITDVSHSAQETNTGSEEVEKSAKALVYIADSLNEMIKHFKI
MSMFRDVAQSGGGFIEYIWPKPGAGDTPKLGYAEMIPGTNFWIGTGVYLDNIDAYTASMESDIAKKVKTTIFKMVFITGAIFTIIAGVCLAIVFGITKGLKRMILGVQDIAGGEGDLTKRIDITSKDELGELAKWLNSFLNKLQDIIKQITTESSGVDQASNALADISGEMTNGAQHTSEQADNVASAAEGMSTRLNAVAAAMEESSQNVNIVASAAEEMNSTINEIAGNAERTRVSSNEASTKANDAGNQMKALSEATKSIGQITETITDISEQTSLLALNATIEAARAGKTGKGFAVVASEIKALATHTADATLNSKEQIENVQNVSDSSIAAINEMSEAISTATEMIANVSSASTQMSANSQTVKDSAAQLKQLAEQLNKIVDTFVIE
MNLSSLSKSVFLSCLTAAICVGIALQGMGGESNLLSILSGLAGVAAVFSLFFVLKVRRVLKHVTEIATLQASGDLEARTLVGMDHGELKDLLASVNYSTDKTDSFIREMVASTHALSANKYYRRVVTRGLQGSFLVYATQVNEAIAKVQNRICDFSGQTDAFEQLTRNATDLLSSSGQNMSDNAQQMEQSADLTLQRASAVTVASEETSANVQTVSAAVEELSASAAEIGNQVKFTADVTRTAVQEVEAGETKISSLSAAAETIGDVVELISSIAEQTHLLALNATIEAARAGETGKGFAVVAGEVKDLAGQTSDAIGQISGQIEAIQSATAETVVSFKQVAESISKIESVTDSISLSARQQAEATTEIAQNIAEAYSGTRLVASNVADVSTYANETENAAEHVIGSAVEMNQQIASLSSAVTEYITELRNGPLSNSEMRT
MKLKARLILFAAVPTAALLAVVAVGMSVSAPGAAGWVGIAGALGLAAGVGCSFYAAYYGGQLSARLEGVNRIVTSFASGDLTERVNLGPLTDEIDHNAHGVNSLGSGLAEIVAGINRESDAIANSSSQFCHVSTQLISRVEDINKRSMNIAAASEQLSSNVNTIASASKGVSESVTSVAASIEEMSASLADVAKNCQRESRIAAEANDQSRRIHEIMNRLLLSSREIGKVLDVVMDIASQTNLLALNATIEAASAGEAGKGFAVVAGEVKELSRQTSSATGEIGRKISEMQANAKEAVAAIESISGVISEVSAISESIAASVAQQSAATSGISGSISATSQGTFEISHNIGEAARASVEVARNILAVSEQAKVISNGVVESNNAAYDLANMADRLREIASKFKTSHKVFDSTMVKVAHNYWKTRLNSALFKNQTLNPSEISDHTQCKFGKWYFGDGVQKFGHSGSFQEINVWHEKIHKHAREIAQLLIDGRREQAIEKYEGFHSLTSHMFKLLDRLEAEVN
MDIFRRSLAAKMLGTTALVLVVFFGILFWTTFFMQKAGTLHEVEVTAERTAEMLALAIREPMALGDNAGTTRKFEEVGARYDDIDIHLTNFKGNITYSTAEKSLRGEIADVVKAPELAGMLAERLKTDGISQVITEIDGTPSYVEVKTIPNEPACHHCHGGNQPVLGVLVMRQDISRQMGALMDGQIKAGAIMATGMVVLLCCLAFFMRLAIFKPVHAVALATEKISKGDLTVRVETKSRDQIGQLAQSVNTMTEHMGSMMQEIISGVGTLAEASGQLTSVSETVASVARDNQDRSNSVAAAAEEMSQNMRSVAAATEQATVNISTVAAASEEMSATIEEISRSTGRAREITAQAVSVAQATSTDVDRLGAVASEISSVTQTITAISSQTNLLALNATIEAARAGEAGRGFAVVANEIKELANQTAAATDEIRNKISGIQGATDQTIGRIGEITRVITDIDSIVATIAAAVEEQSTTTRDIAQNIGQASQGLDEVNHNVTQTSGVAGEITVQIAEVSNSASNMSRNGETVREHAEELRALSEQLKGLVQMFRIS
MTAPGGDWRHTSRQRLIAGMTFDQLYTFQTLGRTGSFRAAAVELTLTQPAVSQRIRQLERLLGCTLFERRQGARSEVTAAGQELMRFADDILARADRFRQRLEQMKYLPEGSTLTVVSDSDHIKHLLVGAVMAMKETAPSIRVVIKHEPSRQACTRTLAEGNADLGICRYPAPSKFPNLGTIEERMYLFARPEDPIHTLPEGDRVGYLASADFATFADGMRSRQLVDRWADKVGASLRIVLESRALEAMRTYATRGLALAILPEFCVADDVRAGELRAVPTPGLPLLRGAVILSPPDREVTHAARIFLGMMPARVDASLSPVPRSPAPEGG
SAGLSMPAQAAGTAVTIDCFRSADPPLLSADGIRTGRILELPIVGKGIPATYDDRGLLHFKIGQETFTIAAEMAQLQGKPQPTAKS
MQERLPRLLWLQKGKVALLLNLRCENVDHSAASEGLDNKDVVQFSGKTDQEPGDEKSQGTQNMSRRKWDLTLPKQAEEALDYYLSLPNDDLNSETECDSDAEEELNGLD
MIKHYENLNQEQTKGLLEIWEQSVKATHDFLDEKIFRPSKNIYKMPILKI
MTHILLCRASQLWWNERGNQREKDRERAQARAGGKNKQTKNDGLTPEQRKERDAKALQEKAAKKAAQAAGGNNAGTREKCGCSRNENVKMNARQDKIQNDYIGGNIGMTSIEEILEGLG
IIRSFPVYEVEPKVTHLKEVDFLRYWYYCGNAYVGLKNFPKAIECYRLAIATPASSLSAVVVESYKKMVLISLIETGEMPVLPPYTSNPVSRALKGVTKTYQTLGQLFTDNNREGLEEEMNKSFDEFDGDNNMGLAKQLLEALTSRRIQRLTHTYITLSLTDIAQNVGLAGPAEAEARLVRMIDKGRIFARIDQTTGMVRFEENDDDCNSVAMMQRLEAEMGMVVGLSKRVEALDLEVSKNPVYLNKLNNQSRGGASGGGVDMADEIAMQESLNMTLA
MGNQLFQAARKAVEKAGEVLTGKMGHDHGHEHRSEENQYSSQQGAQQNGPHSYGANHNEHQNYSSQHGGQQGGAQQHGGESVDADSKAKAENALMSAFANASPAEQKQLSELQDELKNM
MLCKEKIDYILYDCRSTDGVIVNGRKLVPEQGQQLVTGDKFIIGDYMLIFYNTQPAYQSNEQKEHALS
MTSIRTKLLAITALLVMATTVYAGQGLGHFTKNNATLPLKDQVAFWDDASKTLTIIYTPSRLTASEKEKIKSGEPVFFVLSDKSSPNQQQWQWYPYLLTEIKFNSNKIQTNNIKNIFVMAYGVEEQNHTDNINTSPNDAIKVKKVGFVNEQLKLDFEGSDELFDSQYSWDISI
MTDSQDIESATTAIRTSQYAHSSTSGPPDSKTRRYDRQLRLWAASGQSALESARILVISASATSTSILKNLVLPGVGHFTILDPDCVSKEDAGNNFFFEGLDSVDKSRAQEAVRLLRELNESVDGLADTSDLSSRLVDADYLASYNLVIAHNLSETLLVPLSKLLWTDPTFPPLITIRSAGFLAEFHIQIHEHAIIDSHPDTAPSLRLDKPFPALLEYARSLDFEAVDITDHGHIPYAVILVRALDIWKQSHDGQPPKSSADRAAFKNSILAMKRKLDEENFDEAFAQAYRAWTETKVPFDISQLFEDSALKDLTPASPPFFHLLAALRTFTEQPPYTLPLSANLPDMKADTKSYVHLQKLYKAHAEEEKARFKGILKDRGIQIDSTMVDEFIRNAHGLKIVRGHRWGALDENPETIARLLLSEESAKMAATHVALSAVSHFLAKNPNKQEPTTEQLRAYIQSSLPRGIDLPEDIAIAVGEVARAPTADLPNTAAFLGGLVAQETIKVITKQNAVDSRYPKAVMTMLPTPVCHGMVELPSASEERCHLNERLLSEIELDWNTLSPISKHITLGLAITVGSAFGELPPSREQCLAAFVVPNSAGLTAGARAWTKHAHRSGHREGISWWGNPRGPVSSLNAGALAMFEKIMQHSSWRNLHWLPHAVLVYEIRVVEGYGMRWSQNMMATSFGGDTEGETTWPADIYMPRLATALFVVLAALNSCSYGQPDCGPTLHFLVYLLFRRLKQQGDDLDGAITPNCAALTGASSRSFFFIRQMRFERGGRIEELDSEETIILERAALELRPEVIRIILHHWSISRSLDAKTAGGWKISKKPSLWDAWH
MNIRCSRQIVFRNITEHETLLYDRQVRLWHAGGQFRLEQASVCVISGNATAAEILKNLVLPDHRDVSNADLAGNFFLEQSDICTNVAAAMCAHLRELNSDVRGRAVEERLETLLAQTDFWLGFDVVVVCGALSLVEQATLQSLLWPHNVALLRVWTRGFYGAVRIARRETTVFETHDPSPTYDLRLDCPWPELVTYADLFALEKLDDIEYAHVPYVVIYLKAIDQWRRAHRRTPPELPRTHAEKTDFRRNFVDKMARDISHEANFAEASQAIHRALQPTAVPESVQRLFSRKETDDALLARSVSLFWVYVRALADFVRENGGFLPLPGVLPDMVSTTSSYVRLQRIYREKALLDQQQFTAIVTALCERLQLDLQPDPESISSFCKNAAYLYVSNGAQLDTHANLAEEFAKTSGLREDPRLLLVVYFGMLALDRWTEEGGVGGETQFLEVFCCVTGTSAHSLPKAVADTLRELFVHNVSGYANTCSYMGGIVAQEVLKIVTCQYIPLDNLYVFDGIWSVSDKWKACTE
MDPIKCLPFRRVVVFRFHPTDQELLLGPKQHFTFFNGKLINGKPPKDGKTTFHLHQGWAVWFLVY
DFTSAEGIAHVKCHNLMTGNQITYSTSANGTSYQYIGEVNGVLQITVTTAESSYECFTIVE
MEKIHHYHGDEAPPFHWTTPSREFMTHVLEQLPTLDDVLQRQSRPPVCLYNYYIVLRDRLHMETLLDFWLDVQQAEVLHRRYMRQQQRKKSQADKKLRQMSPVSPISPYNSAHSASSLSIPSPRPTSPHPSSLASEPEILTHMLLMHAHRPPPHQPPTTYPTKRSTTTTMGSQSSATTSTSALPHLLHQPTQMDMIDAVERIYLRYIVPHAEKELHALPLALRDQITRTFADKSQTLEDPKVFAPAKRYVHIVLESSFSLFLRYKVFMNLTLPQQLARLVIGLIALLLGLTLEFSLIFLDIMPWSKRLWGIIPLTIAIYCLCSSITGLDPMWVLVFNVSETTTLKFNAIKQVKVRQILRKRSLFLSLVMLSLILLLLVIFCSVPGHRL
MVTDSGLDPKIITAKDIGKKVPTEVAQEILRAVASIEYGSIEIVIHENKVVQIECREKIRVSQGGSGRKASKS
MIGKDDTQAPRRPSTLVRVAAQTLPALLAAASAACVPRPEPEQCEALADHIVALSRASQEGRAADIAATVAEEQREALVERCKSEGTRREVSCVLEATSLEAIQGCAPRD
MALALLEELGEPILSCTLMLPGDDVPMSDPYEIRERLEHLVDAVLDGGWCGTEPTTVVDMTDGVELVREGKGALAPFGF
MPLEDDAKSFRQQLAYSLGEASVPLNTSLQAIGQMLGHMAAFAEQSADQPGRFALAVKHAYGSQAHDALCHLVRCTPAPTSQMHYPNDRDALYDLLDPVCGAFGQDVSHWAEVMGFFFRDLVEVAHGHEQSQSHIERMLHLSLGLEAAYCWHRICRAAIQRT
MADFDDLVAKLRRNWVRLPALAGPGWPELERQLTRLIEEGESGRLAPVVAATRIRVAVADFPQVYGLVTGPAEPESPDTVPPMPKDVLRRGDGPPKVEPPPPPRYVNLAFIRQEDFTRVPATQGLRAGGGYQLRVDLGRFSPESIIVNAPPVPTKRLPPTQQGHWLELTVTSEGFRVPTEPTHLYLPRQGASFTCPCHPGDTHTCKVRDRDDYAFITVTAPLEPGPGRLRVALWHRRNVVQSVLVEVDVVAQERDPGRQHAHVDFTLTHNLSDVESLRARAAGVLVNERGGSHTLVLNGVDDGIITVDFSEGTLMTAMDAFRAALLRAQLKQDGTQRRSLLGPANEKSRVGLVEDLARLAGVGADHWAALYPHAPEALPAFAKAQGDGMQIARVESSRFVFPWAGIYDLPLERRRFGHYDLCPLVEEWDGRAPLIDGSPTRCPREAEHARKNMLCPFGFWGFRFAIEQPASTRGRSLPLQIPLPSAPAAIIAQSLALDREMADTHLAAVVAALPGFSVREASSADEVCEALGEHALGLAYFYCHGRGTPDDAWIEVGRDEAIYPQDIATWAVVDWVPRDEHWSTTRPLVILNGCHTAELTPNSPVNFVDGLSSAGAAGIVGTEITVSQRLAGEAVELMLEGLISRQLPVGQALHRMRSRLLAKGNLLGLAYTAYCSNDLRFV
MSSEMDEAEQRSRKGRKPGAGTDALANGARLGALERNIGVKIKRHREPDKIGSFGGSGQIVDQPGKQKEEGTVVWRETG
MKNPWKNITIDNRIAECDIDYLSKYNRSSKNEFYLSTKDMPEPFIGCANAPILILLGSPGSVIDISGGLRMINQEALANLHNPQTINDFPFYPLKERLAKTAHSKWWNRVFRVLINDITISGLDETQVKKAISKTFFNLELYGYHSPITYKQFVKKDNLLPSTNFNIYLIKQAMKENKLILMPRARREWFNIVDGLSDYNNAVFVASNRGIEINKHTVSPRAYKIIVDKIKTANTI
MNELSLLLDTKGMSDESQYDCLSTEVIETLSTCTLLPAVLSAIRRALNNRRKVHSLPAEILVKIFSFIPAQSRDIIHGAVERCCGPFAIFNVWDLLPIAQVCYYWRSVALEVSPLWSSAFIVPRKGSVLHRINACYLSRCTAGPVDVYVEEDAEDHGSWRHSAVDGVLQEYGDHERELHVDTTDGWRGMKSLPDSLSHPLPALQHLTLRCWCTATETREVWAWRALQDLFGGCSLSKLQSLAILNAPFLPANTVLQLLA
MNNEYWYVRINGRSELQYARVLKTTDKTIHLRIHMRYLVMENNAVILVERAPDPSGFHWADTLKAVVMFAAPSILGAALLIFFLG
LRNQVPVLKNAVVEKQSENEKLKEEVQSKETSLRKLRSENESLAFRNDQLLKRIEALQQTLDDTNAAFNSAKNKKKHKEANLRLFGESSRLQPSTSDTQTVLEQELERKLLENGELHSKLFDLERQNESIVADLTQRISALEQENVRLKSSIVHENTRETMARTSRHTHKSSDQQSDYYSDENPKEHLDAQIFEMPQEIITPQDEAKLYANGLLTSTKNVIDSFVNLFTLFEQRCNIYPCDITLETLPSSIVK
MTLSPNTPPTKEALRRTWISIKRRPALIGSGILVGAVGGWAIHPLIGNAILGAGLGFLFSLMLIVALRFPKHYQRALRGE
MRANLPGLVQRPSARHCSPPSFKRRHDPLSRPTHAHDSQMAPFTKHNANFFLLPFLCAVAWLLLFLVTLSAPIAKSIYLAQVNINLDINTSKDAGPFHIPGVSVATVQESLRFGVFGYCSSGVHADELGVFSQDQPAECSPKHLGYKFDSEVLKILHLDKVAGDVSGVIRTGLSMHVVGESGTIHRRSSTLTAPAATVFAFVTLLLSLAAPWVSHWLYDVVTAICASLASFFAMVAFAFAAAFANSANKILGAVDSLSVKKGNGVWMDLVAWLLLFLVSVVTVRVWWQKRKDNNARRASSDGPLMRQTSKV
MKSEKNLFAGSNENEIKDFKIGTLNSDVICIHTAIESKTVTKINNSGNVILYCTIAVYDLESGELILKCKVKNETKFKDTLPDKNESIKTLNQDLLACFEQKLK
MEELDYYSGLKMDDLEFAVGKMNESYEALINRIVSLKYSFDDPFETNHTLVDRLDSNTIKIFN
MEIPKELERKARSRAIFIYEIRERFAQTFLYRIHDKLKESMSLVEKFFKKPQKIPKTRI
MSRSNRISLLFFLIFLGGILGVILYVNRTLNKNTVIEYSLSGDWANVQTRAVLHFPS
MAEFTFEGREALEKEAKPVGGGAHVHVPKDWIGEKVAVIRLEQQETEDDE
MLAQDNIPFLISPHLKIIPRLFTKLPLRSSVVSSAPTCKLAVLPAWIDDCVHHKLGADDGILRKLTRKTGSSNFVLRALVAITQMAPTLEEKHA
MRPLIRALSFLALLLCFQVQGAYLFDEYQEYPVDYSDEKKDDSADKKPQCNEPLAPEFGKVLVVGNFLEINSRAIFTCEQGREMEGSMTSKCLDSLQWSLPPPKCVKKCSVPKVQHGRIGRPSSFLSFVRRERWSELPEGQKLEDKVLLKLRCDDKYEPLGKHTFEETVNCENGEWFPIPQCEPAKCRSKPPESANATANKVNGSHGERVMYTCIPSFRKKVQETIVCEFGQWTGLVPVCTNTSEDDGIDKAEDMSADKKVLAIINTLKKFRVNLLRQHFKTVSDCSAFQKTRHKKDLFTRIARWALRLEEFDYEMKHRDGGRMKHVDVVSRYSVII
MRMPEGKLLRYFSLVIHLLFSLKSKMTQVHSMFERIILELLYQSTIKAVTARSQDA
MIVDPADDDGCSSFTLSSGSRYHPAAHLLLNEVLKIAKSNSHSSSSIKTKAFLYRYAVRAGPGRASIYHPFFRFRQAVGCTPESRGCIWVGLRGGILCGGTGVGRARAQSWVILGEPVIIADETATRNGLDDFERVVVVVAGVVLLSCRVRCGTWGIRWELMKSVRQGSK
MQTHTDARTVVNSKDVDAVQGESSILDPCPRLPKAQPQEHQK
MSRDGVQVLSILGRDYSIRVPAGEERALADAAALLQAEVDANKRKFPYVTSNELAGAE
MGFHPVEEKGWTDVCKEDPSLESGLNMVDGKIVCEAVAKAFGLEYHS
MLRRYRRHNERLRKRYWIQNVQLRRKYRRHNVQLRRRYRIQKVQLRRRYRRHNERLRRRYRIQN
MDRPSNESKSTKPTKKAKWFDGLGPVGMDIQPPVTQPPAIQPPAIQPPAIQPPAIQPPAIQPPVTQPPAIQPPVTQPPAIQLPAIQLPAIQQPATQGPPKGPDMTFKYPIPLPEPKKPLPKAMTEDEMDEAMADYEFPPEETPLQKALREDEEQNAKKKQGNNIPMKSTSTKTMFSNPQKETPSYRIQQIARVGVKKDLVSSDLASLRLIHQ
MAPYAISQCSVADGAALAANNIPAFWADPNWVLAWRHRTLEYHISQVALRFPRNMLNDRETLRHQKAVDLETGRIVGYARWSLPPSHEINPDDGTPTWPEAQVPAVEPEKEAEIRRLAETAIWDPNEDADVLSEGVNALKKEVTPKTPHISLKYLAVHPDNQRKGVGMALVKSGMDQADKMGLDIFVHAFKEGAELYKRMGFRLLAEIVQDDSAFGGSGEYGAYFLIYEPGSRTDSA
MSPLAYVTVASALVVGAMRVMKTVKYGVATISLFEQFMRTLVPHLYYALLGLVMHAVLRFFGSQRRWSSSVAMALYAGGTFATVGMLLVLTYLSVGSHLGVVGRGEGPFSPSPGHEWLYWIGFLLTVVPGTLFLVSSMQALKVLHSARRGPFALAAVLGLGVFVLVGTYVPLYTLHLSLAVDSFRGVPVPMFYWTF
RVIAVRGPFDNAARLAQQAIASFGWYSTLSDNPYRNDGMKSYGYEIWEQLGEVPDWMIHPEASGGAVAGAWKAFGEMRALGWVARRPRMAAAQAQAAAALVRAWEAGREDVEALTPGPTVAESIRVGRPRLGWQALRALRESGGAAVAVTDEEILESQRLLATHEGIFAEPSGAVSVAAARRLRRDGTIRDGDLVVAVVTGHGLKQPDAAATPPAPLIEPTLTALERALKES
MPTNGNGGGAWATYAARAGLEAFVVMPEGAPVITRNECAISGAHLYLVNGLISDAGAIVGRAVKSHGWYDASTLKEPYRIEGKKTMGYEIAEQFQWEVPDVIIYPTGGGVGIIGIYKALLELQQLGWIGKRLPRLVAVQASGCAPIVKAWQEGKTVSEHWKDSRTIAFGINVPKALGDFLVLEAVRNTDGCALAVDDAELLQAQTELASQEGLFVCPEGAATLVAARQLRASGWIKSNERVVLLNTGSGIKYPDTVQVSVPLLQPQDELPA
MAKVKGLRCRECGREYPAEPIHVCEFCFGPLEVEYDYDLIRNTVSREKIERGPKSLWRYVDLLPVEEPKVGLTAGFTPLRKAENLGKVLGLKELYIKDDSVNHPTLSFKDRVVSVALSKAVEFGFDTAACASTGNLANSVAAHSAQAGLNCYVFIPANLETQKIYGSLVYSPTVVAVEGNYDDVNRLCSEIANELNWAFVNINIRPYYAEGSKTLAFEVAEQLGWRAPDAVVAPAASGSLVTKIWKGFKELRMVGLIDGKLPRVYGAQAEGCSPIAQAWKEGRDYIKPVKPNTIAKSIAIGNPADGIYALAVTRESGGEWETATDEEIIEGIKLLAETEGIFTETAGGTTVAVLKKLAQRGVFRPDEVVVVYITGNGYKTMEVLEGHLKDVVRIKPTLADFRDKILVRVP
MRAYYKCARCGYTADTNVWLWRCPRCGGPIDVIIEDLRLTLSEQRGLWRFAGVIPAKPLVSLGEGFTPLVKARFLGENTYLKLEYLNPTGSFKDRGSAVAVSKTAELNAKAVVEDSSGNAGISIAAYASVAGIRARIYVPRDAPEGKKSLIKALGAELIEAPSRADASKMAIGSIGSDEVYIGHTWNPWFIQGTKTLAYELVNQLGHAPTSIVLPVSAGTLLLGLFIGFNELMNMGLINELPRLFAVQVQGFAKLYEELHGEYRSEPTRIADALRVSEPPRISQMINAVKSSNGDAIVVTDDEIVRAWKYMFRSGFIIEPSSATVLSGYWRLMGNNKINRNDEVVLILTGNGLKYVDLINKYVIAESNI
MANYVIKCKLCGKVYEREYKSFRCSCGGVLEVLVDLRSSKWSVDKDVRSIFRYSQLLPDLSHHVSLGEGLTPVVVRSSGNYSLYFKLEYLNPTGSFKDRGSAVAVSKAVDLGVDEVLEDSSGNTGISIAAYAACAGIKARIYVPNDIPVGKYSLIKSFGAEVVKAGSRDEASRKVLSDLSDRRYYIGHTWNPYFIEGTKTFAYEVYEELRSVDYVITPVASGTLLLGIWKGFNELAELGLTSTIPKLVGVQACGYDSLSHYLNDVRVTECVSPTSLADAIRLTNAPRLPYIAEAIRKSGGFSVVINDELIVDALKDLYRMGFAVEPTSAAAYAAFKLVRKELSGKVLIPLTGSGLKYLGSAESPLYKVFVGEGC
MKGVGGFNRGAVSSGSTSAAEVVEKDYVGLSEATSSHPATTEEAAEEGRREKDEEDLELGLSLGAKNVAGGGGGKAAAPWGQSSRILTANDFHSLVYRPSPKSSSTSSVSSSSAAIVGGVGGGGVAGTKRAADSVAPEVVGSGHPPRSIFLFVSFLQMFVDFVRV
MHGRALVCGVACLLLSGAALANKPDWAGKGKNKHSEESHAQAQGGSVEIRVGSYFGDDQRRTAQDYYGAQQAKGNCPPGLAKKNNGCQPPGQAKKWSRGQPLPRDVVFYPLPRDISVRIGLPPAGYKYVRVANDILLIAIGTSIVVDAIEDLMR
MDVIPLDVEWYRTGIDEESNAIMSMDLASEFESLRPNQKTLLLLRFYYGYSIPEIAEIVEKPEGTVKSQLHRTLQVLRKKLTNGGDVYGEAST
STWLFTIAKRLYVNACQKHRPLYDSEIVGGWQGGGDDADAPTLTGEAHATARDAIGEALDQLGDEQREIVVLFHQQDWPISLIAEYMNMPEGTVKSHLHRGRRKMRKYFEHSDQYNAFIVESLS
MQQLGINTLWITPIVDNIDFNKGLDFNSKQYGYHGYWAKDFTKIDEHLGDLETFKELIDKAHDKGIKIMVDVVLNHTGYGLKPGDTSPDITQEDKDRFANMLRTDGISADEDPIKGELAQLPDFITEDVDVREQLMDWQAGWLERATTNRGDTIDYFRVDTVKHVEDTTWKAFKNRLTAIDPDFKMIGEYYGATVDSEGGMLQSGQMDSLLDFSFNENARDFVNGNIASVEAYLADREAKMDNTRTMGQFLSSHDENGFLSDYVAGDKGKLKIAAALQITSKGQPVIYYGEELGRSGKNAGDMSKGEFSENRADMPWDQLETEKPLHDHYQKLLNIRAKYSKVFSKGIRTSLAASNEEGYLAFNKNYENKDIVTVINTTIAAKNITMSVPFVAGSQLKDEYSGAVYNVTNNQKVSFSLPGMNEGGTVILAVTPPVDEGNGNGNGTGGSSVTDSGNSNHAIQKIVNEESLKSGREIISINLGEKENGVLLPLRTAELVGSKSIEINKGDFTIVFPSSLLKAIQQSIPAGQTEGALISFKMDEVQQSIAESLLGKSDSSTTKLKLASKMFNFSLSVQTKDGKEVKIDRFLTPITLVFNVDPAADKMLLGVYFVGDDGKLEYVDGYWDNGKLKADVYRFSKYAVLEYNKSFTDLEGHWAQAAVKELAAKHVVEGTTDSTFSPEQRLTRAQFATMLVRALNLKDASTGTVSFKDVDRSAWYASAVSAAHHNGLVNGKNKFTFAPQETITREEMAAMIVRAYKLRNAASAVPMGSRPVFIDEQQISSWAVEEIQAVRSLGLMNGQSKNRFVPKADANRAESAQAIVNLCNKM
MYCQRHKIENMFARLKDWRRIAMRYDRCAHTFLSAIHIAAIVIFYL
YDKEMYKWRHLIENFFCKLKKFEEVAMRAEKTDTSFSANIYLAATVIALR
MSADPEVKRAIEAHRQQTIVANQQINILNAQLEALTMKHRRSELVEQELKALPTETVVYKALGRMFLRKSVNTITEDILKERLIIDNNMETLKVKICSLQKNKEAVSSNLSESKEALRELLSSKQQA
MLFHLASLFQGIFGPFRLLQSYLVLIIVALYAGFIFTVLLLPRFYKYLPKDRGREFAIHAENALGKPTGAGIVFISIFFILSFLISPVNLSQITVLFFTWLVMFTGYLDDRAHHSWGEYRKGAIDLVLSVAASVALLYCQFGGEVYFWLPFVTNEVAVHPVVFVLVSTLVLWVSINTTNCTDGVDGLSGTLVLLALISLGLIFYFVLGHTKIASYLLVPHMEDGARWAVQVFGLSGVLMGYLWHNAFPSKVLMGDAGSRSLGFFIGICVILSGNPFLLLMTSAMILINGGTGLLKVALLRFFNIRILHSIRFPLHDHMRKNRLWSPTQVLLKFMIMQVLITLAVLGLFFKIR
MGHTIRNLALVLMVMGLFGLEVSAQSWTSSGAEDTVFIKVSVRMIGASWSIDTLDDGWDDDSAVDTLWQFGSGTEYDSFFADIGSLDPCSLAIPAYWLENLGGISLDIMVRAEVGPTWRIHPLYYTCDSLSGSINYCGVGAIAMIANGVIDDFRPTDMNKDCIPMVTGSWAEVDSLHFHPTSSFQYYPFVTTTGTHLVAEDPIAGIGGEGTYRRDNDQMELYFYITPPAVSSATVPQYITFWVKAKVTD
MPPRLPSSPAPSPPPHLLYLSLSPLPPLTPLPPRLVVYPPLPRHRRPPQRPRQILVHDKRDARAREDADDVCAGVGLGGG
MAANLAKAFDLAFGHPRGKVGELGGRVMAKTGAKAEAHAVEVAAPAPQEVVLVVGHGPGVGLRLVGEQAIKAIGVDPSSIMLDEARTRCADLIATGRVELREGTAARTGQPPASVDVVVSVDNLQLWENRAASFHELARVLRPGGRLVVSVRRWRLPVSEFDLMVEAETAGFVDVRTSLQHYGGLQPPVVLLLASTPA
MDVVAMTVKCAHQAESGRPVSMTLSDHFTIRLATKFFDFPVDTFYVLDDAGLQRFKDRIDSACGTSLHSRDLDEMSLAEFEPRSLRSRLLGESQVFYEVR
MHATLGRRAAWLCLALITLLAAPGVALAQTTGGISLRLDAIDLKDAARGDFVLYTSYLDKYRRSLKAGDAGQWSVFLNGEAVGADSTKVQLLREDPEHGVAVVLVIARYSAVVMDGFFKPAVRGASNLVSQLSPNLDQAAVLLYSDTVDASGRLTPILKDVTSWLDTEAKPMPEHATPSLLDAVDQAVKLFPADFGTVGPNRVVVVVTDGFDKNVANPTKTTDMLKGIEDRAGRANVRINVIGTFIDTDEQLPTVRKLAGVTGGTYRQARTANEVEEFLNHTTAEINDQHVIRLHTTAFEGGAQNTFHVEVTDDSGHKLPSGKVIKRLPEKESHVLKYVLFGVGGLVALLLFFLLGRAIVRAARNRGGDAPVAVGPETTGCRQCGNRIDPSWKVCKYCEALPHHGRLTVTSSGPLNGKAFFIKESQTTVGKDAANHIVIDEGSVSKRHAGISVKDHKFELQDFASTNKTWVNGQEIHKQFLKDGDEVGFGAVKLVFKLKK
MDEGSPGAETGRPRAEERIPRPEGESTAGDDAGEVGGESREPGDECGGAGGEWAEAGGDVEGAGIGCGEPGATWGEAGE
MAIIELVNDPQKAQQLAQLLAEVWGEKNSISVDVIIAVVHSGGYASLASSDLSGKRQIVGGSLALVGRHDNKLHSHVTGIRSELRNSGIGSALKQHQWAWAKENDFAAISWTFDPLVRRNAHFNLVTLGAKVVSYHRDFYGELNDVINSGDNTDRLVVERQVAGCDIAPHAETVSSEDGEELIETPHDIVALRQSGKSADQVLVRKARLTQREKFESAFATAKFVRGFTSDGSYVVSSKVR
MTQVSRTLPTPQRHADQVAQAAAQRAGVTVDEVVSPGRLKDVEQLFRTVWETDRDRPPISADVLRALVHSGSYVAAAYTADGRLAAAGVGFLATDVRSAEVGGTESPVASMHSHIAAVAPGTERHGIGSALKLHQRGWAIRRGLRSITWTFDPLVRRNAGFNAHLGAEFVRYYPDFYGEMADGRNAGQGSDRLLARWDLQLPAVPRVAVEPVGDRQRLLEPDGDGRPVAGTIEGDRAWVATPANIEQLRRVDPDLAGRWRIAVRDAMEPLQSGWRIDGFTAGGSYLLVRE
MKVKSKKQIRFEKGILISFFCLLITLSFHLILYPAYLSMAHACIPQKDNFWLESKGYSKTGEFITGNKSSGKKSLIKVFNNKEETMVHELCHQVQYKEHRLYSCKHKILRYINEIECYFKKEIFKL
MLPSDGICNTIIFVKKTKPFDTIYCPASPPVVNLFFDFWRTGSVELWNPAGAALNPVAPQTTIDSPVPVTLSHWDIDHRADAARGQLLHRSRGLKISWDSALHESALHGSRESAMPVKTF
MTSGDSRQLAELILKLAHDEDLRAKLGRQARQDVISHCTWDANVERILQSFQTPN
MDEASPLGVYLVKSGSKGDRLLFRYPYAVDTESDTHANRRRTNPYSLIPNEDTSNITRITDKALSNLFATKSELCNRKFELKVNDVRFVGHPVQLCSKRETSTFLMFNVVFALRANASHDIVNFYHDFTHKIAIALSSEENRCNYLSFELKIMLRAHDDTVAMPEDIGESPYYLILKRSQLARDLQRAYNELHSSGSVQLHINRWINVSFCLPHKVHRLQLARSCVKAEAIQKCLTQLRKSPVHERFAEMFPGVSLPAFMSKFSLPTSLSQLNDPMDLKNERKQLLQILVWMLQERILMQLHTYVYLVPTEQPSNGYLGSRPYLSEPHLSHRPATSQSDFSGSGQSQSSKCGSDEDLSPAESDGRFALSWDEKEAVARVPAYRNLEDRKLFLRLCPRFKGKDHLEEIMYYENVRRSNLLALLDKFREVLFTVQHEDPTIAVFYS
MTEIKGLIYGTYPKSDELRIKIGRWERGALKGAEIEREISAEKKLKTDLFISTGSDYTDPLFNWYDIFRPFTCATEGISPGPLTRYKETNTFYRLPEVDHVGRMKINPSEFNEIEENPPLPMFQRNSDPKYFAFFPSPFSFFKMSKIKEDVTLEKFTAGMISIYSDIMKSYGFKNTLLFESLPYQGEDISLLSPLIEKFKTILVTEGNLKFVDFTPIGKKLYSIAATSEDRNLEIASKHSIVPGIRIIDSHNTKIEDPKTVKETVNEEAEKAGVDSIYVTFNDYLDFLPFSIAAKKLEMIKGVIN
MAFITVRVSKPSNRLIYINGDYSRPAGNSSGSFTVPTGGQIFETLTGDRRLDYRKKFRVRPSDTQVTVELDRVDPPEPI
MPKWWIRSRNLFAVFCEESDLESLLHRVDWVRQLVSLFDSQEVSVRTAAWQAFDIFVKSVPKDEELHPPSLSLFLVSQMAAYAIGNLVEQTEESATKPFMVPFTRPLICVATQATTHLPGVKTAIFSALASMLERIPGHIKLFFPQLWRMFVKTVSDASSTVVQTRAADALGILMHSQPRVDPVVMELIAGVRASEETAASFVLALRTL
MDNIERNIYSIYGNAPEISAYGLAKYSRSSMSLADSLKELSQQKTEEFLNTFYFQYGHSSIADLAHVSIALENISIWAAMVVADEPLWDGQERSTRYQDFKKTKYFTPDGAPGSYRELSDEMFLRYDELTQTLQSALASKYPKPDDMTEEYYQRTLRARAFDVSRYWLPLSTNTSLGQITSARTLERQISRLMSHDVPEIRQIAAEMREAVVSREPVQPNGDSASDVRRTGPLLPTLAKYTSPNTYTINSRLKLKQAARELIGHLQPRAGKPVELSLFQDPLEHACTALLYSVSHLSYKQLQEVVQNLSTAQKHDLLETAFADRGKHDAWLRELQSHPLIFDVTMDIGAFRDLNRHRKVSKIVQDLGTHLGYSTPDILHETGMAQDYRQLMDNHFQTVSRLQEEWNPVEALYLLPMAANCRSLHQMDLHQAAYLIELRTGSAGHFSYREIAYQMFEELERQYPDFTKYIRVTNPREVFNPFQR
MSTNTNDMSFEGEMGMGNTSQSQSHSQEDTSSAVLRELTTALNPDNEEHSIKRVSEAASAFGTQMGRIGFQMQNQSVNITFEDKVLLGIGITFIKYLQSHNASLSPPVQSGSKVETDDDYHLSQSTSSNISSHDDRFSKKASTSDPPETTVVTYDRSDTEGTPFEWQTDQGDPSESGPHFPKCPSSIVLEKFIGAVMSKAKTRDAILSVASALGKQIAAVRINSGTRVPFADAVLHTIALSFEEHMKTRISSLSPLIQSGSMDGIGSNQVVAPSITVYASSPNVSPASTLVAIDDGSRPPSRQGEDSPDQDQYHDEA
GMAAESTLSEDPEHNRKMMQELAALFLYGIMGKPRLDSQK
MPPGATAVAGAGGSGAPDVLKHELVRLRDELKLKDAAIEERAVEVHTLAKQLRLAQDAVAAAEAALTAAQDRRLGAEGEAASLRKQLAAAAAERDALVAEVDASRQGSLRARDKELQELGAQAAAAETAQLRARELESVVKDLRAQLRRSEEEVATLQRQQAAAAEEAAEARREADKSNRELASASEYLAGIMSELAMAQDQLQTVRRDNKTLQEDLSRAEGEAARHAVAAAEAKAAAAAALKPKPEDGAVTAALQQALQELSLRTAEVVELKKQMEKAAASSTSEAAGGEAGEGLRRGGSRGPATPVVAAACEGAPATVAHAALGAGGSAPASPSAAAAAEVTAAVGAAEARTAADVEAVSDHAAQASESAAGAGDSSSEELAGASAAPTSSNEAAAAAVEAPGTAEPVASAAAITPEAVAVGAAAADGAAANGPSSAAPTADGGLPQDLQAAHQQIAELQQRVAQLQQELAAAAAGHSEDEEDSDNEHHSTPRGPASPSAAPTTMLTPAEVAAEERKRNAERGQRGSIWLGGKRPDHLGGATPLTKEALANIIDKLLQSREEQREAAAAAAATGAQRRPAPRMSPSGGLAAFVGGEMPASPTSAASASDDGSTGGGSVGGRNDTALWEEATAMLRGELIRLGREAAQKMEENERLRSEVGELKTARFSAEAMATRLEEMNALSIRVASLKLELAALKSEQDIREDELLAQIASLQDQLAKKKKKRSPGRMFRKAAEGIRHALDGAGGRQSEMSSAAPSPVPPAPAGESSDGEARSSWGGLKSKLSGLGRRASEQ
MKILHVASFSGNIGDIANHYGFRAWFEKLISNEIDWNEFEIRNVYRGTERFDDQFVALANASDLVIFGGGNFFELWVENSPTGTSISIENEIFNKIKTPVFFNALGVDDGMGYSASTIDRFNAFFEKLTHEPTRYLVSVRNDGAFSTLSKHVKNKNNLAKVVKLPDGGFFTKLPQTSSKNKENGLMVGINLAGDMLDVRFPGKEHHDYNSFLKEFSNLIRDISEKWSNTKFILFPHIYSDIKVFSDLMFILPDHIRRNDLIVAKYDSNCPFEASSFMEYEKCDIFLASRFHSNVVALGNNIPTIGLNSYKQIHGLYDEINMSKYLVNVKEHTFSKKIMDLITEMTESDQLAYEISNVKLNIEKSLDQAISEISAWLQTNHIQIQNPDNFKS
MARSLEGQEIHLQVLGSCPRKIHKNRPYGSDHQSFARSSLIFTNTRRVSQYLRDFNYRSLRWIISM
MNRNTAPFGVFWEASGWAASFRMRKLQLNIKGVMRTGLRGGRQYIFVLNPHSMSEKIIPQKNTCNLRVNHEIK
MQPPTSHEGLPTTACQVEHYFRQHGLDVARPCSAPRNSASTTEVRRCWILDSTAVWNRVLHAVSLELLEDSPGRLTLKTSVLYPPGVKDKSAVGAFLVATLLNDHRCVHHVEIRHMSHLMYNAGNVFDDVVLNEGIGQVTLTDQRPLHSELRNKASKLIMAVLMGDPRVLRFRTTYLSRTLRKTLREVIVCSTRLSELTLHDTRLVVHKIVRILEVVRYSTTITSLTLDKNNVFIKGSRGVADLLESNTSLVELSLRETVIDDEGATAIAGALKINKTLKRLNLASNEIFTDGVRDLSRALKKNTSLRVLDLERNTFGDQGVMYVADMLRVNVTLQELNLSNTKMTDYSLLKLVDSLKVNRTLRVLSVRHNVSRGRAMRELTYLLGINNTLTRLNCPSTYFVMTMTDFGDYMNSIRRSQAIDGLEIVVDNSAQTRKLSRMIKVGRTLRHLSVIRGDHCTLSPLLRALKENESVESLEIDFLFGGEIRALGSLLQETTTIRSVTLKYPVNMAGFISLMNGLAHNNSLWKFSLDCTMLKEGHCTEIARMLVSNETLNDLALRDAPAVEAGLPILAGALSMNQTLQQFAITYPTSNAAGLHVVECLRRNCSRMARAVEFVLAQVASKKSAEAFEAFRHNEFFVQRLAESAGGRQRAETLLREADHRILKNYFVITEVVKGALVCLRFPGSEHSMEIDSLDEYSLIEITSYLKISDVK
MSKIHRIGRPPRREGDKTPVTVKFADDELKPIDEQVDSGHARDRSAVIRDAVEQSATKWAGEWAMDKDFDTLMSRARDDERSWRSGSGSGYYRVMLAAAGTCPTPAAAHHFRKTIEQLDDPLALTRVMLAGQDSAVFTAHTPRQDRDGIIAARYSAMLSLATLPSDNPFRIELARQVFCIDRWSSDPVDSAPHTASNRPGPRVDAMTDAEIKLREIAEPLVAQKVPYAFGGGNLDGPTQGMRDGGWADQCGDYAKIGFDSGALSRYMIWQAFNIEIPRTDAQQYHFGTPVDRPEPGDLVFLDVPSYNVVYLGSQQVIVVGRPGEFVRLNPLIVTTRTQFVRVRPPSA
RNNTVPGTNNDWSDESAEAITRTAAAVGAFPLPAASKDAALILSLEPGAYVAQVTSPEVGDSGQALIEVYMLP
MSDEPFTAESLNDEIALNTQWSPLKPGGTNTTDFKFSKISSRRVEFKASPKLYIAAVVFIILGSAAIIKFSMEPSGSLLFYGGVGLGIILILNGIYKFFDIGNPPVFDLDEGYFWKDQKKPDKDKKVSATQCRIDNIHAIQLIQERIQSGGSSGSSSYYSFEMNLVLENGNRLNVVDHGTLSRIRKNADQLSNFLDVPVWDAINRKS
MVKNTCSVPGCDYPTRTPSVDLCGAHYERKRKTGSTSPEVPVKRLRTSCAVAGCDRRHESLGYCALHYDRLRKTGDVRAAVPPRIVRAVVRDDAGARWCHVCEQWLAEVEFDKANVCIRCRQVSNFGLNRLQWEAIFEAQGRVCAICSSDSPGGSGWATDHDHSCCPGSRATCGRCVRGILCSRCNTGIGLLHDDPEILIAAAAYVRSYREVKHHGEQPGSAGLHGGPRHSAR
MIAPIWLRPRVGPYLRRERDKREYFKLFSNTPHIGEPRRSWLLLTGRRLAAETLPRDQRIKTSNKPTIAVFRNAVANNFETFFEEVKGRGPMLHEALRTITRPRYRERKYIAAPFIALHVRLGDFQNFDPKAISAGRHNHSLPITWYCEALQALRNALGCNARALVFS
WTATGNCVCVRYYKSIFLGRATADVVSRSIVDSLRADGINITRMLMLGRDNPNVNKCLLSLSHGNSDVEWGFLQNNHLVTDERSSLNEASINGLRATNAGIKFFGDSKVPITNSLLFSVQQSYSRYAKDNDRQQKLVKNVDTEQKQLQEDLAKATNLLEEGSKRLAAAINNKTFDDLSTAEVLVTATNTKLAALKTQLIVNSENLNRLRKKTRKNEIIYDLINII
MLKVMFITAIFVYIVSNFFDHDVLRWLLSLLTISILLFTFFRMKGFLKILGSSFLILGCFFLILNEVEWDNYILSFGRMLNLVTIVALLPILALPIKLGNYKQSIKTILTNKMRKSLHFYFLTSGLSYLLSSFINIATIPLMYYSMRPSADLFPIKEKDRFLSRAILQGYGMAALWAPVTPIVGIVIEMTGVSWYSLLPIFIPLSILGLSFAWLNGVIINAKRQKDIDFPTDMEWEKVRAEMMNSTIGDESIERSGKLVHIVIAILLFNVLVMMLEQTMNIGFLLLISLIVIPFSFIWSLLLGKTEHFFEGIKDHFTNQLLNMKDQFFVFLAAGFFISSLYVTGADQTFNVWILSIKELVGNHLFITLIPLIPFTLTFIGMHPLIVLALVAGVLDADVLKIAPEILALAMLGGAVASFIMGPFNAAIGLMSNNIKEHPFRISRWNLEFTIVYLLMIMSSVYVFQLLNL
MKGSIWRIQCQSTLYEPKPHRSHGRRNRRDVADEIADVRRHQFLKGTLQSCIYHSRNREKNSKTFFGCCTPHLHL
MPHKICTQNFALHKILRLKISQRNSAPRIILYRAEFCGVSRKDTLKFRNKIRIKIYPAKACGEALR
MAERTRSCRCMAACNRRRPPCLMCGRCWRFSAFPCLLICATVPSRPHPHPHATAGTKAHSIAVL
FGEDGCHGLLIPNPKHRREFIITDQALTPYLTPYFFTRILGLLIRVVAYQMIGESEKAQSVIEKMIKDESLRGGISEGYLRIAPCFFYWIEADLSAMLLTATRTQKMGAVHQNPWTLGHSLHFAGIAYYHQNKLHAAEEKLLPLVKSPYLHHPLNYVHSAFALSLIYQTWGRADEANRIAESAVSYALDTNNTAALSIARAFLAEMALRQGRLAEATRWAEQFDATPFTAMYRFYVPQFTLVRVLLAQKTADSRERAADRLKQLYDFTVSTHNIRFQIDVLVLQALLHDSHQNEPAALKALAESLALAEPGGFMRLYLDLGQSMADLLKRLQKQNVAVDYIEKILAAFEQEGKQTVVPEPADQPTASPHLSGVAWKAKRDLPGSSSNSDVRIFSRGIVPPYRTTTGPNSDFHTSPSPPLPVPQSPGPQSLAEPLTNRELDVLELLAQRLSNQEIAGKLYISVTTVKAHLRNIYGKLNVSKRREAVETAKDFGIL
MSTYPWKDTLQVSLSAGDLSTLVQKARARLHPKTCSTQDAFKACLLKAMKHVVYNGLTLHPRVTRIFAIVDARKARNMAREYFGTGITAIDTPYLSASKKKLAKGDEWIQSIQAINGLMDLAPAFDTDTLYVDDWTKVSMEEINFGQEQHMFCQPLEVEALPVRNWCMIYSAKAADAGDANSLEYQVQVVVPKGRAAELINGIVTDVQDGFDEYFW
MNNKIIWFLSGNPDIVASSRIHGRIVHEKLVELGYQSYLAYTPIHIQDYIPFTERLKKYLDNFLISGDIIIIQKIKEVTNVDFYKYLNTRNIKIYFVDCDLPVISPEYAAHFNKIITTSSSLKAKYLEYVNSVEYIIDSPEIYKDKDFKEPINTPLQAVWFGNSIGSKWLDVIRLKEIIVKNKLDKWEFITISNHPDADYQWSKTSLQLISKADAILIPIFTITEENKVKSSNRVLQSMALDVPVLCSSIESYEEVIDNYKNGIIVYNDIDWIKGLRFLEDIENRKTFIKNGKETALKYSMNLIIDEWITILNLDNKFEYNDSKKLKQNTTELNSIYYYELFKTNHAYSRFFMKNSRFSNGLKFKVISLKYFYSLLRYLKLSN
MLPDAIYYKREKIKIRWMGRKESQDLDCVGMFIPADNEILIYKNQSMKNVLITFLHELYHLLCTKDNINVGKCGEEKLVDKLSESFVRLLIHNPKLLGVFQRFLK
MKVTCTQCDQVLAVPDAATGRYARCPSCGSIFAILTPPTAGVPSNSAEPLGRSSSAAESSAGVPGSGLSESPVSGGASTRGNESWGAPTSGSAGRSDEGVRPPVMSLDDWGADLLQYRGLWELQIADGRRFGPVPRATLDQWMREGRIGVDSLLRREREPLWSRATRLYPHLTTGHGHGRGPGAPTQAAVNAAFSRATNAGPTSRPLRYGTPMFLAVFGFFCCMPLSIFSIILILAELNLLRNGTTDRRGLTGLIIAGIIAATGLALQITAMFGSEAVNELMQELF
MGREFLLHKFCEVNFTEEGLKTFNKGLINHVELDLNCAVNILRRIAYPKLCEKHFSSEFPSGAFAEDDEPVENISISVDHFEFLHKELPINFLELFRREDFHSVAFDLEKDPQINNIVPSFIEFLKSCKEFQFPENCFTLILAVLKNPYRKIEVFYDYELPVVNEQMAIAKYLINCLQIPKILDDKDAYEKLAEAIIRFANIHPSFLAHILAGFNALLSNHKDGTLAYQIFCKVLNLMTSIGATIIDVCLPQHFEKISCLAANDRNSDLYFYLLRACEISITNTIEHESRVKAESSDNVDLGLFSDDVDLGLFYTACYNYFGHDLTTRLIPVFKKYEKRKDREEQYLSKKHAIFPTKDGYMNMEMRFLTNNRNKNRAESESLSIPRVKECTFNSAGKVLFSSSNAQLGYVIQEDMNELSVEDLDYWTTINEFPLSYLEGVTDRLTKNN
VSGRYRLVEMGLELPVGSLVGEMGMLSPSNKRTGTLECIEGGLILAVSYRQVEELYVQNPAFGFYFLRLVSARLFQNLGRMEARVAE
MWRQRDAAIARLDAKAGNLRQVEHEKALPAGALAGDLGLSAPDNRRTLTLEAVSDGVVYSLTAEEMALLYYQNPKLGFHVMRLIVARLMRDAQRHRPAVAPLPA
MATGTPLKVKFKVTCNAATDEDRVVEIPGDLTVAQIKKKHFATELGERCRIRFIHQGRELSEGETVEDCWRRSGLPHVGCVQKRGQTGRTSAPSSSSSSSSTPSSQAKQQEDDAAGETQTETAAEQQPLLVIHVHIAGLTADQALLPAHSKGKRGTVRGQGRREREADARTETDPDLLA
MADPEDSTSKPIARRQRGGTAESDGGLNFVAEIEALTRLWVARRCQAAPRPALRVIEGGRARGAAE
MKKSDINFVGNFENANINVNLGEKHSRLRTVAVLIAAVSVIAASVLIVAHFCPNDIADYVRVLISMFGS
MDPLDSTEGTLVEMGNREFLDHQALQAYLGQEGSSASQDFQGTRASRVLQASLVLQESMEQEDLKLPESKGYLVFKDSKDHLETRDCQVPLGHQDVQADVGHLALKVPEEALPRVTVPTRDHLEIRDRQASMVQEENRGTVVCQGHLAPWAHQALQDTKASQVVMDKMARKDQWDSRDHRGHRELWGPLERRVYLAILAFEGPLALQGSLDHLQIWNPAPESQDFLEYQAQEDRKEPQGALEQGAPLDQFVGLTALTGRASRSAAKCRVTRLSRWERPAARPGGRGLRTSVPAGLQLPPATSVQGAKGSPARTAGGEKTARQGPPGLPDAQVTREKLAARERQREQLPRVQAPREWGEEQQRQTRLHPAFWGSRLERTLGDFALNLCDRFHFSLYSSGPPGPLGDPGPKGLEPGHLSGFLLVLHSQTATEPACPEGMPRLWTGYSLLYLEGQEKAHNQDLGRRISSPSPRLARNPLGEFYSLRSSSPISPYLREVFLQAGEVLSYSVKLRIPGASKKLI
MSMLAPLKPAVSGDHERSRRANDDKKRRRSFVQIPRGSKKQARGSVERFGVLGES
MKHFRSIFTLLLLLGLGSLVLPLCGQTDTDFFAQLEEDEQAAVEALVLYPADTRLAILEVCQYPEAIIKLQRIQDGSSTDFQALVDPLPRSTQEMIWDLTRYPGLIHQLATAPRSDERRVQQILKTYPEVIHERALTAYRGSPRLLQQTDELQQAADNAFQQVLSEYDPALQDNLRALLQLPEVLDLLTKNIQLTILVGDRYRKFPDRVLEQADSLNLVVARENAQELEDWKQQIANDPAAEKDLQAAAQNYAAEYGYDDVYYNPDNDNRAGDDMYYDTTPRRVEVYYEYSYPFWFGYPTWYGYPCWRPYPFWYHWGFSFWTGPRIVIVRLPSFYFTTWYFNRPEHHIWWPGLSGHFVNHYAYHPRYRSGIVTGVARWRQNNREVITDEWIREVRNQPRHFKEYGEFEYNRERYNQRNPAQKLSPRQFLDENPRAYPELAKTQTQREATVRERTQAPATTQPDRPAPTTQPRTRTQPEKPGQVNPQPDRPGQPRTQPEQPGRVNPQPDRPTPEKPGQPRTQPESTVPQPRTQPEKAPPTTDRATDYHRNTWERTRKDPAPTRTPAARTERRLPANPPKAAPRTPQKNQAPAPRKTAPTPPRKSGGGGR
MNKFEEFKAKGNNCFKQGQYNEAVECYKEAIKQDPTNPVGYSNCAMALIKLDNFDSASQMCKRGLFYVNDTNDANKKIAEKLKWRLDVCTENMKDGLIPVEIFEVDKLPEQYRDL
MIQVGLSPVSSFMRDHRLNTVLNKRLTTRTWTSDRMLHQIRPDMLKFFSDIKLASGELIPRTDKTLYWNIDHLLNRTEVEISSLVCVRIEEPLEAKIGNRLELRADTNVVEKELGANDIKGNTHLLHLSDSGKNWPLLIDSLVEIWSVHQ
MAEDWKERFHDSETTAASDRQKVQWRKSEIPSLPIVRALSIAQTVISPSIPVVHRSPFHFDNCRSPGTCPAHDSRIIRRARQIMARLGDFELSGILYAGCDFDAWRDKTDAWLRLRGLESFVSRTKKGEGRSGMAQRLKEKARDAICERVDRAIIRRLPTTIKPDDHLQNFWKQLEEQAKPFRFEDLTPELRCKVYSSILGADASYNLSQWTPSCSLPAILSVCSTVRKEAMPVYYSSTEFRATCKVSGGRDRGIERTRNTMTSWTRSLAHGALQHLRSINLYVAGLSPRTTGPVESFGNLLQFRFSPITGLTCDEWQSANPEVALHYHKSLNVQAKERLRKSLARLEKYREVTSLRGEVLVMAIVDILELLEDGKMEREA
MNITKDTKVLHILNTYPELREKLPKLDPRFKKINSPMARILISSWTMDDISKKSGYSVEKLIAMLDDIIER
ISRDDELGQLAVAFNHMAGQISARELALSEQDWLNSSLARLSRLLEGARDPSKLGAKILGELAVLVGARQSLIYVPTSDGSDVLELQASYASDEPPQRIVRGQGLIGQCYRDANGVVLDKVPEDYLRIGSALGEASAAQVVVMPALFEGKVKAVLELATLSSFSSVQLTLLRRFSDSFGTVLQAIQAARKTEELLEEATAMSEKLRDQQAELQQANLEMEQVNEELQQSNVEMEEKATVLEEQREALELANKEVELARGALEEKMQQLALSSKYKSEFLANMSHELRTPLNSLLILSKILAENNEGTLTPKQVERASTIHGAGNDLLELINDILDLAKIESGTVTADTAHLRVSDITQQMQQAFNHVAENAHLKFDVQVAPDVPASLVTDGRRLQQILRNLLSNAFKFTSKGGVTLKIERVTSGWGGNLPTLDEANAVVVFRVIDTGIGVPEDRREVVFEAFRQADAGTARRYGGTGLGLSISRELSRLLQGALTLESKTGVGSTFSLYLPVTMSAAAAAPVAKMPEPSPMFRTPARVVEEPKAVELDSFDDPVEEVDDDRSKLAPGDRILLVIEDDRSFSAVVADFARERGFKVIIERSAQEAIRATRRFKPSAITLDLLLKDSNGWAVLDRLKHDPELRHLPVHVISVDDQRERALMQGALSFLHKPVTREMLDSVLGETLALLDDPTRRLLIVEDDQRQRQAVCDLFSATDVDIVAVGTANEALQALSDGRFHCMIADLMLPDMSGADMIATMQRRHGKSSPPVVIYTGKPLSRTEETELRAVSEAIIIKDASSPERLLDETALLLHYAPSRMPESRRQLLERARKEDPMLRGRSVLVVDDDVRNIFAITAGLESHHMRVRYAESGPAAIEILQSPEQFDVVLMDVMMPEMDGFEAIRRIRKFERHANLPIIVLTAKAMARAREECLKSGASDYLAKPVDLDQLRSMLRVWLYKQR
MGTSATHSPYNRLKRVGLRSVRWTRGFWARWYQTCKDVTIWSIHEAMNDPQNSAVLTNFAVAAGTQEGRHRGTRWSDGDCYK
MQKHLLRMFSVVLLLLLKFNPVSATVANHSLNLALQTDTSDSKPSILVVDDNQQLLAGIKLINLKNKETAITDKNGIAIINFVSGDKIEVYASNTLVLTTEISKGKQNTITVSSKSPAVAVLKPVRLLYDQTVSPKLTTASTDAVYTADLTKATATSVKSVITGRLAGAYVEQNSGRLGSEPRTDGLYSLLSLGTLGSDAVSLSLRGQSPTIIIDGIPRPLTIFNLEEIESVTVLKDAVSTAMLGGKGANGVLLITTKRGAKGRQSISFTAQTAFQKSLKTPNALNSFQYATL
MSVEGESATFEESVPETHTVDPAEVDWAAIGAEHASSDGLLDASHRTDALTTSIDGIENSRQASALLVDAAEQGHIDERNGDYYVPVDGGDRIDVTDVDWHAVFEEVAGGVEQPLPPEGKRNLGIMFELDLANEDEADELVEDALDHGVITSSAGQSGLYLADALDQSTTDTATSTTDDPVETADETTRPELEALRKGSDDLEEYVGQLEGLLTDVLDRLDAVEERQDRQEEQANKFFKENRRQRVGVTEIQMERLQEGKVVSRDGVDENVLKDEFGDNLAIVGDDNNHIRLMNPDTEESGGGGSVTEIPDFDKYCDLEAELLKLNYKVKSKQDLLNERGGRNKYRALVIWRNKYLVGDDQGDTLQISGEDVRETILEYAQFNASASGMDTTANRVMDRFEDWTNGALTKELDKKGRSCLRGDVEDIQDECCPQFRSREGSDGTEGVSPIAMDEIS
MAVTVRRRVDFPMVDLANITYYPRIYDLAHRCFEEAWTEMCGVEYATLVTERHLGYPVVDITSTFHGPLRYGRTAVMTMWIESVGSTSVVWRYELEDDLGQQVWTSRQVTVGVDMRTMEPRPVPDDLREGLQACGPPEEG
MKKHLYLTIAAILLAFNIQAQENGQLVYQKGDNILNAGFGIGYYNYGYFGSRSSSFPALTANYEIGFHKYFGVGPYVGYKSWNYKYAGGSYGFSLLSVGGRGSFHYSSLLNEALDMDIDDKKLDLYVVLIAGLEFQNYTGDYGAYFDNDTDVVFRLGPSLGARYYFNNNIGVFAE
CCIALFCLDLWRSSSMDREKKIAHSGRVDISDSGEEEGEPCGAKRLPLQKKEVKRVCLIGASRHDARLVALLKDHFCVDVIESETGTEYKDDPNMVFLCADFIYDQHFKDLRSRGSLVIGPALVTLCASKSEPLFFPRANCPLYTDSMAGITVALSGISRARCRETASLVRFMGGSVHRRFSPRVTHLITDSVLCSQYHKAVAAQRYVVHLRWVHAAWALRDDINISITAPHFVSL
MTENLQNIDNIARSEGNKPGESSQRNDNIKKSEGRYICSNCKCIVTKSYINSITNTFPLPMLMREEMAEIYSPTPVYNNVPPTQNLRQSGAYIQ
MNKKERSIYRAAKRKTTGGFLHRVEEVSAVDLGAIAIKAAIESISISTQLLDHVYMGNVLSAGLEQSPARWASKLAEIDIHT
QNTGFGIVTLDDATGTFSENSIHENGKCGVVCSGQSCAVFESNTVADGAQGGFWSQDRSTCIIRRNRVYNNLKTALQVSNMSEPDVSENKIFDGRGGGIIVHDSAKGTYRRNLLANNFQAGLGVMDNACPLLVDNTVLSNKSGGVVMAGS
MSEQYGVLVDGEFEERSLYQVTSKGFTQPIWCRNNTYDEYVSKEINRSYGKLDVEGRVVLDIGANIGCFTRWAVDKGAMHVISLEPEVNNFNMLSLNMKDEENTTLHNKALTSSQDGDGVLYLSKTGKNPGNSSTTPRRGRVEVSISFMSVATLKNKHPVIDVAKIDCEGAEFEFMETLIAAYPDLRQVALEIHINGFGLKKAQDLHDMMLNNGFEAEVPPRLHNESLWQTLATYIKIGG
MKKLRPNPMSRANIFSYLSYWWLNDLFAEGSKRALQESDMFELDASNMSAEITRDSERNWEKEIQRSEKATEPSLIRAVAMTYRRGFVLCGVLAFIEECLRTAVPVLIAYLVKYFENQTVTPVWHAYAYAAGISVFTILLPFFHHQYHYIAHRIGWRMRASTCALMYKKTLRLSQKSLNDTTTGQIINLGATDVLRFDLLPGFLHYLWVGPLQFLIVGIILWQEIGIAVLSGMGVLILIMFLQTFSGRAFGKIRSMTAVLTDERIRLMNEVITGMRIIKMYAWEKPFAKLVLNTRRKEVEKILLSSSLKAFNFCFYACQDKILTFVTLLVYVALGGSITASELYLVLFEEVSP
MYTYMNFQTNIARTKPSTLHNKEDSCPFCDRETIFKEGVVISQDGPFLLIENKFQTLERAYQMVLVETETCQDDLSTYDKSHLHALFDFAMRNWETLESSKKYRSVIFFKNHGVHSGGSIYHAHMQMVGLYDADYREHLHPEQFSGLVIDRTPGVEFNLSTRPRGGFTEFNVVLSDRNALHKMAKYVQIAVHFLLTHMNKRYKSYNIFFYEYKGNIIAKIVLRAPGSPYLMGYSIIQVPNNLAETVQRIQSLYFSEAASM
MRDGFQVVLETGWKKDGQQAGRDGFQPQRVGHAAPEQQGFTSRQLLLHRPGPHAHPAAENQQQFVLALVDVNWQLGALLGQRFNDGVAAVHRLTGHQNPEAVGAQVEGGREVGHRISLEGASSGRIPGLGDGARILRMRDVGVTGLDSCP
MDTAINYDYDSFTHSENLETFGLIWLDVNPNNMEKNRNTEQQMRDIINHFRKFEDLDRCEEYIEKTSKEDRLVLIVSDELGRELVPRIHRLQQVSSIYVYGMNNTANGRWTSQFAKVGVSE
MRLQEWTYLAGVFCLVACYDNLSRRRTTTVTQSTTFKSDTDFWYNATLAIDGLTNTSDSYCSHTGTDQAIAWLQVDLGAYYSIHSVKLFYRNEEGIWRPYRFRQFILDVSGVPVTESITLNRTRCFTDNTSAPEVPPDVISIPCQHTARYVIIETTYDAPEDDPVKGPILEICEIEINGCEIGKYGDDCIFCQGCQTCNIESGKCVCNNTHYGPTCSLECSDKCTMESCSDETGFCVFGCRSGYYGNRCNIACYACQSGCDRITGECIGDCPVGKYGFSCDRNCNNYCRNGCEKISGLCNECVSGKMGKFCNTTCGDDCGYGCDRTTGNCTERPEWYHDRPSDTEVRYNSFPNSLFILYIVVAFLFMSLTVNVFTVTWIVCPNRFKRREKSNKGCGDSSINSTSIIRQSTVYETADDNTGYHELAYISGSSHYDEFQGDYLDPCSM
WTGSPGAPAAVPDTVRWSSAAASALYSYVLTFDDVICDDVISAWAGAATASATSSEERPATRRGISTQPS
MRIHRPPVLGSLSGARIQQPPSSGTAWREREGRQHADLLASVVGSGGGGLRRAGARTAGLGERERMHASFWDL
SQRRQNLAQQGRFIYSMPDTLSPNSYNTTSPYMPGYQVIVAGLLALGMSTEAALYGVTCLACWRLPCSPSPQVGGSLAAWSRASSPPSGR
MGAPGHRRLPRRRPGNRRRTPARGLGRAFRDTPAPQAGRADTSGEAAGAGRPSLTDIEAAYAECERITKTEARNFYYGIRLLTTGRRAALCAVYALARRVDDIGDGELPIEQKADQLDTVRKSLAELDTTTDPVLVAVADAAKHYPIPLNAFDELLDGVWMDIEGRRYQTFEELTTYCRCVAGSIGRLCLGVFGSKPHPDASRYADALGIALQQTNILRDIREDLLNGRVYLPQEDLDAFGVELSVDEQGRLTDTGRGLTALIRHSADRAREWYADGLRLAPLLDWRSKASCLAMAGIYRQLLERINDQPSLVFDRRLSLSGREKLTVAARALSGRPA
MTSSISLTPNPRIVIGECEGKRSRVRVTMSRGGVIAAPTSPQTLKFPTLSKQGIPLADLHVDEIVERQSRANHSSQPCNTLQLHPSFLEEAYESCRKICAEYAQTFYLGTLLMTKERQRAIWAIYVWCRRTDELVDGPNAAYMNPEVLDRWEERLQDIFEGCPYDVLDAALSHTVSKFPLDMKPFKDMIEGMRMDTRKCRYDTFEELYLYCYYVAGTVGLMSVPVMGIAPDSSLSSQTIYTASLHLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDNDILSMRVTDKWREFMKEQIKRARFYFKQAEKGASQLDKPSRWPVWSSLMLYRKILEAIEENDYNNFTKRAYVGRSKKLLTLPLAYTKAISAPSLLFH
MGIVELLPPNCALKLDPQHWVGGVNNNNDALPLVEAVGENNDAPPLVEVVEGDNNAPALVGVVGENNNALPPVDPVGVVGDILEG
MTNLTLLDITNCSYVDDDSLRNFPNLSHLRIGWCGSIISDKGLSHVPKLRSLYVDGNKYVTGEGILTL
MINLDVRLETWDHPFRHFRGAGLLSARDLAGLGATAPDAGLFRRIDTSTGDVRRRYRSSVLPFSDNKTGITPDDIPLSAPWRDLVTDLLSDEFSGWLRRETGVDTAGLHRRAEIYHHYDGDFEDLSAGKQHKRLALALHLNEHWPADGGGDQEYWSGPDRSAGPASTMPPTGGTAWFYSASPSSWHQMTPVAEGRGLVRQSVSMAFFE
MYPLETYPITACYESEYDVLIKVGKNTSRNKILPSRYILRILQRSIIKYLGQAERQGVSIYKTIDLVNLGPQLTLDLLIAVDELIFEDILESVQTYLVSVKPNWINEHLIDILNTVTPRRKLFDYCVTPIIFSILPSRLPQVRMNSSILKPEQAILLASWIDEESSIEGPVAYSFRKLYHASKDGISDKEFHRHCDKASLKIVILKISGDSDDGKDQVIGGYNLVVNGWRRG
MKKLILITMLFFNLIPVLRKGTISFTGPTRALAEDYGYEDSEPEPEEPDLEALCSGLANALQNSFQNNSTIEQNGFLVRDANNNLKYLPLPDGNNTSNSSQYQTYTRPNDPNVYAKDGDQYYRIEGYVHTHPNTGDDDNPAPSNEDWENIADFPEDVYGIILGPDSSYIYGSEGVGYLYGYDTPDLIDLFCKNL
RKSDFAQVKTLADIPAPSTKDATASSDPSNDENKANSIIPGLETELSPLKQGLRQTTKLKSRYSMAPLHTVPVLTKDDALTGTRVGKHPVFKRKRKGNVPKSDSSTQTTPSLKKIKSRK
MNYAQKAVRKALKGLKKATKCRKAADKALDTGIVSVAVTSATGKVFTVPLFGSEMARIMQELNDDICSAAFARQLEAERNLAYWTEEAALLDKQAEATAANEGEGRTV
MPANLELKAHYTSAEDASAIAAKFIKNEPELLLQVDTYFDVRDGRLKLREFGLDDAELIYYHRSNQRTERISEYSTIPIHDVEGVKQLLSGRLGLKTVVDKRRLVFLYENARIHIDIVKDLGTFIEFEVMVTRGRRQAHRLMHQLREKFEIRDDQLVGPSYSDLLIAKAPTVISTLNPETH
MKVIYLDCTEAENDAHVTKYIALWSNDKSTDHLIYPDEYCQQLVETLNQSTRVLPPFMRTINHPAMVFTKNFSIGFVRRSD
AFTMPKLLLLGTNDPYWTVDALRHYWNDLPGPKLVYQAPNAGHGAGGTEGAARVRAAFLQMVAQGKTPPQVSWRRQDGAADALHVEADRRARGARLWQAHAPTRDFRKAVWTSAPLALDAQGQRATAPLPAPAEGFAAYMAELSFSEDGRDFQLSTQVYVSPDLPPIKEHTL
MNNNIVTLRLVPVAGGVGQHNNNNGILAGQAVPNAAVNVNMVGQAGQPALPPALPAPFAPLAMQAAYPQQVPAGNGIVAPPMHQQHINNGILAGQAVPNAAGNDVNRVGPAGQPALLALPPALPAALAPALAPAAPFAPLAMQVSPRQPLPAHHASTHHPSTRCAECDLFMYSARYINQHVTHLCPALGRGRPMVIECTACGGCFNGYEALHNHLSGGVDDGTYCCPTEHAKFYVCRQPQCDFTASDNEDFANHIQEQHQHRQVNQA
MKSLKIEEITIGEKLSLCGGFSECLEFSTFEISLELLTNKRCYNVNCTQNCEKTNNPKDTIQKEKKEKKEKPQKKKTNTLICVNPC
MVSLSNHGQTLGVCLSPFDRLRANGMKYLSLTYTNLNNSWPTLTEFSTAVILESAAKARCVSGNSDKER
MTIETADRIIGFLEKYNGVYRDMVAFLTEKQAKVMADDLLWLLDSVEDEQALVMKIQSEESARSALFEELGLGEIKAKQLIEAAPDERKSKLTLLYDELTGYVARIKQLNNIIVETVEKKLSVQEELMRKTGMTLTETYNGYGAKIKHTSAPKGFLGNI
IIRELSPAAKREGKWKEGDKVCALLGGGGYAQYAAVHHSMLMPVPEGLSMHDRSCCIA
MGTATDVIPRLSSFFLSFSTPPIAKHRRPTPSHRWLIFGSHDRVLGADEDDASAHLTRDGHIQAPHPDLLPTSASSTPGPSTTQPSPSSSHADCGYARDARGDRTRQGYIALSMSASGECTSRSRAAPPQTASPPPSLAPPPRRRSKPTSRRAILENLIGQLTSKDPYNATVLAFVDGQSSRMSFCEEADAERAIEKRIRCSRKSELRRKRTFSLRRRPGARQHNPNANPSLNPNANAVGGTMPALLKGMKHGAPAGFGCRALQLLLPRPSSERESVRAAVEARTRTVRLRVVIVGGSYGGANGSSCRKAYRNAFHSSPSKGTSSAMYMQQHERRCTPFHGRGTEDATCVWVPSAQAYKVPALSTATGTSTSSCDASTDSRSSGLGSSSTGTGTERRDSYAAPQPAVRVCAEVPTSLRPATRRRRREERLVGVEQPDTRVREQGVCSGDDSKPACISGQSLPGPRPTPADFAPRRGLALPAPAAARRGVQLRRGV
MTASVNATQVNLICPHCKVTFQKKVDIPKKQGLFNILINNHHNDADCPPFIVFIDTNGMHRGSQKIDDFDDIDFNQQDQQEILDQAHRIISQLEKELRFYHLKMPRKNGRG
MAHHNPPSDSQGESYEMSVFISRTTPLQPSRNPFEDPHLSEETSSPSAQPSVQPPVGLSAQPSTQPLTQRSAPQQMPRFQRVRQCFCNFRSLEPKKRNIIIKGLLFCTLSLPAALSVLFDLADPAVDPGVVNETLYICSMMFFFIPAMVVTMGLTCWECHDLISSICRKHNTTPGIRAAYVELCADVLIWVVYLIAAVVFHEIFEPRPVWGDYRKLTAKEEKHQQNFYVIVFGPMPMVIFIAFQVIVRPIVHLVSCGIKRSARSRTGDAQFP
FAGGAIPVEENLLAVGVAFSEGADTIVDGSAGPLIALEYDTFDFWLGLVGSEYDLYLSVVSENGEYVLLFVMTADDGPLATIQGGVAQEVEIIVPADANYADGSWWGDV
MRIVMLYPFDLYKPESPGVDRIIAHATKLVHQRNEVRLIYYYDPSTPEVEGVERSDRFLFTTIPYVKYHRTLFSKIRNITSLAHSADIIYVQTCLPDIALPAIFAGFAARRPVHLDLVGHGDGGQYSEKFLTTMRNGLLKLVDTVSVADAQTRSLVLARGFREFKVFTIAENSFDKDDASEFPLNRAFALLLGDAS
MKKIYKFNAYLLLAIMLVTGLFSCSKKNDYLGFTPGTGTPTITGVHTIYKSVVDSTATTSSTTYNSSGVPVTTVTHNYNPQLVPFDSLVVSGKGGSQFRIAGTNLGSTIGVTFNGVAAYFNPALLSDNSIIVTLPTTAPFGPAQAAKLTVTTLYGSVDYKFTVVQPPPSITSFTPVAASPGDTIYISGTVFDNATSVKFGTVSAQIVGNTSTLLKVLLPTGVVQAFITITTAGGTTTSANSFGYKYLVFDDALTTGWGGNGGGYSGYTSTINFANTSPTERGSKSIAVTFQGSYGALQIGYGGSAAPNVTTLGLKSIKFFVYGGSGIKTGDKLQVVINGNYNGTTVAMTAGAWVSCTVPLSSLMTNVSGTITEVVLQSQGSAVPSTIYVDDLGFI
MPYLRTIIFCLIGVVLALQYALWFGKSNVVDLIHLRRSVNELEQENLVLRERNNRLHADVNEIKNRVEAIEARAREQLGLIKPGETYYQVVLPEDNTPPREGN
QDLITKNNSLFIDCEKVMGIRRKFMMGASEKSFVTSIPFSQPHDLITV
MCNAEPTACGARLGDTCDADQVCVYSSNAYCGRADATGECKSRPEVCSTQYDPVCGCDGQTYTNECNALLEGVSVDYVGACNSMPAY
MSHHHQLQRHEPSSSAALLEAYEALLARSSRMLDAARAADWEALIGQETGYVVDVERLRRREAELPLDAAEASRKADLLERILAQDLEIRERLLERREALATLIGSSRQTLALSRAYGPQKAPEVITMDDRRAPKKAP
MNIRSIALSWATLSCLAGLAGLAGCVGPLGTDTAFPKADTSTWPEGSFPNSENLGKVAPGMTKTQLYELVGPPHFHESVFHVRVWNYLFHFRTADGIANCQYQVQFDDDSRVRATRWRDQACEKFSSSSSTATSESAAVPLNVEPAN
MALSPRRRAGLPGLCLMRGGVDSVSKWAASGVVPLRVIPLDGWTAALPAGESRAAAPYDACLPMLAARRVPGRLRAALGFFVIDGKAVITVQPRGLRAQARFVVWEPESGVMRSPGLPVARPQDLQRAARRPGPRAARALRDLLRSPGDDARSLLAAAMVTLDLPGGELLGAAPSAGIAGSFVVEPAPRAVSGFDSAAQDEIALRAELGLDR
MGRGALDNTDASQPSSSAAASAIDAHHAEQAYTKLEDVAVLPVSDNDVPDPIQFQAAELFASENAEDLVIDDQGFIRKNDEISRCVTGQYTIHLSRKVISDYFSCMFSCSTDTSNLMRNKQAP
MQCKSLIAALLALSIVFVSAAPLPDLPGGADADVEDRSGCGTMVTTCY
MIFDYWDENPDNDDTVFIAVYSSYELAERGRKKFAKQPRFKGKEEAFEIIEYEINERGWKEGFSREFYKYFFVQLADDLIIKKIGDNDVGIKLDDGTNKYYIFQGEAEFYAAAGRMILLKSEKTENFYCVDPDHKTRNFRLI
MVTDENCIFCKIIAGKIPSRKFVETDKSFAFLDIGPCAPGHSLIIPKYHAAKFHELPDDALADILPVAKKVALATGAEDYNLLQNNGRIAHQVVDHVHFHVIPKPNEKQGLGVGWPSYEPSKEELDETSLPQKLRLMASQDTASSEQPVFKKRNRGAKDVRKSESIQESDVVDDDQQHDKASEVEELITLRSLIRKPTGMGLDELNKSRAEDSMKIQLKDQKKQHRSDAMTRLVHGNHFEAESGFGDVNLPMMEYVEKEMQKRGLGSDHDTHESIQLRIQDPKDDLFSVAEKYRRLQKEAEQAQCNQPKKNVSEEATSTLGAAMLSKIPEVDLGLSARLQNIEATEKAKRALLELSQSQAGNQEKQDDTYLARTPYFRQVPGAPKSRERSVPKPGVSLLREARLEAQGISVNAHTSRSHQRQERASDARVLTAFRKRQRR
MKANNLKGGDYKRYCYLNLWRNIGNEPIRDNHLGCLDERTVVKPDDYIIKDVIGDGYEVVQYQLNARHATQHKWYYFPSMTRDEAILFKQMDSDGSLSGRVCFHMSVSDPTATKKAPPRQSIEIRMMCFWKDAVLDTMPTSDNMHRDVLQSPKEYAAQLKGSNNLASASLFR
MSIPLPTRLTSFILSQPLLWTATLLRQPQFLPPLRGEKAVITPAMTHSLTRANVNDSKVAIRNFSAGRISLTALAIQHAQPPTHATTILYTGVPELACRALATRQPRSSGPPASSFPFMALHPTTVSNYFTPLPIALLRGTVRSCGAIFRHAHSPTQPLGTSSTLPSTLPPASSALEEVI
MFDVIVVGGGPTGLSAALALGRARRSTLVLDSGDYRNAPSAAVHNLLTRDGTPPDEVRRIAREQLAAYPAVEIRDVAAEDAAGSQEDGFEVRLGDGSAVSARRLLLATGLTDELPAIDGLARLWGRGAYHCPYCHGYELRDTPIAVLGGTSDRVELALHLRRFSDDVVLCTDGEPAYDEADTRLLETHGVTVATERVARLHGGDNGLESVEFADGSVLARSAIFVKSVLRQRSRLPERLGCATLDDGTVEINEFCQTSVSGVYAAGDMARRATFPVPAAAVVAAVAGGTIAAIVIDKELLWTDVKLTAPISGVRS
MQETQCNNNDLALDFIEELAYLRLKYEQQLGHDNKSDYDLGYSQALENMVNSQKIHHYPEKMPSLSHLPQTLTKDSIKNYKRGYHDGLAI
LVMEFCGAGSITDLVKNTKGNQLKEDWIAYISREILRGLAHLHAHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDRTVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMRALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYTQRPPTEQLLKHPFIRDQPNERQVRIQLKDHIDRTKKKRGEKDETEYEYSGSEDEEEDPPEQEGEPSSIVNVPGESTLRRDFIRLQQENKERSEALRHQQLLQEQQLREQEEYKRQLLAERQKRIEQQKEQRRRLEEQQRREREMRRQQEREQRRREQEEKRRMEEMDRRRKEEEERRRAEDEKRRNDREQEYIRRQLEEEQRHLEKLQEQLLREQAMLLADERFRKNIQGSPQIAPPTKQPPLPPRSSEPFSNGGSSSESSAMHRPMEPQVQWSHLAALKSSNSAAPSPPPPVVSRSQSFSESGGVNSSFAQLHLRSQDPHHLHHPSPARPDPQPQPPLHQAQSRLERQASSEEVPPKVPVRTTSRSPVLSRRESPLPSQPSNQRNAGSNVDQRPLWDRVEKLQSRPGSGSSSGSNSSSQASPADRFRPRSSSKSEGVSFQRPESVPKKPEEKNACRPPRPAGDADLTALAKELRAVDDVRPPHKVMDYSSSSEESGTTDEEDEEEVDQEAGEESTSGAEDSRAGRLSNGESDSAKTMLVEDSESEQAITPSKDGTLVLRQSTADIKRLISLSPPSSSSPGSGRGHAPPEKNGLPGRIHHLPDLIQQSHHSPSSSTAMLSSSTSSSSTSS
MKQIKSLSDKRLIVECTVNGKPAHFLIDTGASVALIAEDKVKKYGLIKGRRFPGTIVGAGGEMKDVYYCNTFANLEGKDISQFLIADISGVRSSIKRETGLEILGIISLPQMKFVGIQIDANDNLIILE
MGQKRLSCQLATGDLLLELDETSRLSASDAFATRLAVSQMIMEEREVQRPLGFMETMRDIAKRDPNKGVTYNLAVLQASVAGQTVSIMQEKQQHQPKKRMRYTSDCSSTDPCFLDFYKSGRRYVRCELCFRYPDIVKQHLSTKRIPLIVQECGTLYNKASLIKHRKEVCHQEALKEHHQESPSFSKSSTEGVEKDIRTEDDEVIANKVGGAMIQLYQDAKQLNFIPRPLNGIISTSILASTFNLNRDPIPLMSTDSCGSQQDTLDPLEYLQYIVESNENQHIIEEICDAVALSLHCSGNVDKMGIDKFYVRAKVVTKTADVKVYFLGVGEPLKRSAKSVLSALESACSKTVGTEFFKTVVEKTSSIVVDGISLDVKERETMWLLMRQLQKVMSTPFKDLPPLLINWCNVHRADLAWESVSQSVEEVNHVFQNVISICRLFHQSSIRLGELREISKENNLTFKSLPRLIEIRWSDFTANTLSQILLMWSPLTLYAQRSQDKEAAIILGFLKKIDNLHILTFLADVLSVFSSYQENLMRESVTLVNIIEETEEVKKTLSSLIKTPLLGGWVAALEEELVGDNNTGMLLHGVLLSNTAATFRCVVNDKMSIRTKVVKSLMNFLEQNLVVDERVDSIKSFVALSFLPDLKAAYNTLCPDFEEEQFEQEYVKLVRVNNVNNIRKLSLHDLVRTLASSNASPVLMTAYSRILAAKHYWTDCVEIASLSNKLDTSRKLILSAEVQSLYLYVHYNLPAMCEWDPKRALTLWLNRNRSRVNRTR
MGVFRHSDSKQETLSADRQDDPRTPXVIVVLACIALGVAITVESRSSRLQAREFSRFAASLRYSMQPGPSSEVVYPGDGPFDKRLGYSSLDEFLPRLLKRDYVITRQTRFSPDLMRYVQHGFFVPYEEKTQAGLSITDCRGAPLYEFRYPQQFYATFASVPPRXXXXXXXPVGWWQYVGDATGKIPPFTGRADAVWFREVAADDFRQCARLSTGGRDP
ISKYIKKQVLGRNMKSPNGWKVFGNSIYYVSTEKNYWEYANQDCLKRGTQLVIINNQEEQKFLITLNIRTWIGLTDRETEGTWRWVDGTPLTTAYWGAPQPDNGGGTVGEEDCAEFVNWYTDPVKKWNDVPCTTQLNWICENVIE
MSGHYNEGMDTGSIMDSEDRIEKEVDIYVSAEAVRDMKHKKDKEDFDNQTPTTQTPQLTGSDSVRKRSSRAAVVCLVLLCVLLLTAVIVLCVTLTQERQQLISKNENLTDEREQLTLKNTNLTNEREQLILKITNLTNEREQLRRELQFIVNWTCYQSSFYYMSKEKKNWTESRRYCTERGADLIIINNKEEQDFIHNMSGNGIFYIGLTDREVEGTWKWVDGSILNTSFSFWAQGEPNGNQQYDEDCVVTVAVPQPVWGTLVGWLDDACNKPYQWICEKRISQFILP
MWSLHVHPVFAWVLRLPPTVKRHVVSGNRLIRKTTLPIDVSANRCLCLCVNPATYWRSVQGVPCLLPQDSLDRLQYQYFQQGWVYFRPSFYYISSIAKSWKDSRDDCLQRGDFTRNFKKVMWIGLTETAIKGTWKWVDGTPLMSCMHPGLNSLFCLFFSYWGPDEPNGFEGKNEDCVEINFFDFENSWNDIPCENQNFWICEKKLVS
KWGVKGKTYVILSKVKDRKRKQANRKRLLKEDFLYGCVAGRKLARLVAVSFGLLCILQAAINISLRFIALEKERDFPVKVMFQFLNQYFQQGWVYFRPSFYYISSIAKSWKDSRDDCLQRGADLAIINTKEEQDFTRNFKKVMWIGLIETAMKGTWKWVDGTPLSKSYWGPDEPNGFEGKNEDCVEINFFDFENSWNDIPCENQNFWICEKKLVS
MYYIFLWEKKFQHKQLKWEWLPDLHTAFTSLEQGKSQKSPESLLTDENLFKHQKAESEALVKEVDGGSFLTVRGLMIYYKHSDLASWKKFGSSHYYISTEKKVWHYARMDCRARGADLVIINSREEQEFIKRQDKNVWIGLSDEEEEGVWKWVDGSPLTTRYWSTGEPNNADRGEDCAVFTDGSFTLQTWNDLPCFNGNFWICEATLPSS
MTSLAILILPLLCLQLAAAQTDVSRCPINLKRGPTLQSFEEQCYMFEIHHHRDWAHANNDCVAKGGVLLTLHSSTEQNFIMSTLGSLNFAGNGVWLGLTDQTTEGSFIWADGSPVDYTYWASGQPAGLGGIAAAQEDCTLMKYRDSGHWHDYPCSAVLFFPEDYGWICKFNMLPAATTTQEPVALPKTQAPIVTPTPSESVVV
MENCQPQFECPDQWTEFHQKCYYFSPNTEDWSTSQKFCLSKMSNLVVINSAEEQGFLNKGIESNRHWIGLNETNNMDNWGWVDGTQYNTTFKFWAPGEPKDLGSYEACGGMKSDGRWNVYSCSQKLNYICERPVFCHFK
LALCCLLFIQMDSLTKLCVFIVSVKMAVQRENISDLTTERKILIKERKMMEVQSQDNDKEVSLLPAFTSYLMEPGCYTCPDDWILFRQKCYLFYDEPAPWKTWEQSRRFCQKNSSDLVVIDDLQEQKFISKHIKYYHSEHNGYWLGLQNVNYNWTWLDGRIDTLGFWAKDLPYTPGSKVRVLPERNPTNSWKQGMTGFLNKFICESDARKIPPY
MASEITYAEVKFKNESKSEPPAAPKEKTSAHQGNPGFPKQLLVSLLILLLLVAIAFLIAFIIFFQRYSLLLKEKKKPIEVSYNKVRCEKMNSTVKVNTQEEQNFITRNLEGSSAYYVGLSDPEGKNQWQWVDGSPYNESTTFWRPGEPSHRDEQCVMINSVRGSWGWNDAHCDAHQRSICEMKKVYF
MSIFNAISEWKNERHHQHLTQMKSIGKCPECNGSRIVPTFRAIYNGPPLCPGCEGSGLYSDWKNRQ
MKRVLLICTLVFSLLPVYAGEGKNLSAGDGKKGLPVDYVDPFIGTTNFGTTNPGAVCPNGMMSVVPFNVMGSSENTYDKDARWWSTPYEYTNCFFTGYAHVNLSGVGCPELGSLLLMPTTGELNVDYKEYGSKYKDERALRDTTPII
MEFFYIEPETISFSNFLSFIDKNFEKNNFLMINFKDLLSKNKEFNILTIDRNDFIVEKNRSLERLKNNNHRKSVDYKRKLIDFFNYEREVIKESNQFVEKYERRVYYAYEKISEVFVKIESILETWFSKKTAIDETVIIFKKARKDFEYNFYYGKIVELDKKLKKIKIETNIENKTEEITFDLSIYRINKKFFFIPEKEIQNIDLIF
MASGGSVIGLKYNGGVLLASDTLLSYGSLAKWPNIPRIKIIGPHTAVCATGDYADFQDMTEQLESHVERQRRYINDVLRPDEIFCYLHRHIYHKRSNFEPCLCSFVVCGCQGGVPFLGGIDSVGTQWRDDCVATGYGAYIAIPLLRKALEKPGGLSREEAVEVIKNCLRVLFYRECRAINKFQIADATNDAVEIGKPFEVETNWEYEGFCFEKTAIIR
MSFLELTEVWGKPQKDIFFPSGSEVEESTDAPIQRTVQPIVTGSSVLALKFADGVMIAADNLASYGSLARFYDVERLTKVGDNTIVGAGGDISDYQQIQRLLEKLEIKEGNYGDGYALQPSYIHEYLSKVLYARRNKLDPYWNQLIVAGVDGENKEPYVAFADLRGTTYSAPAIATGFAMHLALPMLRKATDDDRWKTLSKESARATIDECMRVLFYRDARSLNKFSVATITPEGIEFQTDQSVSSKWAFAEKQYGYGTQTV
MGTLFGLIGLIAFIYGIVALIISFVKKRSKKKPAIILVAGFAAILAGGALLDANMTDEERAESKARSEERAKEREEKKAAADAKKAEEKEKEEAKKAEEAAKLKEEEDKKKAAEEKKRKEAEEATKAKEEEKAKKAAEEKAKKEAEEKSKKEKEEAAELERKKKEDAEKKKAAEEEKKKADAEAKKKEKVKEKSATKSIENHIKERTDEEYRKTSISKLQVNENDGDYYVQVFYVWDVKNRAKSTRSMTEMYSEDLAASMKDFDEVKELTVFWEVPYHVEGDNIAKYTFGRNDSGMYFDDVWYAPVIR
TVLIRCFPFRRLKGESLIPLTLLVRLANPPSPLFLFLVEWWDGQLQPKHSSKEWDSLWPSKRKKPPLVSGPYIVYMLRDIDIMEDWTAIKKAKAAVSPPKRKLEGPLKAEKLSSPPLFSAHCEDGHLHYEGEVYVKGQSIFLQVGDEAPVQAVITAISTGEVWLRREDGSKTKIYVSQLQKGKYSVHKA
MSPESFVLPPPSAHTQSKYTTFASWQPPQSGDCLKLRLPDSTGILFRTPSRSKATSSSSKSRSKPKLQWVIVVSSMPIAREDGTIEAYKLNVYPMLSFGGPSGYDELSLLNKLKCLPIPPADPLRSSDFSYAPQLCIDGFVNSRLSWLHTDVQGFTYSVKDKIRHYTPHVRLPLDEMNGIAAFIHRLTPLLQEVTSYVVGPTGEGGGTGPGVGHTIEAYGGGNVFPVPTFTIHGWGGGAERADDMATPSCFDGNSVSPDFSRSTFDSDTDSSDTKSDEYDDPNSEEFMRIFSPERYTKFLQQRAAQELMAERIRMNSISAWRAEVQRLAADDSA
MKTANCPSCGAAVNFQSAVSLLAICAYCKSTLIRHDLELQNIGKMAELLPDSSPIQLGTEGVYRKARFSVVGRIQLRYGQGIWNEWYLLFENQRGGWLGETLGNYALTFIIHPPEPLPPFSELRAGQNVTLKGRLFQVSNIETARCIAGEGELPIRVGAGYEAPVVDLQGQGNIFATLDYSEDPPLVFVGKQVPFNDLQLTHLRQVMPAGWEPDQGIAAEAFQCPGCGSSLTVRAKGHTESLACGTCGAIIDITDNNFRILSTFKAQINYEPVIALGTHGRLEDIDYEVIGYLRRRISVDGIHYEWSEYLLFHREQGFRWLVEYNGHWSWVSTLNEPPLVTGYGANSRASFHQHSYRLFQTAEAEVSYVLGEFYWRVQVGERCLISDYIAPPFQLSQERTDKEMVWSRAEYLEPETIQKTFQIAETLPVRIGIGANQPSPYEAQRPKFRRLRWLFLGLLIIGQIIVISLSSNARIHQQTLLFDASTQNKALTSEPFTVSGRTSNIEIRTQTNLNNNWIYLEMTLIERDSGASHTINQEISYYQGIDEGDAWSEGSTEDLAVLSGIPAGTYYLSVEGELAPNSPTVTCTFTFFRDTPSWSNFFFALLALLIFPLIFSWRARAYERARWADSDPIPSSNDEYDSDDDD
MDILLQFFGRSEILSNARKIKEKMLQSEKFQSKGASNQRSIFRQFYPNLSIYFAMLTNPHSITEGKICMKQRAQESSAEENCSMSVKLQTTDLTNDTSTKHQTYKKPTQKETAKNETTPRKKLACIIMPLLFLSSRQRESTRKGTEILHANFSVDLPTAQARRYFPSRQPHEKCEHNAAEGLKRASRICYSAW
MRASELEPEANRGHHPASTPALRNERPSASEAHTRPADTSCPSARRYDARSLKLATVEYVGWFNHERLHEALGDIPPVEFEQRHALTEPVTALISGKPIVGVA
MPQNAIDHRSSQDNSGIDQFVDDRRFAQSLARGMCVLRAFRPDDGPLGNQELASRTGLSKATISRLTFTLAQLGYLERLHALEKYRLGAGVIALGNTAHATLPFLDGAAPRMQEMADELQALVAIAIPDGQGMLMTHSWCPHDSSTSWLRTGTWIPMKRSSVGLAYLASINTRDRHHLIRTRLTNSTEEMSRLYDEVEEARSSLSTNGYVPSFGRWNTSLYAVATPLRSPRLGAPYIFFSGVPADAIEPPFLTKVIGPQLARRVSQLGLM
MKKLRISIKEFITFYSINNLYKAKIPIYHAIYNHNTLTGAVIFYLKHLHTHIMFKPCKR
MPSQLIYQDRIPLTNTEFIQLVIWHVEPPVHGSTHHYKYRFAYVKEGICRLRYDNEAGKGDHKHVGNTEQPIVFTHLIELKRSFFSEVAQLRGERE
MVLNGVVSRRHYFLSLLFLQGMLNQESMGYIIPYMASERIFYRKNVRSDGSIVEMKIWEVPKTKDKPFGYSYSLVFVRDGKRIIGYDNGEGKGDHKHCGNRECPYEFKGMDVLIEDFYMDVLKVEGGEL
MPLTPMCFPAGFFYTSYRQQQQQLKEEEEEEEEEVVEEEEEEEEEEEEEELNTSNHIMQTTASTVNFADGADIIVRIFNGRTHLPAPPSSIFQSHFQHFQFSHVPASSSIFQPSSPPSIFQPSPHPASSSPAPLPASSNPAPFQHLPASPFHHLPGQPPFLPEFFQPKAPFQHFLPGLPFPFQPSFQPQPFPFQHLPAQPHFQHLPAQSPFQHLPAQPPSKPSFQPTPPYQPHPLPANPPSSIFKPSPHFPGEDDDDDDDDDDDDDYDSTLLSSSRRSLRLRSSSDTRLVNVVRATMIIIFALYSS
MSDLTDAPPIPTGPHQSHASQSLRRVFHLAQLVAVVRACPGLHVRYSARPDDDSRRHSIDTESGLELPVAVLTEELLEEARARCEEKFDAGRGPADEEGAA
MNLLIDKINNLADDEIKKIFDDNFKLDVEGVKWIKDFGSIDIYLKESLNDEQKIALDGFNDLANGVLHYDEFFFKLYYRFDNNGIFIKYLDRGGKHPYTIHFIPYNNINLFETHHNPFIKIKGKL
MHYFIPARRVNFLLRHSRSFFHNYDIVAEEHKESSEYFKSFTEHSDCCRASSFFKMPVFPSPGRKSRSFRRKSSPKSVDDMTLAQEKESTRTPPSPQLKEIIKSSPSPPPLDIEEVIKSFSSLNIDEQTTTPKVEKVTRMRMSPKITELVKLLPSQEVDIEATETSLPVAVHIASPNIGHDGEMIIAGTAFTTPRAIKIDITTPRAIKIDTATPRAIKLDTTVPRAIKIDTTTPRDIKIDTTTSRAIEIDTTTPRAINIDTTTPRAIKIDTTTSRAIEIDSTTYRAIKIDTTTPRAIKNDTTTPRVIKIDTTTPRAIEIDTSTPRAITIGITTPGAIKIDTTTPRAIEIDYTTPRAIEIDTSTPRAIKIGTTTPGAIKIDTTTPRAIEIDTTTPRAIKIDTTTPRAMKIDTTTPRAIEIDSTTPRSIKIDTTTPRAIKNDTTTPRAIKNDTTTPRAIKIDTTTPRAIKIGTTTPRAIEIDTTTRAIKNDTTTPRAIKNNTTTPRAIKNDTTTPRAIKIDTTTPRAIEIDTTTPRAIEIDTSTRAIDIYSTTPRAIEIDTTTRAIKFDTTTHAIDGHDRLKKKDKVLINSKLDVPKSKKKKPIVPPLDLSKLGERKVLPPLKNAPKAPRKGVNMNSLAKENFTDFNIGNNRRMKAPEPKLSNSTPILQNHVFVRPASSVRDNRKTYPNKTDTLNGPRNQHDKKTVRQVINKNKPVITRKDEGTQLEVLKLPDIVKGSQLIDKSKIVPKQSCESRRTAPRRTARKPANQERPPFCF
MRAVLLLLLWLALAAPFAHAANPPGTTDVRWAEASLEPDGLPLQVRRVELPFRWDHEFPGRGGKASYRIALPADEVRDGDAQALVMSGLGNQVAVWFNGALVAHYGVLGDPTYDAAKASHLVPLAAALRRGSGQTQELHIQATMQRQRGGGLASVLYGPEASLAPLHRSHQNWRNTSAIVYAVSLLLMGGLAAGLWLRQRDALYGCFALAALSGVARNLDRVLTEGPVPWPLWGAVVAVCYACHIGLIARFVLLVLDRNPPWLVRSIYGALALSVALACASFAFARPVLWTAGLAILQVTSTICLPYVVHGALVARRRIAGVLMAAGTLAILAGAHDLLLVRMGLFGGAYYTLTSHAIFFFVMILAGLVVERYSRTVADYRSLNANLAARVAEREEQLRGAFETVRKQQEEQAVLLERQRIMREIHDGVGSQLVGLLNVVNQPAPDRNVVEEHVKLALDEMRMAVDSLQPMHDDLTTVLATLRYRLQPRLDAAGIELVWDVALIPTLAPFAAQAALQLQRILLEAFTNVLKHAAATRIVMHVGWHGEQTPPLVRIVLTDNGRGLQANERPGGHGLANMRSRAQAIGARLQIERADAQAGGTRVSLDWPCALP
MVRNESRGGRTDQTLANISGAEARSGSAQIRRKKTSQKDQILK
MSSRRTPQIHIMLKKSRCWIETFRTANTTTTPRKQEGSVRFQSTAARICWYHVRIWSTPSGGGVRQPGSTPSRSPARRGKGYGRVLMEETELYAKRRGFKRLCLTTHDKQHFYAHLGYVLSTPVQSTSTVMALLPMGMLMRLSRAPDAKANPMKQTPTRMEDLERSCADGSPSPSCLNNPLPSVPPPPPPLP
MAAISNNTLNNAAVTAQTVSGGSTLPKQPLATENKIFKNQPVVSHQNADSAAVDSAVGDLDRLVNRLLNELKSSPSEAKLNELATQAKDLKVAPNLVKDMKNLVQMAEQEPELKDIALKLKEFLKPIADLKASSLNEQIKNSGIMLEANLKDALNNKFNLPSSINKLFGDIKNLSSQALLDQILTLAKDDSLSTAESFAKLNDILLNAKESNKAALENSPLKSLFNGVKKLEDAVKFMDKQASALQNGGLSLKRLICS
MDNENVIVDMIRNQRLINFPTKVLRNQSSKYPASNIGGGGGFIQTIMSFANIKSLLITFAMPQYPIWFFPVLFKKIDLIIDQRHVIPSAYPVLTQDV
MKLLLTLFIDTIKNTSITKRVRYVLLAVCIVISLIFLTVSYFYTGGSIRNQVVENYGELVTKQFEFIEYWIERRVEHIEKISEIPIIVEAAYQMRYVGKIPQNRRNELKKLIDEVMYDLGVYTRIICIGARGNIWASSDNRQGKISDDMFYEIQNSDDIRICKSEIQVNGTKELIAQPVSYPIIGKKDGTVEILGYCICYINMNFMDDSLSIMNLGKNGNAFIVDADGMVVCSSKNYEFNVNSGLFTDYYLSHLGNDRWEGYRLINPESGQLVGSVAQCLETGHAGQAIYTNHEGNNVIGIWKWLSYFRWIVLIEIDKGEAFSSIYRTVIIYALIAVIFIILSCIVALLFSRNINRSISSFTESFGKGALGDLSVRYPVAQRDPYQVTEQQEDAFIPYDKSRGFCFFEIGSISRRLGKELTCKYIVENKFNSCTQCKVYMHNMNNEMHALGAWFNLFMSKIQAIVSKTIEISRDLFTASDELSITTENFSLNTNTQATSAEEIMATVEELTAGFDSISNRVVEQNHSLAVMIHRVNELTRIIDTMSENVHQTQINTDNFTDKARYGARLLSEMNESMMKISGSSKHAMNIIQIIDNISEQINLLSLNATIEAARAGETGRGFAVVADEISKLADQTAVSLKDIDSLIKENNAEIKKGLGNVKDTVETIGIIIDGFTSINVMMKEISDVMRNQIAAKDTVVEEMNNVKDRSDSIEHATQEQKNAAHEIVKAVSQINQSTQDIAAQSEELAASSESIKKEAEVLNSSILYFKNSDK
MNARDRYVHHTYTQSRDRGRAEHAAQDLNDTDD
MRTIVRTQGASVTARLGFGRILFSTRRGRNGTLFTDVPRRRLPEAAHALSGGAHDEPDAAGSGFAAAYAHARIYRSFTVRTVCARSWPNRCKPAIRTCPNRLTIGRRRSPNSPCEKKYNPCCLNAVALLPASFSHPDCYCRLRNCTGSTVPPSGQCAIRFRPGSRADAGSAAFRRTWNRDHRR
MVDAIVSSVAQRLGNLLTEQVNFLRGVRDEVNSLKNKLEYMLCFLKDAEEKQDQDSRIRKWVSDIRDAAYEAEDIIDKFILKVGPKRTGFKACLRKYFCIYKQANKYGIGKEVQEWKNRLDEIDRNHEIFKIRNIEAAGEGSSSMNERFKQLRRTTPYEDDQHVVGFTKDVELLTSELLQETPHQRVISIAGMGGLGKTTLARKLYNSSSVGDKFECKGWVSVSKDYSIQDLLRRTIKSVKMPTTKGELEMLEKMGQEDLEGRLHELLKESRYLVVIDDVWDTDAWESLRRALPDNKKGSRVIITTRIKLVAKSSGDRTFVHELPFLQEEEGWELFCKKVFPNHDGAKDKTSHCPPSLEELARDMVRKCHGLPLAIVVLGGLLSRKQPDEWPKTKFESHALTRVTQILALSFNDLPHHLKSCFLYLGLFPEDFEIDAERLIRLWVAEGFIQAADGETLEETAAEHLNELIDRNLIQVAKRNWMRIGRCRVHDLLRDFAIEKSKELKFLHINDGNVHSALSPCKHRRLASHSGGLKRNESWRNQRKWLVMLVCLLCCWRLEVLFIFPSICCHVVCLSCWLYLDFQ
MAAPAAPSPQKKIIEVLQKEQDILWRILWENIDKVKELTDSTSADLRGPEIESMPKTAKIWLQQARQINHDIEDILEKSPSKTCNSKGSNILSCIAQPINFVARQRIYKQVQSLSARIDTIKLRLSLLTNFDDKEAPANPTRYQLDDRQLDMLSLDEAKVIGIDFPKAKVTQLLLDEEKQLRVISIIGGAGVGKTTLARSVYNDKKVQGRFRCHAWVTIGAPISMVDLLKSIMVQIFVEKLEEIPTSLDFMDEIQIAEVIGRYLADKKFLVVLDDIWNSDMWDYLKLALPNNGQGSRIIVSTRAQEIGRDCRLASDIQIFEQKPLNEDDAWLLFCNKAFPAIQARCPTELKDTGRKIVRECHGVPLLVVTIGGLMSMKERTVQVWKNVLDNLHKKYLPEFTLPSILWFAYSDLPHHLKCCFLYFIMIPRKYSIKRMTLIRLWMAEGFIKNDQEGTLEDTAGRYLTELIDRSMVQVTDFYDYGRVKSCSVHDMLREIIILKSSEDNFGIPVTRGVNKVRGNVRRLSIINTNDDFLEDNSCTNLRTLFVFGASSISTRSLHAFLVGFRLLRILDLEGAPVESLPDELPDLFYLRYMSLRNTRIDKLPKSLKKMMNLQTLDLKGTYVSQLPSGITKLESLRHLLAYRYYSGRHPPYYYTLGVILPQGIGNLKELQKLTYVEANQGNGTIKELGSLTQLRRLGIVKLRENDCMHLCSSVAKMTELLSLSASSLDDEILDLRSLNPAPRCLKRLYLRGPLPGIPSWLHSLKNLVRIRLRWSRLNEESLKELQGLPLVELALIQAYDGAKLEFTQGFAKLEILELDHLTNLEHINIEKSMPGLQKISIRSCDKLLTIPDGIEGLENLKELYLFAMPRTFVDSLMKGGVNHWRVEHIPVIRHFNEHRDISLTNL
MFTKVPNLHEIGTQIKSIQAKIVSVSASTQKLGIKLDAEGEGSKSRSEMQTRLRRSYPHDQEDDVISLEATIRDVKSQLLMMEEQSLVVALQFSTRDVLVGILMEVASKQDKFELVKLEEEKLLQSSLETVEYEVDRMEEQELSKLMSKQKKRMKEEQLSQSMFKSMLKQEKRIEEEQLYRFMMKQMKVEPMFNSTLERMREEKLVETLFEVLKRKRYLVVLDDIWKNEAWDSLKHAFPSKGKEGSKVLLTTRNKEVASYADRWSSPVEPPLLTNDEAWELLSRKAFPKAILIKHGYSLRHEELGREMVKKCGGLPLAVVVLGGLLATKKTLMEWEAVHRNINARFVKREQNHPYGGVYGILALSYLDMPFYLKPFFLYFSQFPEDWEIHKRVLIRMWIAEGFVPRVEEEEKKQWKM
MAEVFIATKLAEAVVSQVVQRISNLLHYEATSLSSVREEVESLRTELTRIKYFLKDADSKQEQDERVRNWVAEVRDVACEIEDAIETYIFKVHSTYIKAFHLRKLRSQINSIKDKIRSIRESRQTYQIEFSSISGEGSNSSLNKLRRSYPDDEDKDVISLKDTMMDLKAQLIKEEDRLCVVSIVGMGGLGKTTLAKKVYNDVDVKKLFDSQAWVFISQQYALREVLCEILMQIGFQSQLERKNLDAKKYTKELLEERKNRRENLKDLEERELLEWVKAELDDKRYLIVLDDIWSIDAWYGIKKAFPKGKMGSKVLFTTRKMEVAKSADPYGFSIEPPLLTLEESWELLQRKAFLREQVGENSCRPEYEKVGKELVEKCRCLPLAIVVLGGLLRTKNSLEAWEKVQKDVHSHLVNKIKSDEQYSVEDILHLSFQDLPYYLKPCFLYLGCFPEDSEIRKHKLIRLWIAEGFVQMSEEEEARGLLIEDVAEQYLEELINRCIVQVGLRDHTGKGVKTFRLHDLMRDFCMSKSKDEAFFKTIEHNDETINRKMAVSSSCHYSSTTNSRRIAVHDNDGHLERRSPQGIYAEDLIRYDGVLELTNIRDLGISGFLSDKEVSLVLNSLGSQLICLRSLQMNFREGEFPNLELNQEKLAILEKLPNLRILQLTYDWVYNGMMSTRGDGYKLVFSIGGFPKLEILTLAHLFAFEDWEVEEGAMRNLKRLDTQHIPKLKMIPDGLKYVTTLREFNISEMREEFGDRVRVNEDRVEGEDFYKVRHIPSISIK
MAESAVSFLLDHLLAMIEAKVKLLKGLRKEVKSIVVELRTMKAFLKDADARSETEEAVKLWVKDVREVAYDMEDVLDEFMFRFAEQGEHQSHGFIISYIHKISRFIKNFKTRQSLATQIQDIKAQIQNVSERRKRYKLKSLEQAPSSRSSSGAMFHDIREDALLLHETDLVGIEKPKEEITGWLVEGQSSLGVISVVGMGGLGKTTLVRKVYDDQRVKGHFQSHVWVTFSESPRIHELLIHMTKQIYEEHHQPIPHGVETMEDAKLKQLLQEFLKQKRYVIVVDDIWSIQAWKSIEHVFPDTDSNRGSRIMITTRSKVVAESCMKSHLHVYTLKTLSQKKSWTLFCNKTFRSSPDHSCPTHLEELSQSIVRKCGGLPLAIVTVGGVLSTKQKTEMDWEMFDRSLATELYEDDSLRSMVKILTLSYNDLPYHLKSCFLCLSMFPEDELIDKTRLIRIWMAEGFIQRKEGKTLEEIGESYLYELVNRSLIQIAETSDFDGRVKKCRVHDLIREIILSKAREQNFGTIIKQDQDVKVDNEKIRRLSFHHSCELVPQQEESITHLRSLFTFNVSTLSDTFRSGAFFSRLELLKVLDLRNAPLNEFLEEFTNLFHLKYLSLRNTNIKQIPDSIKKLQNLETLDLKQTYVSELPIGILSLHKLRHLLVYYRTTDFASGTFDEYLGFKAPNGIGVLESLQKLSFMIANTASVLIKELGRLVQLRKLWIMMLKREDGIHLCSSIEKMSCLKSLAVTAIEESEILDLESLSSPPRFLRKLALVGRLQSLPHWIPSLRSLSSIALAGAKLKDDPLEALNGLPDLRVLSLGDAYQGEQLRFQSGGFQRLTYLILNSLGGLRMVTMEEGSLPNLERIEITYCRILEKVPSGIERLTKLEEILFCNMPDEFRLKMWYNGEDHAKVAHVPSVRFPNWIDGQWEIYDLNDTASARRKLLLV
MEIVVGALPSLVHKLGELLVGEYNLQKEVKGGIIFLQAELESMQGALEKISRTPADKIDDQDKIWARKVREMSYDIEDNIDKYIVQCKGRKTVEQHSFKEAIDRTLKWFRQPKIRRKIATEIREIKSRVIEVHERRERYKIDDVDKPAAVDPRLLAQYKKATELVGIDEARDEVINILVEGDGVSSQHGKVVSIVGFGGLGKTTLAKVVYEKIKGKFDCWAFVPVSQNPDMREFFKRLLYELGKNVNDQTLDERQLIDQTRKLLQTKRYCIVIDDIWSVTIWDTIRCALPDDVGGYIIITTTRVLKVAEQVGGAYTMKPLCLESSRKLLYGRIFGNGSKDNNEDIVKCLDDELAEVSDRILKKCAGVPLAIVTMASLLACKARNKMEWYEVYNSVGTGLENNLDVENMRKILSFSYYELPCHLRACLLYLSMFPEDYEIKKDRLIRMWIGEGFIQCEKAGKSLFELGESYFNELINRSMIQPIHNMINDMISRCRVHDMVLDLIRSLSSEENFITVLSDMGGTSPSNTIRRLSLQNGQESHVMAQATWSLQHARSVVVFPAAASLTPPLACCQVLRVLDLEDCHLSQANSSLKYLGNLHHLRYLGLCGTGVSQLPEEIGNLQLLQTLDVRGTKIFRLPSSVVQLRKLMCLYMNEFTGVPNGIGNMTCLEQLSWLHIDDSTINIIEELGQLTELRQLYIQLDKWNNKLLECLCKLQKMQKLVITVYKGQCSIGGLDAWVAPRHLRKLDFNRCWFSTLPAWVNPSLLLDLTKLEIAVRELHQVDLEILGRLPALRFLKLKVDNKNLGILARFVVGAGAFPCLVSCCFEQFVWLVVFQHGAMPRLRYLIFSRFYLREARGIACNDGSLDLGLGNLPFLQLVIAQLQCDGAGKEEAEQAMAALTHEAEMHPNHPRHVIDDDDDDDDEYEDDDDEYE
MRWILSLSYYDLPPEQKPCMLYLSLFPEDHIIETDDLIWRWIGEGFVHAKRDSRNLYELGEGYFSELVSRSIVQPVHVDTHGKIQSCRVHDIVLEFITSLSLEENFVTINGHRTNTSEPNMVHRRLSYQDSKEEQVISQATNNLSHVRTLSIFCHAADLKLPLSSFQVLRVLDLEDSRGHNIGDISNLFHLRYLRLWGTHCTVEVPKQIGNLQLLQTLDLKRTKTRPLPSTLVQLGQLLRLCVDRQTQLPEGIGSMQSLEELSEVDTGKNPNLMEELGKLSKLRVLAISIDTWDAKHKEALLNCLCNLTELRTLHVFSQDVSLDFMLGSDWTWAPQRLQRFTACVHRHTGDIFRLSPSSIWSESSPFSRLPNWIKLSLPNLSHLAIMVNTLPRKDLRVLGSLPALRSLDLHVVKACAREGMETIGSSSADHAVVAFPCLANFRYASRAVGLVFRRQAMPKLQVLSLSFDVAETKYVYGDFDLGLENLTSLKVVDVGIDCRCATPWEVLDAEAAIKNSVKLNPSHPTLDLRRHFVREMPWNTTIEIPELETIQEELAGLTKIGPWGGSGGTPHDINVAPHRLESVIIRSDRVINSFSFSYYDHDGQMHTAGPWGGCGGSEHEIHFEHPEFLINISGTVGSYDASPNIITCLAFVTNTNRYGPYGVARGHPFDIAIQKNDASIVGFFGHAGWFVHSIGVYVNLREKTDGLVKFAPWGGNGGKPEDMNVAPYRLERITVSSGTIIDSIEFSYTDHNGHCHTIGPWGGYGGNNDPVKLDPSEFLTGVSGSIGPFQKLPKVVTSLTFVTNAHSYGPYGEGRGTPFHFPIQSNGCIVGFFGRYGRYLDAIGVYMKHELKMMRQDEDWALG
MESAAQSIVSKLGQLVVEELQEIRGVGDKIVLLTDELATMNAVLRMTSEADESAVDHLVGEWEKQVRELASDAEDCADIYRLRVNRPIPGKFLPYVLKWPKYQLEKLRSQRNLAADVKALLAPLPRSPRFAPVSAASASASARLRRADDDPDHQLVGIREQADTLAQRIKEIHADDDNRLKVFSIVGFGGLGKTTLAMELCRQLEADFERRAGGPLARLLLQIGKVKQDEDAGRTHANQLNIDQMDVEGLSTKLNELLVDKRYLVVIDDVWSLQAWEAIHIRLPENNCGSRIIVTTRIETVAKASSVSEDLVHRMKPLEQNASENLFVKIVFGSVGACLDGLKDTMSKILNKCGGLPLAIVKIASILASYNSVESVETWIRVSNSIGSQMENHPTLEGMRQVITLSYGYLPHHLKACMLYLSIFPEDYVIAKDRLLYRWIAEGLVAEKRGLTLFDVAEEYFDELISRNMIQLDKLPRRSWTYYGYRRTEEVCRVHDIMLEVMVSKSQEANFVCLVGRQYGGGGLARGLVRRLSVHGNVEDEEERSSSGRPPNNNNNKKAVEQRRRVRRGGIEAMNLQHVRSLGTFEVEKGLGKLLDRLGEFRLLRLLDLEGCKSLRNKHMRDVCRLYLVRFLSLRGTLINVIPSEIGDLECLESLDVQETRIHSMPPTVTKLSKLERLRVDRLYLPLWLGNMKALRKIGELQRLQFLCIELENSRWDPNKEEFLHELASSLSKTYALRTLHLSATDQLMDFLLQVSSPPPLLWSLIFRGHISRFPGWISSLKHLAEFSVDSTGLAGDELLGSLNGSYRGPELVTTKDKFPALRILDVSSSTFEQHTSIVGIDNLNNLKEVKFRGSKFDPWLESALYQVHETNKNRHKSNQIKVVVVGESW
MAETAVSLAGKHALPKILEAVKMVRDLPKEVRDITDELESFQDFINDADKVAEAEQDDGRCHRIKERVMRLREAAFRMEDVIDEYNISCEDKQPGDPRYAALLCEAVDFIKTQILRLQSAYKIQDVKSLVRAERDGFQTHFPLEPRLTSSRGNQDVTWQKLRMDPLFIEEDDVVGLDGPRDTLKNWLIKGSEKRTVISVVGIPGVGKTTLAKQVYDQVRNNFECHTLIRVSQSYSAEGLLRRLLDELCKVKKEDPPKDVSNMESLTEEVRNHLRNKRYVVLFDDVWSETFWDHIESAVMDNKNASRILITTRDEKKSSFVEVLKLEEPLTEEESLKLFSKKAFQYSSDGDCPEELKDISLEIVRKCKVLPLVIVAIGGLLSQKDESAPEWGQFSRDLSLDLERDSKLNSITKILGLSYDDLPINLRSCLLYFGMYPEDYEIKSDRLIRQWIA
MVDSLANVLLETLRDLLVEEVKLVSGVSNEVKKVERDLRTIHGLLIDADTKRSTDSRSFRDCIDELKELAMKAENVLETYAVEVESKKEGKTLKKKLQRVIGILCECYTVHQVGNEIGDIRSRLSDLTDKLQSINGGEASSTHEERQRLLRLSFAHENEPNFVGMEKDIERLVSMVKDIEPTHRVISICGMGGLGKTTLAKKIYNHQDVRRYFENARVWVCLTQQFHAVNILRDIFKQLGNEGGDNKGEDELVREINEAMEKKKFLIVIDDIWENDHWIILNKAFPLNCKILLTTRNDRVAHEERHLHKLDCLSEDEGWELLWKIALPISADVDASHVPEGRSKSFEDKGRQIVHKCGRLPLAISVIGGILRQKQQMPAEWEKVSSNIDSYLRRGEGIGNDTQVKLVLDLSYDALPYYLKPCFLYLACYPEDHEIGTEELYQFWMGEGLISLEDKGINESLRDLAERYLSELAFRNMVQVKKGDIQTHHYKFKSCRLHDLMRDLCSSKAEEEKFIKRIDISGPAHHIPLSIGTSTHRIAINIFGGADAVINLDEGVMQEVKDLRSFLLVSKSSSIHIANNVKFENAKHLRVLVFHGFKFEGGKLPNIINLTNLQILFAIIKEDMDSLSKIVDYMNSNRGQLHHTYLIVKSCDFGSGEEGRNIVKNMLMSPSLVLLSMKKCKIGCGFLCYEQGMCQNLGALGISDLKIGGDIDVDVDVDVVEELGKYPILQQLALSRVDEMMKTEMRFGANSFPELRILYLGDSMNVKKWKVEKGAMPKLTQLVIDNYSNLEKLPDGLRFIPTLQALTYYANFSHITELLIAEF
MRIAVVHNQCLPYRHFLFRALAARFEIDFFFFNQQPSEVPADLQATIQRGYRIPYASAYFIVPGLRRALRRRRYNLIVGGDIGDYNTATAYGVARHTGTPFVPWSVEWNTIRHPRRLLRRPFEQQMLLHSAGIIVPGVRHIEFLTGRGIPAQKLRRVPNIVDYEAQPADPAHPLYGRLAPLKSTGTLVCAIGRHVAFKGHGQLLRAQGRLEQAERDGGAAAPHLVIAGNGPLLAQNKALARRLALRKAIFIEEFVGDAAKHVIYDLCDIFVLPSTRKRAFEAWGLVCNEALAHGKPMVVTTAVGAAGEVVREGINGFIVADKDIAALASALGRLSGDPALRAQFGQGSLSLRADYAPQVMIDAFSEVIASCAAP
MQLLPAQPAQFIVSVVCQQHLRLVVQLVTGLFQPFRRIVGIGVHFSMRRFPCSGVHMLVLERFPAEGIGKEVRVETAHQIGGTLLYHPAIRIIVKGGDDRPSRPSQTETSCACQVSFSRGSSVKTSVISAIRFVGKP
MKFKRLLSLLIATFCSTTLLGQDPGKVNLAVSEIPPGLLKNADAVIRYDYTSVVMNNLRDVREVTRMAFTILNESAKDDAQIVYRYSKNAELRNVRAALYDANGKLVRKLKQSELSDVSGVDGSSLISDERIKTFNFYYNVYPYTIVVESEYKINTSLFIPGWRAVSDARRSVQSSSYEITVPAGYQLNFRKQALKGDLKTWEEKGTKTYAYSLEQFEAVPEESYAKPLKDRVPSIMVSPSDFEMGNYTGNLNDWLGFGKFLYELNAGRDVLPPNIKQKVHELTDGISDPKEKAAILYRFMQNNTRYISIQLGIGGLQTFDALSVAKNNYGDCKALSNYMMAMLKEVNIPSYCAAIYADQYYVGTKADFPSSSFNHMILCIPFSAKDTTWLECTSQTKQFGFLGSFTANRPALLVTPNGGVLVNTPAYTAKENALVRSVSAKIINNSDVELNVNTRYIGLQQEGLYEYIHSRSEEQQLNRLKEDIDLPSYEVTKFKYNIINGTSIPELQELLELKALNYVSASGKRLFIVPNMISKNRNYLKTPTKPRTEELVLRLNFHDMDSVQIDLPAGYVLESLPSPVALSTKFGEYKAHVEVKDMKLVLVRSEQRKSGNFPAEDYPAYVNFINAITDADAARVVLVKKE
MNQPHDYRAEPRLERSKNTSPPGRTAGRLANQVPELPECAEGVGTPESRAQQIRTPGQRADIATADGPSADELVDQLIAPAERNGRRLGRNRPPATPGPPLNPSTIKLGQSCPPAVKPANHNRAPGGGRQPQPRAWWRSPTATARLVEVANRDRTPGGGRQPRPHAGWRSPTATARRVEVADHGGPRTDVAWPRQPRSAGRADPAIGQRDRESAFGSLSELVEEATRNGQLEPFRPSPSCRYIADAKSGPNCSRSSTTGDRVRLEGRIRSTFPLPHGNSSPPKQTASESIRSRRPPGSLSRPGAPTVWGALGGPAKRLELFAGAGFSDPILAADTGFNLVVGAVKGLRRSSGGGRCEGLTPEFWWWAL
MDGILKELKRVDWIKIDVEGAEYEALKGLQNTLQRYKPIVIT
MERTIESAEKQDHNASDHPKEIHISKRSVDEFEARTHSETHNEGQRSELTDSWSSANLSFLKSVLGIDSSDIVGEKKEEHAHSGNPEN
MRKTPAECPDRNQCFAAYRTVLDNWYNSDCLDAANAKAPIPPVQGTALQAWECINKFSDWNAVNQDWDVVKTQDW
MSVETRKCEKCGEEADFVAGLYTFDRKLNQFHCPKCHFTFICVGFGKFVREGVLPEPQRWE
MNTLEFDEVALRKGWGGVGEYWFSLKDYTIKSDAELSELDQPNDMSHSEYFISLGYIPYFSVSSEEVIRAFISTIERKKLREALENYQGSEYVENFWKYFHIYPEISESYIAFEHQYVDDKAKRWCEDNGIRYQFKE
MIYRISGNVIYSNDTKVGDISYQGTGMRFYQAIFTGPVSFTLERTGRGFRILDSGMDMGKTGRGYTIEYNFQTYRPNSVQLRQFIMSRTNTLEVESGGSTVFTINRTADGFTIDCNDNSSLVPAFAMFSILSQYTIAGGMNTYIQPRALVPPIYRGISTALGFAALIFLFIADTGYIPVFLSYVLFIVLLISSYAVRFAGRASNRRKDN
MADVDGWLLLGFGGQAVFMGRFVIQWLASERDRRSVIPVSFWYLSIAGALVLLAYALHRRDPVFVAGQGLGVAIYLRNLQLIRRSAHGEQGDLGGQHEQHGAHRPVEPDEARAQPRQHGARREQQRDEREPEQRLGDEQRPEQGDVGRGQVRLQCLGQHRAEVQHGLRIEQVREEAADERLVAGLGRLRLGQLAAGTQALDAEPGEVQRADDGQHARQARQCGQHERHAEDRDEDVDADRRRDAGGGPQAGTAPGDDGGAGHDGEVGTR
RAGMRSIFALGPVEDNPFRVLLKDVAVLLVLAVALLVTTGVGFVANTMVDFALELVGLTQAARQLTQLAGFTIMLVLDTAVAAILLHTTSAIDMPRRVLLQAALFAGIGSTVLRTVSASLLGGLGSSPLLAPFAVILGLFVWFYLLSQVYLMAAAWGAVGTADAEAAEARAHHEKAGTLRQQSRKARRQP
MRPPPRKPSFRKMTEQSLAMCTEILGSETGADIFESDELYSLVVSMDRPAPGSHKQADESFDSSGSRGNDNNNSKKKCYSRGDCNDFPPPLTTMRGPACRRLTCRREGGRLVVAAADVLSRQSFRQVEASSGRLKIFFYTNEGNTSNCSGDNNNYDGGDKDSEADAAGTVGEENMTEEEDGESEAEDRGRLGVDQVEMGMKKCVVAAAAAAAAGRSCKEGGQGISEIFGIPAHNPKLCLYS
MLSVEVPAGSMRQGGFIVAALDPSRLIDREEYLSKIEMLIKTIKNTQPAKGYSEVLIPGEPEERKYRERVREGIELDEETWREISQFYARAHT
MSFMVEMFSGLLTGLGFGIDPQARHNDGVFISVYKVENFRDLADFKREMKEFAEFVKTSPPASGFSEVLYPGELEARTEATRRKDGIFVEEETWEQITELMRELNVLDVVGKP
MVIFYFLDGSLFETMQTLFWTVFGVIDMNQFNLSHIESFTKFSAKIILGTYAVITNVVLLNLLIAMLNNSYQLISVSIWNFVIETSKLIGVTVTVFQVIREAGTDK
MLFFITFASIFLHKFFPLYPALLYYFILANLLSITMLLLYFSNKLPEYFKPQTLHYFSLIGGFLMMIIGYFYIAKKFDKFLIIEILIAIFWGILFYIIGQNFTEILEFVRVAYA
MLLEYSYQFGYDKFSGTPLNRRLLRTISEFSLKAGSLKERSHSGDRTCDLLVARRTPFPVRHGELKHACNEVVNLVAEYRPWP
MGNELEQKYLLLMALYDATYVLKKAIETQEYDQIEQMVLEREHIIQQIDALKLNEMDGISNSEAIEKIKTMLIKIKEIDDFNLKNMPSVILTLQESINESKIKTNEVNKAKMVYDQYQGVPMYKQGNRFDIRK
MKCTDCEKYYLGCDTEVFNYGYEQDKAEECEFFLEIEVAKS
MLEHLCITFGCVSCLDLTKCTEVQCLSGLENLYALRELTLTQTSVDNDGVLVVSACETLEVLRLTECRSVTDVSCLGGLRKLRVLCVARTQLTNQGLEGIGKCVALQYLNCAECRFLSDVNSLSSLKHLIELHLERTDVSDAGIVGMMRCSSLQRVYLTRCQRLTTMGDVRTFWPQLEVLDVYGTSIPTRVAGQGKQFACAVM
MKDSIHIARARRRPGLWRWLAAGVLLLAQPAFADCVLRQATPKERDYIARLQASLLAALPAAPAHMALTASPRAHVGSLCEDTAEGEVRASALATYRYALPQAEADRIARERAQLEAQIKSLQALPPPLKPEFDAIEAQRQEAFRDARRAEKAGDKALASQKYKEASAFEEQGRAVRKRHLDAVKPQLEELEARRLDLPPTSGDLHVKLEANGSGAAARNQEAELRLGALPPPQGFRFKVHGVHAIVAGPRGADAQRNALLAAFDRARLQALIDQPLPQEPPPAAWRVGPAPVAAGPAAGSAPTPTPAAAPPPAGAPANATPAPTPAAPDAAQMAAEKAKEAANKLRSLFGR
MASESSNLTSIFKQALSSAVNSLFPEIESVKQEQEKAIFEILKRRDVFAVLPTGYGKSFVFQVLPVLTELLNSYGLQFPKKAIIIVLCPLTSLVESHIDELKKRGLNACSLTGECVDEKGLKNGDYSFVFTSPECLLNVEKWRSMLRSEIYQSNLFCLVTDEAHVVPKWGHGNAKERKAAFRESFGRIGDLRSLMPVGSPVLALTATATKEVTRKTVEALGLKNNYMNIRVSPNRSNIFLYKERTTNDLSCFSWIINSLRETKEKSPKCIIYCKRQVDCGYLYRHFMQELGENAYVTNTSHESKNSLIGMYHSKTLQKQKDRVINDALDEDGICRVVLATTALGMGINIPNVRYVIHYGPPKEMDYFAQEIGRAGRDGLDSISIMYYRGAQTHRCSKQVLDYARKESVCLREQLLASFEETVVPNKGTHKCCMVCHLSCKCEDVCPVPLWSPSNKTAGPSNVEEKRTRKVSKGDRELLKALLSEFKTKLANKCPSYYLTPESTTGFSDSVIASTVKSAKYIFTFQDVLDYVPVFSKNHAIEILLIFNDIFEDIQVDAALLEQHTEHPIDILDYDLEYCEDYLSDSSYDSSNGSDVSMDSVLSGIDVLQ
MQATTAEERFTAALSYVVNNLNISLKPQQEDALKALVLHKRDVCAVLPTGFGKSLIYQLAPLVAKRMDLSSNPVVVVVSPLIALMEQQVKEADKLGVTAMQLGVHTMAEIVSSAPQIIFGSPESWLLNKNWRHLLSTLNDVVGIVVDEVHLTYKWGLDEQGKSAFRESFARLSELRSIVKTSTPVLALTASADLESVSMVKRLLLLDNAATITESPNRRNIRLGLKHISGDSLDCLDWIVNVVKLKGLSMSPVIIYGRTLKNVARLFSYLKAELGECAWVGKDPERKAENLLIGMFHSKTLEDNKKRVISSLSGEGNCRVVVATTALGMGLNFPKISHVVLYGVPNDLEGIVQEIGRAGRDGSHSHAVVYSVKQHTKVDEKVKLLLNMPTNVCIRTCLFSHFELSPSAVEPGHQCCTYCHLRCQCQPEGCKEPIPEYELDPKNSSGPCACREVTEDDKVLLTDLLHQYQSSLLHDSTSLYTSEEACTGFSLELIDEVLQHSAKIFDLKYITTNLPVFNIRHAQNILHIFEEVFGDIDDCPSILPPESISQPDINYTGYFDKTEEEEASSLGSLESGVSQLRTLSFTAHINSITRIAYFHLRNIRRLRPSLSPHTTAILVHSLVTSRLDYCNSLLFGLPQKTLHKLQLVQNAAARIITHTPSINHITPVLQQLHWLPITHRIQYKILLLTFKAIHRLAPPYLTDLLHVSTPARSLRSSSSLRLTVPPPAHLVTMGSRAFSRSAPQLWNTL
MVFHRGEKKDSDDAFREHFSKIGELRSLSLNIPLLSLTATASPSNRKRILKSLCFRDNHIVIIDSPDRPNIKINVKCVKNNSDISDIFSWVIDELNSRKKNAQRIVIFCESIKDCAVLYTMFRRKCDASLVQMFHSKTAEHIKEKIRIDMESEDGQIRVLISTNAAGMGVNFKGLHNVVHYGPPRDLDTLVQQMGRAGRDGEFSVELILYKNHKGHLKKIDSDVLSLIKSEDQCRRNILCKAYNAHSHIFEHLHECCDFCEKTCKCLDCPRLSHPVFNAIEPQEEDAFQRDVTDENKITLKHKLELLQSNLPKLFGNNSELSVETIKCITDNCHRIFTTSDVMEYGTIWLLDTAEQVIKIFDDVFDDIIIYGSSDSENDFT
MAAVFGEYSWQEAFYSVEALFEIETLFPEQKEAIKAFIEKDVSIFVSLPTGAGKSMIFQSLPFVFDSLYGNPRGTSVLMVISPLKALMKDQVNYLLDRGIPAVAIVDELSADPEIIQQVKNGTYTLVYGSPECFLSSKTWRDIFSDTDFTSKLIGVAIDEAHCIVHWGLSGNKKVPFRKWFGCLGEIRSLIPKNNKIIIVTATATKATKSQILESLDITADQLHTVEQNPNRINLMYILSYMDKQRSLKDVFIKLITELRTVLCKTERTLIYCQTRKQCSLLFRMFEINLGKNMYNGAALPPNRLVEMFHAGTPQSVKDHVIKNMTATESHLRVLIATVAFGMGVNCKE
MSRIGPLKLHPPSKWMKWTRHRRVDHARWTLGEEILLNRIRCLDSWRSRTTVGTRRNSEKGFKEEQKFHFNVAWQWEE
MSSLFDVSETTILVLDKNTGGEILHEISKLGNNWEIMKIIDHPNVLQETLDELILTKNPTILTYILESKHATKELLVEATLSDNQLVVEAAIKNSKMPNEGFINVINGFSMGRDGGIYRGPCIRLASDKRVADLSLALAKLNDDSIIETLLTNHHTSADALEYLYSLNSIFSNTYIAGHPNTPQHILNSLLSESYNDYVFYVLNNPCAPHEIIRNVASTPKIPFEHALSIAKNINTPIEVVDDFSKPEFLDRITGTYENGIKTIIQHVAKSRALSDFAAKNIMEYKWPEVTKLIASNQYADIKTLTRMIISGPSKLIKNTAENTFHTAMPRFEEQVLNGQLSLSDQVATHRRKPIQLGEFLESKGGLFITYYERLVAKQLERSILQKTALQNISDDISKPRSRSNMI
FGAPSDTTPRTVDCTGVEAPSCDLVFNLGFECSDGYAELCPGVEQPSSIPPGWTVGSSCPANCGGWTICADNTKLIPTAIPGVRHCQGDEQLDQSTCTAAACRFCYPHCSVEGETCTCDQEQSCTSAGGSWLTPNCDTISTWGPFLQDWCQTDTTAAAMLSACCTDGITNCRYNSTSGSLGCTGDQICPGSSYTGASPVVSTCYTSTGECVYNPMDSTCAGDGTMNTEELCRGAGNFWVPMSCDDIAQSMPSERCSMLDAYLQTIGISCCSART
MGFAIAPWLFALLGLYLYLYPWSVLLVALDMVPAWGAWMGGLLLIVQGTVLGLWLTVNYGWRGVLVSLLILVLSWAVEHIGVLTGFPFGSYVYTDVLGMKIFGVVPLAVPFAWLLVVPASIGVIEWLFRRGTDERPQPRTRRHTLLKMLGAASLALLLDVGIEPVSVYINNYWVWGQEIHEGFYGVPAENFVAWWVTSFMLAGVYYAILPPASRSSTPATQRTRHLVAAMRGAWLAFLAQPLAIKWRTIWQVCNTPGTQHPAYNWLPPLFYLLTLVLLMITNLAHGKLEAGAIGALVLGYLVLVYLEPRLEQWIMQHAPQPAAEPLEAEAQRNRQ
TYSWWSAYLSGKNRVIYPKELLDSNINLGLKEWRQL
VVEMLINAGADVNAKSNNGNTALIIASRNRYNGVVEILKNAGARE
MFEYKFVKAPTSFSRDNTIQITACESVVADHAAQGWRLVQILVANPSATPSEYDLIFERQKPHE
MNVNVAKFFEQIDNIIQNYKYSDGDKAYAITSKWYNAFAIAAKTKDNNFSKKIINDITDSNWRIDPRLTPKYDYVIVPQKAWNKIKNTYKFSKEVEIPVFYNSELSEYVPCIHPKEISIYYSQTFATLILSEENTIKQVLEQSKKCFNINNNNYHLYNFSLKNFPTLLSNEEQKLKDLTSNYSIDLLLSEKPYHVFLAVISRGICGLENVGQTCYINAIIQCLSHCKLITDYFLSNDINKYMNGKEEYVKLTQEFIKILKMMINNRNSFIPMKEFKESLSNANHAFKDTTQQDAGEFFTSLLSMISAAIMRPKDQREPIGDKELPDKAKEIVEKEIDNSFLGDIFYGLLNNKTTCPKCNHVTENYASFSLLPLRLPSSELKMEVVFYSIGMKKKEIYYLQKQPGKDFTVKSLLKDLKSSFNFPDLDRYQLVGIKELSDRNRKYHQYELITQSNLPREKLNNRDFIYIYDVSNIEPKYNITIAEIDGLDPIVLFYDPNQIMENSRDLPPNVQESIKNQLKTILGVENVTIPNFSKLNKIIIKIYPNIYPTDQDLSNFKHEEMALTLENCLKLYSTTVLLDDKNLWDCPNCCRQVQATRNSFIYQSPKILAFYLSRWGFSIQKNAYEKDTREVTFPDTIELSKFMISNTKKRNYELFGVVNHGGSMSGGHYTAGVKIDGTAYLFNDKIVTECQLSDLHSANAYILFYKLVD
MRIYTVDTNHLDHVLREELLRANVVINNDVRMYVENYDGPFNDVLKKNIEVAEKLKLPLCQDTGMVEFFVFANPNVVTDKPIEFLLNDIVRKLYRENPFRYSVVEDPLFERKNTFDNTPCIVHIFQTELKTFQIHFLIKGGGSENLTRLFMLNPTIGKQEIINLVVQHISENGAKACPPLKIGIGIGGSAEKAVILSKLALIRKFGERNVDRNYAQLEKELCEKINSLKIGFQGLHAGPTVYDVHIEQMPTHIAVLPVAVSVDCYLCRGGSVEIELSEIECR
MNYQNDDLRIKDINELLPPVALLEKFPATENAANTVAHARKAIHKILKDNDDRLLVVIGPCSIHDPAAAKEYAARLLALRDELKGELEIVMRVYFEKPRTTVGWKGLINDPHMDNSFQINDGLRIARKLLLDINDSGLPAAGEFLDMITPQYLADLMSWGAIGARTTESQVHRELASGLSCPVGFKNGTDGTIKVAIDAINAAGAPHCFLSVTKWGHSAIVNTSGNGDCHIILRGGKSAKL
MSKVTEENGKTKGLHGLGQASLSVVRRTLLIGGISFTVAGIMFPEDQRYLGGLTLGVGAGLLLAFGLIALEQSGFLDTEST
MPPSPKQGPTPKPAPTAQSCAVGAAGGAITTAELAERTGISRGAWNTWASKAAPGTVRHHAIAGSWRLMGKAPDERGGPPRWLWQQCLDET
MYCIFCRVVERANPFFVLQRRKGYGGGGITGLLVGTLDTVLDNKTPPYRILHQTTNSEVSYTVAIANNKKEIHRDWEWLEQHMLVTLGAFENEEDATEFVKCKVESILANRDNSKDVDDETERFKAATRKFHKLFNMPKEEKLVNYYSCSYWKGKVPRQGWMYLSINHISFYSYLMGKEAKLIIRWTDVTKLDRGNNMVFPDSVKVSTREGDHYFSMLLHSSETFRLMEQLTNMAMKQLMSEVGFEEDKMLTHRTKKRASRKISLLKRDLDAKARSEAFRAAFHLPAHEKLDGDTDCMLWTPYNKQHVSGRLYLSLNYICFASRIRDQVSVIIPNREILVVEKIDSSPSGNVITNAILITTKGKVS
MTVYAVTGGAEFLGRYIVKLLISADDVQEIRVINVVEDPQPLVSKVKVINYIQCDINDLIR
MKGYFMLLLHTHLPFVKGEGVWPFGEEWLYEVMYSSYIPLIKTLEELHDEGIKLNVTLSITPVLLSQLMMPECIDGFRSYMLRKMELISADRDYFKHTGEIDLYNLTFYYRDILEERLRYFEELGGNIVNKISELAKNGAIELITSSATHAYLPLLKNEKSIKLQLKVGREEHISNTGIIPYGMWLPECAYRPGLEKFMSEVGYNFSFFEESAISGGKVFSPYGGDKGNLTLSKNHSVFRPYYIKDSNVSAFGRAGDLSGQIWSKDMGYPGEAFYREFHKRKEGSGLQYWRVTGPDVDLGVKEPYIPDLALKKTKEHAGHFLWRLERTVESLDIPNPVIVTPFDTELFGHWWWEGIDFLREFFIRIDSSPIIETITPSRYLASFPPTESIEIPESSWGVGGKHDVWYNEDTRWMWEKIYEIENKLDRVLSGKEFSGWQERIADQLIREFLLLTSSDWEFLIYTKSAGDYGERRFNGHLTLVKLLIDLLNKPELSNEDKKFIVDLERRHSIFSKRKLWSFWR
MAGVGSLAIVLHSHMPYVEGFGTYPFGEEWLFDAVLRSYALVCEAAAGLTMTVTPVLADQLEAPGVADRLLEFGRRFRVGSSEADRADLEAPYREACRAEADRYRGALERLEALDGDLLGLFREPAAEGRVELVASAATHAVLPMLATRPGRRLQIDAGLRSHRRRFGRSRGFWLPECAYEPGLERLLAEHELAYFCTDQTPYEGPLEALTPAATAAGPVGFTIDWEAVAWLWSLDGYPSDPLHADFHRKSLRGARPWAIGGGPYDPAAAEARAREQAVEFVAAVRARLERHAAERGRLGLCVFAIDTELLGHWWWEGPAWLAELVRAAPDAGVELVTLAQARERHPARSRALGRSSWGEGKDLRTWDSPAVADMT
MEQGYLALILHAHLPFVRHPEYPEFLEEDWLYEAITETYIPLLFVFENLLTEGVKFRVTMTLTPSLCEMLADPLLQSRYSDRIASLVKLTSSEIARTKDSPFAEVAKLHHEHFVAAQDLFEIKYGRNLLAGFRKLQDAGVLEIITCAATHGFLPLMSNDSVRRAQIQIGCRNYAKHFGCWPKGIWLPECAYQPGLEALLSEAGLKYFIVDSHSIMFGDPRPRRGIFAPVITSSDVAAFARDVETSEQVWSSEIGYPGHPNYREFYRDIGWDAEEEYIKPYLNSSGNRRSLGVKYFRVTGKVDLHLKQPYVPQWATETIIEHANHFIISRLEQMRQLNRLIGRRPIVVSPYDAELFGHWWFEGPQFLNMLIKKLHYEQNEVTLITPSDYLNIFSDNQKQTPSASTWGAEGYNRVWINSSTNWMYPHQHMAERRMGELAKRYPNAEGLLERALNQAARELVLAQSSDWAFIITTGTMVPYAIKRFKDHIHRFTKLYEDINANRIDDAWLSDIQSKDTIFQEIDYKAYG
TAKLDVSNSQTELCIATYIVAAHNKAHFNEVRKGTLALAKTKFASSPTLSVTSIIARGRC
MSQSEEMNSEDPASLENPLFVSRVNNVNVRPGGSSLSFSSSSTSSSSCINVKCASPPPSSRSSARKPISPPPSSRSSGRRPLGNAAGGRSEVSGSSQSELLMIPTGSPAERQRLSDSSSLRVDHSSRPSSNDDDVLRAQQHHLFAKANEHIMNLSSIP
MAKHWLLLTLALGWSSAMSFAGLGSFHDHALANPLLYGRAVPPPLCNSTEISTMNMGSCVCREQQFGCSSPPMNCSVCPNSKGEFLTAFKNVTTCLGGCTDREASCQGCGLWFHSLCDCLKNPQWCNKTSGPIKKDTGPIWVLLSQPGAKENLTTTNERVSGILDTYEKPKFFDEGWEFSQSCYKPQEQALAMNSVRVRTHEQIHTHICKRNDAMYNFLGAENPNHYNSEDLTLVSNYPNNDGKGTDILCLVVKNGDPVKNFASALGQLFAKYPNTCQQRIGQAILQDKYKNTWACATNTTNGPLGLFCGK
MAPSSPGDLDGKATQSRYPAPDDATLETLRRQQEAVLKTLFGEALNQRQIAEMQLSLSVQAANCEILHRFALNNADEPAFMFGATAGQLS
DLFRPLDADKVQIGEGSQDRMVGDDTRLPAVVAEEVLGRSFLARAMGSIDAPEEAELLEASLMVLVNKVLAAGRTKPGQAEVVRRGALYATATLSLGLETVSRSDLGRAREALRSISLQRMFRVGYTVTTKLARLAQALAPRSLTAASPAKELVAGLCSPRPLFSRAADEPPVIGLRPYESVADLRRAGEILAGLTLRIALVEGLGVDVVAMGQAPEPRPNLDDHIRTALVRAVVGGDLRGEALSQAELTKLRDHGIVDGLLTPAARKAGHAAIRARLGAAQLTASGAVLDKLVDAWLNDLEGILGGVKDTQIDPRYVEGLLVEVRRS
MMPSLFQEIWSCPYSMETAPGYGEDIDGGASPSISMLSEAASRRKITIVGGSIPERSSGRLFNTCCVIGPDGQIKAKHRKVSIFE
MEVEEEEPADPMVVEDGAGANHELPAALKNEAEGNEEAEAGDETTSPGAKHDRDDEDEKPRKRQSASHGSHGRPLSNQSRGQARSGFKSVPVRRLPAGHRRRDRRTSSKRGRVDRRRYVTFYPAPSTPPPGARTVRVVGTDKHLPELKSVLVHLWKSGEFVDANTVPVCLSLPRQFSFVHDPKTGEAVLLASSKLDRPSVNRYIGHPGIRPWEQDRGELPLGVTRVLDAMEGAHLLWESMSSRYGSRIGLPALSFPDMNDLFQAEYGDEDLPPLRSYHDQATDQHRLLLVARDLVERSVANYIEAQIEHCSSEQLKARRARKEALKNARDESLKNARDEVSDDETDEEDSDAEPDENHRDILPVILDFASVSSRHTNSVQDEVVEDWCDNHAAPLFDEARKEGCVATHVCYRPEDLNFYHKNNSVDNQNPHVYHEGLRGKGGSAGNGKSRIKGLAVDHEERGLSSPELYSVIDLANLTERLQEKAAPFHLEQSERFMCPGPPRR
MKRYIKPEEAAKKRAFLIYLVVALVLGTTIGLFKKSFIVSTMWTLGTFIVLLIYRSTKIKLNYYNRIRKMEDNFPDFLQLMASNLRAGIPTDQSMLMSARKEFDPLDKEIAKLGKELMTGRSIEDALIDFGERTHSLKIKRTISLIISGLKSGGNIATLLEETAARTRERYFIQKRAASNVLMYVIFIFVALTIGAPGLFGLSTVLVGVLTDILATIPTSQAGTSLPFTLSSIDLPISFIIYFSTIFIIAIDILGAFILGLVTKGEEREGVKYIVPLISISLTVFFSIRYILLNYFGDFFKIN
MDIDARDIVKITDNIKENYDRSISNAQKINLDEILVKYYDWWRCRQFLRNILYFETTPQLYSYLIGYATYGEQELYQFPCMKYLLRIINDLLIQFEQLEENGYLAFIYECKEYSLKITTWRFLFVKDTLEDFLENPNKLEYISEKYEETAYDEYVEYLYQKKETPNEQVDAEAMLSSNSKQVNGTSNKNRTAVLYYMLRSKLDLETIIKIANYVTNKDYDITNKANNSAYKYIHKPELFREKNENISYIVQALKKYGFEVPKELE
MTPTTSAVLCRYRYDPLDRLASTSPAGQGAALRFYCKSRLATHIQGAIRHTIFGHDEQLLAQQKHSVTGNETSLLATDQPGSVLHGVSGAGIESAAYSAYGYSDAENGLDCLMGFNGELRDPVSGHYLLGNGYRAFNPVLMRFNSPDSLSPFAAGGINCYAYCSGDPTDRTDPTGAVWKPVIGSLGKVLKRLQRQAKPLRSESASRRSEFMDNQKATVLSQYPALSNRKISTFSREFASFSAANEIDPFQVLSIGGADDLVKVSGSYPRRFVFTSKSELLVDPSMDLERRSINHAILASYRSPGSEVISAGMIARPKPNQVVLWNDSGHYYPGFSTLQPVDIRLSTWGVKVERVRIGNSSL
MPISLTLSLALSLSLLGGLTVLEWKGRVPFTFMSVSLALRRANHASGRDACLSSLSFFRIPVWTPPNVSNLPDAGHYRPRRRAGAGESPARRACCPGSQVSAETGELPQNFHLRKIHPQTRRCHARRAYCLSTRHARKQSPPSRILSGAADGR
MKKRLLRLWWAFLTLVLFAPSLSLAAGGGKSAPIVIVADTRKLDGIMAWWANLYNESHVYFMILTIIIIPLTGVIFGVLADIIMGWIGIDLKSRELAEH
MKYIKEFYHPDIAMLPIGGFYTMGPMEAARAAELIGAKITFPMHYGTFPAIDADPKNSRNFLINIQRLLYLTPVMNIHYNNFRRLAVLFLYF
MNEQTHYDWVDFYKEFASKLLDYKDNRNELVEKVKTIYSMTGMNMPTLEKENNLIDIDPFTVFGLFNKKLRDDNRIKILTAIANLFNIKTPVPTSFDCLPVLNPQYDNFSVDSTMNRIIKSTVELLLKADIAKSRKKELRKLMVFFVDVTPIDLYSVNWNMQYNGNNQSYQMLMSICYLVVKGLLQTNTDGTTKLMDFLDEQRMSRLYEKFILEYYKKHYHDISVSASQIPWPLDDGRGDMLPIMQTDIHLQKGNTVLIIDAKYYKNTTQVQYENHTLHSNNLYQIFTYVKNREYQFDEADNNVSGMLLYAKTDAMIQPDNVYQMHRNQISVKTLDLNLPFEQIASQLDKILEMHFHEVRKY
MTIGGVLSQPASRGDFDVVCDLHHSIGTSNAFISQPEGEIYMRQDIRNLAAVWVIGCCGWFRARNGRGRRLMSMRDLMMIMMAVAFLFTGGAEAWAKVAGHFTLIEGRVDVLVKKGDKTIPVKLGDEVSVGDIVRTKSNSRAQITLVDSSLLNLGESSRMEIRRFVFAGGAEKKRDGMFHLFRGQLRSIVHRDKADTEFNFKVETPTAVAAVRGTDYSSNVRSGSLSYFACKTGQVEVHNRMNIGAPVLINANQFTQVAVGFAPTPPRTIPPAMMQNLFNDAPAGGAPSEKGKTETKTETKAKAEAKTETKTETKTEVKAKVETKVDSSASALPALPEAPALSAGGDAAPLTGTPTLPGVSSTPATPAAPVAPVVNVAPVVPVTPPITTTTPSILTAPVTIQVIF
MKMNNRIIYGAMAAAAVMLGACENAEQDFPDFKYNATYFPYQYPSRVLILGEDEQVDSTAPDNRGEFKIGCTMAGVYANDRSREVEYVIDESLAENLYTSSGVQLKALPHSYYNFHEGGIMVIPKGSMQGYLDISLTDEFFEDADSKELKYVIPVRIVRAQTDSVLHGVPAHPNADRRIDTDWYVKPMDFTIYGIRYINAWHGKYLYRGQDEYGTDKKVVYRHKYVEKCELADITTISKNQASFVTPVRKADGSSPGKIALILTFDADGKCAVTSSDASYAPVAGTGEFVKDGDMWGGKKRNVLHLAYSYTDPATNELHTVKDTLVVRDRNVKLETYSPVVK
RGRELRSRSSGWEGGKGEVAMGKVGLLFRRAALLCCFWWLSAASALRFSKKGEFKILQVADMHYADGKTTACLDVLPEQVAGCSDLNTTAFIQRMIRAEKPDLIVFT
MKRDQEAGFSLLEVVIVMGIMGAMALAFMSMQSSQIKANNFLLYQVRRTEIKGAILGQFLNDPANCGCLFRNAGA
MPSLSIEDVTSSAAAAAAATAARVAQAPSTAALNAVAPFIVTRALSGVLLSYELPPPPLSRCFFSPRRRAGNGKLVVVDSNDQTKLKHEYKKIEAARKSRLRKKAYVQQLENSRVRLAQLEQELQSPNFKNRLTDPAGVLQSWDSTLVNPCTWFYVTCSNDNSVIRVYSTFEICILHAPGIFFYKIREIRQKYDMVTYLLEYIYYPDQTSRTCTLHWIGVRKRVGQCLCPLVKFNRSKSLNILPKIRQKIRMYPVLLQNQLTNYFIFFGSLRNVDSARVLISSTLKYDDSKKCYAYLTEMEKTEQLFSLAFSFHKTCKKCSLFPITLRDIKQHDIIQSMRELLVDWLVEICIM
MAVYTSGDWHVKPGREQEFVDAWRELAEWSTNEYGPNGWGKLLRDKEDPARFRSVGAWPDERVVEEWRASDGFKHRLAKIRELLEDVSIRTFDLATEVGRVPAH
MALHARTPASRARVRHARALALAVLVAQALGQSAWGDEVLVIRGVRVLPMDGTEDGGVREAQTVVIADGRVRAMGPAGEVVVPPRAHVVDGAGRTLLPGLIDAHVRVEDGYELVQYLAHGVTTVRCFGAEPFHLRLRAEVESGALVGPTLVLAADLSRARRVKLEARLLAVRTAGYDFVHLDRDLDADEWLAAARMAGAGGLAVAGTLAPRANLGEALESTAWTIESAESLLGPFLQSELRGGRPFAASLRLARRSERIESIARAGRTLVPLLTAFDALLPPIDKVTRQQLLGDPRVHSVSPLAYGKWAPAGHDFRRTFRKRHLPALEAAAPVLRDLVRALHSAGLPIAAGSGAMTPFVYPGESLWDELDALVACGMRPLEALRAATRVAADAVGRPELGHVVVGARADLLLVEGDPAASLAALRRPVGVVFGGRYFSCESLRELAREHERTFRRELPFLRALGERGTEFAVAEHSGADGRPPLRPFTWSRACTLLVESKRWRDALVLAETAVEHYPGAAWPHLAVAEALLSGGDRDAALAAWRRARDIDRTSGEVRRVRSLFGAPPARKQPRPPPRGGGGAGLSPGRELPPESRATRVRGRERVVGGGYAASVFAGGSLSSASGAGEVGLTSASERFRVCGCIAPGAPVSAVAAGRLPVGTRRELVAFGGGPR
MRPSISVTLEPGLERGVPWGRDLYTFVTSAAGHMMRTLQKPRKKRPSKRQVNHRRFLYNMIQRKFADIEAANHRLASALYFKEEGKNVVSPSSQTPETLEQSSSSPQVPDTCNIHTDADGIPKAWSDVSVGSRETEISKKKQPDSGHLRKRQPKSQPTTCTATKNNQSKERQKEQSRNQLSSSSSSMSSPEGIDYHHGAKRLHTECYNNESQLKSTNYLSEDPGVIQFGQNVDSSPSFSPELSPLSINPCDFSVQLLTDISTCTQAQKSIADISESQWTDIMDLFSVGNKDLGGCMDVEAFFESICACQGDAGQEVGADDVEFTDKSDSSSNKSEVEDLQCETGEYIYEYSCHDDQGMSINHFQSDQRSLQAPRQNDAEMQFNNFKPNQEADIIQNQLPTPISFLYNASELSPCMLGGGGSRLCSEEGVGVPGSPEEGVGEAGGSSESEFSNERSSTSALRPRNARGNGARLDKKRGRIRGGDLSGHALAAVGELLQLSLQLPLLGVGARVVLLHLLQLPLQLLQSDHGFIQLGGGRDERGGERSGAMEGKRRKKDHVTEVGVIHEIGEQRGTRREKDGFIKREISLGTGGLIGIGSSQIKPGRLSPMTFWEESHCSSIESILASRAATLSLCLPLTAPSISPSRVFSSLFCLSSCSRATSAFWVNVLSPCSCTVRVSTW
MALSDVLPNRPLTSSEVDELRGSDTFEQVETEESPTEGIDTIIVTTDGTDHRLHFAPQVGWHEHDH
MDCVHGCLYTGYPSRQTIDGCVCNGLMITESGKLMGTKWSFQMNYASIIETMMVAYLIHVQQLQEIKLLMRIQATRNSLPHAVIQNLFDSMPRRIAALIAALGGYPKY
MNEEIKKVFVIGLDSAPPELLFGRFLDELPNLLV
MSLRFLQNLSLTATSVVLGKGSSSSHTRPRPPPLLLVKRKRALFLCIIVISLTILASTLFAGQSYESSQIAEEENGVHDERSPKQIRLMAILAPFAANHTHHENYRQASPRRRGDEDGACLSDPALPRSRRGMPEVRHGGAGLHRCVHRNTTSQSSLHSSSKKRTVSSSQCRPPVGYGLEFGRETAAAGAAVTSPFAKLLPNQSYTREFAHFLKKIPLVKEEVTLESHNSAIDCVVYTVVVGNYENNTLKPFAKQTESCFWVAFTDNVGSLTGAPGWISRSIPSHLLSDERRFLLKQHREYSMTKFVKMLPFLLFAASIKFAIFIDAMHSVTSATFVARTKIAVTAANAPMALLLHSKTSAISIADELDLAMRQHYDGIGTVDLLIKQVLAHVAEGMCDHWADLKEEETFTPTLPVSQLALNKLSPILRRAYCLQTPETFGLSTTMGRRFLHHKGKQRYPCRKRPPPHSALPQLPESLLQVAMPVGDSADGGSSSAVPMYDYDTSIIAFRLNHPHTPTRKFTEEFLKQWYRDSSFHGKDRLPLIMLLWRTPGYVPPLLDNITVL
MQKDHRRSKANPEIWKNTSFIKLIVLSEEQGSATVMADLDRQHLQRRAQPFATCVPSGATANVDPLIPEAVKGLGSPPAIS
MLQLRRIATLAAALAVGAPAVAHAQSGADNQYQDPFAGSHRSGSGHRSGSGHRSGSGHRSPVRHSSSGTRSADSGSSGSSGSGSSGSGSGASSLTPGPPAHRGSSGRSASSTSGSGSSGVSSSSSRTAAGGLPRTGFDVSWEALVGLALLMTGIGLRLRTVDASRL
MKKLVQFLILLITTFLSCSTHDDNSTSIDPSSLSPEEGELIAIINGSKITFTADEITLISSENLVQPKEFKAERVLESGYIETIQFNSFIFDYPFAKNTYNLSTFAASYSITNPEDSDKIVDNQLYYTSTFNNTPSGNLSITELEFGLFGKIAMVSGTFEFTAYKDYTNKVSDSIKVIGAFKNIVSDNI
MFNIIIMYDERKYKLVTCELPLIGILHHPFEYCFKFFWIIIYYVIYLVAIFTK
MTYRDHNAYAYPPTRPRPLIEQIPDYREDLDVSDEEDGFYQREDDFFLPPKIQVAILRTTDRIPRRIKRHCAAYIFVFFLAIASWWTYFGPRRAAFVQELHLMDHTPTMSYGSQVRPEFKGMIQVTDMDEQHLPKENKRLIFIGDVHGCRAELEHLLNKVAFDQSRDHIVMTGDMIAKGPDSAGVIKLARKYGASCVRGNWEDKLLLSIAEAQDRHVLVMPTAADSDESKLEFLGAATASQGNAKLRKLAKQFSKKDIDYLRQCPVILRVGTVPTLGTLVTVHAGLVPDIPLEHQDPFHVMNMRSIDLKTRIPSSKHNGTPWEKFWNHRQQKMKAKERTTAVYGHNRKKGLNVHEYSYGLDTGCVSGGKLTALVVDGEGKAEIVQVKCGVEGGYGSE
MRLPTLLSCCAAIALALGAGAALAGPMTVVASDAPDLKPGDVIRDGESIKVPEGASVQLFTLTGREIVVKGPFVGFPGGEGSPPEENKLVLLASVIFGTAEMTVDYGMPQRNFRADPGASLDISSGGTWCIAKGQPVMLTRGLATQTQGGQLTALNEDRTVAIEWAEGARATPWPQQLPPEDGAVYQLRRPGAGPVTFTLRVTSETDNPAQQVAQMAVAGCRAQVEAALTTLRAPADFKPARPKFQ
MMVFAIGAGGSSRSPLRDPRGTRSSASPFPPYGSASGISSSQYRLSNFSGGKKHAGDRLLSPVLQPCFSFQGPWRPTSHPISPG
MPTVIDGEVRRVLEETLRRRAASVRLSLGWPTTPLQFTGMPEGDPDVLAGWRLHANIDGSGPEEDAVPIALRLDRFERAHVENETAIFVSGDNRLEIRPALAGSA
MLELSRISSHLLWLGPFMADIGAQTPFFYIFREREFIYDLFESATGMRMMHNYFHIGGVAADLPYGWIDKCLDFCDYFLTGVTEYQKLITRNPIFWEQVEGVGTLCASRSPQWGIRNFSDKRSECFSVEIENSAARFYQFANSSSAMEAIHSFSTQVSLKEVYELIWLLFPIFTTVFGIIIGVLVILWLERQITTGVQQRIGPEYTGPWGILQALADGTKLLFKEDLRTSRGDIRLFSIRPSIAVISILLSYLVIPFGYRLVLSDLSIGVFLWIAISSIAPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTPCVLSISLRAIR
MSAVEQRLSPERQEIESRLANYHIPFQILEIIAEECNCSEAVAGRRETRGKSKKPSLISGLNDQSLIQLRRTFLDCEDSFSRFRFAVLLSSKFTPAMYKFVMANKIRGRSNQEHVFDVCIFSRATEELVAVGMQNNNAGRKATGAEPLQE
MRMTNSDAPPIRYVGFWARAFAFLIDNVAGAVLTTPFLGAFLTIDIDRLADPVYLNELQIKIYLALVLMAVLIIALWKYFAATPGKLLIKAYIVNASDLHTASNIQLLIRALGYIPSFFIFGLGFVWIGLDRRKQGWHDKMARTLVVHQKPIADDA
MSKENISFIPFGTELLSWAVEKDRRYHNGDKLNKGIVSYVAALHDLGFFFKKVRMGELRANSQTVSAWARMWGWDYRKALRFITIVLRDLFDLNTALKKAKVLRERLEAALAKQQAKMRSYMRKLRGSTSQDTQSYAQDDESEDERLFLDAMSALAKTSPVFYRAKIKKQLRTKDIATWNNFQKWLEKHKKPLMEFLPKSTIPNADIFEILRTKRITGYRVINYSKKDVNIYHVVFDNYFETDMTAEQIIENAK
MDINEWLKGYLSPEVQSMCVLECNCCLAAKAIESLNSVRQRLDEESVTAALIGCLVASLPLSALARGESESEDYDFSWHHYRKQGSNHDAEPVSGADFALLLALPDGKKRLAIFQAKSDSSKSSKENNLNLSQCREVNGKKVLQLKTLVATARKIIKNNPRQEPGDYTRISSINWVHYFCQHKQGTYTIPLKFIARDVNSIINNTPESKKKIPIGDNTPKLGLMNLFRDAFKEKPQFWLNLTAATELPEAIQMTELGDLMDLYCLRTKYFKPQFDQNFEATNLTKSNIKPASKKHNIKGIKKSNSLT
MAPEGLAHVDILNSTMELSKDGTVLPLNLTYEWRFTDKIVKEVFCKVKPVHLDVAIHPIGMKSRVGQMETLLHLGANDVRIVELPSSVGILFATGCSSMERLSISSISQLDGLSLTGCEKLVEIQGALSFESISFIEMVGCYNLPSEFGTSLIQPSPNSAAQRLVCLPGNEVPNWFSHSRIGFSTSFLVPPLSESQIRMLSICAVYGIKKGSNWKKKYHGNP
MAATGASSSTASQNSKNKNKNQNKTTNTKKKKKQNTTGTESGNNALQNQPGKRKKSPGVRVIHGRIYDSVNGKCCHQCRQKTLDFAVSCKSQIENKQCTFHFCHTCLLNRYGEKAEEMAALGDWKCPKCRGICNCSQCMKKRGCCPTGRLVHAAKAIGFYSVSEMLQLRNSEEVMEDVGASSENETASEKVLDLKKGQPECLLRELTCGRSSRRSKGSSIVQFHIKLLSVIQKDSGKRHVSLKTEGRNSWLQALSRCISESQYQSKELLLDCFNLAADGYGELSSSKKLLLLNFLCDEALDTAELRSWIDEQNLEFVEEEKKTKEKLPAEREKGRNMKMNLQDEVARAIVMKNGAPLSVSEYQELVSKVKAEVARTLAVTLEAKDTVMKKKQRSDAVRSEPILLDGNGLKFWKLRGYSSERDILLQDIGNGDSVTLKEKWFSYNVEERATVEKYISRQLRK
MALDASTKPSKRTKKPSGKKPSKRKTNPGVRVVGGRIYDSSNGKCCHQCRQKTMDFVASCKAVKNNKQCKLNICHTCLLNRYGERAQEVATLSDWHCPKCRGLCNCSFCRKEKGLEPTGILSHRAKASGLSSVSELLQVEGDDSFAYAKKEDVSIEDVANEDFKAAGKKKKALNKKTKTNLDDVSIEDVANENSKAAGKMKKAKATNEVKEEEIQIEAKLPIGISLTNVAGIDIPTEETGNVLQFLEFCSAFGEALDLKEGQAESIVSELFSCGRSTRRQQYCSVIPMMIQLLELISHDRDMSLSLSATDSTWFSSLGECLLQSGVLSDVFPPETFTSGVSEYTKMDASTRLKLLNFLCDESLSTLAMRNFIETKSVESEAQRREAKEKAAAAKEKEKQLRQKMQGDLAKAHMERNGAPLSIEEHHAILSQIKAEVKEAHDKMLEAKGMISRKRQRCDAAGTDPILLNDDGLVLWKLKCFEEEPKFLLQDLGTFGDLSPHEKWLAFKPEQKQEVEKYISAKG
MTDQFRVVAINGSPHGAIGNTSQMIRMIGEGLSQEEIVLEEILLSDKAISYCIGCAVCLEKGKCWRRDDHAGITDTVFAADGIILASPVYFKHVTAQMKTFIDRSLAFGHKPRGVTKPGLAVVVSAGLADISTAQYLESLLKVYGAYSLGSLVAIGANMGGFLGKEAVEARAQDLAREMALAVREKKRYPVTGDDLHHYLFMRDLVTREKAFMRDDYRYWQEAGILDGFEAYAQQRYTRTSYDPEMRKEWIKSLIREENAAKTGEKAASGVSPAVPSCPATAASCLELLKMMPLGFRSEAAQGLNAVYQFEISGAESFSAYLEIADGRCTFHEGSH
MRLPAYSPDLSPRRRAQRRRGERAKPFHGRASTARGVAGTAGRYRGRAGGGRLRARARSVGAEGGGGAAMSSLRERRERAARAVSELAVEVRREDESAPARAASSERWRRKQDEPARIHHANGILALRCYVEACGYDFDLAGTQKRDWSHSCPTARRGARRDGGARAHRRHGRVGRARRGIRARL
MSDKRPQAELRDFALVLGGLALLRVAIGFLPVPTSFLVTASLLVSAVFVAAPILALYRGAAHPWGLRSAGMLLGAGAITHIVCALAVARMQQGLGLALLGAVGQAGLVCWCLGLGAIVAGLLKDRNLLLPVAIFLAFLDIFLVATPVAPTTRLIQARKEQFAQIAYTVPKPEAVPQSGRARTLAYVGPADFFILATFFVAIHRFRMRSRETLLVIVPTLIGYLLIVLLLGGVRVGGVSLAQLPALLPIGAVVLIVNRREFKLTRDEKVATLAVAGLGLLLVIWGATRRAPQAAPLPPGLGQAPTGSAGLHAPEPPDRPR
MSAVSRHGCRMKLSRGREMSGYDGTSGAAAGSDPDLFCHLIGVHWKRHFALQSVLGLFLAQEIDQSICYRRDSPSPGEGINILSTKFRCDGILWHKLIPNAFRVFADLAQDHCDVAAPMRFTDLENASSASRYGHLDINAVNNNALLPVVTHLTSDLVSRFVRDQRSDHVEGAVHAGGDAGGGKDAKATQAQVGTLKDRLAARVAHLQAHASLAGRGWATTVREAIGAFLDWADAASRALAALVLLDLLSVHDVWILVFILAQVEAKVVDDVAFLDHVTAVGHVTLGRVGADDLELGDVVWVGSGSQAGKDASLSQKERSSADGHEGTLAVWIPLLEFGEGLNDAQWLALGLDWTFMVSTWNDQNVNLRQTLHGFVVVDVCAERGTLSSEHVLGGASEDDSESLGVWLLWVVQGCGEDFERSDGVHGVLRAIVSMGVWLVAAYHALMERNKNLERLEALRFFNDCTHLAGIVG
MRAAPERKEDPHLVKTVETTAQSKAATTRPKRSKDQRLNLKRSYEAFLEAAKKAGSSAKSVRTPSETWPMRPYAASI
MKNKRWKYYIDKDFQNQFIFQFSLLIMLNAVATLGLIWMIKEKSYNLLPDNAAVLVQVDANEAIYIDKNNEGELIISDVEGKPYFPLKQGNHAIPRLYNAFDLYFQPVLFVSLLNLFAVSIFSLFFSHKMAGPIYKIKKILREYVDRQEVEAITLRKGDFFHDLADLINRALKLEKKKNDKK
MEISWIDISPPHNGSPAASPIKCRTMASIAEGNFYVKEIKKPGPKARCVFPRNALTYEKP
MKLLLDGVTANGAGPSYRVAGSASGEERLIATIYSDPASNFDGGTATVQVSIDDSTWLDAIDVNGTAADLSAPGSILRIDLEANYVRAILAGAGGAADVTISLGARANPTLA
MSRNLLFIFSSLSPSLLLVSLFPTLFSFFSSSFYPFSSSSVLSLPPSHPFSPPSLSIFLPYPLLSVHHFISVFPFLLLPFFLFFLCRSTISLCSLFSSSYSSYSSSSLLLLLHYLLLIFFYSYSFYFSPSSSAILRPYIFFLLFSFQFIIFVSSSFLLFFLLLCCQSAISFSSFYSSSTSSSSSSPVTPLLFLLFLFCQSTSTFLFIFLSPLLPVHNLILFLPLLLFLLLFFSLPHLLPVTTSLSFLSFSVPLLLPVHHLIFFSSFSGSLSFSSFLSFPYIFSPSLLPLHHLCLIFLLLLLHLLLLFLLLCQFTLFLFLFPFPLYFLSSAATPIISLSLSLLLLLLLFLLFCQLTLFHFLFPFPLYFLSSAATPPSLSHFFSLLLLLLFLLFLLLCQFTLFLFLFPFPLYFLSSAALPPSLSHLFSPPPLLLLTFSPLCQLTLFHFLFPFPPYFLSSAATPPSLSHLFSPPPTFYPSPILQFSSFFSYPHISSPLLPLHHLSHLFFSSSSSSSFYYFPSSSSAIPPPPLTSLSCLALRPPKKGK
MALIRPLLAGWMLLLPLPLTVAYAGNDAAQSVGHISGEHLTYDIVYHWGIIWKRAAQGTLSIERQSDSYRAVMTACTLPFADRLFKVRDTLVAEMRIVDLQPQSFVKIAREGKFYQIDSLTYSYTNDSTSGYTVLTRPDKEYREVVPMTVEGDAYDMLSIFYRVRQLPFYNMKAGDVFSKPIFSGRNIEQLDIEYLGTDTIEVMEKPCAAYYLQFRFYDRQGKRTSDRISAWLSVDDYIPLQLEGKLPVGSMKVMLVSRR
MVLHEIKYDADVLDMVLHVNHKSMSTSHSSLTLKHMSAPMS
AKALVDMAQALQEAGCFAIVLELVPEEVAALITERLSIPTIGIGAGAQCDGQILVLHDLIGMSPGWSPRHAKRYAEVGELIRQCVATYAQEVRDGKFPTREHATHMAPEELQRLHQLLRGEGS
TRDDLERQAGVALQVQPEQRRVRVRAERVNVVQQQVLQLGGYCVQGRDEEAASRILGEARELADTGCFSIVLECVPARLAASITAALPIPTIGIGAGPQCDGQVLVMHDILGWGKARFAKTYADVRKIMGDAFSAYVNDVKAGRYPDREHWYE
MDQNVVDCELAERDTGLCVCKGGPTLEILKDIQRLYEEKMEHIEKASGPTKLQMQVEVLRAWVGDLVGQNTLLARAVEELETEATTKLLVERRRNSEVVSEVRAECAALRRRLARKDSDLRGLVEVLRRLREFDYCTLDGIHFFEVTESDIFGSVEWRPKRELEQGDTGKPRGNNLKHDTSRYKNSRLYKVG
MTGEDFVNQAKDLDTKLQRIILDKIERVIRTNAGRSKEELLPLIKIDLENNIFTYPHDDHSHMEPIDAYKPFGNRDKIYDFFDYSLSGKPELEKHDVIGPIFTNTNSSRELDKKNSQKLIQN
MKEHIIFFDDQCSFCNQCVLLALKLDKRKRFFFSSLRGQTASRLLTESYLK
MNTNKMNLTITKQKYSQKLRWLLYGRKGKLTQTDLLHIDYLIQHKIIPIVKRY
MTLSDILPLSYHKLPVHLKPCFIYMALFPVEYPIPTIRLVRLWLAENLLDSHCYDIERKRTRRPGETFILELADRIVTDMVSWRADGSPKASQMLTSLYDMLHLIEMSTGFLHIHATSKSKDENDLDSTKQQQQLPAQPPERTKIRWLAEHTNIVTNLNLGHVHSFLSFYMRRGLLIKDISTFLRKMTSETDYSLLRVLDLEGVYEPSLQDLCHLKTLDIKHTNITSLPNSLWKARNLRHLHLNWFYIDLKKILKAWSNNVMALTQLQTLSRLVIGEVKENLMRYHMDRLTTLITLKLYLQSSDTDTSGAQKKQ
MDAFGMVPLTQQGCDLLASYGFYVLMPDLLGDQVVKPEDLGFDTPEKQDKRRRWFAGAGNPQGRLAEFVGYGEYLMSKGLVVGSLGFCWGAKLVLLASREPVPYSAIAVVHPTLLKPEDAVLCKVPLGLYPSKDEDSATMESFAQVARDYKLYAETFHGFAAGRADLENPVHKAAYEDVYRRLAAFFGHHLESSKL
MNTINKKVMYGVAGVVVLATAVTAFAYLAPDDLVEEEEEEELEPEEEASRLNEKGNKALTAGDLKQALIFFTGAIEKSKTQKHQYLYSRATAFILSGKIEPALQDGLKCVMLKPDWAEGHTLLGAAFAASQKYDQSFECLYRAKKLEPSTEIDARLDKIRSHLIGRQAMGTIYSGEALEVSILVAAKRKRFVDASTTFNVGVETLVEDVIMQLVQNDMILDEDHPKIKPYAEKDRLALWMFITHWALASVGTSLEPQAVKQVYTQALNRYPDVLDFRVGILTVEDSLLGVRKMLREELERHPEGELKVLIISYITMVQKWMLSLPITPGHTEISMNDIMDDCAQLLSHSTSRALSVIQLGLMAFDRLRGAREYPEVIYYSIMTGDSEEFLHPFFLKTLSLVSTSVASEALLQIRSSAIRSENLEQEWKKMSPLLCAIGCQMFLSHYDRPIDEEVQKILQEKRERMERAEGGEERDRDLVLYSMFRDPKEISGVRSIQWNQQDEHFARLIKLTILDDMEEKRLTAQIKRVTEITEEERRKHEEGMIMALRRGIVHSVKPEAPIDIKAVMEIILPAVNFSAIPDETRVLYTGVGVGPLAHEHSIMYKNCVVTAMELSERNVAFSMREAFEIGSSVDHICGQTSRLTARAFEKTFDWIYVSDLSLCEDPISTLKGIKKVLSTEGIIKGKVINVAGRKKIVSYREVAKKDHLGMFSDDTPVPKPLRLPTHDELVQTMAKLNLAEFYQMTFAPHLHSFTYTSLTKLMKKCGLQIIGFEHPWVKHRKDWFIEYRLDHPEDTTLTDVDKLSSFIKERVRPGELPAQESITFYARVQV
MSDKCARFQEKINAVRRQSQKARRPSATAAPERRRSSVSEGLKQLAPHEILTVLEHYATIDGDQCVECLGALKDCSMQDSSKLHHSGRHGRHHSKRGSQKPKHGEVSTSLV
MAAPLALGTAAAASGLFVMRWYVGLVASPAPLLVQLKAGLDGWNVLLGCAVAIVVAAAAALAGAWRRGRWCAAAGSLGFAAAAVISGPAFGDPVFTPAMMAITALVMAACPPDRRDEAAAGAAVAVVAAVLVPLTALYARALPGISTDYGSWPLLVLIAAGVILAVRNRSVGARELGATAVASPPFVAYAYTSAGDHLLPAVLAAVLPVGALLAALAVHLRRQVGSAR
MIGYVLRLYPRTYRDDFGAELRDAYDEATAGASPAARVREAADIAAHALRTRLRLTSSDPAGRIAPAAALLSLAAAAAVCGLHLSRVYVGWASSPGHVPMAVDTWTAMALCALLVCGGAAVALARWWRWGSRLAAVGLLGYAGAAYASGPAFADPVVTPAACALTAIAVLACPPDLPPSATLMPAAGGVAAAIWLPLTAVYAGAVPVSTDYGYWPLCVLLAAGLCKTLRTPSPGLCKTLRTPSPGLASAMAALPSCPLLIAHGYTMGGQVTTPLLACCAVLLTLTAAPTVLRRGRRAVAKR
MKTVQTVLFVFLMAGASWWDIRKRIFPDHYVLLLILAALISFDQWKLTGILAAIPFFLTALWIGGIGGGDIKFVAVSGLVLGFGRTFVGVYADEGITGTSTKKRDDFKRLIRDCMAGRIDLIITKLISRFARNTLDCLMYVRKLKEIGVAVYFEKENLNTMDENSEMVLTILSSLAQEESRSISTNVHWGIAKRYEKGEVRVNTNRFLGFTRNEQHDLIPDNEEAITVRRIFRYYYEGNSVGVIKKRMEEEGFETGAGKKQWWASVIDRILDNEKYMGDALLQKTYTVDFLTKKRVKNTGQLNQYYVTDCVDALIPKKIYFKVQEMKAEKKTLGDRSSKQQNQVKGRRKSKYVLSDILVCAECGHYYRRVPWTNRQGEKRYVWRCINRLEHGTEYCKNSPTIDEKKIQREIMQTLGNLSADCSEEEEMLKAKLLDRRAIFYEAYDDDELEHIVEALNERYEYYQKMLSEPAADRKDVARRMRIIEGEIRDITEARQQIAVYRDYRQRSGSFVKNDEVKKRYRDFDDVLVRRLVKRVEVVNQDKCNVVLNSGHTIGVSMEMNT
MNGHNEELLRRICVELQVRPTLSDGVLLAMAEQIDPGIAGMDPRSFAARYLFPARRSLQAAARKPRRSPEKRRKEPGSPAPIVAEPPPVAAEPPRVAAEPAQAPPHEQIRAVFFEFARELAEAENYAAWVHVLTRADRYVERVVPLF
MALKLYGETFINCGAAVIAPSSVEIESHNSKMENCGVGYGIYSSAEELETLQSIAKKHMQEIEQLTNTLQQTKPELRKKVLTGSAIFAALAVGSNASTVIQFLIDHYPSLEKLLN
QVLKVKEEMFVVVNKALGIPTRRLLFKHIFPHLIPTVMVVFSLSAADAVLTEAALSFLGLSVEPPTPDWGYDLYKGKGFILSGYWHIIFFPGLMITLLAMGFALISEGLSERHREVA
EFLTLREREFVDASRVAGASNRRIIFKHILPNAVGVIIVSVTLLMSGAILLETALSYLGFGVTSPDVSLGLLISNYQDAFTTRPWLFWYPGIFIIVIALCINFIGDGLRDAFDPRQRRRLSRKEKAKARAMRTRSEVA
MDQVLGRVMDVFFAFPPVLLAIGIAAVLGAGPGAAVVAIAVVYAPLFFRVVRGSVLAESAEAYVEAAEALGLGRTAIVVRHILPNVVSPIVVQTAVCLSYGILIESALSYLGVGVQPPTPSWGTILNEGKEFLAPAPWVSLFPGGFIMLAVLAFNIVGDGLRDALDPRTGPA
MNLHRLLADSARRRPDAVAVHGPEGPRELDRTSGSTDAPKAVCTGHRPARRLPTYMIVDRLSVRAELPRTPNGKLDRRLLLTEAEAARS
MSDPAAPPRGLPGDCFVETAEGPVPMAETPNKGFAVLTRLPSGLLGFRQLIKVVTSERVPLRRIVLDSGHAIVMASGHLVYRCGMEPVPAEHLVVGDRLETAFHYPDRYAPPDRDAQAPFYDAISVRAVEPAGEGPVYTGTVRDTHTLFLTAGVLCGE
AEARAREKETKALSLARALDEALEAKEEFERLNKQLRAEMEDLMSSKDDVGKNVHELEKSKRTLEQQVEEMRTQLEELEDELQATEDAKLRLEVNMQAMKAQFERDLQARDEQNDEKKRLLVKQVREMEAELEDERKQRALAVAAKKKLEMDLKDVEAQIEAANKARDEAIKQLRKLQAQMKDYQRELEEARTSRDEIFAQSKENEKKLKSLEAEILQLQEDLAASERARRHAEQERDELADEISNSASGKSALMDEKRRLEARIAQLEEELEEEQSNMELLNDRFRKTAMQVDTLSTELAGEQLLRRAKRGGSAAAGETE
MCDTVVQHLSGAALTVHSVFHHACNLTTPDNHLLTLLTQDAPLAPGAVRMRCADFRPLFSVGETVRPQDDGTYAAAGCRVMLTSVPCQSTCVPEDAILPSGLSEALDAFLPVTAPEYGIWNNLHSSDRRLMLAVRQLTQWLEDDATPAPDEALKTLIGAGAGLTPSGDDFLLGMLFVMNVTRHRRFEALASAIDGLLSRTTDISRAMLKYGSGGHFGEQLLALTASGPLSLNARLLRVAAYGHSSGHDMLTGILFTLRALSGQRL
TSSPVVFFPHVEGFPRYICVLSSTLVHILFLH
MQTWAVARQMIAEGIRMKIALIFLVLVGLVVVGLPFSIAGDSSLTGAVQSFMSYGFAATGLLLGILTVFLSRSLADELVHHQILMVMTKPIPRWQYILGKWLGIVMLNAVFLSAAGVTIYAMVGYIRLTHPPLEERFDKQELTNEVLVARHAARTNLPDFTKPAKAELQKNLEQGVYDDLPAFDANEEVRRLTQKYEAQWRIVQPLDSRVFEFENVLCDRSPRNFIQIRYKTVVSQNAPDEIFRAFWRIGDPAKGTPLYEVPTRHVIDRYQVIRVPADAVADDHTLTVRFYNENLYEGEPQYRNVIEFRKADEVEVLFVVGSFEWNIVRLLVLMMCKLMFLAGVAVLMTAVFSFPVACLASFTVYVLAGARSFLQDALDLSSGGTASMFSSVQEFVVQVITTLFHMINWVVPNFGYYDAVETLVNGRNVSLVWVLQGIGELVLLKTAVILGLAILLFYRREVAEVSV
MEKRKSDEERSLREESEKSERELGQLLEQVTTWNARAHSILAWDKRSGYRASHRQAEASLNKAKELIDEVRSASAAGTPRRRKTKVTRSSSGLVKDADGAVEEMNSTIDDLKKQSADSQSSALIEDLDFRDLLKSAAKLSEDWKEYKARAGKDEQQQTEFWELCERTGAMMDEL
MKKKIGRKQVLFIVTMVIMSSLLVPTVFAADTYATLKGVFADIKIFSNGQQVYLDTKPLIINSTTYVPVRALGNVLNKEIGWNQQDRRIDINDRFDEGYNYALVQLNEKQNQIYKLEERIKELENDKSSSKKGKISNLSDMEKYLNKEYDKYQKIGFDIKLSGSTKDIKVKIYVNKKDDSKWSSLSQSKIKSYIQDIVDDIQDEFKNADISGYIENEYTDRQEVDFEVNSKGKLTIDYDYRSSGKKKIKDLSDMEDHLNDYYKKYDGIRFDFTLKGTEKSVRVYIDVKSSDWRNYDYQEKFLERVYEDITDEFTKAEVYGYINDDDYYFTFDSKGKVTIK
MAQAICLLLLRHLRKLLQRAPRCPDILYLQNIYLFARYFGTGVIVATVFVHLLDPAYKRIGPKSWVGESGYWGDCSWCAATVLDSVVIIFLLDLAAEVYVEHKYGAHRDEDATNVLIEHDHVQSEIIPTETKEII
MRGIVLRESLPDRSLPLPMPVVLTGSYPHLLDRRIPVEVLEVQVAPGRAAEVAVRLAAVLAPDGWYAHLLDDELMIVIFPGVVVQVRRGDEAAAERARAIGAFFGIPDRQMRFQDMFVTDHPDSDPVEGGDRGRRAGV
MYLFADSGSTKTHWVITDFEYNILTEFKTIGLNPYFTSEQKLLATLTEAFPQKLDPLKIEKLYFYGSGCSIEENYYHFRQAFLDFFFNAEINIFSDMLGAARASLKTDEGICAILGTGVNSCFYNGQAIKQNAISLGYILGDEGSGAYIGKLFAKFYLEKRLDPELSDKFYLETGENKASILNAVYKNPNPNKYLANFCHFIYKNIEHKQLTELVEFSFNKFFKNYIVIFNDYENKKLSLTGSLANAFKDILIKTAENFETQKPIFIDNPIYGIIEYHKITKE
MLLIADSGSTKTDWVLVDANGKKVETSTIGFNPFFHSSSIIESEIFKNEILKKNASSITKLVFFGAGVSNENKKKIIKDGLISIFINCKDFTIEHDMKGAAIAACGDQSGIVCILGTGSNSCFFDGKDVFENIPSLGYILGDEASGAYFGKMLLIDFLYKKLPENINTKFVQEYKTDKNTLLDTIYKKPHANVFLASFMKFIVANKNEQYIRQMILAGFAKFAEFHISSFNNFSNFKVHFIGSVAQLFNEELYETSLKYNFKIGNIIQKPINSITSYYCKKMGYPLSI
AAPRPTAPPRGASFHPRPGVRSHAELSAMLQYQLDHMEVDRRNMEMKRTENDARNSYNDFNIIMTVSGIMIANNFAVLTAVEHGPSIHSFLFDACGSLSSFCFFYVLVRGPILIALATHLGENGPAQIDRRGSAMHRALKLFRRGAPLIFLGFALGLVMAALCFVLDVPAVRSERRIIRYGPPFWIRLAQACGWMWKAGSDIRGDVQRFVLGMGEVCDPLRFQGYAWTWWFGPFGGVADGDGAISRETLPRRLRQLVC
MEHKQEFERVVEKKVEHTVKKVVKIIAFVILGIIVFLLANYLLMRLWNWIMPEVFGLGTVTYWQALGIFVLAKLLFGFGGGSGKSKGKSDHKKRIQHNKKCTPWRRDFDEWKHYDQFWQEEGEQAFKNFVDNIKNENHDKEQ
MNPCPQPPTNWSAAWQHRPVLRKTETRDQQPIVAMPERAGEADKEFSELYWRGKAESVTWDELRQIVSQGYSFRKRLAECDIAVFPAISGLVIIDCDVKEYDRDTGFVAGFTKLPSGAAQPAPPVVKHGIDDLQREVEKLGRPMAELATYAVSTKSGGVHLYYQAPPRLKLKTTGHKENWRVDIVAHNNNGDRSWVAAPPTAGYQVIRDLPVAQMPVWLAEFLRNEVSTWPKPGGQRRRATNEARHRARVSYECAVTPGDRRDYYREWLGHELREVELANQHGGWNDAVNKCAWTFFTEAELPYTVGRNLLLKAAAPVNAREERKAVDTINSAWRAARPGDNRYLED
MPDTDLRIHAANAAYTAATFTTEADLSGVYLFDAFPDNPADRPPTGRPTCRPRSSTCCAASAVTTWASSATTCPTPTTADVRQEGLVSPLNVPLRDADGRLVGVLHHVEDITDLDGLLAGDTRDAPDVEAGDQAVRAENRNLRLALRTRGDIEQAKGILIGQRGCTPDDAFALLVELSQATNTKFHVVAQALVDETLRRAAGNRPFSE
MTALKFYPLSASGSRRFATLTLSKGRQNAMLISESKDGNRRCTVSGAWTVFVFVNGESTDPCITRNIQCKQGQVCRLMKVDCFTSPCSPQPICVDGKIPTLAGVCKINQPIIDGNHKALFCGRGFHRVSCPDDTRCAVDPVDRFAVCCYTGPTGILG
MKSILALFVLLFSAQSFAANPCENYAKAAAIRTYKAAVGTVQGSEGIQYSSVKEEGWNNPYLYTVTIADNNEDGETWEVDYEVQIQDKAGKCKVLSVKEVANR
LAIVAAVVLAAGAYRATLWSVAHANTYLAGRYKSVQKVYAKDTAAPKDTMAGKLPSYQPEKVWLVEQGDGFERYSNGARVINSHAIENRPRRYVAFKPGAAVSAGEPQSNPVGIVYHTSESDLLPFIEGNSDSIENRSKNVLDYVRKNKSYNYIIDRFGQVYSVVRDGDTAYHAGNSVWSDGSVTYVGLNESFIGVCFETKANVGESGEQLTEAQVLAGRLLTQVLRSRYQLDDANCVTHGLVSVNPSNMRIAFHSDWAHGFPFEAMGLSDKYKVAPASVGELGFAYDDDVVEKIGGRLWPGVAAAEAEFERRAAEANTRSVDLRKLMRSVYRERMELQGALQHGGQNTEVSSQGADK
MGKRADLVILDNSLFELTAHEISDATVVETIFAGETVYKNDVH
MADAMRAALQLSSPPPESTCERDMRASYNAINALLDREVAAEGHPIDDFPQLLRLFTGRHNVGGFAAMVEFAGSPRQRLVNWRNCAAHKERLAAIDLSDSEGALKRMETEAHGQAVNDSPPQARNSGSAETGSQLPGQMAGATLLHPSFANNKPSTLLSPYSTPVQKPVKPAETPPIHAEHAPADNIVKPPTPSPSPFRSGSAQEHLQQSRTHLMEGHMPRLNAGTYHTHHNRATNGSPYVNGNITAHSCRPAGGQDSRSAYINGHENAYSSTTAPISHHGATPQQQTPYGNLEDPRDYGRDQLVPSHSGQTVHSPGRQYQYNGFNPPHYRSTFGTGPPSMAPISSGHQSVPQNSRSAYVAGSQAHFQQRDGRSVMDPYAEFKAEVNRQQPPRLQMPMNLSSPLSRQQHATPPSNRAGAHVQQHGQSRLSYPRFSNTEAGFGDFHTAIPRPITPVCPKTKEELEYEQKLAAWREQQAENNKRERIKKERKKKLKDELDAEMRLGNDVLNYRYRDYVEVYPLSRTERMSPYHLNLLANQVIQENDRSEEAMAVRYAKKNFENLWTVKDKPLVIKEMQDKAKVRTHGLFEEMKIGGHLMTAQDIEAIRQSVPSYAKPEVVPEVAPEVVIEE
TGATGATGATGATGETGITGDTGATIRNKNTKISTENVLPKTGEKNSVPSSFTGLVATLLTGVSALFIGKRRKK
MMTLSFLKKSKRHKTKKHRVDPIAKFVSMSETERKKFIKKVIHKSSHTKTKSHRTTKAKVAKHKKAARK
MCFTFYFTVSGPAVFNCPGDIYLPISLSSSLEESAALVTWTAPMSAGQPSENNPNDPYGYYSIGGEPASITYEFTAGSGISICTFNVTVYEGNDAPQIGTCPAFAQVFPVYPNQAVYVYTAPTCTDQNGNVTTVICDPPSATLVAPATEIVCTCSDASGLTDNCTISGSGGNTQPGPPNCPDTELTVATFGGSSTAVVTYGPFICSDAEQVTIVASCNPVSGSVFSIAGNTRPDPPNCPDTGLTVTAIEGSSTAVATYGPFTCNDAEQGTLLASCNPVSGSAFNFGENTVVTCTCTDNGGLTSSCNLFVNVVEAAFFTPENHLSITSPNFANAYPAGVTQSVTVASNVNLGARLLFFTVPNGEVLTIGRGTIIGENTLRTFQDTTFNGITTAERNILFGENTFWVRFVSTAISVENSQGYVLSVYEGTWFRF
MHTLNQLLQSRKRLRTAFVLLSIRL
MEVRGFPASSMPCCIFSLFLLQLPSQNSVTAQMKVIGPGEPIKVQVGGDAKLNCYLEPKMDAQGMEVRWFRSQLSPAVHVYEHGQDQTGDQMKEYQGRTELLKDTITDGNLTLKISPVRVSDDGEYRCFFQHGGNSENTTLQLQVTAVGSEPQIRLEGHEMKGIWLGCMTTGWYPAPQVRWKDARGEMVPSWSESLSQDTDGLFAVKLSVLVQEGFEGNVSCSLESPLLPQGKEAGISIAVPVHLRVSTWLVVMLGVIVLFLLPFLACAGYFIWKLHKE
MNSFLFIFITFLVILPVNNGNPMQLTLLGSHHPVTASLREAIVLQCHLSPRTNVQNMEITWFRSHNSSYVHLYRSGKDHLERQQPEYQGRTEFLKDCIGDGKIGLKIFNVSLFDEGPYYCSVKNGSFQREATLDMKVAALGPAPLLSIEGYQDRGIRVVCRSSGWYPKPEVLWRELNGRLLSSLAKKDSQRNDGTFDVQADIILKGRSNLTCVIRNSLLGQEKESIIHITSESMKTVSSYSTFYELGFAIV
MQKGIVVLRIFNVQLSDSGQYRCAFQHGSFYSDTMIELKVTALGSHPQFHVELTKSSQLRLECKSEGWFPQPKVQWLDSEGREIPAQSETHTQDKGDLFHVTTSLVLREPSQKNLKCSIWNPVLNQKKEEQLSIAVVDPISTTVNEPINTTIIPVVTSLTALLIMLISIGLYLLNNRLKNRSKNRSGKRQNDTHHRTCQATPPVNRDYSAVPADHLEFYAKSDSEGASANAPGPAILAYACVASTNPDQDGARTHAPGTAPASQEEHNTSPDQDGASADRPGPPLARLPSEQTHPQGHRCRS
MEPTTSLRSCPIASLLFFLVLSLFVLVSAQFTVIGPAEPILAMVGENTTLHCHLSPERNAEEMEVRWFRWRFFPAVLVYRGHQERPEEQMVAYRGRTTFMRTDISKGRVALIIHNVTAYDNGIYCCYFQEGRSYDQATMKLMVASLGSEPLIKMKTLEDGSILLECTSEGWYPEPRAVWRDPYDEVVPALEEEYTADREGLFTVTMTIIIRDCSVRNMTCSVNNTLLSQEVESVILIPESFVPSLPLWMVAVAVTLPVVMLILLTSGSICLVKKHRRKKSILSAEKEAEYEEKEAARQLQEELRWRRTLLHAADVVLDPDTAHPELFLSDDQRSVIRGSSRQSVPDNPERFDCRPCVLGRESFSSGKHYWEVEVENVMVWAIGVCRDSVERKGEALLVPQNGFWTLEMFGSQYRALSSPEKIIPLKERLHRIAVFLDCEGGDISFYNMRDRSHIYTCPPVTFTGPLRPFFRLGSDDSPLFICPAFTGAQGVTIPEGGLFLYKTRPISQSLVRKP
MPPQINQRSPLRTFTLLVFHLLLTHFCRGESQVIGPSQPIVASVGADIILPCHVEPTMDATAEILEWMRSDLNPRFVHVWRSGQDVMNARNPSYRGRTSLFINELKHGNISVKLSKVELSDEGTYECYIPKLDKQSFVKLIVVSGAVSQPVTTLAGIDGKGGVVLQCESAGWYPEPEVLWLDAEGNLLSAGPTETVRGPDDLYSVSSRVTVEKKHGNKFTCRVQQNNINQTRDTWIHVPHDFFVVQSCSTSTTVSLAVCMVVSIILFILAVVVFVHTRRQNRTKRREDVQVKCEEEALMLMKTLEKEKQPESKRVNKEAEVSLLIDEERHQDQLKEEELNPEEQQRKKEAENMIQRLKEKLDMKKNKFK
MSSSAFFSKTLTVKRLQETGRNKQNCRMLHVKVSQSLNSGTFTVLVFHTVVLIHSCRAQSKVIGPSSPTVAMVGEDITLPCYLDPVMDAFDMPLVWERPDLDPRYVLVWRQGVELESKKHGSYKGRTSLFSDELKNGNISLKLSKVKLSDEGRYRCFVPELRTDSTVQLVVGAVSSPGIQKSKNSSSVVFQCESAGWYPEPEVFWLDGEGNLLSAGPTETVRGPDDLYTVSSRVTVEKRHNNSFTCRVQQKDINQTRETRIQVPDDFFPGWSDSSSSSSAPTIMGLVVGIMLILAVVFVVVWLWRQNKIRNKKHHEDEQKQKGREMNSNSIGNNAEQESLMERETDREQLAEEMETINNEEAKPVGENTEQLMEGQAVKNLQTEEEEQKIKSTGEKTQAQEETNGEQLMAERETLTEMDKEKEQLMRKEQEYFKRRCSWIEGKKKLKEKQIKELNVQLEEVERQTEETERKLRSLNREEDKKEEAEKDER
MLFYSYEEVKREAALMSLKDDVLLWYEWEHRRRPIRDWEDLKGLIHCQYKCSMVETHQWNLIEAVAVTNDRSREKCCSTISEEFSQIHTGRPPPVIIITTIRI
MRTMWAVALMAGAAMAGCATKPRPVAASGPACPALPMAVSSPVIHAAQEKAFDAELQRRFGSTGTHILLDYAVDAKGDTVVSGRRIGPAKFAMPETGKGGEVQAVFQACTHKVLKTGKLAELEAEPKPIPPDD
MTGVPQPTISLILNGKRQVSSIETYEKFAEGLDIPRERLGLAAVGVAAWAIDEELDEVTRRMQLFAASNVDDASLEQLEASIEVVGRRYETSNAATVYPIALKQRRWVAELMAGHQHPAQRRHLYLVGGKLSGLLGYLAFDLGNELVARAYCNEAMSLAKAAGHGDLAAWIRGTQSFIAYYGGRYREARGCDGHLNGTVALQLRANRGVPEAGNFAPIIRRATIRRCWPRGMAAQVLRPGGRLAVFWNAGQPPPGLAEAFSAVYRRVLPDASLYHRAMPGLDGHSTLLARGAGGIRRSGAFGEPEQWRFDREQSYTRDEWLDQVPTFGGHSTFPPATLARPRATVSVQNGVRDSVTEVGAVSWT
MGVVVEHDNSSEKDPKARAVGIVTLEDIIEEMIQEEIIDETDVFTDNRGKVRNMLSQAPNFSAFIRQTETSDDTTKISAQMKVAILPFLSTCT
MKELKAEIRHDSKLNVTKIDMGFEASIHLFRQWQDQAVSGLMAAIASKKISKVSREDAIAHEICAKSADTVLKHARCVSELLQKPQIRIQVPRDRDTRTLFSRLKPQQIHQPAKPLERFSKTIKFPAKTLQRQKIYETLSLLKSKKSSRFEKFTKRNRKIKKSQQSANLNNPIQQRTNETQKWREKSIINKIGAFEVVKNRRKRYIIKSAKNYQLQDPSANMTPFGLIAKQLTRRILNFKNKTIATS
MTAVDRGSATLLDIPPDAQDLATVLRAAGLFVHRPDPDEYVVASATVDDDEDRPSTVRVVRDEPTGPLRVELVWAGGPTRAAALDRALAVTRSVSDRLPVADRSARAAEIDENLKGLQRRWTMPEPTALAPVLPLRLRPMRVTVDRMAAAAAAPDGEPLGSVIVSRAKADEVEVLVSGRAHDDVMSVAVAATGPDWPGSVLELTVTDGPNGSTTYVLAMLGDADVASGRVIVVARSDDPVEVTVDAQPRPIEGLNADDAPRVRRSMLGLDETALVFWLGLADRLPAGDPIAVAVLEGLE
MLFLIGAFAISYASGFRHVAIGWNGLGTICAVLLAAYLAAREFRIRFVQTILNVRLQNVIVAIAWFALLPATTARAYGCAFRMHCAPAGELE
MNTKSMPDHGSPAACLANSRSFWLRRRAQRRSPEFVLDGEPVLFATSRLLMPLVAGSAITE
MPKRQSTPEPCPCGHITAKRRQQTRRRRQHLYLVVDDWRRGYSVRKIDLESSSDLQAADRDRDQDPELEPLPDPPVVRFEGEHGYLQLFGAHGTKILAFPAYGAADFPIYDIQTSAITLCAHPNNLRSHFPVVLASIDGALHMLRGSCLFVLEAPPPPAPAYNRAGDQPWSWTTKLTDLPFSTPYVDSFALHPDGRTVFVSTKGPAATFSVDTRSLRSTCHGGWRLPLQGEGVFDKELDAWVGLCDYEGGVGYLCCCEVFSAECRTMLVWTLGKDRLFCADEKRRHLGARLLATGGGGYCLVEAVLREDEEVGTCRVFHVTTFGLMYDEDGEIRTTGRRRAGSYEMSDAHEHSELWGSPAAFYL
MVWGQIPQLHEAKGRCGVYNETMMKFNFSGEIKAYEVLGAKSFTVLTKQGELHTENWMINIKNDFRYPILRRLDSDSILIADSRSDENEKNAEIYNSNGEIINSFSIGDAVNDIIIFDKKFVVSYFDEGIMAEKKYSKEGLAIFNKKGKMIWGFNSNLEFGTVIKW
LVLFMAGAEVERIGQALLEAGLSGETPAALIESGSLPEETVRRGSLRELRGLAADRASGPALLIIGRTVGLSDSLRSGRMNGRGRGDAAIAALAKRWEERTERMHGGAG
MTMAAPEATYQYQPPLQSPLSPSASTGQQRGSLSSPRASSSSQLLYSDDSSLSTSSTGSHSSHHSDLIGNMSSRRQSSIDAYPMCGLPSGTLYRKSTSSASLRSPSSASITPLDMPYMRPLSGANVMAPGAPSVFRPIRNSTVPEEFVETYRSTTTTRNEFSGPPPVNYPMPAGFGGKTSSTNPIASFSASALGAKSQKMMELLNSHHTGTSKNLYHATPTGQWSQTESSTATLYHDDHAQERAAPQQQPQKRPLPKSQSVDVALSATFRGAPTNTIRVDAFVPPATAAAHASDCSRSGSERFPENCCDFKQQPTPNAAAQMRKGNTMTGRSPLAPIPVNTYEEKRRPPPLGLIKKFVLLVAYIVNGKDSSHPLSLVRSADLLNWTVVRGTFHISLASCLIIHPAPSVGFELRSAMPALRPNHFIALPEVDSKSPSKSNSAQQNGHLTTVKEVRTYQIRPSLGSPSTATTEKESTRGMDSPNGSMRSSPSHNDERRHRHSSSHTRDASLDSHVSWQSNSTASSGYSSVALSSSTPTLDVSVSEETLVPEEYGAYPGQEETRNSDFAVSRSVSKLPVDSSSSSASTITPSEPEVPHRSISVSEMIRNFGSQQAINRTPPHLPPKPRYAHLPAHRPDPAVSQSAPKVTHFERSRGNSIPSDSVSASYSMEDIREYSPSQARRSSVATAPISNIPVRVEEARKEVNQATKNASPLPSPPLSVAGRGDRDLPLKVTEKTSTNPTKPVPMFRTAVHGLHLGANAKAAAALREEPVTVEDPPAARASLEDRGYGSGEIHYYGGGGTSPVEMASEGGRTKTGVRDLTFASLPEGDDGGKADPASPVDQEDLLHAKDNLTASLSERIDLLKEEQHNLQQDMMLNDEQAADIMDELARVASSQDVARFKQHLKELEEMTSLTISLTCRLGKVARSIANLRVPSDELEATTLQDKKRKLESQYEDALYLQKGVERRRQGMRSFLKQCLSVKQFEEYEDFFTTRESLLRDARNMDDKIRMGEEQLRCLRLSGSN
MYSASQKGEPDFPRESTNPTSRIERELTNSTSHSRPMNRRLTRAHKPENHNYKKPSLTVQRRIVPMLKSNHQKDHRATTPTWHLNNANHLKMKDMQQLIFVAQSKRDVDVLIKKRQGTAHELKKIKYNDVLGGSSSLPP
MDEMEELFGDPRWEAYGMLREAYLTVTRRIDVEVSPGGDVEASISDLLFRLAHPRARAAHR
MDQNSVLKYIKNRMRNIFTFKIICLMVFQISYMDATYLCSYINGTICCPGFIWDYDLKTCTICKEGSAEIDCRDKCFFPFNELNCQSACNCTEKDCDHVKGCKRKSKENPHESHIRIEINRTNTTIEQSTVRKKISNGIKGIRNSEQKHLKLDTTTTMIGIIVLTQVSIVLIILITCTYLLESCKTMTKVHDIETIELTSV
MKKIICAALSLIMAMSATVSAFAQTGEDYTESLPVIEITPPEYESGAEDEPVESGKAAEIGSNTDTTTTTTTAKKTTTSKVTTTTKKTTTTPKTTTTKATTTTTAKKVTAKTVRLTQNIVLYKGEKKKLDIVGASKGKVTVKTGNKKVVAVNGITLTAKKSGSTKVTITVKSDKNTYIVTANVTVKVSSYDSSVKYDQTKTSSKQKLPAVVWHKEVVAGKKFTLKLSGYDKVSFTSSEPSIASVTSKGVVTARKAGRALIKAKVKAGRESYTFYTRVHVITGKAAPTVTQQQINTFFGASGFIGSSIGVGQQMYFNSQGYNFLGHPAMMVRGCYAFHNDGGANGSQYQISYGGYTGPARYVVQHSGVKRVFINMGTNDMVGSADYVFNNYVNYITGIRATNPNVPIYIEAMTPVYAGGQRGNLNNANVNALNAKLAAYCKTQSDMYYIDINTPLKAGTGALPAAYSSDSYVHLTAAAYAVWTNVVVDYVSKQMIAEQRAKDAVQTYTESKTSANKTAAKKALSKLESSALKTKLLKKLK
MILKKYILISFASSFFPIFGVLFSIISLINLVKIASMTSVVNISFDELSLLYFYSLPQILYMIIPVSFFIGAISGIAKLTTQYELLVLSAIGIKPTKVLRIFIPLAFVISFSLFLLSQIIIPKTSYLSELFLKEKSQEAALNIKASQFGQKFGKWMVFISDKDTDRLTDIKLFEDNDEKSRFIISSTANIENKSGDISLKLKDGFGYFDKESTKHSIDILSFKELIILNKQKQIVYKNFENFEKYWQEIKKSKKKAIDFSETVHEILFPIVMVFFIISLGYFNPRFATNKASMLGFAIFLAYFVLYTNISKIYPFASMIVLPLFWLILSYIIYVKTIKFRY
MGEGSGRKLDDGPEGDAPPPPPPAQHGSAAGCCCHAIPWFQTLCTGIILAALVVFFVKTGQAFGAVNTLMTELKLGGPVKGTVKTVLSALLGGGVGVVFFTVLLAIIATVASAMGKARRYRMRRGAKIHSTTGAACEIGTDVLVVLFMWLCLALIVALIAMWTAFFLGSFSGDHGASYAMGTTDKLQEKIASALKKADGLFVKMRGAWTRLVNKVPTILKNNSWFQELTTDIGGPLLSGANALDDVSCPPNCLDLGVFATMMSFEPRCVCGKEMLTDIQKRLRTSWTSTAAALGALAAIAVAGSFVLMATIGSFVNSKRDLKDIKWRLKTEGAAPPPPPPPPAKAPSLPPPARAGSGAGPSDVETGAPDQQLEMATR
MLYTINGSIVFNTDDSTLRHIPTKDTVQINLPSARLLALILDSNGDILTREYLIVEVWDKHNLRGSNANLTQYLSIMRRALSAFGCDEIVITIPKIGIKLNTNHSVVKSPSFDGIESSPPAHLPKALLNKPGSFLSWKVIVLCLYIISPVFIATAVLVFKNNTSSLDNINIYLKSDFLAEGCEVVFVKDLNENKMKEVKSKITALLAENNLVCDKNKKIYFDNYTSFSPKDDGRTLISYCKLGKERNVTSCNNFFHINEN
WQPSREGVQLYQDIQQRLLTAQRLQQQQQQQQQQGNPEAPAGR
MRSKRLRQQFRRPALTLLVCRCVHPVPQFFVVYATMSTSEPNLEENEPTWKKPKLEEEPQEDEPTWTETVLVEGNPVDEPTWTETVLVEGIPEDEPTWTETVLVEEIPVVEQTWTESNLQGGIPEDKPTWTETVLVKEIPEDEVTWTETVLVEEVPEDEAAWTGTIFVEEIPEDVLTGTETVLVEEPPKDEPTWTETALVEGNPEDEPTWTETALVEEIPADERTGTESNLAEEIWEDEPTWTCYLCDNVFPMTVNMLDHGMECLLEQYEECELCNSYDSPTEKLDHVKTCHLKVETQDTNDN
CGKRFFTFGGGHSQDFEYRTAENWWEREQPTYEEILHAAENLKSYDNTVDYIITHEPPASLKDCLRVDMMQRLEVHAFFEDLTQICTFRQWYFGKCHLNRYVPVKYYAVFDSIYPLRDTQGKALSAEYDPDTAAEPEPVPEEES
MSSPTPPPSPHHAQEAPKPSAADRVPFKEKFAYGVGQLGGALQSGSDDRLLLPIFVNALHVSPALMSTLGVVYRIWDAITDMIMGSVSDNTRSRWGRRRPYILIGTVLSAVTLPLFWLLDPAWDTRTIILWMVVGQVILIGCNTIWNIPYQSLLFEMTPSSVERTNVAALRSYFSKAAALGLAWVWWLAQLPVFNGADGKPDIIQGAFWVCAGISVIILVCGFTTTRLCKERFYKAAIKAPKIALWPNIKMTLANRPFQLLALIVLLFVLGTKTNDTLRFFVLLYHVSGGDSELAARLTGWGGTLEVITGIAAIPLVQGCARRYGKRATLTAVMALVGLTGVSTWFTYNPAYPYLTLLSYLISAPAFSAIWILIPSLTGDVVDDEEHKSGTRREGSFAAVFSWTFKLAISVATAGSGLIVVWVGFEAKLGAAQAEGVLFNIRLFLVGTSAVFIGVAVLLCAVYPLTTRRIEQIRAELEARRGAL
MSGDCVDCGQEPQSETEGEAVQADEQAFLVNDYDAQPDPEGEAVQDEQETPEGDYDSQPEA
MPHTSIVVSGGFDQEDSKRLHSVPYGSGLGECRASILPLRKTKPSRRTPDGTTTICKHCTKGTSTNSSKSVDSPLPFHRNINLVSDIHVEGKMTLFYHFAHTCDTINVISVI
MAARSLGAPGLQLGPGCELIGVRHIRWGRDVSVRSGLWLEAVTSYRAVEFEPRIVIGNRVSFSHGVHLSAIEAISVGDDVLFGSLIFVADHQHGTYSGTAQSTPDEPPSNRPLVLRGPVVIEDNVWIGDGAHILGPARIGRGAVIGAHAVVRGDVPAGAMVAGAPAVVVRVFDGATATWIRPIRGGPGPVAGAAAPQASSIPS
MNNAWWYRLQLLSARFSSQRIWRFIEYYHQRVSKYLFGVVVFGRSDLNIFPDARLGGLECMCIGNRFTAGRGLWLEAVTNYPAAKQVFSPKLVIGDRVSVGEYVHIGCNHSVVIGNDVLMGSKIYITDHNHGVYSGENPDSPECPPANRNLTEGESVEIGDKCWIGEFVTILPGVKIGEGSIIGSHSTVTHDIPAETIAVGSPARVVKMWDREKRQWVKVTM
MKYIKAIVESRICKFNNRFGINFLDSDSVILKPIKIGGGSNIYIGRKTYIGEFSWIEALENYRSKSFIPKIVFGDFVNIGRYSCITAINTIEICDNVLISEYFYVSDHFHDIKPNLNVSPIEMPLSSKGKVKIGKNTFIGYRVSILSGVTIGEYCVVGANSVVLKSFPSYTMIAGVPAKIIKIFSFETNDWIDYKPLP
MNFKRTFELLKYYGVSGMLRIIPDLIRTKIFFRNAKLIRTPIEFRGKQFICLGSGFSTGRYCRLETHPPYITRDMKIVFGDNCQINDSVHIVAKEKVVFGNHVLIASRVFISDLNHGVYNGNNQSLASSIVKDRPLCTKPVILGNNVWIGEGAVILPGVNLGNNVIVGANAVVTKSFPDNVILAGAPAIVIKKFENNRWYSINS
MSRKRFFSRAVALFASRALARSRAIKYAALSDNRLIGTPVRVQPILALGSGLIRVGRNVKVGCYPSPHFFSSYAHLEARTNSSLISIGENTSINNGFVAIAEKTSIVIGNSCLVGTRCEIYDSDFHALAKSDRETGQPHRCSPVVIEDHVFIGSNVRILKGVTIGCGVVIGNQSVVTRDIPPPHCVASGAPAVVIKQLN
MIFGFFGYSFFRSIFSRIQSLVALAVTSLVVYYISEIKRGYLGEPLIFNDLTNTENSSVVVKYLGVLDVALIAALIALVAISFVFLFRRRRKQELALRLALLVTVCVVFSAFHDHYRAKLGDGLKWAGFEYRSWAMAQNFMHNGIFVHLLQTSLRNFPPEPTAAQRAEFVQDMTGLDEDRPKQVIFILCESCWYDDKNFKSTFEPLTRAATATFRSVSPVSGGGTPNSTMEWMVGLPVRHPSVSGILYQEYRDFFSENTYTLASLYKSLGHTTLAAHNFHSSMWFRRTVAPLLGFDEFYDVRDLNISDEENYFPRDRHLFDAAKGLLKQLGSDPYFVHLITVHMHGPYEEVDGDLGAHGYQLRAERTVSDTVSFVDWVRKDNPEAVIVVYADHVPPMTPFFEQTGADISNVPVWVFDPDEDRARKFAHAGDKKKQFCINSEFTERYIGVSLPQAAYVKSVCSPTASHTQNENNAMVPGWVFFMALFDRG
MNTQYYPLSAKAWDSLGEAYLVKGEKERALSLYKKSFELNPNNNNANEKIKLLNSD
MLIVFAQVIKQQQSNIEQQAQSLSSILDQSVNQFKTILNIESISDFFKPIPNYNMQPGALVPFNKAKWNKLMNVTKYAHQDLLNYLDVHEKPCHVRRPVNAQELDIFNGRIVDLNNVDVIQEDETCDDNTFLEAIKNTTFNSLFPITVNSSRVSNRYSSVFLADLTTGNQYRYPASGNGFDDVTCIKDQFAQFQQIIIGFGSESNDLLRVRQKVVTQDINITKTYSGGPSKCFFELGRRMKFII
MGLINFFKKTYEKGGESAAASSKEILKQCENMVFSLKNTDEYNMFSEELNDLLEEIKYSDVTSEKYTKEFEIVEKIQKLSEDLEYYSNSIIDEKKVKESIKAIVKLVKERNFQIRQH
MSHGSNDSVLEVVKNKLLQTRAEADKAQNEVERLQRLLIEEREKQKLPQQKLQYAEKEANEAKQELVFLRQKIAQEGAAREKARGIIIF
MDMDTALYNYMVGLEPKTSKEVRLRQPTTLDAAIQQAIIVHSILHPTNPNTATTISVPTAPSSAEPMDLDAMNVLLTNLTTLAKTTTVASIRQRPQHTARSSLRPSLPKLDAATREYHIRHGLCHRCRISGRISKNCRGDRAFNDIETGSQTAGESRKGQGEL
LTLLSENYARLGQDALAWQTIQHALSKLNHDSTEADSLRAKLRIYRENQTLTKGLLGAGVGAVTGWLLAAGDPAGALIGAGLGMGIGLIFNFVVWRNFGLGTIDTILKNSLESYTRLWGKRFGFAPLQGLLVGAVVGVAAAPFLPGNQGGISPMAGLAGITCGLLLGRIVEVLVNLVLAVGRILVAKVSG
MNSYMKKIFEMIRKTGDRVILVDFNSEEAFVLMPFADYEGLLQDLKIPKQSLISKQVFEPRQDEKGRNDIWESMREAGSSSETWDLSQMSPAELEELERQYQMFSQAKSKDEEDTKKTKEPSISNEKDVGDGGEEQFYLEPIE
MDKLCSNIWTRTTALMFSKKFYL
MNRNFLGIAWAGLYAADLTALVGFYVERVGLPIVENGDGYCMLYVGGGAIFELWADGARARHRKTPAEQSVIIAFAVLSLESAMEDLSNRGLQPDSEVGTYGNSRWVYYSDPEGNRFELKETLDAALT
MVVNKDKLMQKEFEQKCKIEIVRCAGRCDIELGDITKHNVMQLKRLNLAVFPICYNEKFYKEVINAGDLAKLAYFNDIIVGGVYCRIDNLDGIKKLFGVGTLLLEHVFSLCNKDVQIKCVTLHVQTNNESALNFYKKFDFKVVGRVDKYYKRIEPDDAFVLEKEVLECGQVGKPFAFGGEEPLKLEWPVHFGKLVEGVTDVGADEEINFLRLTEGEKENSDDWTDHLFCDCTAMTIIDKFGETIAVVPLHQIASVGLLPSKNILSVRIGDVNLSKDLFDLLIIYVPNEAIAKEICQHFVDCFQFIYREAISEFEKEEVEEENDFLLEQQNLDLEKKFLPATLISSSSTSASLCSSPISNIFQQQFKTTTNCLKIEEENLNKNYLITNSTTTNSSLSSSSNLSTINICGGGSGESYVELINEYLTNLSTSLSHDELNKFAILMRRWRSREMPIVEFAQKLLELYGPERRHLLAKMRTLLRGDPTEIEALGNFLCANGVVESASEAEEVAAVKIGKLSVSASPPPSSFGMSSSQNHLQTSCGSGGGSGSSSSRHSNKEGGTRW
DRLDAVLSVVAYCRGWACRAPWAVLHPPAVQGGTSAVTSLAEAMDPQYDRQYRQYKKFNFTRCGATYQAANEEVDPVILAAVQAAHQRTGVGGTVNLIPTKSG
MSVLSGAPYGYRYIKKSDTDEACYEVIEAEAEVVHTVFALYTHAGLSINAIARHLNQQQIATRRGDTRWERSTVWALLRNPAYQGKACYGKTEIRPRQRITRPLRQRNRLPSRNSANHERPRQDWIEIAVPALVNEETFAFAQEQLEKNRHYSRRRTIQPTLLQGMLVCRDCGYALYRASTRTSARKLYYYRCLGSDAYRHLRGALCANRPVRSDYLDEFVWREIIRLLEEPALVQAEIDRRLAEAQIADPLRQREQSLRNQQVRLRNVIERLLTAYQEELLSLEQLRQRMPALRKQQQAVDAQLQSLALAAADQSRYLRLTETLAEFCTKLHARAVALDVTEKQKIARLLVQEILVGRDTIVIRHSIPLLKPGPDQSRIAAVQRDLLQHQPPAVPL
MKLFYPLLIALVAGTAAQAQTQPAAASQPKHLLEFGLGTSLNGSGDYSCLKTHLGYTSLLNRHLSAGTRIAMISGAKVKYFGPDASVPVSYHAVNLESEVFFAPFGNDGRVVVALGGGFCGLWATV
MKRFTLSEENLIRTSRRRGAIIGSVTFLLLALALWQNDDVTVLNFSLISVVSYWLYTGKFGNASSVKYPWVFKRSEEANWEIITLPSAVAFQNRGAVSRIEGKDITAITARYRSNQLKWLDIYYGPQRTRIQYYKDLEEIYRHVRKIAASAELHEQRR
MLIFTDQKSAKSVQSAGYFFRMSKRTLYRIAALLVVFIVAVGLFWIGRGHSFLVDNQKLTIHGTTFQPVNATLNVSVNGQPLVAVKYGQRKKLGDAVAGPWHTITVEVVGKNKAVEKTIEKRFSVPLDEMFLVSLPALLADDPNWLQVFRAPRPTPPPDEK
MNIKNIETELFTSDKDLLVHVTLSGGSLEEEVAEATLNIIDGRYKWMKLYGTSKTLTKDEEGKLKQVVYKYLRRGE
MNQERHKRPLQEEKRAQIAVEKMAGRLSTLSPVIRATTKDENNIYERFYFKPERCVEDVLGCNFFKWCYEEGADERDAIIARQRQKIAYMENSLSVWKKRMQLSLVLIMCSDCNECSGFYGL
MRFISDFHRNGKLTKGINSTFIALIPKVDSPQRLNDFRPISLVGGIYKILAKVLANRLCLVIGSVISESQMAFVKDRQILDGILIA
MELMGSFLWKGEEKVKGHIGCEFGRSVWSNFFEVFGFMLALHRVKESASMVKELRPVSLIISVYKILAKVLANQLRKVMSSTIFEVQGALLAGRQILD
MLIWTVVAPLLGASCHVLADETLGCLVVDPGAGAAPQVEELVRRHGLTPRGVAVTHGHVDHTWDAAALGDLYGIPVFVHREDEPRFHEPFTTLGPLGEQLRQMADHAGLGTYRAPGDVRTFDGDAELPLLDGAVQARHLPGHTGGSTVYVVADAPATGSALPPASGADAGRVDDLTHVWLTGDVLFAGTIGRTDLPGGDPTAMAASLGRFADWEGPALVLPGHGPRSRSDVEFATNPYLARRT
MQVAPGVHHFTGYKFNWYIVEEGDRLTVIDAGFPSHFRLFERGLESLGRAISDVEAIIITHAHADHTGFAARLSAASGAPIHVHEGDVPLVRRPLYLPWTGLLSRAWRPYTASMLSHAIGNGLLRLTTIPAPRPMRDADELDAPGRPRILHVPGHTPGDVAVHLPARGVLFSGDAMVTRNLYTGRDGGPQLTSRTLNGDFEQARRSLDRLADLGPVVLLPGHGRPWVGDTRDAVAANLPAARR
MTVRIDHAVTSGTFSLDGETFDVDNNVWVIGDDVECIVIDAPHDVEAILSVIGGRQVVAIACTHAHDDHVRFAPELAEATGAPILLHPADTPIWLLTHPDLRPTGTLADGQVITVAGTEIRVLHTPGHAPGACCFYSPELGALFSGDTLFAGGPGAT
MQSGPTAPINFMRFAKWSPRIRSFVGNHLVMALAILSLPFLLFSLATLSRFPQLVEWIYVRRLYALVAKTLSPLSAAIPFSLSEISLYLGILGAALWGFRGIWRRRFARTALELFAGAALLALWFYLAWGFNYLRPKIEQQLRLAAIEPDSLALRENFLWCIEQTNAAWQPVAPWSLQHLDREIERGYAEVFAELNLPQIAGNWPPKFLLMPQLLDYTLASGIFGPLFHEVHLNSHLLPVEMPFVLAHEKAHGRGFARESEASFIALLVCLRSKNTGIRYAAYFSLLGRFRARYRQYADYDSLQQRVRPEIDADFEMVWRRMEKYLGPLAEFAQKSYDFYLRANQVEGGMENYSDVIDLVMGWREGGKEF
MAVQPGPLDQVLARIDRALAVELLGERIRLALNGRLLSDAASIELAAGDELAFLPPVSGGCRG
MRSIFSMLFTHPSARLVSALTLVAMMSLTYSCLGEGTPPQGQVSVKYSVLFFGPDAVRLACQSSDGDWSIIEAPPFERSTFYTYKGPPQIAFYSPAIMDGAGTQTPEPPLANVRLPDGIRQVLLLLFPAANGGYHALAINDDEAGFPAGQARVFNATSRPLAVRAAGKPVLLAPGQQTFLSGNGTNIILQVAEEEGGEWRLSKSSLFGLKARTRRSIFLVDSSASRFQINTLGGAATESRTLQLLSFVNDRASGS
TVRIDTTPEGQGHETVTQQIVADVLGVEPRSVRVIAEMDTATSPWSIASGSYSSRFGPAGSSAVYTAAMRVRNKLLRLAAEHLHVPEAELSVRAGRVYHGEQDTKLSLKRLAGLTHWNPTELPAGIQPGVFETCYYTISTVTPPNEKDQVNSSATYGFLVDIVKVEIEPETAELRILDYITVHDAGRLLNPKLANGQILGGVAHGLGMALFEEMIYDSEGQLLNGTFIDYLCPTAGEMPEVVIEHKETLSPLTPLGAKGLGEGNVMSAGAAIANAVADALGIETTALPLSPTRIWELLSQMKKEQEAHETVSI
MIPPPTNIGSKARRSEARARGKLRGIGIANPIEIAGGPVASPNPEFARVEISPAGKIRIHVGSMDSGQGHGTAFRQIIADRLGLDTEDMEIVTGDTHEVPRGTGTFGSRTLAAAGGAIWAAADTIIERLKEHATARLEAHRSEIVFEHGIYRVDGRNRAVSFAQIMAEQAGPVSAETFVSNEGATFPNGCHICEVEVDPETGHVELVAYSVVDDVGHMVNPLLVKGQITGGVAQGLGQALMENAVYDPHSGQLLSATFMDYAMPRAADMPGVEVVGYPVPTKQNPLGVKGAGEAGTVGALSAVMSAVSDALRPFGVRHLDMPVTPDRIWRCLKTQPVKEPSA
MKASRIVFPQRDRVEIEEFDLDNSLKPHEVLVESIYTLICPGTELAKLRGNNVLPPYTVKYPVYVHYTGVGSIVRKGSKVKRFEEGDIVFAYSSPHASYYKADLRERLLVKIPTNLRLEFIPFARFATIALTALRVSDGELGDYVVVQGLGMVGNLAAQLFQIGGMEVIGMEISRGRVKKAKDSGIKIVINPMETNVEETIKDITGGEGCMVGVEATGNPELIKQICKLVGTGGEVILLGSPRGECKEDITPILNYIHLWPKSITLKGAHEWRRPLFKTPYSKHSIERDVEIVFRLIEREKLVIE
MKRIVIKRPYHVEIENVEIPSLGPKDVLIKTKVSGISSGTEMTLYRGTHPNLKTRKWGYWTEYPIYPGYEIAGIVMEVGRNVKDFSKGDRVVGLGTHGEYAKIPVNDLSKLPNNVSFEESTLAVLGATSIHAIRRANIEYRDTVTILGAGVVGILAIQHAKLSGAGIVIVIDLNKERLNIADKLGAEYTINVGIDNPVKKVWEITGIGSDVVIEATGNPEAVKQSLLLVRDRGHIVVLGYHTKPVELLLGDDFYHKELEISATRATGPIPGLPYSYIKWTSDKNLKEAVKLISNNDLKVREMITHAFKYKEIKRIYEEIDKGNLKGYCQIILNWE
MALHNNLKLFYLLFFSSLVLPHHLAQPEVSPSPAPDSSGSSPGPAPSPFDYADDEIETQEFSISLPPSSSETPSIAPSADGSIEFDFSPALAPSGDADPALENICDSTDYPSLCLSTVAPYLDGETDIQSVLDVAIQAGAQFSKYGQATAQKLAGNPGNPPQHASVLNDCKDGFENAADNYAKAGDALAEQDKGTVNSMLSAVITYIGDCQETISKDSPLYSLTDRLINMTSNCLAISSLIN
DYVNPWDEYIFEYFRYVGGGTVLAVDHALRHETTVFNLGGGYHHAHPDRGEGFCLINDVAIAIRKMRKLARLKKTLIIDLDYHQGNGNLLYFRNDESTFTFSVHAESWNEIGGKRHNVNIELPSHTGDEKYLKTIKSELPRIFSLFQPDLVFYIAGSDPYILDTLGDFDISEEGLLKRDMFVYKESRNRNLPFVVLGGGGYGPDSWKIYYNFIKRVILKGK
MSEPPAHEAPPPPAPAVMIPRARLHPHHQDSRENISKKLSLELSSEAKLISYLESGAVNNDEEMRRKHSMESSYSANKDRHNKQGALVSAGFKVSTTIRPDEANIKEDRDDLSSVHKADLEADLARFDSLRKSYSQEDISEWTDAERRIGELTLSEARSIGGTLPASTGRAASSTRLTHQEANTMAERDLGSTFLLPHVHLYKPDLTSDVSEFDSL
MPLLRAVNLGVTPLPLEDMPAHVALEASVDVPGGPCSSLAWKRSTTRVCQLGVDLLDLPGAFQSALHSLINHTDLAAGVRTTIMAGGCNASRSGFIGSCLGARNGSQGIPETWKSKTFSYSKVLELSQKLVAIKLPE
MGGIPGYEALCTAMGDTSNPQRQDYIDWLGKPFDPEAFDLEAVQRRLYCYLRHSRDRARQWDMPTPRAI
METVESEPWGAAQVIDGARACPPEDVGGPPGYDAFLNTLSNDPNSEEAEHYRNWVGPGFDAERFDLRAANATLLRMASNRWGNR
MTPRARARSFHLRGEPPSPSLSAPPSPASPGRSVTPRLNVARRGLEISTAQIGPGLLALARQASTFLLGGCAPGVQHRRRVPRALVEGRCAIAGAVGEGVGIGIGKREEGEDVEAGSFTESEPNVEGRKTGLEVSVEEPQVDNVLFDAETDLTADDNETKTQPQTQPQIQTQTHDPTARVEAEREPERAPPKADLPLHETEAVCANPPPPRDPEPPAPTDPAHAQIRAEVVHKFAAFTAARLARASLPPTPLLLTTPPQHPHRPMLDDPFAASPAAPRAPVHFVPAHPLRLHYPSLHPLRTDQQQSPTPQPRPGRLRPPLRQQTVFFKQPSVLQPTGPKPPAYWAPHPAGKRSCAVPIKAPYDRDVEDKEN
MGDTRITRRRPIGGSLVVGATAAGPLGALATLAQAAPRVHAAPPTHFDHVLLDVTNKVIDNYNPWVAHALNSRQGRRRSNICAECLQNP
MGMMNLLSCLVVAVIMGFWSPLSGYAEVLPFSVGEKLTYELKWGIVPAGEASLEVLSGENDGLEGYHFRMTAKSNSFVDVFYMVRDVVDGYTDLAVSHSLLYKKSQNEGSTRRDIVVAFNWEENTAQYSNFGKSEPPIPISDGSFDPFSVIYYCRLFDFSTGQDIIRPVTDGKKAVLGVAWFKGRKTISINGTTYDAFLIEPEIEHISGVFKKSKKAKIQIWLTADERRIPLRIKSKVAVGSFVADLVDGGL
MKNAVFTIMMSLMSVCLFSGLVFAASGEKYVEQPAQMESKLAPETAPTLSPPAHPVVVPFEVGEQLQYSIKWGKFTAGNATVSIDDLVNYQGHDVFRILVSGMSSPAVSLFYFAKQEMESLIDANGMFTRRYFSKIKENGKLRERLYEFDQENNRATYKSKNYYVPYGIHDEVSAVFYMRTLDLHVGQPINVNVFAKRRTSVVTCNVIKRETIKVPAGKFDTILVEPILDFDGVMKKGKMQVWFTDDERRIPVQVKSKMTIGSVVVRLEKYSAGNDTTFMAER
MKMKIFFTFYLLLQFSLSAEPFQVGELLRYKIVWKFMTVGYSTMGVPGTIPCGKYNCYIFLSTAKGTSFIDKFFPVEDRITSFWIPELKKPVWTEKNLNEGNFHKKYNAAFHLKEKKATWTLEQISGNSNRPKIKRKDAVWKYKHGTTYSLPDDFQDILSAVYYMRGFDTKKDLGQSFEIQLFDDLKLSVLKVKIIKQEELKLVVNGKTKIYNSIATEPYFHTSGVFQSAGNIRLWISTDYRRIPLRIQAKIPYIGSILVELEEDNLQKIEK
MRTIGKAALLGAAVTMLAAPAANAGAYAPGDAWDSPGVVAGTNIKAPIHVPVNVCGITVNVVGILNPAYGNTCMND
MTTGKGRERPALGDVDLSDLPAAALPQPDPAEVERRRAFASSLESRDFIARSAGSGLVKVVRPDPGLAPAAAPPVPPRRLRSEEPNFTVRLPEYVQQAVRLEAVRRKTTVRLLLLQALRDAGFEVHEEDMTDDRGIVSKLRSRNRRGDTP
MNICVARRVESPGADAFFEEQRHRVAAALVAACSFTIYISFHIQIHRRRISEGILIDMHPYKEKQNVQCYLSSQHCANLVELDQKVKPKSRSGANSELGLDREEMDRSGVGNVMDAASSFLKEWSKMSVKTESKSYRVKRDVKCFVCCAY
MSSRVSYVLNRNPPYMDTEVPYERTKAEIEILLKSYGVKGIRWTSLEGQDDTLEFIIEAEVQGVKRQLGIAVKPPHIYIKKKLRGQIVNTENINQEYRLLFHWIKSKIEAVVWGLSTIEKEFLSEVILKLPNGEQSTVGAVITNLMSRDSLQSLPFFGQTTPNSQALPPRRVVAEVVSSEEGSQ
MIDRELDVLQEYDLNITSTGKVRGGILLIENDKRYILKECAKSEQRLNFEGQIHEMLKNEGSILSDYIIMNNENSYITKDSMQNSFIIKRWYDALECQFSDKDSMCNAVRLLGKFHRMTSGKIDIKDIVPDKSLIENYKRYNIELKRVRNFIRKKRHKSDFEIKLLSEFDSFYGKCEDTVKLLTESNYESMYQAAITKGEIIHGEFNHHNVMKNKKDGKDIIVNFDFAGVNLKIVDVYYFLRKIMEKNNWDVDLGLLLLESYEKENSISKDERKLLKILIMYPEKFRKIVNHYYNANKAWTHSKNMEKLILVHKQMEQREKFVNFL
MPLLIIGPQLRQQGLQIARTALPTFKPASAFLFKSQMIGVCR
QWGQLLSGLGQMRIPGLPFAKYAYASAGGLYPVQTYVYLHPDKIEEGVSGIYYFDPRQSCLMPVAPEVELNSGFHAGPNQSIADRAAFTLFMVADMAVISPFYGQEAAWHFSVMEAGTLCHLLE
MRVTRTIQINDLTPGELADLFTEFDEYGQAQFFERVWEIAKAWPGAGWCQQSFSIARQASPAAREAIRVLASHLPPEDFAYIAAAQEQSHEA
MSFSKKFYDMQDFILSRTALEKVKRHVEERKENSIYKWISSELNYFISKYENESDLKECIKRIKDGISAENYSYILQGSKECIETLSKKINELYESLMEQDQ
MVGGPPTSTGFPTDVMVESMEVGASRALLTFLGFPLRGIVGIPTMLHESAGCVREEQGAPSARTVELPIHISDSDIPLTNGAGCNTNAGRCTMPIPACAIHWLCTLTDGAILLRMLHATPATPSAAGGMAAAMPAMRSAMRSYTLPT
MTITTVGYGDISPQNRAELGMAIAIQVLGALVYTYLIAVIMSLVSVVDENSWLFLRRMNDLNALMARIHLPEESRARMRLYLFNARPFMERRGQREICDLMSPPMQAELYATEYTETISPLPYFAEVSHTFVVEVARIIQPIFYAPKDCFA
MIRNDRIPTNSKSNTPCADSNLEPQVRVAARSKGLPRLRPRDEARKLEIRRVGGGIGGSKSGSKGERSLEPQMNEVPDFCDLNGWEKTILVQM
MGGEQAGGREAEERLIAGLAEALVPALAAPLGEGAFRAARMGAVAVLPAVLTLRDLREGALGPWNGMIHRRCEWRPAETTAGAGSASTSPVIDRRRRAGWDLLRARCRVAAQGRDWLVTHDLAQRTGRSLRPAEPAELAEPAELSDAAARAVGPASDLVLRVTASQIAAWARGSGDGNEIHLRPGAPRAAGLDAGPEDVVAHGLMLGALSFAVTGGGQGGGLFRFPAPATIPAGFQRDERGSSLTVERLSGTMLMRGTSVLERR
MDHRSYFAAGGRYPHLSGEYEVVAIDGDWLVCRLEDGREIRVTTQNAFRALQQLGVIGADSKEPESRLSHACEGRPCGPACIKAAVEDPR
NNYGVWVDEFEAMDLLDCLDTTWSSAVVFIDEQTKKDLDRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVNKVIHEEEKSLLICNDGVTIQAAVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPFDVDKMVFMDWRDSHLNNNIELKERNARIPTFLYAMPFSSNRIFLEETSLVARPGVPMKDIQDRMVARLRHLGIKIKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANAIVQYLGSDRILSGNELSAEVWKDLWPIERRRQREFFCFGMAILLKLDLKSTRRFFNAFFDLEPRYWHGFL
MGSRILCHISRFHSSLAVSSEHSHIWDIFASIALVRPPIIAPPMNDIEKRYQNFMLQRELEDSLRCDFELRQLRDERLLNERERLKVDDEEANLQEEIGILASVDEENWRKEADRIRKELAVGNLTKFSKTDSANLQRKIDEFLVLLVCQKFGREDGYLSRWHLPQLQNLPGESLKQTSERCLKELFSTEIHGEGISNAPFAVYFYCYPAQLRQRLKTQSRGAAIFFFKALYMKRSALLVKKDVVADYKWANAEEFAASVGHKMSYLRALSTLFPSYLLTKNISECAKKNKISKNSVENATTNVVI
MSEAGLVVLPPSGLWTKQVVPTGRPRVRCGQPLPPYLVHAGEVRPCEPDPPGAPLGLGDLLTAEPAAQTVPFGPGDRLVLYTDGFIEARDRLGRFPDLAARIHAHAGRPLAAMVAALRRDLLHHVRGDLDDDAALVALERLR
MRGAPLAAMVGGDLYEVVDSPWGTRLLIGDVRGKGLDAVGIASRVLGCFRVVAEISVLDDFVTAVVVQIRGDRMDMVNAGHPDPILVRRTSARPLTAVSRRPPLGLLVGGADSTSIVIEPGDRVLLYTDGIAEARHPGSGEFFPLLPAVGAALARPATLDNCLGDLVARVREWTGSALTDDVALLVAEVLGPGSPASGRRPAAADLADPVRPTSW
MPIAMLVATAFVLSALVLLDGVLPPGQTVMALLAAVPATAMLDPVRPCRALAAGWLTSAVAIPLGALHWSGQPVVVVTSAIGIVYTTMMMWLACRRQRDSDTAQADLRAVAGTMQRALLRPVPLELGPVSVQVRYLAATAQAQVGGDLYDVLSTPYGVRLIMGDARGKGLVAVEKAADVLGAFRELAHHERSLSGVALRLDAFLAARGGDEDFVTALLVEIPASGGRAELISCGHPPALLLAGGSVSYVEALCPAPPLGLMGMGDGGWAPVTITFRPGERLLLYTDGVSEARDAAGRFFPLTERVSGSYGHDARGLVDALETQLKAHAGGRLDDDAAMLLLDAGPTDQLVPRQTTAGENADLALSALDRHAKGR
MGRADEGGLCGPAEVAWYIRLLPVVVLVGGFLVDMLTPTQYTSAAFYSVAPVLAAPLLSLQGTMVVGLAAITADAGVLAHYGRLRGLSGMSELLTVSLVAVVAVFINRLLEARESRLRSARSVTATVQRAVLPEPPARVGDLRIAACYEAAEAEAEIGGDLYAVQDTPYGLRCIVGDVRGKGLDAVEAATLVLGTFRMAADEQATLADVAGQMHRALRRELGRREDGERLEWFATAVLAEFPHGGEHVRLVNLGHPAPLLLRGGRVRTVEPSEYGLLLVEGLAEGVAEGDVVVDTVPFPAGSTLLLCTDGVTEARDARGRFYDPPARLAGVRRGGPAELLEALLADVHRHTGGPTDDDMALLAVTRDAPSPSPDPGPGRRAP
MDYRSIHSFLRSIIPFFRVKVSPGIPFFDFPCSILQPDFRNDKIGIFLKLIAD
MHTDAIVICYSMTGHTRTLAGEIAEALDAPLIDIHEPRRRRGLYGVTRALFDAIGGRLTPIVAPSTALTPYRVVVLGGPVWAGRMAAPVRRFARDYGAQCSNLACFCSLGGSSAERAFHDIEQLSGQNMVATLAVDAKHLAPPEHAQALQAFLGSVRSFASRSQPR
MLNKLKSKWFWIILVIIILGLIIIFQVFKKEESNYITEKTTRADIIQTVEVTGSVESAEEIDLNFNRVGTLQNVSVTAGQAVKKGDILAKLIAGDAASQVADARASLEIAKLQLAELLAGASKQDVEVTKQELISAQTTYQTALDDLSYLEQTRNQEITNIKAETINTLKDKLSTAQYSLDLIFDVIDDPDADNYLYVSDIVLLNNTRWNYQSTKSAFVSLKDQILVAEVANDQTSILTASDELEDYLGEVLDILNDTFDVMTVTIDNSVYTEAVIAAFKADISANTTSINTAISAVQTDSSNLRTRDLYYQTQITAKQNSISSYKIAMDLAQARLDLKIAPPRDFEISTAQANIQRAQATLNRYLSDLSETAIVAPVDGIITEVNFDAGEQTSTAKPAISMIGISNMQIEVNVPESDVIKLAVGDQVEITLDAFSSDDKFIGTVTFIDPAATDIDGVIYYATKVSFNEKDERIKSGMTADLTISTDSREDVLVVPSRAVIYREDKKYVQVLNGEILSEIEVSTGLRGDGGLTEILSGLTEGTEVVTFIKAEK
MKILSKRNIVIFAILIVIFIFGAWKLSRPTPTQITTAKVERGKLVQSIEPSGTIKTKSEINLNFDATGRIAAINVKVGDIVKSGQMLAKLDTKNLDSNVMQAAADLEKAKGNLAAYEAGSTLETIAQYEADVQKAEANLLKAQVDLANLKAGLAQTYKNAYENQITVLQGALAPMETAMTDMDSVLGIENTSSNDTFDKAITTLDNSSFYYSQAKVDFQTARTKINDSKTSTNNLIAQSSYSDIENASTKTKIALDATATSLNSSWTVLDKLDTLNSSHNLSAATVTAKKTTLDTDKAAITTKKTATLAGEQSITSAKLNYGQESGASGSSQVAQYEASVKIYEAALAGAQATLAIKKAPPREVDLQSYKATISAAEAAVASANANRGKAIIYAPVDGVITKKNNEVGETTSAATPVLIMIDNTDFQNEVEVNISEADISKIRNIIASNNGKPQSAKVVLDALGTEQSFYAEIVEIDPAQTVISDVVYYRAKLKIMGKYKNDGSVDMILTKENLQAVKPGMTASVTIYTDERDNVLMIPERAVIVKDGKKIARVLIDKKTNKIEEKEVVTGLRGNEGMIEIISGLNEGEEVVTFIKNGT
MSNRPVFCYNFIFKKIKGFSAVVVECTLTECYIATKCGGGRFI
MEKLTNKKILLVLVIGGVILLLILVILVSLSKKQEKTKINKTEFNRSQSQDQSPITSSNRKTAAIPPQKIKKEEGVDYDSLILKLLDYINTQKDGSFYKLSNNNQEISYQANSYLASFYLDLFQKKKDNQYISQAIDLVDSLTNHCQENKENEKDCYFVLEPYFKLYNYNKHPRYLEFIKQNLSQLENYSPKSFNAYVHLSKQYLNYYLITFEDPYYQKALASYQNALDLLPENQDNIGLLIQNQRMFYQATNDDGWLKTSDNFFTNFNDSLYQKMNATQKLFVLTALKNLSSYESLYNQLLSEFIDSYLNEDKKFVCSQANDCRENGLSLIIDNVLFINLLNHE
MKKFLKITAAIVILLLICYFGFFKYRQIQANNVSIPVSTNALLKINVDELYKTIALSYIKHPNQYAGADKKGIKEKVDDLNTGLKIPANIYLYGLKGKAKTTFFSSFEIADAAAFNRFIQKKSALPLIKTDSNFFQSKDSTLCILFNKTTVALAYTPQKEQVKTVLTEILNRKNMIKVGDSQFKELVNLSDHVSFQNIENFSKINFTDGKINFSNEFINKMIEPAAKPQHRALNPESTVSMWLNAKFKAQSPQQNQTVSPSLLSKYNFFRFYKDYVDFEWTNTITQIDTIVGYEYNDDFEQVEKKILQDRKIPSIVINLSADDKAVGNYLKSLGALDQSTGKISSAMIPLYQVYFKGNNGHVQLSTLPSIQPDQKRESSDDFFYFKADLKKLIKQTPMPLIADKLATFSQLEIKAKSIGKDKIKLESELLFLNEDANALIQLLKTFRNGFNSSLNFNFSVGTPASR
MGPLKLFYPIKTLDGKLLLPAGSELSDESLKDLLASHHPVSLKTYPLMDHASVMKDLFDILNSVPYNIIFADSDCLSEIIDVMKSIRFGAPVLDVLDFFKHHDSSTYRHMLTIFALTILIARDLVPNYRKRVSEIAYGPTHDFGKICVPLDILKKEHPLTRDELNYLKHHAVAGYVLLSYYFRDRRSIAAKVARDHHERMNGQGYPRGINQQDLMIEIVAVCDVYDALISARPYRPVSYNNRTALDVLTSMAEKGEVGWRVVKSLIAHNRSSKPQPPDTVTSREKRGTPPPDNLYGKLSDTD
MIWHDLGVATSRHQDRRHHPMNPTLSIRVIVLVILIATLAIGSALLIRRNGNVVDAISPCEQWLNPRCDQ
MSPPEQLRSSSQSRAIRPAAAVNDTGFPLSRSESHPNHIQSPVPTTVNPARPRAAADLVNESNRQDGSPRLLGGGRFLRDPLGDGSRSPSQSPFRLNMPAISPGQLAFSAMQYLPVPTMVLNNLKTVVFG
MLAPRAAATLGAFAALGCSGLLGIDDEQEDVVQTVCGCNGARPTIDEVSCKEYVTHRLDIAPPATRAAWMKTFDQACRESCNDNTCWNTMFAARPVCKDADEECEDGDCSECCQTTAGNTVCAGAP
MKKLVLLILLILPLWIYAQDMPFTVRAKTRFASSGMVGYETVDSLRYYRARWIPEFKIKKVQMGLDLDFLFDKKFRLKVEDWDHLIDYLYKIYYLKYGNRRDMFYGHIGGFPNTTIGTGLIMGKYSNMALYPQMRNLGLMIGGNPPIPTNPSFELFSSNIFKNEILSFSARCKPLPDSTLKVIDGMVWGLNIVTDRNQYSNLKAVVPDSVYSIMAKPRKQGVTLIGVALDMPLYKSEKYIFGTYSEFAHIFGLGSGAVIPGVYADFHFVKLNLEYRRYGRRFDPAFFDEDYEEERGQWEYNEETDTYRYFTKRDFVKTLSPADGINGSVQGNYKDKIKASVTWQNIIGEDFRNRKSLWLRFWADTQYRRWENFSISYSRTNQRRLSIARLSEHGTKLSASSTFRIDDHWYAIAKLGLSFKDRNGDGVVKWGRESRISGSLGLKYVR
MSEKQILNKISEEIIAMSASRAVLGVPGVNHLSDNLIEKIVGKDSPAKGIKVSKEKDKISIDVFVVVDYGVKIPQLAWDIQTTVKEHVLKIINVKVSAVNIHVQGVSLPKKFRRNDE
MGENKREVETDEVDLLLDEIPKATSGNPHYEEFQPKAVLIDSCKGPYSEKFPKNRSPQQRKMASVNGVQNSLAKTAQANEPKLPDEQSLTSAFAELNVSDGLGSQYAKGLGMHRLNADTKVRNSGFDGISGARVAQENPDVCWVDPLGLKSHRVGGSYQQIDNSTGSISMTNDGVQFVPNLPVHALDTPTANQQQYLMNPQSLLPYLRLPHIDQSQAAWRNIEEENFYKLYQQSLYYQQLLNHHFEAQHPVQSNITLGPKRQQPPYEIPVSQCLERPDQEAFLHGYGLARCLKPQSLILSPSDARAVQALDRISQQGSAAQNMTRSNGINSLRSVKLGAVDDTINHVSQNGRGTSNGQFYPSLCGTNNSKCFQEDRLNYFRSCDSRLLPFKYNNCEDEVSNRTHLMAKDQHGCRFLQRKISEGGPKDVEKVFIEIIDHIVELMTDPFGNYLVQKLLEVCNEDQQTRILQVITRNSGDLIRISCDMHG
MMSLCGHSTLGVLFPFRVFVPHRWKRRFVGMLRPSPSGILEFLPKLVFLRERHLKPRFLWRISLKEEILVVLVDALCVRRRKKLWIISLCIVVGFFRFGIYLSL
MALSRSYIWFLLLIFIVQTCAERNKSLVSNECGISQAELNTKHIGRINYRDGTVMISQNRKKPNEFFFTPFPYVLPKETNCYKNELNDRIELGLQIELYTPSLIHAVKNYLHKHQQVRCGISMLYVLCDITLVPMKSIRLVQKSSNSSSHLQKYSVDDSWHSATLLLQSMEFIIYSSSMRACEELRKTLAERCRLQNLEVHYSLSDEQVVQRQVEVTTEYVTSTTIFNRIRAQFPSSNTVCLTRGDFKELIRESMSRIVTTLRVQVGFEDLQEPIFIDKLLEQQLSTEQVELQMINDKVWDKLYWPLELTRPDHLAKVLNTIVRKDDDNSESFIYDRQTANDVMTLDLKQQGVSQSDVTQNGTTGNNVSRNTIDQADLYQHNINQLYFLTQSDRQRLRQLDKLFDSRSQSSSSFNSDNTAGRDKKTKKTMQKTNSNNRTNFDNRQHTAVSDSGVLSVKTKNKHDLNEFNNTQNHLNETYVDKEESGITQIYNNSLDQIGITINNINATKLDKDTEKKYILSRQDVVQFLRNYFDNVYLEGDIIKPRPINTYIVKLGKLNTNKKLFSNTVFIRMRSNVYALPLRCKPDDSGNKSKIWESNRIDQMEDELVDLKDKTDQISSKSSQAVGTIFNKIDYLYVNLTEKLNKVISIPPNARWTQMGVTVAGGNGNGTSTNQLDRPFGLCVIDNYNDLRNTTAVIVADHWNYRIVQWNIGFAINGKVTVGSDNSAIQSFHSIAPIDVLFDKETNSIIIADHGSRRVLRWPRHVDETTHDEVIIDNIRCWGLFMDDQRNLYVSDTDKHEVRQYQLDGVVGGNKGTLVAGGNGNGSNPNQLNKPAFIFVDRQQNVYVSDKANHRVMKWNKGAQEGVVVAGGRGFGSTLQQLSFPEGIFVDTWGHLYVADSGNDRVMRWSPGAQDGMIIVGGNGEGKASNQFDSPMGLSIDRYGNLYVVDWGNHRVQRFTLA
MHPTDARSTLAAIKRMGLGGAMDAKTRRALEAAAAATGEEANALRRSPTAKERREARRGGGRPGEMR
MFPGKATTRSEPGQQASSEIPDSITPRFVASDSTTAVRYPTGQHPCQGLYWCMKDHAPSVVFMLSHYIADFSEHYLAVPLALRGYGVLGWNTRFTGAEDRFVLEQAMDDLAVGTSWIRNNTPAKKIVFIGNSGGGSLMAAFQAKAERESLSAMGADAFIFLNAHPGRADVMARWIDPSVVDESDPVKTDPSLDMYAPQNGPPYSAEFIQRYRGAQVARSEKITEWAKKELRRLNDAGVPDRVFPVYRTMADLRFMDPNIEPSDRPCPACYAGDPVQANRGISMIARASTLKTWLSMWSLADSQAQFELSGPHFTVPTLIIQATADVGVYPSDAHKIYDLVASKDKELKLIPGAAHFFEDSPKSLVTAVGYIDDWVKEKMVSS
VSDSEEPGIRRRLLPILSIFDGNLRDCLDLVRRMLALLREEGEMADLLPRRPSIDDMIAFASASESINIARRRAYRAVELI
MSNDLERRLKELFSADARSRRVSGVRRSPRGPLSLAAMAAGVAATIVLALWIGTALGERRAAAPPTPAPTATLPGVVVSPTPSVVASPTPSTVTATLTPAPSPAREYGHVFTVQPVQPCTSGCRIVVRRERDGSTVFELDGVLPAVSPDGRRLAYWRTTPNIGPTDLRVLDIADPRSDRSVFTVSGPTVGGPVVWAAGYPGLLVITESVERTGGAGGGHCPVSSTLLTVDLTVTPPAMQSAAGRPSACVHTPLAWDPFNSVAAVIGMGPGGYATEYLTWDPRSSAVSSAQIPPGLLLAGSAQASPDGLVVAALEDNLTAVRVWPTRDITKADRYTQPARVGALFWRPAFAAHYEILWSTGQRIEALSHPTGTVSPLFTTAAGAVAIRPDGSGLLLAEGTGPAAGVPSTKLIVLDLATRQTAEMMVISGPFGASHAVIPRGVILR
MTNAVATTESFARMAHNLLVPSLTNRKPLPLAKLQAMADTMRNGRGVRQALIVRVLPPERLHDTKLNTPKGQPLPTHEIVCGEQRWHAGALAALQDVPVIIRKLTDAEALEEQVIENVQRQDYTEFEEGEAFQRLMQHNHLTADEVAAKVGRSRRHVFNRMKLLDLRAETRTALTAGEIDATRALLLARIPDHEQQLKALPMLAKRDFHGDYELSSARASELIQRDFMLNLSGAPFKCTDETLVPEAGNCKTCTKRTGHNPDLFADVKGADICTDPPCYHRKEAAHHNRVLEQAHANGQEVITGREAKALMPNSFGGIEGYLRLDDAKDSPTDKPLRKLLGNILERDEVKTTLVENPHNKGEMIAVLPAAKVAELLKARGHKAAASALEAEEEGTSRAQAEAAKEAKKLAFEQGWRDELLNRTYAKLCEAAPIEFQVISDDVLRIIARHLVDRANGERTRQLCELLALGKIAPRQALNDYILACADPERVVLLLVMHADVEFKDFMADPTAANRGLLQVASEYGVDVQEAKDATKKAIRASEKTPTPAASPSKGEGGGQDQKRKTSRKPKISAEQATQGIASAMQNLEEKAAVPAAAPPAVQQPTTTKTASKPGKGQEPAAPAAGGEVDPLQQQAAQLVITQQKATVRLLKTELGIGTTKALEVMAWLEAQGTVSTCDERGVRKVLVAV
MTQDTTPQDTGAQMRMVPVALIQESPSNPRKHFDQVKLGELAESIQASGVHQPILLRPLHGTHDAPDDAPVPVYELVVGARRLRACKIAQVTDVPAMIRKLTDQQALEIQVIENLQREDVTELEEAEGYEMLIGTSGLTVEQVAAKIGKSRSYVYTRLKILDLCQQARMALREGLIDFSRGLLVARIPDEVLQIKALTYCTQPDYNGDLPGYRDFVRHVQFNYMLNLGAARFKTTDATLLPAAGSCQKCPKRTGANPDLFKDVDGPDMCTDPKCYRAKQDAHVTAVKRTALERGQRIIEGNEAKALMPHTWSEIKGYLRLDNKADSPGDKPLRKLIGKAMEQQGIQPTLVINPHDGNDLVAVLSCAEVEQLLKATNNQAAAAKFEEQTKLDAEHVKQQADVDAQRVYEEQWRWDVLVATWDRIKSGPHKAPADSVLRHIASGIASSLNQDRAKKLCQLLDLGKADPQDKLRQHIASTDTPGDMMQLLVAFRDVEYRHWLPGLDANPGLTLMAETFGIDMETVQAQTRAKQRAAAKAQKAQSKAAQTPPARGQGKPRDTAAGQTRKTAPKTAKELSGGAKRLAQIPAEASADFSADLPQTLDKVPA
MASPQEARPRTNGKLTTILSIDGRGVRSIVPRVILSALEAQLQVFSRHSIFLFTLRVWGIGGKAARGAQSSEALLVSPHIWKFKLFHILGNNLG
MTQQDLDAVSPAAAHEEAGTPVPQPRWTTPKITSFAPVPVSDAQGASGNPGDGVSNLT
MILLLFIIFIALVTEAFFSGSETAFVSVNFLKLMHLIEKKNKPAMLVHDLLKKPDRLLTTTLIGTNISVVASSACATALFTKASPVYGALLATLVMTPVSFIFCQLLPKTVFRYKANRVVLYVAGLVNFSEKLFFPFVSFFTFFANSVARIINPKGLRKNPFLTKDEIKSLIKDISREGILEAHEKEAIDKIFDMTLTRAADVMVPLKNVISIDISESIESIKDKCARSRFTRFPVLEGKELKGVLNVFDLFYSSPEDLSHGWSALMRPVLRVEMDESLDKVFSKLQPNKEMVAAVYKDDEFVGILTMEDLMEEITTKLTSARKG
MMHRRRVLTWLPLAAAACMGHAASAPQRRLKAVTIGVAPYGIRTPEGGASGLLVELTEALAARSGIPIDNVVVPYPRAMAMMQSGEADLLMSIANSRLVSIARPVALVFEGDVVAVGRAGSHFASLADLRGKVVAHIRGVEYNAAFEADQQIRKHETTSIEQSLKMLLERRVDAAIGSRESLLYALRAMGRPRAQLGTPMPIGHLYVRLYLSSRVTDDAVADALTSAMDALRDGGTVAALRKKYFAGLPAR
MENLIQWYPGHIAKAQRQLREKINLVDCVIEMVDARLPMSSHFPFVDEVAGHKPRIVVVNKLDLAPPEAVEAGLAHWRNLGFTALSLNIPEREGLKSLQKALDGFYQQLAEKMQRRGRLPRKLRTMVMGLPNVGKSSLINALINKRSMKVGNKPGVTKSLQWIAIAKNLELLDTPGVIPPKLEDQVLALKLALIGSISEYAADPVEVAEAGLELLRKDFTGVLAAMYGQETLRLPDLCQLRGFLVQGGEPDLRRAAEAFLQDVRGGRQHLFWLESPPAEDSATDTEETEAAESAANIAPADAGDAHAGVSDTEDSEA
MTSSIDSLQLNSKLLNTSSPVIQWYPGHIAKAEHLLSHSIASVDLIIEVRDARVPFSSTHPRLKLWCQDKKHVLVMNRRDMINDNTLYIWDSWLRLHGETPWWCDAKLGTGIKQLQKAIISTNTTFNERRIARGMRARPVRAIVLGFPNVGKSALINRLAKQKIAPSARRAGITRSLNWVRVCKEIDLLDSPGILPPRLDDQRGALLLAICDNIGEAAYNVELISISFLRILQQLSTISNIGFEMNYLEQKYNVRLPINLVNSLDWLRFAAEQHTNGDLIRMSQRLLDDFRRSHLGRIALELPPGTHIGS
MTPGRRAFLSLVDIILFALDARIPRTSIRVARKYLSPRKFVYVLTRTDLADPAATRGWIAAFESDGKPAFGVQANTGEGMKELVAFLKSERERVNRSRPSCTLERPLKLMVFGLPNVGKSSIINRLVGRVRAPAGGKPGLTRGIHWIRWGEEFLLLDTPGVLEPGAVKGDTLMALAATGAVPETRYDPVEVALWLIERVSPATGHAEAGQPAPDLSPNSALLVLEQFGRETGQVKKGGEVDLTRASQLYLARFRKGGFGAITLELPGDGTP
RETFPTTAALNWYPKHQVKALQQIQNGLHHVDLVIEVRDARIPFTSANPQFEQILAARHRIIVFSKADLAHPHHQPLIRAAFAKWAPRETVLFTESRLPPGTRARPAIRQLVRSIRELALADPARFPYLQALIVGLPNVGKSTLTNALRQAGATGGARKSAKVGPTAGVTTAIATRVKITSDPDIYLVDTPGILDPRALTRGQQTGGGSRGLTPDEALKLTVTGATNDAITDEIHAADYLLFRLNQIPALQGIYPALLGLPGPTNDIDTVLGAIGQRHRYTYFRPRGVTPKALFPTTLSGSPEARRLEGIDVERAARHFLHMYRDGQLGRMTLDDLRPEAI
INRETGFIRFYSGGANLRMKIGSVNISEASFYISDTVSSTDLPVSATLSGVYSGKYWLKAVTSSARYKSDIQDYTNYIDSSKILDLNVKTYKDLDGNNQAVGLIAEEVSEILPELVSYGPDNSVEGIKGNTLEFLLLEEIKKLNKRVEKLEENK
MSEFDPKADHITSYFERFENFTDVNDVPAARKLKLFLNVVGAETYEELKKILIPDKPTDKTFDQV
MKLLLTHGYFLRLDEKQWSLQEPYPPLATLYAASELKREGFEVIIQDPMFEKSTDFLNHAIIQNRPELVIIYDDVFNYLTKMCTTVMRNAVFDMIEIAKMNKLICVIAGSDASDHPDMYLKQGADFIIKGEGEKTVSELVRAIAERKENWKAIPGICFMEGDRMIKTESRPVMKELDKLGLPDWDSIDLKPYVQAWKKKSGYLSLNISTTRGCPFHCNWCAKPIYGNRYHVHSPEYTLNQINKLQKVFGAEHFWITDDIFGLKPGWTSRFAELVSESGARFRYKIQSRADLLCKEGTVKELAGSGCETVWIGAESGSQKILDAMDKGIRVEQIYEANKLLRKHGIRPAFFLQFGYPGEDMNDINLTIKMLEELMPEDIGISISYPLPGTVFHDKVKEELSNKANWTDSDDLDLMFQNTYPAEFYKNLQRYIHRRYRSRQALRYIGKALKKPFRIKAPELLSMCKAPAYIAGSIYYKRKLLRNTRDSNISFKESDES
MDTPSSDNLSHDWTKGAREIMEKSSDATTKKDGGRKTKESVEEIIEPDNVQRVEQPKRVSTHSKKRALLQLLIFQFPAIAVTLGLLYPYINHVSWLPTANQLSALQFAAKVHESFIISSLFDIVSYHIRRALLGPQGVPFGYLTSAFQLSSPFYVISPSFLAPLIQDRLSLTLSSLGLRVLLVLAFLLAAISGASSGTIMLPRQGWHEIPATSLLMDQVRVSKFHGLLVSPASGLYPPRIDMVGVPDCCSSVNCSSFDYCPYLDFNNARSTGFSSLSMNILVSESPATVAGVDYISHTLDTNSTTNKNYKHLHIATSIRYDLFNLVQSAARDWSRNTNYHPAKLRTKIFDQNINEIPVKQPRVILQCSDNATDISSENSYTWHIRPGFYPELNLTVSKSVIGKSPASLVFIDINEYLPRDIKASAAIFIQETIDEGLAVGSPYKSNVICLVDARWVNSDLWINPEDIAPTPQCIPSSRDIITQYQDSSGDFVVLDLEWLNFLNTTLVTNSSLEEQDWVMGQNNAQECRKIRLEPAQYAFDLLIKLSVTASNNDFFKISSKAAGALAVFLTRTMSVIPRNSLYSMTGDSSHGPWRVENRRLAGQWHFDDGSFSSYARAEIWYYHDVYAYSFKETTTCVAWAVLLLHVLLVYIHLVTVMVNGLWNSGVWSQLGEMASLLTNSTPTPLLKNTGTGVGKWSTWRMTAYVREVEPGSGRIELVLEDKNTGMMTEPDKKYG
MSALPDLITTPFRWLAALRHARVFHPDGLLCGGKAALSADHPLPFHSGPVSVRVSKGIGTPGGLPDIVGLAIRFPSTDPGTVGGGGVSPSTNATGAWDLLLAGPARWTAAYLSRCRRRTGPPRCRA
MARFGDELPNRYGGGGGGGGGGGGGGAGGQGGPGRGGSRQGGPPGGQRMYKQSMAQRARTMALYNPIPVRQSCLTVNRSLFLFSEDNLVRKYAKKITEWPYPLISKCRADSQRYRGLQCALLASGPPDKAAMGRMKDDVGGCHDTSNHYHVDAAGD
MVEALGSTIGPSITKNHIKNRMKTLKNHFDEAYDLFHILSGFSWDPITRNFHAEDEVWDEFIKGQPHAARWRKMQIKAL
MALGSRIDAVVAKNEDNAAAVASETTARTDADAALGSRIDTVNAQVGDNKTAITSEQKARADADTALGSRIDSIKATSDGNTSAITSEQKARTDADSALGSRIDSVKTTTDGNTSAIASEASARTTADTSLGTRIDTLNTTVGNNTTAITTEQKARSDADTALGSRIDSIKTTTDSNTSAITTETKARTSADTALGSRIDSLTTTVGSNTTAITTEQKARSDADTALGSRLDAIKTTTDSNTSAITSEVSARTTADSALGTRIDSLTTTVGNNTTAITTEQKARADADTALGSRIDVIKTTTDNNTAAITSEASSRTTADTALGKRIDAVQATTDANVAAILSESTARADADTALGTRIDSVQATTGQNTADITSLQSAQTTTAGAVADFTQQTAASFSSAAVAAIENALSNDKDAQTQRAVSGNIRAQVVTTQKAQADADRAFAQYQQTVQTQFDTTTAAVQTTSSAVTDLKGNVAAMYSIKLGVTSNGTYYAAGMGIGIENTPNGMQTNVAFLASNFTVMTDVNGSPRAFFAVRNGQTFMNEAFIQDGTIDNAKIGSFIQSTNYDGVSKGWRLDKGGTFVNFGSDNTGKMKQTNNRIAIADAAGNLIVELGVITGAF
MADLRARLAEAPDFDIVFVVAGVATQAGTPAALLPRDLVGAVFQTNAVSPVRCAEAFHRRVAPGGLIVLMSSKLGSVSLNRGGGRSSYRASKAALNTLARSFAGQHKGADWGVVLMHPGWVRTDLGGRRATLDVETSAHGMVGVLEARLGQRGCVFLDHAGETVPW
MVDKSNFLPEGTSIIVIGSNGGIGEAIYKTIESNYTFNNVIGLSRKSEIKINYYSEESIKKAADYFISKSLDIRMIINCAGFLYDKDFLPEKSIKDINIDHMQKSFYINSVGPALIMKYFFPIIPKEGKFIFANLSAKVGSISDNKLGGWFSYRSSKAALNQLVRCMSIELNRRNKDSICVAMHPGTVDTNLSKPFKKKGLNVRSPNIAASEILDVLSSLDESHNGKFLDYKFKEIAF
MTAAFQPRFAFIQGASRGIGLAFVENLLAQPQVECVLASSRQPAESSGLQALRQQYGDRLQLIPLNLADDQAIAAAAEKAAAIVPRLDLLVNASGFLHGNGLAPEKRLAQVSRELLLQTFTTNTFGPILMVQALEPLFKHKEPAVLANISARVGSIGDNQLGGWYSYRASKSALNMLTRTLALEWQRRHPNAIVVALHPGTTATDLSAPFQANVPPEKLFSPDRTVRQLLAVISGLTAADSGQFFAWDGSPIPW
MELKKLTEENAGQLKGKHIYLVRYFQDYIEELYAAYPLLPFIEGVIDTDTARQGGKAFHGRSFVVFGMEKLRTLPKNSALIITTGYFTEEFDALQKTGLPDTVGNIIYYFANRDTEYYEAYLEKYADTPLKNLIVFRSGMGTWEYVAGMDFTENARALFDYMLAEGYNRRYEMVWLVKDPERYREVEHRNENVHFVSYDWATSEKEQERDAYYEAICLARYFLFTHACGFCRLPRKGQIRIQLWHGCGFKTVKNVIPQKRRYEYTTVVSKLYAQLHEKEFGLEPNQMIVTGYAKEDWLFHPVKDWKERLNIPKAAGYIFWLPTFRTARSVVSYMNVRGKNNQSGLPVAGTLEELHGVNDFLKEQNTVLVVKLHPLQKRENIYQEELSNILVLDNETLASADLHINQILGHANALISDYSSAAIDFLLLDRPIAFTLDDLEEYEESRGFVLNPIRDWIPGEKILSLEDYMEFLQNVTAGKDTAKETRRSLTAKLHDFQDDQSSRRIVEFLKLE
MTILDDLHRRNKELQTPVTVTVGVLKAAVTEIEAHVRANGKGLMTNMVLNALKLNIERAK
MIDKTKVGFIRGVAYAASMMKKHEVDYHELINATGITYDEFKLCADESDLDVIEEVLT
MRTENLAEELAIAEERLREEANGVVHTFRQPLREVTTWPPAIAVHPQATVAEAMRTMIEAQVGSVLVVEDAQVVGIFTERDVLTKVATQPIDAEHTPVHTCMTQQPLTLALDDAFGYTLHAMNLGGYRHVPLVDEAGRPLALVSMRTIIDTLIAAFPQQLLNLPLSPAHERPRTVEGG
MLAKKISALTAGDIMAKRVGKISQEVTIMEAIKELFVRNIGAMAVCNDKDEIVGIFTERDVLRRVVPESLNLEKVHVKEVMTIHPSTVTSGTSIFDVYHMMSGLNFRHVPVVDDNKLIGMISIKDIAKACMKFVEEHKND
MESLHRAIADFIGERSAPTVEIGDTVGRAVDIMRTEDAYCVYVQDGGKLAGVLTERDVVCRILAAGHDLGAPVAEYMTREPESLKANDDIAYAINKMAVGGYRNVPIVDDDGRLVSALSVREVVAHLAEVLSDPEVESDINSEWLDIGGGG
MVSVRHHLGEFRDPLSNYEPVEYTDVLERALVEETIAAIRARPYVEIPPDHSVYRALQVLAGLRISSLLVVQAGKLVGVFTERDTLQRVACRYREIHTMQVADVMTADPVVVYETDPAVAALGAIVAAGYRHVPVLDLHDQVVGVISPRRVLAFLQDRHRQQP
MNASPTPDAAPPDGQAAPAARIRRPRLAALCLALSVLGVGYAVLISDFYHLTEPTESVFRERQADARPPLDIYLEVLAVEPARQAIEVRLDFATQSNSGAPRFPGLPGTDILVEVNDGGDVQEIALQAGQPAISKTLSLSVDGPFENYPLDRYDGTLRIRAIEGKDAAGGISVPLRLRTWENIASWEVAMSPRYAAAGDKGLSLHVRAQRPSAQILFAFVVFAGMILLAASALTIGGLVFLGARKIEAALTGALGAMIFAVPMLRNVLPGAPPLGVRADAFVFLWVQIGVILGLALFVAAWARRGPPP
MLDYTISSGNIFEDLGFANAEEKLAKVKLASVIADIIEQQQITPEQTAQILGLEIERVDDLTNGRLKEFPIENLFIFLIKLGQNVEILVTNQLQE
MSLGDESVTAVEARALGKGGGAAAQSKQLLKRKSDLEESEPRTHGHGASSSSMTRNYGLTSRTRRKQEEIMNRDRTDRRRQEKLETGLGLLRAQTCRGERECWRVEKKGKRKGKKKVVGLKLGLCRIRPGLGPNLG
MNTLLTVGIIIVNLALIAYTTAFITFTQKKRAVNKALIPLSIGVALDICATSLMILGSSHSFLSTHGLIGYSSLVAMVVDCILLWKFRIKYNSKGSIPQPLHWYTRIAYIWWICAYIAGILAVLGR
MEHNILKLNSKFTQNSPINIRFNNYLEEHLDSITSSILVIISGKIATGKSTLLSKIAKLKSVVLINSLLDLNNKAPNLNDKDILGLDVSNFQELNIIIKQLETLRNPIIITTIDYIYLESLTNWQAFINSRKKLTKMRNAPIHLHLTRNQISAHLLF
MRRITLFIIHCSATRCGQPYSFEDCRRDHIDGRHFRDIGYHYYITRDGQTHPGRPLSLEGAHCFGHNRHSIGICYEGGLNGEGLPADTRTPAQKAALAQLVQELGRQFPAALTVGHRDLNPQKACPSFDAAKEYKKDAPRGRP
MRMGASVVTYTVDGSNVEELYRRVQDHIVPSARQTNGYRGFLLIDLRDGQHQAIVLFDSVEDVGAAQQSLGPVGREHTYALMSGPATGTIGTVVVSDGVLVGSPTP
MRTIDRRISLNESFSLYLDLARFLAAAMVVITHCIQHKIIGEGAARFIPSLGREAVVTFFVLSGFVIAYVTSTKVVSARDYIVARSGRIYSVALPTLLIAWLFALVIGDGDYQLSKPYVYIPLHLMFLGQSWNFSEVPPLLGAYWSLCYEVWYYVLFGVVYFMRGWGRLVVTALVLGIMGFKLWLVLPVWLAGVAVYHLQDRIRMTRLPARVGFAASLILLLAYNLAGLEGVLRGLAVDIWPSRTFDLGSSERFLADYVVAVLVGGNFLCARYLDLGGLVKYGAAIRALANYTFTLYLAHMLVILAWLRWYPHNNTSVIDIANCLVLVALGTVVLAQITEQRKQKFQVPVAWFLAFFWPAKNEKSLPRAVV
VQDGSRGSAPPVPSVLSSEGLDHSVETAFAVEPSSDVAVPGPFLMLGCEVEGTPPVLITWKENEVELLDSPHSPVLDNRSWMICHFLLDGGGSPSDEGDYTYVAKNRFGLVVSRKAATMSDFQATGNEEGSVFCFQCQIHVLPKPLITWEKNKVLSDMDEERYTLQPKSVLQITGLRSKDNGVFTVTSLGSGAKEPTVLVGPENLTLTVHQTAVLECVTAPIVSWIPLHEHPIEEEGTQVPGMGNFIISEVTVQCSGYVYAAKRPGTWVRRAQSRLVVQAPAEFVQHPQSISRLAGTTAMFTCQAQGELPPHVMWLKNRQVLETRGPDHFWNRLE
MTQEKINEIFKLENKKKELEEDIKFVKRFLEKERTTFYLSCDSICKNYFHRGMATILMPISERITKIALEEAEKELEEIKQKLENM
MARTIGNLSNGKIKTLSCSPTKANQDNFFRYGKPLHSKFILSPYNKITNSNIRELFPNFNVFDVIIEDTTFQMYSPDRYCQ
MTTNTMKKVEAFNQAAKAAAETIRPLYESARADVEAERDTLAASTDMQSKIDTYTQIIVEAVEALDVREETLSTALNQYVAAIASGRQLSATNQRNGAFWIATFNRYSRDWGQPARIKLLAALVKATQGRVDAGVIDFPDSLAKSLESLQDAEQLAELIAPEKTESYRKKLQRDAGKLLKAQRLLDALAQAEPRLFTTDVSDEAAVGIQNNRGGQVSVAGVALAGGTITEVSAAEFDKLRNHPMYIAMTQDGTLRITSAAQLAVAV
MLTANFAMMLGAAFIMTALVMVFFSPYRQWLGFMVAGMLFWGSVEILRLVVEQIAQWQTLYAYLTATSVMMVGLIYVLLRLDAVEQRTVSNRSLIEHTPVYDDE
MQKEMVIEVACLVDFDELDPDDRNEMGECSVDGSYGLTLSE
MATLHLEGGVVARWLLPYRAPDITHGAYRNDTGPSSTVQQGEPMFRCNFILNDQPLSDLVVGGSRFAGGERFPSFSGMDEHRNKRASACHPNIGPIPPGQYYIFDRQSGGRMAALREAFGTSKEGWFALYKDDGQIDDQVICESISRGQFRLHPKGPLGISQGCITIDSESDFQRLSALLRSIPAVAVAGTSLKAYGIITVS
MNLQALGRNNSPKRSPNLSPATYFGARSPTQVVGNYDMGQAPELGIHVQRVPDYYYPGTGIQNAEFVHSERQVEGAPDSQQGRLALQPFVGKEIYLGPGSGFLEWGKEFVRQVSFSERACELSWPEDIKTDVLGQQLPAVSDACEEPDRLLLQNSVCYADSSTRMMMLSRLDIHRMDVLRQAEELCQFAQSTEIEARTKHFVRDVGNAVDPRKTFKSKVEIEHQSMKKCYRCGKVGQLKATCPYRKKQIQQHDFILAIGSRGATSKSHWILDSGSSRHLVNDLNLLEDPVEFNNECLTAAFDGEQLPITKQGSVVITVKALGFRKTVRLLDVQYAENLERKIISYGKLEQKGCVLEYREWRRVLVSRTGSVAVISVDCGNKVFFVKVYDDKFRGCGFTTNDIMTVLVKSEHETDWNVPCGTLVEFH
MVRNSTLIGLLWLLVVCTYGQTAKTASAQSDTTRLRLYCEKIDALYQQDKVDSVYILVQQALPLATKAKSSAYLGRLYNHLGLYYGSKRLLDKSINALQQSIVFLRQAKDMNRLGRCLYALAIKYAAQSDYEQAIRQSLYTLSFAKNSNNNFVIGATYTLLIEIYKTMKDIRFQQIYTDQLLHFASQTGNNSILVNVYMLKASQYEEQKFYDKAWPYYEKALKLAYAKSKNRAYYVTVVITAMENNLRHRNRFREGALLLKTAIRMNEEAGDIPGLANVWNALAQNYQSQGLDKHSLQAATKALAYAHQSTLPSIVMSVLPTIVAIQEASGLYQEALHNHQAYVVLKDSVFSQEKNEKIAQIQARYELDKKENAIQLLNKNSQIQHLKAAQEKRQLVLGMFALVLGLGIISYFLRRSYLTQNVLIRQKQEIQTQATQLEEANRLKDKLFSILGHDLRSPVASLKFSFSRLKKSERLSEDDFSGLEQKVDALSHTLDNLLYWSLQQQQGLQLRPQLVSLGELVEDTLALFREFIRQKQLRVTVDLSPAEVWMDETLSLLVLRNILHNAIKFTPPGGAIHIDSFQASAMTRLRIADTGIGMDLTGSSKPEPSPEQGTGLGLALSNELMRYNKGELKLESHMGQGTTVTLSWPNGANPPLSPTETVEGH
MEPVTTLTAAAIANLAFQKFVEAGAGEMAKKFTTDAIAKIDDLRQKIVARLRGKTEKLDEALVKAEAGDRTVLETISKHLDVVMDDAPEFAAEIRAIAHEITLQQIQDNSSMNQTNYGGTNYQTKTGQDNTNFFGGTHNHGQK
MFEHNSCHHRYLVLDENIPLITEDGMCVFISGGSNPNFTLCGHIDFPMDMRKVIDLRLREKRSNKQASNQL
MNRLLLAVAAATLLLGCTPEAEKKPDEIRPVRVLRVGASETVRSFESVGEVRARHETRLAFRVGGKMVERLVENGSVVRAGQRVARLDPADLALAEASARAQEASAEAERALAEADLKRYRELRERNFISQAELERRASALATAEARLEAARAQRRQMANQAAYAVLLTDSPGVIIAIEAEAGQVVAAGQTVARLARPGEKEIAFAVPESERGFVERASTLVVRLNAVPAKSWRAQLREMAPAADPVSRTYAARASILEAGDDVELGMSARLVASAGRSARIEIPVAALYSRDDRPQVLLVTPEGTVRPQPVKTAGIADERVAIESGLKPGDVVVAAGAQLLRPGQRVRVLEGR
MKRTLLIASSLVALAVTGAGLSGIGGPEWMTASAGLGSPPASEDAGPVRRPVLVATVRADRSESVVSLPAVIAPATEETRAFQVNGRLVERLVSLGDIVRRGDVLARLDDSDFQLALQAAEAERAAARAAHEKARLALDRVRSLQTGGWVSTRASDDAEVAFEEARGRFEQARRAVNLARNALDHAVISADADGVVTREFAEPGQVVAPGQPILGIARDAGREAIMAVPEGLVADLRTRRAVVAIWSEDSRVIPARLVELSPIADPVTRTFEARYRLDRHGAAPALGMSATVRLTRTEDTATTEVPLSALSFDAQGPSVWAVDEEGRTERRPVAIVGIGTDTARIASGLRDGERIVVIGVHKIRAGETVRAIQKEG
MGAGHSKEYFIKDYESSVKTPAKIFGPMATIDLLPKKRGKAQEVISNHTPNMTKDSYFDYSAILRLRYTLTGLYSEKIFSQVFSLA
MKLAQTPLFILTLLVLPVLTLKAEESITFSISPDTLTDLPSRWADYFGTHYTSSTEDAGTVWNTVRSFGGPHIGISIDANENAPVRTGPFTGKEIQFDLSDFHPPRGQPTAETLVPDLIEKNFTAIYLTTSIVRPSHEPFDKDRLYWAVRMIHEKHPSASEHIVWQIGNEVVSGHFDPKGVWKKRSREDRQPNQIKEDNFFGYDLAWKEDYYINDYLAPALEAIQRASNDVYNDPKRIQTALGSMNPYNKPNLVFLKNVMNAEFDGRQAPTLQGKKIADQIDYLTVHYMTGAPRSISTLQGYYEDYITTGKVKGVWITEDHGKGGRGPVTILDRSMRYLSWVASNTMNATQTRLCWWGENDRDPGGPGREAATLMGEFFQGSDIHFGHVPIGDGSAYLVSDGVDHKLTRMVVVLSNSREISHDPLKLDMALPSQAPTDWTIRGIQYSSTEPGKEFEPASTVSDQTIHIEMQRENPEPLILLIEAK
MVAIKVYKPYEKRPNVPKGTAKSKYTFALASRTIKPCLRHYQPQRIPLTTQQRESRRDRGKKRRKEIKQDMKDLNREFEVRCAALAKKYKRKEHYFKDMFFQGGESKLFGLAPHNNADRAQGGIIGLNTVQIAKWYGKEYRQLSRPENRALMRQIIHRAHAQDFANTASNMKKMLTTAKKRIGLEGFFVLVRNHTQGFTAPKWYFSNPAIEPYMKVILRSWDMDQVGFKLEAFAIAGCRAENLSTRSDEKAKALKYRIREKTRQLLSDALGGQPVNLILWDRFDEQFTLVHGIVPKNWPGPFQNPSRMSNKIGLLQYLADGLDKETIGFIHLDPDELEEWKEGYVEKRRQLEKEKGAEADNAEGDDIENPKATGKKTKKTATKPAATSKPATRKKKVPSAERLAPPTSSSSSSSSSTSSNDSDSDKSESKPRVRPNSKPKSKREPKGQQGPRHVSTSTPPAPNTPNNIRDNTLSNTPPPDSPLFLPAESPQSPSQQFSPSHNLPQEPEDGGKPEDEETETLGNGMSRRKRIKRVQIAPEVDKSKKRHVELDGKGGAADKATAAKSRGRAKSVGR
TDGQTKTVKLVIKENKQSISGSDFSMYVGDKTPTVSDFKATATDKDGKELEVTADFSKVDFSKAGTYEVTLQTTDGQTKTVKLVIKENKQSY
MTTDFNKLTVMELRAELKKHGQVQTGKKAELVQRLQDVVENGTSEDANKEPVDEEQSDQPQDPREDTTTTPNPEPAAEPVVEDPSEPVSAPAESEADLPNSVDVTFPTNGNETAQPDPDPMNDSADAQPPTELVATTTISIDQQTGETMPPVTEVIKDVQSRKRRSRTPSIDESVRKRLRPDDPEPRQVDVEMPDESRQPVKEADDAEKVETVDTTKDTSDFKEEQNESKDDLDQPMAHQPDVVSPPRDGDLPQYDGNAGDDVVMKEEYDTRPEIDNHPRDSYQDYPPRKMEEDEPAEPYYGTDDRPVVPAIHPVTSALYIKNFMRPLRTADVKDHIIALATPPSKEFDPDVVVDFYLDPIRTHAFVSFNSISAASRVRSALHDQVWPDERNRKALWVDFVPAEKVVEWIDREEADNGGRGKMNRWEVIYEPDEDGIMTARLEEGGPDPAKPAPRPAAPPTGPASTLIPTGPSQPASGIQGAPTGPRGRGGRMPPGYPLQGGVTPQEGTKATRANPSLLYRPQGEELALRRIDNMRSYYTKDKHRDMGPVEDINRYTFEDVDRFVDRGKEVFVGIRPPHRERQMRGGGGGGGGPRGPRRGGPPPPPPMRPRGDRYLGGGRDASRDDFTPRSRFDGAPLPTYEGGRDRRGRNGFGGYGR
MTSVEDWNKLKVVDLKAELKQRSLSVAGRKEELVQRLADDDAGISSGPEATNDTAEASGAPADTEMGEEPQAEVAEPEQTIETVADAAEPAQEPAAATPQDAAASPTLDPPAPVADPVPAHVEAVQDEQKRKRDSLSPVPISMDVDDGAASPKRQRTDEDDERAAEPIPIDADIDMADPTTDNFVEDTAGDVASAPDSIYPPTNALYIANLMRPLRPMLLDRCMADLAGSNNAVTQSFLDPIKTHAFVIFRDAADAARVRNNLHGRVWPDERSRRPLWVDYVPADSIRVWIDEEKSDRGFFNNTGRGGGVNSRFDAVKRWELVYSESDAMDGEGQVVTTLRQIGSDAPEITGKILFESSMLPGQSNQKGGSPPPLDAPRGPRGKAGDGDQDMGDGSHDQQRRRRGRGGNGVLEDDIRTTRASPPLDFQVAHPDLVRRRQENILSHRADNANGNGDRSPSRSRSRSRDPRGGPVQSDYTKTHNRYSFDGGFELVDRGPEQFDGIRPPHRERERRQQRGRGGPGGGGGGGGPRLGGGPPTGPSGLGGPGIGARRRRKRGGRDRRRGYDDYRPGRDGREGREGREGREGRDGRGGSRDRRGDRGDGRDGRGGRDGRGDGRRDEPRDWRDDRRDRKERDDGRRGEREGRLGGYDGGRW
MQEGVLLADEVGLGKTSEAALVVCQYWAERRRRRLVICPASLRKQWAQELHDKFAVPATVVDAVSLRKQSAGDMLATLQRQVGKAVIIVSYQFAAKLEAELRALPWDVVVIDEAHKLRNAHRASNRIGQALIQRELPEPERKQRRQRQEIFAVEDDIIAKRDELIESLQQRLQEKTSNETLFSVRWQVI
RRERTGALVVAGATGRRTGAMLVAAAVGGALVGSHARVRHPGSACRCAMFSKPEEYTVFFDTHAPSATAEQQLVEAPELPLTFGAGTFSTAEELRGMLRARGCDVDAWGAEAEGRATKTVDELWEEIEMGECDLRIDRDGIRRQLSVVKVRVRGPSGAAVELVEASQIFSDGRTRKRGLPLSEKMFPGEKPLDAAERGIVEELGSAIREKRSLWPIELVEDSVVEWAETRTSKSYPDLLSHYSLFQVDAVVHGLPSESFSTVEYDDRCTTTLGGPAIDCQLKLAHTWDWVALLSIQPSELDVPNALDAASMAEEGSLDADTTYMYVSDDRSFSVVQQGARSSRSSERRFR
MGELPNYEMIKEIEHSFEIAVYSFVYEVNKVSLIAYDIENSTYMVNGIDQFASIRKNIM
MVSAPRPSPSPSATPSPRAPLAPRPAAPPGLTVVVEDYSAKFVNATITTAIDTDADALAAFIAWFKTTTARVLGVAPDDVIIRAVYKNDDRVARRRRMQEDLSLRVWTKPQLPAYSFLTRLTLTRAYAAAPPSLALLRDSEALLAPTAASTSPRRSALETPSSPAPTPRDVVIVDFSLVRLDSALPPTPPGASAPPSPLRTELLDRLSEGLGAKKVVVTWPPPPPPAPPAPPSENVTSMRVTGMQGHGTIPLGAPTGKAVVWFTDPAFRQLRRPRSPLNLVHWSQRKACTVTKGVCDGCPRAWAALAGTPTQVPIYFKEPMQLDSISVTQINAPGLLRVDLLPWPAVHIPGLNLTASNATLGEPVWNVSRDVSACGDTTVIPIPAERSGTAEPVPRRGTQEALPPRLRKTAVGGVLITVRAQEKGKAPTTLESVTFTGRVLYPKKPRVYFGL
MQKYNEASFALIGVLEQINNTVKSFSAGWVGVNDLQQKMADMVDAVVQFSSTDEMYSGLLEQAQAFTANYADAASLTTAQLQDFQSLVYSIYTSAEHDYMVASSAGQTYVDIVSNLSDESWNTLFANLSSEASVDSEEAAVVENADVVTETAVEENGEDTGETTFVATECAVEVDQDEEVISTEFFNILVTDDYVQIAMDPETVVNGDYASAFEYSVYLENTDGSEMYAGEMYVYVEYCGTYDPAGHIDSAQPSVIYDYTYDYALV
MISVHFPCGDGGQMPNGNGSLATPAQHIEITGKSQQINLTFNETIGPKPNEKKNPEDVTSCAQGNYEDLELLKYVKIRSRLLSEFWQRDMYVAANVLLPPKYNEHDTKKRYPVLYNQDHFNGKFGFGNYPRYAPFAARWNNGTLPSPNGTADISMPEMIVVNFRHENPFYDNSYAVNTANLGPWGDALNDELISQIDNTFNTIAKPHARLLTGGSTGGWESLANLVFRPDLFGATFTSYPDPIDFTRLQTIDIYHDKNAFRSENGSANGLAREFLQNGTVIYPIDTENMNHYELVFGTKTRSFQQLDIFTAVFGVQGLNGYPLEPYDKLTGEIYPESTELWRPMDLTDYIISNWNTLGEVLRNRIFLSVGTHDDYYLDRAVREFQRKTGAKGGPNWANITILVNGTHGTGYQNLSIWESIRVLGDWIQDHAGEKGKLLDEVAAKSPRGNLWGEVIKRGGHQAALDRQAPPIIEVQKGDLIRASSVGRWDPGVKLKAQWFIDGTPSGDALEVKQEDTIIFKPAASGAAGRYLQLKVTGRKRGYVDETRESNAVTLAA
MSNIIDSDIALSADIDSDATVINSKVGERCNIYKNCSLCYSSLGDLSYLSSNTRVYSSEIGRYSSISWNVSIGPANHDPNRICSHSMLFTKRFGMTDNKFYNQYGNKCIIGNDVWIGCGVTIMRGVTIGDGAVIGANSVITRDVAPYSIVGGKSLH
MDPLLGRSLNAPVTHSRVRLTDENRTVQVAGDLGWKHHPARGLVVSALFFFPRGGSAQVTRSLARALPAAGWQPTLAAGSLGQPSEPTHAASFFAGIDVQSLDYSPALELAEPLAAPVPFQPSYEDRPDAPDRIFAAVDDAAYERLVAAWIELLARAGAGAADLLHLHHLTPANEAAVRGFPALPVLGQLHGTELAMLRLIEAGAPPGWRYAQAWGRRLRAWARHCALLVVPPGAEADAALLLGLERAKLLGLPSGVELERFSQRPLVEEERLAFWRRWLVEQPRGWDQSGRPGSIAYRDEELAPFRSGGPVFLYVGRYTAVKRLPLLISAHARAVERLGKPAPGSGTGRSSSPAGARTRSCRRR
MRAQLSGKAALESFQMTMGGGPAKGVEHRSEDSDDRLNGLPILARHGGGISGYQTGRTGD
MASRRKSTTPCMVPPREPVDSDQEMEDVMDETEGEAEDSNGTGTISAEVCDLSGEQGDEADVRQVSDPYLDLNMAEGGYECKYCSFQTSELNLFTMHVDTEHPDVVLNTSYVCMECDYHTKSYDTLLAHNARLHPGEDNFTRTMVKRNNETVFQQTVNDLTFDGSFVKVEDDEAEETSRKGIALSKTPIMRIKSRPEPKKFTAAHKMAVDDVIKVESDEEDEEDKEPPTLSPAPMTPAAAVTPCLIPISTPLQVQAVPQSIVVNSSNMLQIKSSSAAGGGAVLPPGTLAQVLSALQQQHSAQNQTQTQLLIPISSIPTYNTAMDNNVLLVSAYNRFPYPSVSEIMGLSSQTKFSEEQIKVWFSAQRLKHGVSWTPEEVGS
MNAAHGPVFAGVAALLLYLWPAADRAGRTAALGALALALGLGILVEVLQTISGRPGSIYDVMTDAAGAVTGLALWHLVRGRSRGWLAPAVALAGCTVIGWDPTRVALAYASRAESFPVLAQFDARQDLTFVASNGASDTVERLPARWARTADERALRVACDAQRPPVLHVIEPIPDWRGYAAVAIDLTNPLDRELRLTVRVFDDGGGFSHDEGSRRSFAVAPLTRATVRVPLVAAADMPARRHVDLARVARLMVIGRKIQEPTCYFVSRIWLE
QVHTTLAPPPRRTTLRANALTRARNPHSSLLFWPQICSPYAEKGQASHCLKATASDWRERETPCSSAGAAAPLPSPTTPWVSSSCQEMETQQPLPLPPPPPPP
ICTKTVERVNGLFR
GAATGATGGSMTLTTGAGSATSSGHVSIVTGAGGTGGTSGSFLVTTGDTAAGEVGEIKLSTGAGTGGKGGDMSFLVGGGNTGAGGAVTITAGATSANSVAGGAVAITAGAGTSATGGIGGAVTLTTGAATGATGGSMTLTTGAGSATSSGHVSIVTGGGGTGGTSGSFLVTTGNTAAGEVGEIKLSTGAGTGGKGGDMSLLVGGGNTGAGGAVTVTAGATSADSVAGGAVAITAGAGTSATGGIGGAVTLTTGAATGATGGSMTLTTGAGSATSSGHVSIVTGAGGTGGTSGSFLVTTGDTAAGEVGEIKLSTGAGTGGKGGDMSLLVGGGNTGAGGAVTITAGATSADSVAGGAVAITAGAGTSATGGIGGAVTLTTGAATGATGGSMTLTTGAGSATSSGHVSIVTGGGGTGGTSGSFIVTTGNTAAGEVGEIKLETGAATGGAGGD
MPARVTDAPVRSRPLCPPTRVTMPRSLYLDAGDDRQRHQTDTHPLYPRERHALKPSWKKPELEPQILANRQRVSFRLARGHALVECVITTAAPEAHFWLEDRADDLQILGTFRNGYSRIRAIAERKLPTYPCVRLELTPDDFERP
MTTFDTHAIHAARRRMTPNDARLRTIRVKTTRLVFLGGAALSTLLLVGSVIVRGVQGAAIDTSKLVQGDQFVMDAPEFVGNTREGKRLKVTGLKATRSISDPAGAVRLEKPKLETADGSVATADEGIWSQDVQTLSLKGNVVFSRKTGERATGVSAMWTSDPSVLTLEGGTQIVLPSGEAATAQSLQWDEAKGAVALLGNARVTFNNGEATSERAYFDKETRTLTGMGAIRIRSELGTGAADRYEYNTESRRLRLTGNVTAQLN
MLFSVVYNFRCEIISSDTQIDAYVKFLRCFSKNSSEQSQTVFNNNYQFILNNINCDVSENMDQVPQNSFKLQSLKLGLQINCQQQYCYKKDPLQVLKQIYKSIDLREAGLISTAKNQGACGSCWAFATIALLENNALNQNKTNNNIWKDLDLSEQFFISNVRGFNDYCQGGEFSTAINYFQQHQVTVELETNYTSVIITGVEQL
MPFKMRLLLSDKPFDRLLNLDALSQYQKRHLKIVKAVYALIHFPCDITPSLILTVFLIKFHNLLDTTRHRKRTQSQERTQSYEAGEQSPRPCVYRVASPYHPIAILIQLFAPNATHCVLLKMHKVVVIINSEEPSTEARDSLGADHFINKAVQDVIAEYTAVGQAVPFLETLHPGPFKQLADSSAILLLFQVQLWSIAFVLMLPARCNHPEIVSGIPSILFHYHPRCAYQHQESACLRAVTKAHIADLLLDKPEGLLVSELATRSSIEGAKLARILRLLATRHCFKEFWAKERPVAIEKQKVQFIPFDFFKLKEVISTILDPLYDNVLPDAVKTHEDNTLNFDVAPEPLLPDYGLGRVGKYELDITMLNILNSLSRTLSELVELW
MTAYGEVNKSGFAFYLRERYVNSSRLKQRSYAFLTIASFSLCIAPWAYAEEEEGMQGPPLGWTFGAAVISNNLAYRGADADTIIVPAIGYEGENYFIRGLSAGRSLVRTREQQAWVALTLDLSRFQPGETSDPQMQLLERRNFNAQLGVGYRYQLGRPGNISVTLSTDVTGRHDGQRGQLQYSIPLNRPMQAWQVSPNIGVNYASSRYVDYYYGISEAESARSDLPAFAGSDAFSPFIGISGYQYFNERLSLAGGFTLTRSASAIADSPMVTRGSYRTIFATLQYRF
MTYPNTFLTRRLRRAIMIGLIAIFSISAPMLIFYTIGYRIDFRTFHVQQTGVVSITIRPADANIFINALPVSSKKIGIFSGETSARITNLKPGAYRIRIEKEGYYPWEKEMPVESTQTTYIRDLSLMKKASPVRLNFFSATTTPEHVVFSHDGQYAMAQQQTETGITVDLIDFSKRPPKRIPVSTTAGTAPFSLSWSWSGHYGLIGEMEKDIVSSTIFSAKNPAITATVTTTKSAHVSQWPKQKTEQGVYIQKEDMIQRINTLSEKNIAISSSSIWYVDDHQTVFSYAPEKNVLERSPKNQSAIYLEEEIDQIIDINDNRLIAHGPAGVMIFSRSNGIDIQGKKTVAVDSWYYNPFVSEWVLWSPWELWNIYENGSLALFNRTSEPMKDVKALDIHGALFIAKENALIAFHPDYHMSQTVLSDVRIESFGVDVKKRIIYFFGEVNKEQGIFELAY
MTAEKTQKILNVIESSDQNPRNETYLTGSSPLGYISVAPTAILDSRGHTKKRSQRSSSGRMGQPDAKRGKVLETRHALLWYHAKCLKDAVERKECVTVEQKNIIAASYEEYEEFGCSSLIGKADQDYQEMKKILMRLTQASTKRSQEDVEVPNVEEEGREEQPNDGEQPGEESFHELEEEEDKEESGEKEDTEELPSRKPSQKRRNKKRNNYYARFPPITLFTTPLIDQDLVNASGEQVVELASEWKKTRKNKVYESDVKVVQDIYNEFNTQFAESKGFDVKYGEEEGYAADMTFFEIKSEQDLMALRNEILESSACLIRGIPEALKMDLDKFSCEALEKIAGNQKVEILLQTPQSSTENVEMKYGKSLGWRTSSSQIIPKITYSEFLKSYKEQKKIYDVAVDAIINNPENKDQLIHNYIADKLESQYKYDRKEVTFPVAPFATNIDLNNADNCAEQIVEINKLVEFLRPKEGMMKLVKDKILGVNEVQCYVKSPGSRTPAHQENQLVASVNLNMGPGECVWICVSMAYAAKLEKLMNKKKISPYHTKYWPTEQDLIDAGIPYQKLIQKAGDLIYVGVGTYHWVQANGYCVNAAWNVAEMSPVQLAAVAYSHDNNLELQYGTLLPIYDVLLEIAQQEGHPELKSLAKRLLIRPLARAIWEWDYCEEVLEKCPEPVPSEFVTDENRCWRKKCRRNQLQNIFCVQSEAKVGLRERLRSLLQKDKRRSPGDFRQLPIDFWFRSAMQLSSSFLSQPSIFQSNVPFLSRQCFPSNSNVPSQNVFQMFHSQRILPKSSASVNLYVLLTCSPTRSSN
MGELAIAFMPNMLITFINLLYFIDYSEGGGVNIVACSTGGATPRPTIPPEYCQDSDPACPILFPLTAAEIEQNLNVLVFSQIFCF
MWFDAGVNLTNKRLLSDLDGVMARAEQANVQKQLIISTSIEEAEQAAKLCHQYPDKLVMTAGIHPHDAGEAPANYKEQLRELAGLPYVVAIGECGLDFNRNFSPRDVQEEVFVAQVELANELNLPLYLHERDAIDLQIELLNQYCNDSTPCLTHCFTGGVEALNRYAERGHWFGITGWVCDERRNQELVSALPYLPLNRLVLETDAPFLLPRGLKPRPKMNEPALLPVVGEALAHYLDVSVKHLAELTYANTERFFGLGENSD
MSEQNPELPVHAGAVDTHCHLFLCDLEPQLLLEEARGAGVSTAICAGIDPETSRRSLELAESFRGVFATAGMHPHTASEMDRAAGNTIEELLENPHVVAVGETGLDFFRMLSPRPDQEASLRLHIRMALEADLPLVVHVRDAWPEILRLLHEGSAERVVIHCFSGDAEIARECVMRGYHLSFAGNVTYPKNTHLREAAALVPLDRLLVETDSPYLAPQRIRGRDNAPANVVDVIEELARVRTESVDALREATIANAMRAFPRLR
MIDSHCHLTYISKKSKELEEVLERANKAGIFYFVDIGVHPDDIDERMFILSDAEGVFFSMGYYPDYANENDEDTLKAFELKIKNLNKKTLEKRNKSIYAVGEIGLDYYHNEDNKNEQKAFFRALCEAAKNVDLPILIHSRDAFKDTFKVLRDADLPKRGIFHCFSGNVEDAKNALDLGYILSFSGSSTYMKNDFIRDAVKYVPKDMFTIETDAPYLTPQKVRGRANEPSFIPYTVEVLAEVRGESSEDIMRTALENAVRVLELPIDLNRI
MADAAGKDVEEPRQRDPATRHEGPLRRRRLPLLPPPRHGLRAGCGVQRGGARHPSERGSREQSRQPGESRARHAAALLPGRAAAARPRAAGSLAPRCLLDRAADARRARRRARVSSCPRGPLASARSRQPLRRRDGSLHPREGPRAAAAGGGDPPRALRGAPHHGAARGAVPAGNGAAADRPPRAARGAARRARSALGRGVSVRPSDGAARAALPARRGRARVTDAPPLIDTHCHLAEPDFDAERAQVLERAAANGVTAIVCVGATGPAADNARAVALAGRSGSVEIVAAVGIHPHHASTADEAAFATLTRLAGDPGVVAIGETGLDFHYDHSPRAAQRAAFARTVQLARTLGLPLVVHIREAHADAADILRAEGAVPLDGVIHCFTGERDDARRYLSLGLHVSVAGIVTFKGADRLRDAVREVPLDRLLIETDAPYLAPVPHRGRRNEPAHVRLVAEAVAALRGEPL
MGKSRKRRGWPQLPAPLAGKVVDNHTHLPLWEEQIPLVEGVRLSLAAQLDRAQKVGVSALITSGCQVDELAPTIRVSENHPQVFCALAIHPNEAALHAKVVATAPDGQTYQLQPWHEQYSLEEAVGQVYAAVTSSAKTVAIGESGLDYFRTSPQGRQAQQLGFRMHISLAKELGLPLQIHDREAHADTIRILLEEGAPERTVFHCFSGDSEIAQVLADNGSYAVFCLKKT
MTTSYFLVAWHPLNQNDPYDVEDLRRLAAEEGVVALGETGLDYYYTPATKVRQQESFIHHIQIGRELNKPVIVHTRDARADTLAILREEKVTDCGGVLHCFTEDRETAGKLLDLGFYISFSGIVTFRNAEQLRDAARYVPLDRLLVETDSPYLAPVPHRGKENQPAMVRDVAEYMAVLKGVAVEELAQVTTDNFARLFHIDASRLQSIR
MVAVGECGLDFYRADKVQDYKRQKKLFWNQVKFALACDKPIMIHARDAYEELLEILESAKREYGARLRGNAHFFTGNAAIAKRFFDIGFTISFTGVITFTKDYDEVIKAAPLDMIMSETDAPYVTPVPYRGKRNEPSFVKEVVKSMAEIRGEDEERLYDRLVRLMGNSKTLTGELAIYEIGYLILPSIPEDRLSDVVGAIGKVIAKEGGVEINAEAPFKWPLAYPMSKTIGASRYVLTDAYLGWIKFEVDRAKAPVIKAGVEKIEQVLRFLLVKAPRETTFTFAKAKAAAIQTFPMELPEDAVKENVIN
MIDAHAHLLPDFMKNIDVVIDNAKKTGLQAVINSAIEPHQFTFATQLAKTHPEFIFTTLGFAPQRIKEIPFEETIELIGQNTSIVAIGEIGLDYHWIHESQWRSKQQDIFKQLIELANTLEKPLVIHSRKAESDCIDILERFAKVPILMHCFAGNISETTRVIDLGWMISIPTAVVNRKKHRKIARKTPLEHIVVETDSPFLSPIPRKRNEPANIKYAVEELAKLKNSSIEEVDAITTRNAEKFFNI
MLFDCHCHLESPLFDDDIEEVLQRASGNLLGVVCSAVEPKDFEKSLAMHDRMPNFLYVSLGLHPEYAGSISAEDIERAITFIKEKSENIVSIGEVGLDYYWCQDERTRQKQKEMFRRFVDLSMMLDKPLIVHIRRGKDKQSNAYEDAFEILQQGGAKKVLLHMFGYKKLLTRALENGWHVSTNAIVESSKDYKKIVKAIPLDHLMLETDSPYLVPQMLKSRGVKRNEPLFIEQTAKKVALIKETSFEKICSQTTLNARKFFEIG
MEVIKGPASLTYGSDAIGGVVNLISPPPVPEGTISGSVMATYGTNQGLFNNSLRLQGHNNGWVWGTILSAKEGKAYQNQHDGRVFATGFKEKGARAMIGLNKARGYSYFNASLFDDQQAIPDGSRDDVTRQFTKQVTEDDAFRPIVSPAELNSYNIPALHQHVQLYRIYNNSNFILDNGANLFVNLGYQFSHRREYTHPEDATTAGLNLHLTTYTYDFKYNQTFGDDYETTFGLNGQYQNNTIGGATDFPIPAYHQFDIGPFATIKRVLASLIYPQAHAMITGRLTGNRLILIP
MTIIKLTEYQPDKIPRYQISESVIDELQQKYSNQVTVNLEYSKTGDYWQLTSQGWVGYIPLTNELSIQLQPKVPLNNLFGMLDYAYNLRSFD
MPRQQVVSAITHLRQLYRKSPHATEAEARAHDKREAVAKNLIDNIARTGSHPTLHTVLEVADIFSLTLQGSHRLFGYNLDRLRHYDAILNNASTRIIEHYAFERDLPIDLPHRFHEGKAWEATSSLHQLVSEWQHNVPIGAIDREPWRAKGTFYVQVGTLDSLGTSLPPGSIAMVEPISEEELLHPSPRSIYLLQFGNGYRCSRCVTTRGKLLLLTGGKHYTGPQEFRYPGQVRIVGRVRLFAIDLPTKENPLIQTPAAPRLDAPLALPWEHRSLHGLLATEYARFQRMRKGDGAYVRDVLENAFGRRLSSRTEKRYRGQTTSQPHIDVLIQMTMISVVRYRDALRAAGVLSSDRRRYSLETLLAARQLADVTQFERTAGVPQPITQWQKLQHQFVEWPTLLSQRFPRLRDFEGQVVRLPETGRLQGIEPQLVAGTIAILDTGNDISDVSVDPRKPGWQRPIYMLQRGTQSFLGFLRRDAEALTLFSGSPEVPPIALQHHEIPLLRRVAAIAVPV
RGGSADVTGPRLLRAGPALVLAVTVAVGTLIALGLRSPAAPAPAGAAAVTPAPAPPLSGATLDGGRFDLAEARGHVLLVNVFASWCGPCRDELPLLMEAERRWSPQGLRLVGLNVRDGAEAVRSLLDETDARTLTVLPDPNGTRAVEWGVRGVPETFLVDRDGRIVDHLQGVVTRQWLEQRVAPLLAG
MAKPLMFLPLLVMAGFVGAGYFAMQQNDPNAMPTALAGKEAPAVRLEPLGAEAPFTDADLRDGKIKLVNFWASWCAPCRVEHPNLIGLKQDGIEIMGVNWKDTPDQAQGFLAEMGSPYTRLGADPGNKMGLDWGVAGVPETFVVDGAGRILTRIAGPLTEDVITKKIDPLLAGTAD
MSHRRVLVLASIAAVTIVAIVAMVRYTRRVENRLSHASASGTTLTFLRERAAVPSFAAKDLAGNDISLSSLRGKVVLVNFWATWCPPCREEIPDLVELQQKYRDRLQVIGVSQDSAPPQAVLEFAVAHRMNYPTVMSTPEIEKLFPGVYALPTTFLIDPEGRLAKKHIGMLNASLVELEARALAGLDVDARVELVADEDKVRLENAAQANKIPGIDLSALTPEQKTTALQTLNSEHCTCGCGLTVAQCRVDDPNCNISLPLAQDIVKKIAADRTR
MLVNFFATWCPPCVAEHPELIKLNESTMSTGLRVVSVAFDDSSANVEKFFADNGGDWPVLTKDTGAVALDYGVKGLPESFLIDPAGTVVAKFEGGITAEALQRYVAESTAGGS
MAGLLLGGCGEITQFEKASGARVALSEFYGRPLLVSYYAPWCAPCQSELPLLQEMVTRRGLQVLLVSYDATSQEELGRQGAPLAPELPLLRALPNARLPYPKPRALPTSYLLDASGQLKETITGELSARKVDELVTTMLTPLPRPEPWMIYSSKQ
MTGLRTRHMLWIALSVAVVAAGLVGVLASRGPATASPGPCALCGTTAPPITGSSIDGVSMSPSGLGGRFVVVSFFASWCGPCRQEEPQLESFAREHQPRGDATVLGVVFNDDPANVASQLTANRASWPAVADPGGRIALDYGVANPAETYLVAPDGLVVAKFFGPVRESQLDQAMVSARAERA
MKKIILLAPLVLLIAITSFVFIYLISDKKPSQTPSAFLNKDMPYFETKNLQNANELFSKSDLNNKFVLINFFASWCTPCKAEHHLFFEIKEMFPNLFMLGFNYKDKENDAINYLYQYGDPYSFVGKDSDGMIGFEFGVFGLPETFLVNDQGKVIFKHIGPLTTEIINEKIKPLL
MGRYLIIFKFSLWVAIAFVQMHGLKSCLDNERIGLLEIKTFIKSVSDMQFADAILVSWVDNRTSDCCSWERIKCNVTTANYNNNGSLKQLKILNIGFNSFSESLVPLLTSLTSLTSLFLEGNNLGVGFKPMKVLPNLRNLEVLDLSGNGLIGSLTMQGEKLELLNNKCREMNARICELKNLVELNLSWNKLDGSLPQCLSNLTYLRVLDLTSNQLSGNLPISVFANLTSLEYLSLSGNNFQGSFSLSVLANHSRLEVLQISRLQIETENFPWLPRFQLKVLNLRRCNISGTIPSFLQYQYDLRYIDLSHNNLAGTFPTWLLQNNTKLEFLFLFNNFLKGLLHLPDSKRDLLHLVISNNNFIGMLPDNFGMILPELVYLDMSQNSFEGSIPPSMGYTVRLLFLDLSSNNFSGELPKQFLTGCVSLAFMNVSHNYFGGQIFPKYMSMTQLAWLYLNDNQFTGRLEEGLLNAPSLHILDVSNNMLSGQLPHWVGNFSNLDVLLMSRNSLEGDVSVPLSNLQVARILDISENKLYGPLEFSFNHSSSLWHLFLHNNSLNGSIPSALFQSSQLMTLDLRDNEFSGNIPPLINEDSNLRALLLRGNNLQGNIPQQLCHLRKIAIVDISYNLLDGSIPSCFTNIWPWMEEGDPFNGFVFGYTLVVEHFPAISAYYNSTLNLIFSGEDNRELRQRVEVKFMAKNRYESYKGGVLEYMTGLDLSSNELTGEIPSAIGYLQELHALNLSHNHLSGSIPRSFSNLKMIESMDLSYNKLRGQIPLELSELNYLAIFNVSYNDLSGPTPNTKQFANFDESNYRGNLNLCGPAVLKNCSTDLPPPPPMTPAEEDESAIDMVAFNWSFAVSYVTVIVGLLALLFLNSYWHRQWFFLID
SSYNTTMQVIPQQFIFCSLFHLQHLRQLNLALNLFMTAFPTRFDKLENLRYLNLSEAGFTGQIPIEISRLTRLVTLDLSIDTLFRTPLKLEKPNLKTLVQNLTKLRILYLYGVNISAMGNEWCQALSPLTELQVLSMSDLIRLDRNNLSGLIPQFFTKFPNLTCLSLSSVNFSGPIPSFSSSINLRDLILALNQLNGTIHSTDWSGLSKLEIIGLGNNKLSGTIPPTLFGIPSLRTLSLSHNQFNGSIGDFHGKASSLINTLDLGNNMLQGQFPMFVFELHGLTSLNLSSNNFSGLIPVSAFQNLRNLSALGLSYNRWSIDATTINISSLSLPTLAELDLASCNLTEFPGFLKNRINLARLNLSQNFLVGFERPLKNITSSVEILDLHGNQLQGEIPILSNAAYLDYSNNNFNSVLPAQIGDSLQYAFFFSLSNNNIQGSIPESICNSKYLEVLDLSNNSLSGPIPQCLFQMNRSLGVLNLRRNNLSGIISDTSSKSCQLQTLNLNQNRLEGKVPNSLVNCKMVKLLDIGNNHINDSFPSHLKNIATTWDAMQPNPDKNQSELQHLQCEDLRSGVKYQDAITITIKGLVLELVKIPTVFTYIDISCNNFEGPIPEVIGKFNALYSLNVSHNAFTGPIPSSFGNLLELESLDLSSNSLRGEIPLQLANLNFLSCPNVSNNKLVGPIPTITQLQTFSEASFENNAELCGPPLKTKCGLSPAKEDSPSDSETGSIIHWNHLSIEIGFLFPLYAVFAGYVFPFLFCL
MRYLNQSNVGFTGQIPIAISHLTSLVTLDLSINFDFFETGPSLTFESPNLNMLLQNLSELIELYLDGVVISAQGKEWCHVLSTSMPNLRVFSLSNYNLSGPIDSLLQNLKSFSVIWLNGNNFSSPVPISFCRFQESDISGSLLFWVEWKVSKKDFPGSLPEFSPNVSLRTIVLNYTGFSGSLPHSIGNLKMLSTIDLSNCNFRGSIPNSMTLRLSNNQFSGQLKEFSTISSNKLEELDLSNNELEGPIPISIFELRGLLASVSPLNPHNSFSISSNKLYGSILGSICNYTNLRNLNLPDNYFNGTILQCLIEMSGALLQVLSLRRNNLNGIIPDTFPESCYLQTSAINKNHLEGKLPKSLKNCHLLEVLDIGNNHMHRGHLPILLEWISHIEVTVSSKGSEVELVRILTIFITIDFSSNNFDGPILEEIGELTLLYILNLSHNAFTGQIPASLGKLSNLESLDLSSKKLTGEIPKQLANGLIFLSVLNLSFNQLVGHIPFIKQFSTFLENSFKGNERLCGLPLKSQCSHEEPRFSPPTYEESHRSMIEWNYINAEMGFVVWFGIVMGPLMFWKRWRLWYYKHVDDILFKIFPKVYLGNEYC
MDSSANFPNPVYHFNIALCFLLFLASSCLNTSKLCLGDGLPVVKCIDIERSALLIFKADLIDASGRLSSWEGQNCCQWKGISCNNRTGHVEKMDLRNTSPAPSIDVEDQEFEAYEESFLGGKINPSLLRLKYMSYLDLSSNDFQGLQIPKFFGQLKSLKYLNVSSAYSSSSLSSSFGGQIPPHLGNLSNLNYLDLSGNYLLEISSINLNWLSHLSSLKYLSLNEVDLSNAGATWLHVVNILPSMLELHLSRCNIESLPLSLHTMNLTSLLILDMSLNDFKFPFPKWVFNLTSLRELHLATNSFSGPLPNDFVNLKSLEELDLSLNGLHGRIPKFFENLCNLKTLILSENAFDQGLPEFLDGLSGCANNKLESLDLSSNKLVGELPASLGMLPHLQYLNLFHNHLNGSIPESLGRLSELVHLDLSENSLVGFLTEAHFIDLAKLKYIALGNISVPNSLPSIIFNVSYEWVPPFKLDTIAIRNCQVGPAFSLWLQSQTEPVYVTLSNTGISDSIPEEWFVKISSGQLQFLDLSFNEIRGKLPVQLIVPNLVGLDLRHNQFRGSLPLWLCKEASYLNLASNSFSGPVPSTFDQHFPKLRELHLYENYLNGTIPSSVCNMQKMLIFSLRSNNISGEFPQAWSTWGDIYIIDVGDNHLSGNIPSSMGIPSSLFLLSMKNNKLDGEIPMALGNSTNLRSIDLGGNRFTGNLPSWIGTNVSKVFILRLPSNSLSGHIPQQLCNLDQLHLLDLGHNHLSGTIPTCLNNMTALTDVKFNAYDLYHAYEQQIMVTRGREFQYNKTLKWVKSIDLSSNSLEGEIPEKICSLFALVILNLSMNHLSGNIPSKIGNLRSLEFLDLSGNHLSGRIPQSISSLTYLSHLNLSYNELSGRIPLGNQLQALDDSTNIYRGNPSLCGVPLLNKCPGDDRPPQPTFPRGKRHEDDEKLGFYISAVLGFVVGFWGVCGTLLIKKSWRYAYFRFFDRIQDKVAVAVALKIAHFQRKI
MPKNYVLIIVLVLLHIPFPGFITGATGGEIGCIERERQALLKFKEDIIDEDGVLSSWGGEEEKRDCCKWRGVGCDNITGHVTSLNLHSSPLYEHHFTPLTGKLQGLVPDGFRKMSALTNLVLSRNQLEGGIPRSLGEMCSLHTLDLCHNNLTGELSDLTRNLYGRTESSLEILRLCQNQLRGSLTDIARFSSLRELDISNNQLNGSILESIGFLSKLDYFDVSFNSLQGLVSGGHFSNLSKLKHLDLSYNSLVLRFKSDWDPAFQLKNIHLSSCHLGPCFPKWLRTQIKVRLLDISSASISDTVPNWFWNLLPKLAFLNISHNLMRGTLPDFSSVDAVDDTFPGFDLSFNRFEGLLPAFPFNTASLILSNNLFSGPISLICNIVGKALSFLDLSNNLLTGQLPNCFMNWSTLVVLNLANNNLSGEIPSSVGSLFSLQTLSLNKNSLYGELPMSLKNCSMLKFLDLSRNQLSGEIPAWIGESLSSLMFLSLKSNEFIGSIPLHLCQLTNLRILDLSQNTISGAIPKCLNNLTTMVLKGEAETIIDNLYLTSMRCGAIFSGRYYINKAWVGWKGRDYEYERYLGLLRVIDFAGNNLSGEIPEEITGLLGLVALNLSRNNLTGVIPQTIGLLKSLESLDLSGNQFSGAIPVTMGDLNFLSYLNVSYNNLSGQIPSSTQLQSFDASAFIGNPALCGLPVTNKCLGGDLPRNLVMNGVIQDNQETVHEFSAWFCTAMGIGFSVFFWGVSGALLLIRSWRHAYFRFLDESWDWLYVKVAVRKARLQREFQRLHEHVLA
MNAQPLVRELAFRVLVVVTPSFQFIGRKLIRRAEPPPVDQPLIEEDIIPSPLKPSAALGIEIQDITEVMEAIEADFVPGSDVPEVAEEKKESADLPFEREKSPDKEMVDLSGPEAAVPEVQKEVPSAGEEEQPEQGEDCCSWSRVKCNPTTGHVSELRLHANYIERDDYAGNDNLFEARKMESSLVYLLELKHLEYLDVSANYFNFSKIPQFIGSMNQLRYLNLSYCSFSGKVPNELGNLTNLQVLDLKSYETNLFTDSLKWASNLRQLRHLNLRGTDLSQVNNWLDSLIGPLPFLRFLDLSNCSLVSRHHLKNSSSASHPPSIRILDLSYNNLEGEIPSFIQNLTSLESLDLFRTNFGPEIPLWLGELKGLVHLGLGEAAFTSVEGGDFWNLVRNLHNLTYLDINKTFLSLKISSDWVPPFQLQLFTASTCMINGPFPLWLKTQKSLTYLRLSDAGIHGALPKWFHKMQSLTFVDLSDNQITGCPTFPGNFVSLYLYNNSLSCQYLTNTRGRNTVNYHADIINLSNNFISGQFLEYLYHEMPNLGTLILSNNKINGSIPNSMCHFTSLHLLDLQRNRISGTIPHCLRDLYNLHFVSLSFNKLSGDIPCFNSNLSYLHLNDNMLSGQVPSCLANLSGLDILDVGENNLSGEIPTFITGINIPGLRILRLRNNKLKGFIPGQLCSLSRLQVLDLGHNYLTGSIPSCLSNLTEMNSRNESINYLLDFSDKNNVNEVIKGIECEYTSTLGYMVNIDLSCNKLIGSIPEEITNLSSLLGLNLSYNQLSGHIPASIGGLQSLESLDLSRNKLQGTIPTSMGVIYPLGFLDLSYNNLSGPIPTGRQLQTFTNSSYIDNPHLCGYPLSKKCQSNNDSPNGSSGDQNNEDEESEDKHETMWFYLVVMSGFATGFWCVVGTLLLKKSWRYTFFRRVEDAQDWLYVAIVVRMAKLKNK
MGREHFQILPLILLALLVSVEASPTSIDKEMAALVKFKESLNDTNAQLSSWIGEDFCKWKGVGCNNETGHVEKLDLGSIRGLGGQISPSLLDLKYLNYLELSNNYFQGMRIPSFLGSLEQLTFLDLSGASFAGMVPPHLGNLSNLRHLDLSSSISSWAANLHWLSGLSSLEYLNMRRVNISLATTHWLQAINMLPFLTDLYISHCYLTNLPPSLLPSSNLTSLSVLDLSDNNFISSVPQWLFNISTLVDLELGSSSVKGLYPHLASGNFCGFRKLGLEDNDIMGEISEVVRNLAGCTEDSLDELSLAGNRLSVQLPDSIGHFKKLRSISLDGNLITGPIPASIGELSNLESLDLFSNKMNGSIAETLGTLGELNYLDLRENDWEGYLWQNHLQGLAKLDTFMISSSLSSRKALVFNISNGWVPPFNLTVIAISHCDVGLKFPTWLESQTQLANILLTDLAISDTIPNWIWKLSSKIGALDLSNNQLRGELPISLPFSPRTWVDLSSNRLEVPFRFGLMRGLHILDLAQNDLSGSIPACLGNLSGVSSLASNHQQSLVFPVIGMEVVTKGRELRYTLTLNLVNIIDFSSNNLTGEIPEEITSLSTLGALNLSHNQLKGWIPQKVGGLHLLETLDLSSNHLSGQIPATMTSMTSLNHLNLSWNNFSGPIPSANQFLTFNDPSIYEGNPQLCGSPLSTKCTTPDVGDDGDRSHANAETDIDRSSFWSSAALPLLLRGLRLGLELLGPSRLLAQRGRRIDWFSVDPSSDRCRRRASPLRTPISAPSPAPSPPICSAPPSRLGPPSSPSAAVERATSVA
MANTIYHEHFTNPIIFFIASVLVLESFTTTTALVWSNTQPPTSPGHRTGKCTEKERQALLHFKSYIHYDPRGLLTTWTPGEEEKAATNDCCYWSGVTCNNHSRVTSLELSDGFLEGKISPSLLNFSYLNYLDLSSNWFNGTIPMFIGSMTQLRYLYLGWNDFSGIIPSELGNLTNLQELSLESLSSCTVENLDWLSHLSQLDNLVMSGISLGKVDNWVNMILSLRKLSYLGLNACDLSHVMHPYSYSYVNSSFSSSIVTLSLSDNNLNSSMYHWLFPLTSNALVELDLSGNMLDEIPKYLGNLCGLTYLHFGGNLMPIKLPDFLSNLSGCTSVTLQYLDASYSEFTGSLSDDIQKFSSLEDLELSNNQLHGTISEKVWQLPMLRTLDVSSSFLKGAISENIEKSNILYVNLSNNSLEGVPLEAHMSNISSIEDIDLSSCKLGPRFPKWIQTMKNLSSIDLANTQISDKITEDFWNTWPSRLRYLNIAFNNITGTITDMFSNFDPHSSTIDLSSNNFYGHIPNVPSRLNNLDLSKNNLSGQIFFLCQIVDGSLKFLDLSHNAFTGPIPDCLWHFTELKILSLGYNNLSGRLPTSIKFLINLEVLSLYNNNFSGKLPLSLKNFTQLTFLNLGANKFSGYVPGWIGERLSRLYALILTSNKFTGTIPSHLCRLLYLQILDLSMNKIQGTIPSCLSNLTSMVQVIAVNQNVHSFNLSLAYVDRSMIKWQGNLREFSITLELVKIINLSSNNLTGKIPDKLTDLHKLVALDLSMNALVGEIPSKIGQMKELLILDLSRNNLRGGLPSSMSQMTLLNYLDVSYNSLSGRIPSGTQLQTFEPSRYIGNAGLCGLPLSKYCPGDKELEGPPITREHVGDGEDVDDLQRWYYVGGATGFVAGFWMVCIALLVNRRGRHAFFHFMNSLENWVYVKVMVFTARLRRVSHP
MKYLAIASTALLMTACTASSDSPQSAADREAENPLATVAATGSARSCLPVSQLRQTEGVSSRAIIFEMDNGDLYRNELTANCPGARQDVAFSYSTPTQQLCRGTILTFFDPAVRAPYGSCALGEFVPIPEDARDMMKDEPEG
MLSLHEAMQLDFELQDMDVRFGFGLGFGIEAAVVGSDVTVSDDAAGLPPVVGVVGVRKIDDATGGDEGSGGGGGVLLYCDGGGEEDDEERRRRLRRKISNRESARRSRERRRQRVEELERAADELRAERRALA
MWVMSYCIVWKFPFDGRIVPTIQIKAKTNLIVTAEEYIPFSCNSHGPDKMHLETYQKDLKN
MATTLEQALRFQKDHSVLTPPTSPPDPASKHDPGAAATPTTVQFALRNNTSSANVFAYVTGLDVGRDSRVVMLRSDGQTTYYPTNPGADLQPLGDDCAIPLAGPGQTRTVTVPRMVGGRVWFVQDARLTFLLNRAAGDKAALVEPSVVNPSDPNRELRWSFCEFTLNETELFANISYVDFVSHPIALVLESGNGGSTQRVEGIEPDGLARVAAEMARQHERDGAGWDRLVVRAGGGSGSGELLRVVSPNTGIHMVDGLFSGYYAGYVDAVWKKYASADLVVNTQAQWGDKKGRVVDDKLVFSADVGAFPRPSARDIFSSDSGALAPPPGSDPPVARNVAARLAAAFNRATLLVNAAQPQGEVPATYYREAVCNHYSRVLHEVNTDGRGYAFPYDDVGPSGGSEQAGTVADSNPKLFTIILGGRRGAMAHKL
MANGLCNIAALQDKAALQDKAALQDKAALQDKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALHEKAALQEKAAPQEKAAPQEKAAPQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAALQEKAAPQEKAAPQEKAAPQEKLRTRKRLHPRKRLHSRKRLHSRKRLHSRKRLHSRKRLHSRKRLHPE
MSSTPSSAISAQEYAKVKEEVTFAYTTYASVLYPALSKANNHADCLIHAALLGDSTARLQNFVNNLLSDPTFFDIIFKVRGCLEALAANAQTRGEAFTMPACHSAVRALTKRIHEVRAATQPAASVASAINTAGNSNAVTSVPPAMKTKTTRRSNRKGKAPKSVPMIVDTSSEDEEEVQIIGGDIAMGDSTPPVNNTTSTDSVMSVDSVVPGTTLSVEPMAASTPSIPAPLPANIKFNKNKDKEPIVESNDASKAQAVHYAKKGFEETRKRQRSGVGSEYINIAPGTSLAFPNSSAQVAAAASSSSSPAATEIIHKAKDLVHNISLSPKSERGLIKQESDLRSELDVTINRVHYLLQYFDLLKAQHVQVLKELHVAEGTSVE
MSFLADFEPVLIHSPFERSQQRQKTESSSPPNTTPSMAQKNDKDNKNLFWRRSNETSNSSTLHERTSGRDKLVMFLILRNSRRRDSQMSFPLIHYDLLSSFIRFIT
MKILAFSDLHHARARAADLVTASADADLVVGAGDYCNMRQDIEGAMALLDGITAPLVLVPGNAESVDELRAAAPRATVLHGEGLTIGGLRLFGLGCGVPPTPFGEWSCDLDEDTAAAMLQGCDGTDLLICHAPPKGMVDVTSGGISVGSTAIRAAVERAQPKLMMCGHIHDCWGQEGQIGDTRVVNLGPTVNWFTLGV
MKIVCVSDIHGKLSSVKKLVSEVSSVDLLLVAGDLTDFGGYSEALEIVEELEKIGSKILCVPGNCDTIEVIRALEEKNCSVHGKRVIYKDIGVAGFGGSSPTPFNTPLEFSEDEIFSKLSIVEPGDILLTHAPPKNTDLDRVLFGTHAGSISVRRIIEEKRPVLSVCGHIHEGRGVCRIKETIVVNPGPLFKKYYAVAHIDDKEVDVELKKIST
MTTHNDDVDWDSWPVADYLRENYREVHPSDAAIIAHHSAFYRRFRPGAIARSVEFGAGPTSTR
MSTSAASEHGARTRGRPRDPALRERILEAAATLAMTSGVELGFERIAQEAGASRTTLYRWWDSPEELLLDAMLERTRWSLDHDSEGTAAARLRAQVYDAVEILSAPDTGKPLRALAAGALTNEKVRSAFNKHWLGPRREVAARILSDGMIRGEFRQDLDVEAVIDALFSPIYHRLLLTRMPMGRTYAATLWDLVGPGLRPD
MSTVAKTTKQNGTVTLEFPEQLAPFVKIGLKNIGVKLAQDDQFHTNDKRSLSASVASIGLADIYDSMTQAGVFY
MTVSTLSVVPEATTASGTAPSAQASPQNLLPTPTNSHPSAANPPAAPVWYWRSADLHQQAIARAARDDYPAWLTHVKAASACTRPVRLAGTIATIEAATGRLLAERSTADMPDGVIYKPCGNRRESVCPACSKLYQNDAYQILRTGLIGGKGVPEQVAHHPAVFPTFTAPGFGEVHTRVVKRHTCARRKDCDCRPEPCHARRDLSVCSHGVRLVCYARHSGDDPRLGTPLCLDCYDYGTQAVWNVMAGELWRRTTIAINRYIRRLARQRGIPEFRIYYIDKHGKQRSRLVPPWRLSFGKAAEMQRRGVVHFHAIIRLDGYHPDTPDSVLPPPAGLDAADLVAAIDHAATTVHFTTDPHPAKREGWAIRWGDKGVLTKVITVAADGDVTDTQVAAYLAKYATKSTEITGHASARLDIDTIGLHANPHGSHTERLINACWRLGRAPQHLSPDHGDGLRTLRGYQGPLDGPFAQPWRCPACGTRTHLRVCPTCSAHTAADDRATTAALKQQQPVQRPRATDPAARLRRWAHMLGFGGHFLTKSRRYSLTFAILRGSRVEFRRQQSAGPETTEPGEQPTTLVVNFLQFVGSGWRTAADAMLANTSAAMAREHQEAARAYLATAAA
MKSGNALRLVCALLALVVGAFLPMSPASTWANAARDSEVSGAPQVGPDNLGDARRAAGEAGQQAKVLEEGASQLADGIGEAQEQTQQLIDALTAAQTGSQQLSDGMVQLQAGTGQLGAGATQLADSIGEVVGQVTGFEAVRGQVVGAIDRTLEELKDAKDPEAVQARESLRGLREQAQTAQLPPDVVTKMNQLRDGSRDLANQLAVPGYEFHDGIYTATNGSAELARGLAELQSQTSQATGGIDELVAGVGKIDHMAGLNAEKVSAVRAALPVPAVAQNAQEGEDSGSTLAPVAAMLLAVLAVFGGTALAVAAWFAAGRRWWIFGVGSTLIACAGAILAWVLGSGLDLSATLLVFAGMLGGTLASSGLAYVLLLLLPAGVGMAMAGVLSVVQAALVGWVWRTATTSGVPATLVAISQATPMHWATTVISAAGNGGDYRSVVSAFLLSAMLTAAGLAGSKGRE
MRSRDSARAILDAFRFDGRSHSLAGLARGDMEFADRAQLTLLLSRFDLAAPVREHVEAALARNTVRVERVRAAYQEIAPRFEHVVLKGFTHAPAFIDDLRLRVQYDLDLYVPPSEHGPARDALLSLGYEPIRGMEGLAMDHLPTMVRRTGWEWRGDYFDPEMPVAAEVHFRFWDARTERLRPGSLEGFWDRRDGKRLALVDMLGYASLHLVRHLLRGNIRPFHVWEIARFLHTQHDGAFWRLWKQWHSPALRRLEVVAFLLARLWFACELVPEAEEEIAALPEAVHR
TDGKNETISLSQLTQFLNDKQRDPRLNEILYPLYTEKRALEIINDYEKDEEVKAQQSMSLDGLMRYLMSDENAPVFLDRLDTYMNMDQPLSHYYINSSHNTYLSGRQFGGKSSVEMYRQTLLAGCRCNPVYNEEPFVFRKIVLPDLAVLRFAVYEEGGKLIGQRILPLDGLQKGYRHILLRSEANFPLSLPMLFCNIDINIYVPDGFENFMDFLSDPKAYAGNQIGPMKSCDNRDSIPGLKKDNSKDLLDEPKALVFESVTLESIKNEKQFAKIARKQSKDLEILKKKHTKERLAVQKAQNATIHKLIKGRSNFDIRNDAAIKAAINEQSHQWTEMLNRHKKEEWDILKQQVEENKETMKKLMESVQASQMKQLDEKHER
MMIFWVILILALVLSIAMIVMVLLQPSKGGGITAAFGGIGGTLGSTFGQRRTLEALGKGTTYTAIIIAVLCLMANLFFVPRAGESSGAQTPVTSGANAPIVQPAPGGGGLNVDNQSASPATGESSAEGPSRSGGEQSGTGAASAPDGGNTGEE
MFSKKIICQIFYLTLFFLPLAAQTNKYSADEAIRFNKKIEAKGYQSDNPLNIFYRKTVNDGISWRKRDIKYNINDKTLSKEKLMSTIWLMESNTSWMLLFYADDYFAIGWGDIVAFGKYEIKNNKLILSSFDYNHNVEFLNTIFTGQSITATLSFNNEHFYFANELNLNGTNFCPDGCIKSNGDTAVIEGTPVIVEQSEKTMSDNVRFRTGPSTKSKTQNVGLYYEIYSEKTDTIKKGTKITTYARTINAETIDGVKAYWYYISIPDVESLQFGWVFGGYFTKSY
MNKSSFQILEDNSDENAGVFYYKETKYSQMKNKTNLKEKESRRKILKGSNSEGLVKDSYGGKINGGSITKANGKESQSTLEKDQSNEVGVEESKGNKPQISLGFNPIIISATTLLELDHKAVIIDDNNTFVGHSIASSSKDPLHAMKRVGTSMYEITKRGFKVWKKQAQISLQDFVNAHNVIRAKAGVGPLVWNRTLASYAQNYANKWIGDCKMEPSYGPYGENPAEGHGNLDGVDAVKMWASEKPDYDHNSSRR
MEPKANLPLNIAVVGHTNVGKTSLIRTLTRRNSFGDVSSSPGTTRHVESQVLMVTGQKIVRLLDTPGFEDSIGLFDTIETLDDGREKLGIDRLALFLQSKEAASSFSQEAKVIRQLLECDVAFYVIDAREPVLGKYQDELRIISLAAKPIFPLLNFVASDNSRVDQWTKLLKALNLHTSMQFDSVAYDFFAEQQLYKKMQTMLAVGWQQPLELLINHRLEAWQDLLIAAANRVATLLIDTASYRQAITKDTSLASSAKQLQQTIRKKENTAALDLLALFKFQSDDYGANLLPVSENSWKLDLFDPKTLKLFGIKATSTAAKGAVIGLGIDMAVGGVSLGAAAALGALLGGLWQTGKQFGREAIAYVSGERYLCAEDNTLILIWRRSTSLTSALRHRGHAAQKPVMIAKPQSEANTEETTADQQVMLSLKIARNHPQWSKRQGLVEFAPTAKQRCIAALTTIIIAQWRETYNIQ
MQPASVMLIPAGNYNPTQAVPQNIDDSQPQSSNFFYISGYNDKQSLIFLWRGGDGKDSKNTNKNSTKQIIFSPSPTASTALWTGELLSPDKLARSMMFDNGLPLDAFTVFIKERLQGIKNIYYPIGEKSQLDNYICDLVNQLSNHGLRQGITTPKNIITSEDMFASMRLVKSSTELSKIKRAAAISVGGHKRLADQLHNLKHEYQGEADLSYHYKLNGGTAAFPSIVAAGKNACVLHYTKNDQAIKPSDSLLVDSGAALDHYVADMTRCYPPRNLNTSKAKAYVEVYEEVLSVHKRLCKAVKPGMTLAELHKKAVNWLVDSLLKLEIMQGERREIIRAGVYNRYYPHQTSHWIGLDVHDLGLYQGQKLGKGMVFSIEPGLYIPADDKRVEPHWRGIGVRIEDTLAINSSGVVENLTQGMPYWQNSQSSQSSQSKQSRQNRQNRQSKQSKQNRQSKQSKQSKQSKQNKQNSQSKQSKQSKQNSQSKQSKQSKQNS
TGLQTPSGRGQLSGKHSGRGCKPRPAEGANIPDGVANPVRQRPRPAEGTLLFKRNNQCQVG
NPEMWSMMAKTMNEKLGVNPQDVDHYFMTQININSIWETMDKLGVSRDKAPTVMQKYGYTGSACIPFALHQTILRGEIKHGDLLYFIGSGGGLAFASAAFRY
TYAMTKFLSWRYSWEALFSDGAGAVLLGASDEPGYLGGVGLSDGSYWNFWGIFMGAGTMNVSGIERGLHLLDLRRPYPPTVNEEGWVLLFNDLLEKTGFSKDDLGMIFFTQVRRKTIFKVMDALELPYEKTHNVMDKYGYTGSACVYMALHDAIENGKMKDLEGKVVIFITSGVGYSQYAVAFRW
MVKFKVEVIDNTQGKGKRKWGDINPATGKVEGSYGAGGGIREEDSEITEENGYSNIVILPVGTSPHAYIEARMKEIEQNNSKKG
MRRKILVYVILMNIIISGCISTYSRQNTQVQNIQDHEWVSNTSKLFAEARGIDGGYSDFPPQFFSFYSTYYFLSCLDILDKDMKYKDATIDLLLSKEQDLLKSDDINLNDLYFLTLSLDLLETQPDNKSALISKVMNLKNSDGSFSEVKGSEGTLLDTYRALSVLKIAGADLDQIPDTKDWLIGKWKNTGESTQLLDITSEFNLLYYALDLYGVDIRTSKEYAQETNWILEQRYNIESQLESIPENEIDLITLDSFTEFLSITGGIRSDIKTTIESYLISKQLPSGGYNVFHEKYGESQGTYLALKMYSIIGADLNDNDVPFIYRHEVLDGSGGFRSSYRLISSAENTYLAVQALKVLGEDVPDEEVYDYLGTKLDSSYVNPKDVYFIVTTCQLLDKEIHVDKKLVEWIEDSIGDILNKENQDLEDIVNLMYLVETANYLDINLDFTNKDDFTKRIQYLQTEEGGFGFESPDIIMTYYIVMILSELGSEPLDKESCVSWLKDGQIEDGGFAMRRNGVTANGSDMYSTYISMSCLSVLESEPNNPEELLIWLDNCRVGAGGFSFTIDYALIDATMNSSEASLETTAWGLIAWNHLSNSVGRSEELDLQ
MDWAKLIAFGDFSDGPLLQISKEVAESKAVADAKTGVLTKAGNATSSLSTG
MANQFAEWREAVITKVAELKRTDKKLKFFGAKTHKYSFNPPLSLEEADLFEKTHQIQLPPDYRFFITQIGNGGAGPYYGLRPLQDFNAHYIHHEVEPPAVYLQQPFPAHYWLNEAETRITRDMIQQSVFGTISICEEGDGYNHLLIAAGKETNNVWFDGSVTDQGMIPFSMPEKERFSFFDWYNSWLDLAYQELVQ
MQSAPSILASLQQLAQRDPHFQAFGAAEHQYQLNPCLSAGDIKAIEAEFGITLPEDYVWFLTQVGNGGAGPFYGLYSLQDSLEVHTDFASPANLTTPFPLSSATLPDEHQLALLEEQIEAAQATEDEDLESELYEQYQALLFPSEWANGYLHLCDYGCGISFFLVISGAAAGSVWENRAVDAAGLMPSTELGNSEPIGFLEWYQLWLEKALKQQP
MDVDTEVTLWNRLFNDDKPTCLVVSNRKFVLQHADNIIVMREGKIEAQGTLEVLLENSEEMKQIWSIIQ
MTNKLSNGNLLKDTLNNTQSAIVSWIAPLAFAYALIIAPLLMFSATAESEFGSGINQTVSEGTASNKIVWLVLTGMVGYFWANTGNKELKRARLHIFLLFSYLLLEIVSAVWSDVPAISLRRSMQQALLLSCVVAPFVMVVDRQEIVDKVCWIFAAVIIINVMTLPLLGIPDFGYRGIYSQKNSLGQIVTTGFFFFAYASARRQGCLRWLFAALALLAIGLCIVSHSKTSIGLLFVTPVLAGLIISLSRIKDALARTIAVVMCLNLAVAVIAASMIIPFGISDISEFIFHDTTFTGRTKIWEFAGHYIDQAPFVGYGFGSFWGVGDAARALGEGFIAGLLQAHNGYVDVVLEMGYVGLLLVAVLTIGLFLSILRLAQYDALTSLLLLTCLLFVLLNNTMESSLFRSYVPLWMVFLLCCGVTWREPAVGRTAP
MTMLNFMRCGFPAKTIRGLRKPFWDSVCRNWRHGLSCRAFSSADTGPETQKFRANHWLDNSYGLYESHIPTSTAQKMLIAASAAFSALRDPERGDQVAALGDTTAYFALHRIRDRMRGDPVGRQILRERPIVTAERLDLPRLRNLPPGTFGHQYAEFMDSRGFLTDERPRVHYVDDEELAYVMRRFRECHDFVHVLTGLDTSVESELAQKLFEFLQTGLPVCLLSASAAPVLLPRERLLTVWGVWVPWAVRAAAEARFMLSVDYEAALA
MSGLLRYLHCSPAWRVLRPAAPGMQGGRFRSNYAAEEGIEEEIQFAAARQEDPSSLYSDHIPTNAVQKLLLSAGSAVMALYDPYRHDMVAVLGETTGAVALRKLRDQMRRDPEGLQILQERPRIRMSTLDFQTLREMPDGTFGREYARFLDVNHVTPDTRMPVKFVDDEELAYVAQRYREVHDLMHTLLGMPTNMLGEVVVKWFEAVQTGLPMCILGAAFGPLRLNNKRMKKLGVLVPWAVQSGRNARCVLNFYYEKRWGQSVASLREEIGILPPPEIKA
YFILDFDKTKTGVQNLFTRMVDKTKKRS
MPTPADPRMDECEELLAAWQEHRAVLRRALVGLTEEQARSTPSVSTLSLASLITHAVRGEPEWIPVIAGRGADWLQRDGQAEFEVPATATVAGLLAEHERVGAEVAQAVRAVKDFDERVPMPKTPWGPQDEPRTVRWILLHLIGEAARHAGHADVIRESLDGANAFDLQQAAPGA
MGNLIKQRKLNLKRIVLFVVGFLLIMGGTVSYAIYQKVDKSVDNMYEPLQKDSLQQGEDVSIKDRKPRTFLLLGVDERPNDIGRSDTMLLVAVNPDKKKTTIVSIPRDTRVFNTLKNRYTKINAAYVYGGVEGSVKTVEQFLNVPIDYYIKVNMEGFKDIVDTIGGVTVNNPFDFTLEGVHLSKGKQHLNGKEALKYVRMRKEDPRGDFGRQQRQREVLNQVIDEGTKISSITSYPKLLKVLENNVKTNLTFDQILDAQRAYKPAIQTIEELEMEGKGEKIDGIWYYTVTEETRAELSETLKTQLSTNENSTSINND
MEGKKRKKLKIVPFILFCSFLVLIFGVGYVLANQFIFDRNVASELFEPDPEEKAKMDKRLNFLLMGIDAREGETNTRTDTLILVSVDKEHNRIAMLSLPRDTRVRIPGHGYDKINAANVYGGPELVMEVVSDMVGMDIDNYLMTNVRGFRDIVDTLGGVTLDVEKRMYHYDPYDEPDLRKIDLQPGLQKLDGNKALQYVRFRSDALGDVARTERQQKFLKALAKEMVQPSTITKIPRLVPTINKYLKTNLSLTEMVALARAGQDLSNVEMVTQTMPGKFLNMDGVSYWSVDPDQAHMVARSMIEEGKAFDVVLGEENINTSKKTTSTPETGHDQSTEKEVTPEDSTGNKTGGSKTGTTTDKKNQSNIGQKDPGTHDPNSNVQVIVKPSDKDDEQPEGETGTVNTTPKNPEGSKGGNSNSGSNNSSNSWLPAQPL
MFDPGAGSAASVRSTIGGRSRRRRRPPWGWIAATAALVLLLVAVFGIGGAVWYYKSVDAGLRRTDAFGRGHDARAAKATGGAQNILLLGSDSRDADDPGHTPEPGDAHTDTIVLMHVDAGHEKAFLISIPRDLYVYVPRSPTHPELGDTNAKINAAFAWGGLPLAVRTIEGYTGVRVDHVVMVDFEGFKKAADTLGGVDLNNGREFTSIAEPNYRHFDRGVIHLDGAAALDYVRQRQQFADGDFTRMCHQQQFLKALLDKATSTGTLTNPRKLDAFLKSVTKLLTVDNGFSLASTALQLRNIRSDDLTFLVSPNLGPVMAGDQSVMAPDDAKAKTLYDAVAKDKVADWISHNDTGLEEVASAGCAGQ
MRKYVIILLALLLAACSSGISEKDNRQKEKGTTWNNSEPKTFLLVGVDSRGETDSRSDTIMLARYEPKEERVKLVSIMRDSYVEIPNYEKGYHKLNHAYFLGGTELLSQTIEENFQINIDHSVVIDFKGFANILNTVAPNGLEVDVPAAMIEDMNMNIEPGKNFLNGEQLLDYVRFRHDDEYDYGRVKRQQEVLALLKEELKNHMTSIEGIAKSPEMMKMIMANVDTDLHIDQMFAMATSVLLNPITDIETMRIPVDDSFVDKNSPHAGAVLDMDYEENISALRQFFKEKNVTEVNG
MNNDEQMSRVSKQNKNKKPKKRMKTWKKVTLWIVGILVILIGVGAFAAYYKANQVANDVYKASGAKSVRNADKILQEKKPVSILFMGTDTDFDGRSDKGRTDSMMVITLNPKTKTTTMVSVPRDMKVNLPDFPQYSPSKINAAYTYGDAKEAINAMQEHFNIPIDYYVVLSLGGLKKAIDQVGGVDVVSPLTFSFKGENYVKGQLEHMDGTKAMWFSNMREQDPQGDYGRQARQRLVLTALMKKAISYKTVLNTAFLDSLSKNLKTNLTMNDMLQLALHYRDTNKNIKSDYAHGTNDNEDGVSFQDVSGSEQTRISNVINNSLK
MNFNQRNNKQKNKKQNKKSLTKTFFIIVSVVTLSFCIVASIFAISYIAKNKKNEDLVQTDEGNEKHEEEIVVEQEKSEIKDLNIMIFGVDKEKSLSDVNMIVRFDPKTSKVQLVSIPRDTYFNLKKSQFAKWKEKNKNVPTTVKLTDVHSYVGSEATIELIEIMFEVDIDYYVKLDLDGFKKIVNTVGGVEIDVPRNMYYPDPAQDLYINLKKGLQTLDGDKAEQFVRYRGSLRRDLERMEMQQLLIKELAKKILKERNVIVLTKLAYDLFKYVETDFPLTQIPTYLPAVMKIDVDNMTMYTLPGEGERIDGIYYHRLDEEEYKKIMKEFVKKVTKE
MMRIKRILLIIGILILSLGASFLLWGARHPKEFLLAFSSNGPINSLVNKLTASPINILVLGIAGQGSRGGLLTDTIMVVQLNPAQQKIALISIPRDLYVKNPFNNQPIKINSIYASENTIKSFPQATSFQNITSKVEDITGLPINYTIIFDLEGFGQLVDLVGGVTIWLDENVYDPTLVNPYNPTQPFYLAKGWHNLDGALAIKLVRSRYASSGDFFRIKHQQQIMLSLKDKLLNLPQTMNLSTIASLWRTLQNHFVTDLNINEAINLVRFIKNNNIANYRLVTMVLDNKPPNQLLISTSIPLSSDNQQTMYALLPKLGFEKYDEIHKFIQNQILLNNE
MRYMSELKTAENKKPKFIRIARWVILILIVAGAAAGAKFVYDILNPASLFPQAPVMTPEPEPEEPEPGTAGPEETPVQEPAPTADPKEVLTGISDLEFMKNRVNILVLGIDESAERADWGSFRTDTMIVVTIDFETNDVHMISVPRDSYVKICSGSGNPVLTGNTITTDGVNFEKEILFDKINSAFSRGGGSKKKGYDYARMTVSRLFGGIPIDYYVGFNMNVVKQVVDAMGGVDYEVDIEVSMNGRELHPGFQHLNGQQVLDYCRQRKGDSDIARVQRQQNMLFAIFKQMKDTGQIVNIPSIYKAVEQNVETNLSFKQVSSLALLALDMSVDQLQKHYVLGKAYSMRGRSYWLINTGKLEDLVWDVFGVKMNSDPDIDAGRIIERIEANRAAIAAELAAAEAALKEADRLVDLYGHLFDPDSKKLLSSAVSWVEASIEHEDKQELIDSTAMLNGINAQLLSVFGQTYPWQTPDPVFYDQTPEPGLFGNSPSPTPEDIF
MLARFALAGVAIVVLAAAATATAGLLQIQSVVDRLSGDGQAVEIPTITRAEAGEAQTLLLLGSDARWEDRETGRKPRADTMMLVRMDPDAGAVSLLSIPRDLMVDVPGIGERKINDAYAEGGLELAVETVTNLTGLDINHVVNLNFGGFRQVINAVNCVYADIDRRYYNSNVGVPIGQRYDAIDIMPGYQRLCGIDALDYVRFRKGDSDIVRAARQQDFLRAAKNQLSTSSLLGQRDELLEIFTSNTQTDTELATTDGLLSLLKLSLFTVDSGVRTIEFPGEPVNEADISYVEASFEEIDEAVDKFMDPPGGAGEPSGSSESEGSGSGSGGSSGSGGRSLEERIEDADLVDGTDAAAPLLADLRAEGGADMPVL
MILPDTSVWIDHLRGGDKTMAYLLRREDLLLHAFVIGELALGHLPRPTETLASLQLQLQAPVVAPSEILSFIAKHQLAGAGLGYVDVHLLASVSITTNARLWTLDKRLYAVANRLALVVTP
MTVLADTNIWCQYFRDGKPVLTSLIEYDFLSIHPLVIGELSVGNLPQRKQTLIDLRAFPTVRAASYAETHHLIEENQLWGKGLQWNDLAILASVIASEDTLLWTDDKRLATAAEQFGVCYKP
MLLNNRYLLQKVIGQGGMGKVYLALDQYQQNYVAVKECFMRENATRREVRRIKREYYFMKKIHHPNIVKAFDLFVEHNRHFIVMEYIEGISLSHFIKQYPYSLELDQQLKIIQQICEAIVALNDNEIIHRDLKPDNIILTGENYSPKILDLGIAKSINKELTTLTKSDEVVGTAAYMSPEQVHGKVSQNSDVFSLAIIFYQFLAWQEHSPFYAGSQVATITRIIEQQLPPLVEISSSGDPRMKHMSRILEHALQKNSALRLKSARRMLRSVRVGKSSLWSYVLRPKVIKSLLIVALCMLLSLPFTLQTTNDIKDTSDEITVAMSQIVRFLGTKQHQEVIVHANKILRVDPKFVKAYIHRGFAYAQMGQYDIAKQDFVRAMELDPQNPSAYSNRAAIYAERNQYDLAKKDFDYALKLDSKYLQAYMSRGQLNFRAGHFELAMKDYVKALSLNRKNERIYLLIANVFAQQKQYLRALEEYDKALAINPRFSVAYRNKAGVYHLLELYSKADLHYQKAIDFSDDLAAEYVYRAISYKRRKKYDLAVKDFSRAISLKKHDPKLYMRRGDFYKLIGKYGLAANDYSTAIKLNPKELDYYGLRADVYRMDRKYSLAEQDFKFLIVERYNLSKSYGNMGLLYMDWKKYRLAEEYYRKSIAFDPKNKQSYFNRGLMYDDLKQYDLAIADFSALLKLDSQDGMAYFRRGRVYAKQMRHSLAREDFDKALSLGFESSNLYSNRGYIYLVEGNDQRAKQCFDKAIELNKNNFFALGNRAALYSRLRRYKLAEKDFFALLKLKPRNTKAYNNLAIMYADQKKFDLARKYFNKAVEFGPKDAEAYANRAYFFLTQKKYILAEKDFKRAIQFAPGNALLYANMATMYYEQKKYTAALRCLEKSLECGGDKESLQNNIETVKELINKK
MRQSPDDAVTELDELEMDEDKELDAEVNISEMLDEEVPEEEEEEAEDEETEGEAITRIEEEISERIETANSNADEVIEAFTEAKIPHFSIRADDQLTRVRYRLVKKIKNVIINREAIFERVYPITLEEGENLVASGFKHLSPFSRFCPVSWDRVSIARLPPLNPSPILRYSRLDPRLVEPQLGDEIAPSMPAKKGKKRSANGVKTCCAVYRECVYWFVSEAERKVFIANPISVIRRAGEQIHTLVHQPLEVAVTGGPTSEREFISRRFGTDLHVAYVTTAGAIQWLLRSVCQSWTTLARQIHEVLISGAAICDDLVAKALRVALYAPQIQARGYVLDNYPITVDQAKFMHAASIRPFLMLELHSKHCNHPSIEVSWFDNWNNQEPPDCPFLKVWLNMKARRGRK
MKNNPNQTFKKKEEFRKPFPIKKSQPVGGYHDNHSPGPSRTVPRFPSSRTEGRKPIQCYGCGTPGVVKSKCPTCTRTNEIETEVNCMTLFNLNSDLYPSSVIVLKIFGEKIAVCADTGASHTIAGEKLFKFLQEHGITFTNKVISFMMSDGIRQTITALRTVIDLYIEGKVIPTEFLVLPEAKGNKTLLGLDFLNAAGIVLDVQGGKWHFSENPRKQYIFFKKTLKDLNIKFLNYDDSTLNNGSNGTNILPNTPMEDSNSMKNASEQKQRDKRHVASQPNYPQFDKKHHDKRSVLVLKQQTLEIQVPDHPPVLIQTPERVEQKLSNLHPPNNFHIAEEPHEEDYDAETEQFYPRIALARLTGGNEENVQPNEEPHEEDFDAHTGQFYPRTALARLTGRNEENMQHNGMHHIFPPNNFHTAEEPHEEDFDAHTGQFYPRTAVARLTGRNKMHRNKHGHKPGVDIIIEKKIQKDSVADVGQKIIKNTTQVKMSVTKSLNQDQGIHQNGIAHEPHQINDLMSNFDENKNGKYYSPIKRKASEVSSANGGETLQEVFEKDEKIQETDPITGTKEESRKVIRKTSNTISENLPRNVESIGKKSNHVMIMQPQEENEDEIVIAKRADKNNEDESNKIFPTDDSGTKLVEVQNINKPKKRFMSKFGNYNVNPFRKNMKYPSLTMPYENMRDHPHSNISIPKSVSIRAPQQNFENDLDLENNPFLHGFPENSEVLPETEYEYNDLSDELQEPNQRKRTLEILLPSNILKRDEKNNNEGENFDTDFLKHRQDPHLQDIENSFIQDQFSNYAENIPYLSNNQKSPDYQIREGEMFPNSHIKRDEKRVQIELHKLPFEIEVNDPNNHLQMIANKNKRYATPLTTSTSKEILSSKKKRSKIYQREFKKKA
MSQLGQLQENIDRFEDLDADVYAISNDSPEAHQDLKDQQGFTFTILSDASLEVSEKADMAGDGMSVRGFSVFDENGELITSEEDDFWGDNISETEEKIREALG
MKKKEAKKKIQWQQYIAVAIFMLIGGVCGVLMAQYVGKNVQPDTFIGVELFSLACLFLGMYVAIFIQLIIHEAGHLVFGLVSGYKFSSFRIFSFMWVKENEKVRLRRLSIAGTGGQCLMSPPDMKDGKIPLVLYNLGGSLMNVIASVVFFVLYIVTKNIPLLPVILLMCAIIGVAIAIMNGVPMRLGTVDNDGYNAFSLTRNNDAMRSFWIQMKVNEQLAKGMRLKDMPDEWFTVPSDEAMKNSMVVVMGVFACNRLMDQQDFQEADKLMKHIMDIDSGMVGLHRSLMVCDRMYIEMISENRKEIIDEILTKEQKQFMKQMKKFPSVLRTEYVLALLHDKDDAKAEQIKALFEKCAKSYPYQNDVISERELMDIAKAARL
MKKAFKFIGGFLGGACIGFGGVTGAKLLFFGESFDNLANRFTTISCWEIVGIFLMSILLLLLALFLQVILHEGGHLVFGLATGYRFVSFRIFNLTFIRKDNKLCIKRFSIAGTGGQCLLVPPEKPLERVPTILYNLGGVLTNLLTSIIALSLLITINGMPFFPKFGLTLVTIIGLIMVMMNGIPMRINGICNDAGNIRLLLKNKKSKQALITQLRINALVQEGMRPKDMSEEWFRPPEGIQYKDTLQVATLLIHIARLQDQKKWEEAYEALQEVAQHEKEVGGLLICEVKCELLFMSLVTGRMEQAEKAYTDELKEYINQYKNVMSSKQRILCALALYREKDYTKAKEIYTTVCQQKEKYLMQGEVLSDIAQMKAILAISPQKETE
MANEVTLFVDMSIAPADWRSQLERHITWFEMTAKYKTKHFQLTGCEGKIETALKELDFVSISVGGEDE
MPFSAISLLHSLDLEAGDWIVQNTANGAVGRMVAQIAKARGIHVIGLVRRRSSVAELAEQGIDNVGSTDTGGWRDAALALADGARIVAGVDSVGGSASNDVLSLLSENGTLVIFGSMGESTLGLKITRV
MMRFIAFFLLLLCGPSSIAKATGYSPTLLYVLEQLRHSTSTAYFSGESKQPVAFIGGSSVGLRGAPGEFFLLIPYFRERASEGDVVLMLNDKSPVVKIMAAYLILGGDKQFEQISVDSLNNDQTPLAVAPGGCIIANITVAELVQSFRRNPDYFGERGYNQRLAEKSAIAAQPGKPPRLNEVADFPKEFQPAVQAVITAVTKEGMKPAEYFAEISRPDSNLHFHLVHQSHDPDPSWRGDSCGKCCVVIYDPKSQRVMRVMGIR
MAYFQQLQNSFEGRHIEEFPISVESEPSTAQIAQPVWNFEATLSRRRKNGLPNWWCDSVKPDGEARPHRKPHQSSSSSSLYVQRGTLHAVGSFVTELNTYWWKLEQNIFESCGRCGGHNAGIIYEAKASPAETEKPTKAKN
MQVAGAASGFLGDRDQTGGGGEVACVGVVRQITRGDEELSPQDGSHAGHRLDDGGLRMGMEGVADLPAELLEPVVQGQDLLGEVRGDAGRGAPAWEGDALGLGAVRAVVAAASGRRAPGGEPTSEAGLPAPAQGGGGLTAGEQDEGALVVGVVEGCFQGRADAGEYVVDAVEQANPVGNQVGPVRGELGPQGPW
GIQRVERIQRGRQRFAIKRAEAFVEDKRRKERGREEKRGERKRKRKEEKEKGEEGERERREKGGGRKRRGKGERGERREKKRRGRGGEERGDEEEREREDGKGSGEG
MATETLRPDGDVQAGAWTTAPLFSKINDQSDTTHIDGVGTTLYGIVTFPTPAALPANVTDFKVRVRLASSIENPDMVISLSGMGGIPDLLITNYPGPEGQYGWYETNGAGTPLPENLDSLELTINKLSGGGVVNLSQVELVITYSEVWAQDAEPAGSWAQDAEPAGSWVQD
MMNKIRIAICGTVLAITCSVAQDYSNVAYCVGSSGLRMTNLTMVAISSWGQQFRPTDSLSTGYLHRSGFIHVLGIGPWADTDNDGIPDEDDTDNDNDGLSDTIELTGSAFSPATPTDPNKADTDCDGLADSSELIAGTDPNSATSVLAMAEIRDSTTAIVIQVATVPGRRYELVYSSCLTNTWSAFWNTNASIYKSVASTCAVHVFLDDFTTNTSGSVPEHGLRFYRVRLSSP
MNLEDFTSSLQINNTDKNQLEKLIKKGLQDAYWEGFKKGFKLGVNFQKEREKGPDGFKEGFWLK
MSNSITSSHHWVLARERMQNEYTHLENKLVAAKKTKELWLGLKIALDVVGSNNPLLDDFIKFNTISQFRQMIALEYDLTVKQRVLNSTLLLLNEANKGAKAQRTERLRLGNTEKPTKCICFHSLTGSVCKDKRIYVNADPSVQIVEKKVNNNETVKALNDDYIPKTCKEYHSNFNVGERKDGIYLVKPSREVEALPVYCDMKGGGWMFINGLGTLFDPASSSYPLSDSILNSRVFKQLHEISSEFKSTVGDYCSTNSYSTTNDPQRDVVMQFLCKTPSIQDNKKTLFSFLKYWMK
MGKILIGYENHADVATLSGGSWTIPLTTLQDPRPGRKARSSNDALSSTIVRADLGSAKAMRLAALTHTNLSATALYQITWFSDAYSTAIANTGWLPIAGYPTDDPDFLGPSIWHVFATAVTARWWQIEIDDEDNVAGYIEIGRLFLPTVWEPPYNFSPEGNSDGIEPNSPRQDALGGYGYFNRRTPKRFLRAQWPTLPGSEAQVLRRLRRLCGINRQVVVIPNPDDTSNFHERNFVATMRETPAIALFAAPYLSTGFDFTEVVP
MRRGLLEMSLLIRIIFPSSMPETAHESCGIADLLTTCYSGRDWRCAKAFAKDPSRSWEDIEAELLKGQKLQGPSCCMDVQTLIDSRNLREDFPLLTAIHGAVTKRISPQDVFTTNGFQA
MFNMSNKSSKYRLIAIALSIIGLLCSPGVSSFPMAGVDNTTSLGKFGIRLNQAMGQQLLGIPDCPFGNSACFIESPVLFDGETTIGRSDPHTDGDPTDEITGALVCQTGAPCTTFFPQTVKDSDFPWVPNTGVFTEGPAGTDEIHTQINSFNMIDLGRDCGGILSKNAIRAGSAAHDPLPRSLGEVESLDPSNDFPAESFFDIFVEVDLDFPPFGNIDMTVFNTTPLVIQNGKLEKLPPRVVYIHGGSDTAPAVFQKGTNRHIGWITLAGHGVSYGCPDVNEFIQVYEEMPEQTKLEPPPIWPGFDLFETLPGTKIDFREVGGPIVPLTGNPALLASENIGPTDTIVKRQQGINPFDPGDVGTVEIELVALSLQSIAPFDVGFLELSPPFPAGTMADLYVTINALDIPNIPVPDALEPSTGTMTISHENQENAPIQGTFKSELKVNSGLIFVRAGGSLSDPDAWLFHMPDPTAPLLLNSDGIWSHTPPVGDVHNAKYPAGIYIREIKHESPRHIHRVKPVRVRAIGPGTTSDLIIDFGPGIQAWLNNNTWQSIHSLSADSMETGDLDANGQDELIIDFGTQYGIWILMNNSNWVHLHGLSPESMVIGDLDGNGQDDLIIDFGAPGIWIWMNNSKWVHLHSLSPESMVIGDLDGNGQDELIIDFGPNGIWSWMNNSNWVKLHSLSPESMVTGDLDGNGLDDLIINFGPASGIWIRMNNNTWVHLHSLSPQGMATGDLDGNGQDELIIDFGLPFGIWMWMNNSNWVPLSGSADSSCQTADLDSNGQDDAIFSFGTFGIWAWMNNSGWSKLHNDPAKSMVSGNLDGGQPPSPAAAQSMTHELPVALENTAILPKP
MESRQAKHQASMWDEPHSSRHVSGRNCLATHGQKHGEVHAAGERSLIDIDFDLYVQIAPDVNNVNECKAMSPEFLVDEEEHDLTIRYVVREQRKRRSLSLDMNFANEC
MVISFDANNIDTYMARGDAYTRLVRVLSKRPLREAEFIAAEKAVDAGAFSAATRKIGRHQVISQHQTLFSMPSSMFGKCRRYAHEIQTEGYAQIVA
GVAIAHCPRSNRVHHHADAPVARYLTRGLRLGLGTDSEISVAPPDLLAEAAAARALTGWSPRETLHALTLGGARAIERDGECGSLEVGKWGDLTAVRVGATGDPEAALCVARRDAVVGTWLAGREVHRVTV
MISLNAIIQENPTKSISYCFKIMNTKLGEMRTELPIELQTIPFMRSKIMLACTGVEHCQETFPNPPKDLGNIISSFASSIRAKEAIEKDSSTYWTDRRFHRQDTPNKNYSATKSFHKPRCYVCNKENCRSWRHNSEEEKETARKHHVNHKGFKNFDNSSPKFRKRFDQSLCKYEGDAADEEYENAFALDTKFDEENMEYSIETEHSDFEFADSHYLTSSTSFLSTSNRYSSDKFAGFLIDTGAAKHLSVGEAQIIALQKLDQRIKINDLNKNEEWTVHFGIGEITAKRITTVSTAIGNINFYIFNTKTPFLISLEDLKVCGFYLNNLTNTLENANGKIQIPIIIRWGHAFLPYIPTLATYLIDAIEIDDEAFLTDKELSQLHRRFGHPSAKRLSCILERTGHDFNEEFLQHLNKICHHCQMHGKPPGRFRFRLKDDDIDFNAIIIVEIFYISDNGESLPVIHVLDEATRFQSGEFLKNETSQEVWEKLKMCWINTYVGPPDMIVTDAGKNLTSKEFQYNAQTISISVKTVPVEAHNSIGIVERYHEPIRRAYLIIRQELPNISKQASLRMAFKAINDTAGPRGLVSTLLVLGSYCRISGSDAPAMSVEQRANAYKRAMEEVRRLRADRQIRDALNTRNGPIISTIKSLPLQSKVLVLREGNLGKKALWKGSYTLLGIDGNTCLVQLHDDNERPTEFRITSVKPYFDNPDKQVNKADFSNALSGAEEQSKGADNDKRSLLRVQPKRSAGRPNRYAIYFKNEPIFQSFFQGDLPRDGFKTSRQIECTEICKRMFTSYVTRDQIPQGTKFNKS
MSGISDPLTILRIAVAPIVLWIVKNLVSYSFQRIHMARAIYVDVEYRLLFTEQCIETGRRWVEAFDANQNQPRIPILNISREDHRLYTALQPDLRECTWGAEVAAIRLIYRSFDEIENVADRISKTYAELLAASRNLSRAPRGSRSGTNLNRYRDLIEADIARIERIFAFWLAVSLRAKKFHASNWLRRFCSFERPGDERFRWVVRSLLYGFWLWHMMCSYAPLVFLSALGGLILFGFSTPSELKAGLILFVFGSLLAELILSLWIRDLEEKRIDALVLSPFREQLEDLTVGTKKPLTYV
MGNQIASNYTEINFKMNLGVWKIHSAIQKNTNIPVMLWQLDQTNMASTNPLKSNKTKHAAMYLASVQHMRKLHHPNILKIIEVSDSKTEVAFSSETFFSPLSSLMDSLQKLDLTYIFFKIAETINFIQTEAHSHYLGLTLDSIIVDNNFSPKLIDFQWTFPINEKSVEFSYEIYRDIQLQPPEYIQSKTFQPSSDVFLYGLTLYHCLTKSSLYGSTEKDIGNALPSLLRNIQHVPSEFYLLVKSCLSIEATRPLFSVILENEVFSSLEMKSLKYLDLLLTKSLQDRFVFYKGIAKQLAN
MNKTTIVLLVLIALAPSANAQYPTAKNGTRTPLGFEPLKLHAGEGFLFPAVAAGLLWWLTPTDSTLNNRFFNL
MPVRDASGRRLLTTGPSWDQKGPRVIALSPQGEQRVGRVLLFGIVALATLLLLAIINIEWMLPFLIIGVALSSAIATVGLPWVARCLDSLGETTAA
MAPATSWQPHQSLTVAPTNLKEAIDWVLRVTGKDGKKNTVQPPASTTSGPRKSTVLPVAPTLLYRLPVLPG
MALIELPYIVLDQNVLRDEVQIRSALELATKYQTSLLLPDVALMEMMKNEQWESTARRSLALLAKTPSRIAIAWGTGELLRNELAAGHPQIDLVDNEVTEQFRAVLEQLRTKPQSALDELRPHIPGAQSMAQRQHLNHQHNKNRILRMVDAWKGILKPEAIKALRRENSDDLFQALLASENLTNLFEDWLKGADFPPDAARRLAAERSITSHVCLCYAALGLRWLKRQGIEGVQSEKVTNDLVDMDYVLIASFCIKLFTKEGNVNRMLEDIKAVAELRNERFLIAE
MQITKARNDERGTKPNVLVIPEF
IGQYIALRPWKTSRFFAAKVIGRSSPNIVRLEWYTGNVYEKDEVPAGPLTQSSPNACLLAYQNDDHFTKEFGAIKWPLQLEYDSPDLYGYTNRHIEDALANAFHSIREVVSGRRQHPIIDLYKAWKTRLSSQASTDPIKGGKRLPLHFRQYQVETQFQETFKIDILPGDASLVEPYVSKIYNELFLSDLLWRPHITLITTILFKLVIVREYLQQLPRSDAQIFWLSLVMQENDLAKLRKDDIYGPAKRGSILRALTVPEQVMLACITGRQTGQHSPSLSKASIRPLKWLDNASLPLFTRIHDCHSDPCLPLIKALDEEGKEREFFYTSGPGLTGGPIPNIKRLNMAVKADNVPTSAKQPVARILVENYKPGQLGTQDSKNDKEPDINPKGHAGRKRQKQRSPVIGARKSKRLKGARADTEDPAN
MFRIDVLQQDGFDIVRLSDGGWQQEEITVDIVPSHGALLHAFSVPYGSNGRINVIDSYRDATDLQQHFTESFKGVKLSPFACRIPDGKYAWGGKEWQIQRAAVPGVCLHGLLYNAVFKMVDHYVGESEAAVTLLYAYEGDDVGYPFAYDCKVVYRLLADRMLEIKTTVFNKAEVTIPVMDGWHPYFTTGSLVDELELQFPSEALVVFNEQMVPSGELIPYQEFTTLKKIGALSLDNSFIIDRQASEKTVCVLNDPKRGVRIDIWPDESYPILQLYIPPHRQSIAIENLSGAPNAFNNGMGVVEVRPGEQADFATRVQVNAIWGDFGV
MSTPRKTAKKAIRPHKQSAQKHTGFISKLEGTLKFHYLGIGFIWAWVYCSYETPAVYPLRSGMGINADDSWIVSATVVTIALFIGGILLGRQQKPASSTLSLLAACCATIGTLLSTSTALPAEITIYTSGTLTGFGTAFLSIIWGQALAKLDTETSELAIPAASIIMVASALVFPYLPPAVGAIAAASLPLISGLLLVVTQHELNVAAPLSEPKSDALNTNATPPRLHVSPRIIKMAILLFMAYVTSGFLEAVFPDSNSVFSALTIDWPIIIGSSSGVIIMVSFLLFAQRPTFDTYFKGIAPLVVTTLALAAWNDTAALFFKSTFLAITNTILTVATFLCVVNAAQRRQDNAALGTGVTQGALQLGVLIGNIAAQQFMAHYGQGSDKLLFAIAALSILFSLAWYVYPTEQDRRLGSPTASTTISRTTTSPDNTNASSDSTLELVCDQLASMHSLSGREREILSYLARGRSQPYIREELILSKNTVATHVKHIYQKLDVHSRQELLDLIEEAALRA
MNGGTVEQQATYNSFDNALNDIGLLGTSNDNRPAAYLNYILFDEHMQFYQHGHVQIGTAANGAHEKLMLQDIVAEKAGFVYVYISSESAATYWVYFDDMKVTLNESPVVQEDDYYPFGLTFNSYQRVTAKENRFLYNGFELQKSLDWGVYDYQARYYNPEIGRFLNVDPAADLMRRHSPYNYAFDNPIRFIDPDGMVPNDNVTDPKPKKVEDLNVAEKVALVYFILMDKLGAALNESEGNEGSSFGENLQRSSEFITETTLEVQGAREMTNASLSSKSSKNTKTVAKVVNTVDDKVDDAGKVYSKSQGTFGGERAGKKFTSSGRTEISKENVSKYGVEKCENCNVELVPGTQSQKGVSPVSNERRYDHIYPMSKGGDGAASNGQLLCMPCNAKKSDNLPVVKPLREQLLPRN
MRTLLVAIAVLFLALLGVTQVLPTYMDNRMNSVERAAPYPASARAHDLHKRLFVADMHDDALLWSRDLLMRHSYGHSDLPRLQEGRVALQVFATVTKTPKNMNFERNASDTDNIT
MDNIKFAGINQKTIQPLAPPSGSLQDWLGNYLLTTVDFTVPVKLILSGLLSTQRHLTSLFLKSLA
PPPRRSRSRSRSRERARSNPNPSANPNPNSQPHPNPSHEPKPKPTPAPAPEAPSDAPPTFDFNCVQVVNRFLECFETAKGSGRVNMIMECFSQATQVCSLKSRKVLINGKDILKSSFSGVTGHKCDPVFRVFVEPKQSPGATFVFDVHAAGQLPGLGVPDKDGVLLYRCVDSELTHVWGGALKKGLTAATASEEAIRESRQWREALELIAQDLAEADSEMHFHDYTNIPVIG
MREIHELSMLAADNVNQRPNFLVRYPIVTKLVEDFEAAHLKIIQNASDEEFETEDSIRRDFDEMRFAVIGRYERFVGADRVAAPVPQAPAQTSSVKLPKISLPQFSGDLTLWPSFIALYNISIHENRNVPTIEKYQYLISCLKGEALNVVKNILLSADNYAIAYDALISRYQNKRDLADYHVDLMLKAQPL
MGKIYCCVTGCSNYSGKLIDNRKVSLHRFPVDSKISRAWEQRAKCFRKNFTLKNTTRLCSEHFVGRGGPSAEYPIPSVFPQKTFKTSKISDSLCTPHIILKGEDDNSSGENEDGVLQSSLHANISFLETSLFMHDYAGVISENHVCSQTNKSEQTDDVVILSREEFEELSSTPFRRRDKTKHC
MERGNGNGSGASRLDRNMKERVRRKHMKDLLSELAFLTPLQSSKVSAIELLEHATNHIKQLQERVEDRRRRRALLLKESSGDENKKTSRSRLPQEGAEVISSTQYVAGDRIIYIIKSQAISSRIGIETTRIRQRIKDLCF
MEDLQSQPYYYKLERRKFACITYTVTGCFLLFALIQWFMFHLLEDINTYFTRNYWLGIVFFIFSLLLIVVFIFFEDLRFSAPVNWIIAIIIYECIIVGVTSLIVRHYKYQLIMSFLIWTFVLLVFLVFGSFIPHDLTVDIVVLVVFAILCLIGAMYFLMLHIVSNVPYTFFVYRAFVLFSVVTFIMYHAQIINGGRFAEIRDNDYLLASLILFYDFLLMYLFTFQFAPKWSDLCDVHRNTTGALILDRHINLPGYS
MSTRRRGRRTPVTRFLNEVLDSARDLTDDALDRAGDAERDLRRGIVRLVRVDGRRRRRSDRGRDADRPARFEREGDYEKGGGRIIIEFDDDRQGRSERDLRYDRGSGDRSGRYEERDDRQVVASDEDRGLSTTGSGSGGRSTGAAGGKSGK
NVTYESKKCYGVVRNQLKSKMDDTLRFVIDRSVEPTNNRVERAIRSIVTYRNVFIGSRSEGVTIRQLYIYLCILIRDTTIMSQLWEWEGQVMHSIPN
MIDFTIDDEVFVAPGTAGAADLQGSRGRVVAVEPGSRFSVVVRFPGHPSGRGDCGWLFDPATLTRATLERQAV
MSSLTYSADLELPPSTPQLSRFNPHDVAFGITPLARHPEAPRPRTPLGIGNGAPVPWNHWLAKHNLNQSGPNDSGSTQPNTPQIGKQTGRAALRTIIWVFKLIMFNESTKRGLSQSPSKGGYGHALDNSAQKARLFRNALRRKLVRCRIAPAEGGATQPSGAAKGDRFGGLCAPFPAIAGRGSTQETSEENDPAGRGSQPE
MLSDFREVAHGRSEYSGWPLPPLGPDLRVPSGTLLSIEELGTKTHQGRRAPAIMVRPSQEPCACPLGALSLYMDLCRTLGMPVEGYLFRPVTRGGRSYREAPLSTEALEHRLGMHLKAAGLYGGETIHSFRRGSLQHALQLGVSEADLMRLSHIRSIA
MPSKRRQNPSPACGSRSGVKLPARGESVRAASANQECLHARSAVAHLIIPTPKQDQLWPTSSYPHLNKISCGPPYHTYT
MIKSPGIAIIASITMLPGGLPASDANAQALTVEQFQHPKGERDLNYNKSYLEGIRDGLIAYNMSVEDRLFCLGGMPPLLTFEQASDTLLKWARKRKGDAAGLPLGLALLYSLKESFPCKSPPR
MGREIIDKRTNKPIRAVSDRTIKHKYPAALGTLFELAQTYEAYPEGPIPTIGHKIFTKKDLATKEATNSYQPFSDEELSRIFNPEKFLTQERPADFWLPLLAIFTGGRIAELCQLAITDITNQNGTWAISINDEDYKRVKSNAARRIVPIHPQLMELGFLDYVDDAQVHGDMLFPYLTPDKFLNFSGTPSERFGKYLDTVGITNSKKVFHSFRSTANGLLKDADVAEESRCQFVGHEHGTINSTIYAKKHTLNFLLKNVATHLIYTTVEFDKLVYTKGRFSAELNRLCKIKTMQDNRKKVLEKKHIRQKYLNSIIPFTSGTYSRRGY
MSKPKLSDFNFDSKELRRYEIELGGVRIKSDGPDDHQRALEAIAALKGVTSATQNIQPAAVPFEQVPVHSPNGLTVTELLNRYLQMKQLAPGSISAVQNTVLQFATFVGKKKTIDQILKIDVTRFAEKMKAEGLALQTVQTKINLLKAVFNFAREYSYLKGENPVSLKVITKAQKMAAGYDIFDDGDIVAVYAKSYFDPQKIKDPDYYYACLIAVVTGLRIGAITSLCKNDIQKTDSGTWFLRIRDDKTANGKRDVPVIPELLNSGFEAYIATKVGPIFKYSELAGRGKGNAVGKKFTRRLEELGLKNRKLVFHSLRKYANDYYAKAGVVLEARSQFFGHELDNTNVNYYTKPYSIDALFEVVAQAQLKIFGKLYQ
MGALDRNKISDLEAVRILVPIAVALGEDPSLLSISRTTLQRKRKKARHEIAEELKINFNPKYPIVVNWDRKILPDIKGKGTVDRLAIITSGNDDEKLLGVPKLTSGTGENIAEAIYSSLEQWYIVAKVQGMSFDFNKHRSHQRSMHYFRTESGPIINVVSLSSSYAGTDISEIIYFIFGPSSSPEISLYKRFKTVWNGIERD
GLMQGIELVVDRETKEPAPQAVAQVFEATRRLGLLIGKGGLYGNVLRIAPPLTASEEQVAEALAILDIAFAQVQENF
NTNSSRSSLSPTAATRHHHQQQQQQDSDHNVSDGSFVISNDNSLNMLIEQSDNLLLSQNPQQAELENNQTPPPPSQQQHSLLSPAAGSAGAGAVSYVNAPHGLFPIPLSKTAKTSQISRLKYKFKFLGKFMAKAVMDSRMLDLPYSIPFYRWLLAEENSLALSDLDQVAPEVQVTLLRLNEIVKQRDLIQADAGLDAMEKTEKIDALDLDGCPIADLGLDFVLPGHPNIELRRGGRDTAVTIHNLHQYIALVTHWFLVEGVSRQFEALREGFDSVFPVNRLRMFYPEELENVFCGAGLGAATHQRWDVRMLAECCRTD
MLGSFTELLPSWDGKRLSTPMVIQMIMLTAALLIMIVGKVPSNKFNSGSVFRAGLMGVVAILGVSWMTATFFDAYQAELINVFGNLVN
MLAGMDEHSGALGEAVRVVVVGGGASGVLLAARLLDASFAKEVHVSIVEPSGRLAAGVAYGTDDPEHLLNVRASGMSADPSRPSDLVDWMAARSLGGPDTFLPRREFREYLLQHLELAAARAQPGSLEVLQDQVVALEPSSGRTHVRLSSGKSLTADFVVLAIGNPAPGIPDSLKSLASTPGWVPDPWAPEALQGLQGKQRIALVGTGLTMADVALTLSRQSTVSKQLKLIALSRNGLLPKRHLVKQPQRPMQVIDLAQDAKDVLSLQAKIHARIQDRVGAEYPDENWREVIDAIRPFANALWRRFDDTQQSIFLSHVLRDWDVHRHRMSPPTAGRLAGLISSGVMTTHVGQVLQAANSPEGEIDLGVEMNGSPQQLYVDAVVNCTGPGRSWLPPANPVVTDLMSRGLAQPDPHGLGLMTTAQGSLLGQDGLPVDHIFVMGPPRRGTLFETTAIPELRSQALHIADQILLS
MTHVAVVGAGAAGTVAALHLLRRRDSRALRLTVIDPDASTGPGVPYRTTDPRHLLNVPAGRLSADAGEPLDFVAWLGGNGFTSAGPEDFVPRGLFGRYLSSAFHAARGDRVTRIHRRAVAVVVHGHALAVTLCNGDSVHADAVVLAAGPNTPGTSWAPEWLRDSALFVNDPWRPGALDGLPEDGDLLLVGTGLTMADLACTLRRPDRVLHAISRSGLLPRAHRRDQSSPVRAPAFAIHRGQVLERSVRYVQDVIAQGGDACAAVDALRPPCRCALGGHDRR
MQQMTQVMSNLQEDSYSKASRPPAFKTQSMKALECFDGTQPFKVRISIQSCQLICNNNQANFSQERKKVLHATSFLNGSAAKWIELNLSNVTNQDQN
MQQMTQIMANLQAASSSEASRPPAFKTPSMKAPECFDGTQPFKVRSFIQSCQLIFHNDPANFSQDRKKVLYATSFLIGRAAKWIEPYLSNLTNQDSSHHLNSWNLFEYQLFT
MLSPNYCSCTVHRARNLLDKRLNPVCTVSMGKEKFITVVREKTCSPDWQEQCDMPIIDD
MRRLYRPFVLAVMATCGTSLLCGCDAINPRLISTLGGNTTETLLTPEGYVAIVIMNKTSSVAQVNLLVTKRNGGIYELNAQVRPHDTTQTTDYAVLTVECDVMSIQLVAWSYALEDGTVVPAQSSYPPVVLYDPITRNDPTAYCGEVVYVLISGDPPNVQADMGIY
WSVAWDWDFRQVSIFIQLLIYAAYFALRAGFSSRERAAANAGAYSIFAFLTVPFLIWVLPRLPQFAQKHGGANQAVSGGGLDSTYTAIFYSCAVVIGLVALYCYKLRVREAEAFAHSEKFDAIQDSSIDSAPAGVVRPVRLRDTD
MKLLTVALTIVATSALAAPPGLRLPDYESETLASGAQILVVPHREVPLVSYSLLLRGGTLTDPPGKEGLTALTVELLRKGAGDRSATDIASLLDSYGMSLNTNADLTGTAIALDCLAADAPLALAVLTDLVLRPTFPKDEVDKLKTRVIDGLKAAKEQPQSVIPLYFARALFGDHAYGRPTTGTEATVASITADDVAAHWKQLLAGDRLIAAIGGDVPEGAGKSLAAGFGGIARGATPVPNPAPAPRATTRRVLLVDMPDAVQTYFWIGDVGISQSFSADAAFDVVRTAFGGRFTSMLNTELRIKTGLTYGARLTLEQLEGPGRLAITSFTATEKTKEALDLALATLAALKTKYLDATVVSSSKAYIAGQVPTTLETAHAMTQAIVDLHRFGQPKSQLTEYFTRLDAVTPATTRAVIDSDFPDPSRVVIVLIGKASEIAAIAATYGPVETKTLADPGF
MRISNVFSRLKSKEPEKMICDGVSQGMGFIMRRVLKNSLHREGYQLIHAVLCAVKDQKQFAIYCFSALSPKRSGSVQIVPAGCPYQNRKNKSCVNVVLNILSPEHATESIKLVDEPNQLPQSVKTKANKIKVKKMAIYKVSEAIKEIRELTSADSTAASRI
MSFINTGYAPCPPSPSRSTSFKSKASRASEAMDHVRRVLTRKEEEETNKAKRGNGIVGGNEGDVGGNCGIGGNGGENIGGKDRGGARGSDMGKWGGLGGGGGGRTKIKKKKKIKRTLMGERGGKEIKKVRRNKDDDYMDTSEDKMDTSEDKMGSSSKM
MPITYPLSLPNNRVKRVRIIPKTAVAQTMSPFSGVQQIQAHPGQWFEMEVELPPLQRDIAEEWSSFFLSLNGIQGTFLIGDPSANVPRGVAATNSGAPKLNGAHNTRATTISLKGLPINITNYLKKGDYIQAGSGLSARLHKVLNDVSSNASGIASADIFPSLRASYADNTTVIVSYAKGLFRLASNDMNLDISEALIYSTSFKCREAL
MNSNIQKSYSSENQSVLEDIRRIKRLVLASMNGEVARSMAAKGVRYWRNLGVPLTRLNEIAAEFVPDRALAELLWQSQSREEMILAACLMPPKEFLPELAMKWAQDIEQLELAEIVTMKLFAKLPYAEKMAIDLLNSREEMLFFIGLSMAVRLEPVADAQFCRTVCASLFAFEHNSLSVNNLRYAFLERLLFDKPEFKEDVATLVRSATFLDDGVRQLFLSLL
MNFTDITTRIKEKNGLKIFLAISLAVIWFNLDYNLLQIFLAYIVEPLHLEAFFILIYRLTSIALFVLLFLFFRWYSKNIGEIALGRVFSIKSVIPFLLTILLSVLVIAYFKLGGDMVTRELLIFDEGVINNILLFILTFIISPIVYEVIFRGIVFSAIQKENKTIIWLFSVIPFVIFHIYTMTNVISPSIWVRIMIYQYDVSIMLILLSCVLTFARMRSGGVLLPIILNSLFFMSYIKMM
MSFTMSLVLTLINLGLVSDFLFRWLRAFLIGFAVSFPTSLVIIP
MWKELSVKKVSGISRIIIIIIIIIIKDSNNNSVKVFTFTLIIIKDSNNNSVKVFTFTLIIIIIIKDSNNNSVKVFTFTLIIIIKDSNNNSVKVFTFTLIIKDSNNNSVKVFTFTLIIIIKDSNNNSVKVFTFTLIKDSNNNSVKVFTFTLIIIKDSNNNSVKVFTFTLIKDSNNNSVKVFTFTLIIIIIIIIKDSNNNSVKVFTFTLIIKDSNNNSVKVFTFTLIIIIIIKDSNNNSVKFFTFTLIIIKDSNNNSVKVFTFTLIIIIIIIKDSNNNSVKVFTFTLIIIKDSNNNSVKVFTFTLIIIIKDSNNNSVKVFTFTLIIIIIIKDSNNNSVKVFTFTLIIIIIIIIKDSNNNSVKVFTFTLIIKDSNNNSVKVFTFTLIIIKDSNNNSVKVFTFTLIIKDSNNNSVKVFTFTLIIIIIIKDSNNNSVKVFTFTLIIIKDSNNNSVKVFTFTLIIIIKDSNNNSVKVFTFTLIKKDSNNNSVNVFTFTLITKDSNNNSVKVFTFTLIIKDSNNNSVKVFTFTQIIIIIIKDSYNNSVKVLTFTLIIIIIIIIIIIIIIIIIIIIENGVE
MADVLEHLTSAKMRAIGDALGGVEARILVDLRLKLAFEFQDHLRTMKGDPTRLDRSKRRKSIEKMLRNLERLRPELEAYQPFLMSMHYEAFFYGARGPESSWFQEDYDNLVDSVGKLEQIIQSIAEFAPIGDKIVKLSIKGFSTDRIENPGTWAETAFAYRMARIYWELTDRKPAFGGAGAGPFQRMLHELGDAFDSAYKAIGQPFGPFKRPNRKAIVAACRRFQVPRDNPSLDALLHY
MELKKLMLLVVAGFFLLAGCAEQASGNAADDGDFLELGETGVISNMAGDYEITVERIQRFEEYEGLTPAKDYFFLVDIEVVNTGENELIGNDIIRSTFVTEDWNIYENWYSSFLKEVPSQ
MPVVEIAWWTPSEAFDADKSIINPALDFTKSVDGCNAVYSGFAEEENTFFLFLVWETLEHHKKLMAHPEYPKITRLLPTIGAGGINVYHVEFNKDFTPAVGQDQEELYETLSANGSLIEEVLPEEHRPAVYGQTVEDPTKFYGSIGWKSTAFHGEVVQQPQILAQVMKIREVVDYKLLHVNFKKH
MKVFTTTAALLASLAAHSLADANDEPSSDGRCCLCEQSKTDLATIFNTMADSGLTVCRTWGFADLKEAGTAPYNIVYQLWENGKPTVNTGDNGLGYFDLVVAAAKAAGVKLVVPFVNNWSDYGGMGALPFAVHAWRPVAHLLFIVDVYVQQLGGTYHDDFYTDETIKAAYKNFVKTFVERYADEETIMAWELCNECRCAGSGTLKESGSCNTTTLTDWMTEMSSVSLDRCACILFGTSI
MKFLLTALVSLLPLTTALPLISSDSSIAQPSPIYSFSRSGSNAKVAGRLFNIDGKVKYFAGTNAWWLAHLSSNNDVDISLSQIADTGYKVIRVWGFGDANTPPPSTNTDPNLVYFQILNSTGAYLNFGADGLERLDYVVHAASNYGLKLVLNFVNNWSDYGGIAAYTNAFNCSSTSFYTDATCQKVYKNYVKTIVTRYRSSTSIFAWELGNEPRCHGCNTSVLTKWATDISTYIKSLDANHLVTLGDEGWLTPADGIGMEVMRMEAGRASIGWRI
MSHSRFGLLCRNVITSHMRQCARWDQTSTVNASKVTLNLLSDHIADHIMIKSFSQSGFLLHNDDIRIIGPAVFFPREVLHWNVKDFNDINEASLSLFTLLDPKVDILLIGLGHKVERLSQEAMNYLRGNKINFEILTTEKACALFNFLNGEKRQIAAAMIPPEVIDDSMILPKDTDTIKFQQTIDLFSNNVHQEFKPKEMLETPISRIKETKTNIDYIMERRRKAKEILADQQAPEDKDEVERERKERKGKMAAEKTKEELEGEKSSQSDGEQIQRDSVQDVMEALKKADREKTKPDKDSDDPKS
MIIAMIAIFTFLLNLTIFIALLRFKRPLSNENKITRIIMTSMILVDLLLSIFTTPPGTILWIANGKWTLGLPMFKIWQSYSAFLGCVAANHIFSMALDKYLAISRPTRYRIISDKIGYIMTALAWFVPFGSFAVLFSVPAQGLRNTDYDICFNGDIIAYITQNFYKFNLLTVYTLILLPVLLSYILYVLIFLEIRRWIRRTGKYLHIDRTKPNDSETPKVQVLPETLFKISQRFVLFDLQNLTEQNTSTTCTETKSKSALQCRRHFKAIRTIGSIVIGFTICWLPTMTTSILLSQGHHIGVEIFMSACWVMSLNCTLNAILCVSVKFVRLALRRVLE
MEKYDINLGYYYMKLRLYKLYLAKENKIETPFEIEKKYENSNQKKLEDLTNIDKIYLSVNYPGLLIGTGAAIEYTLELTKDENDYFEKIKKNKIPKKSKEELFENEDIYKKKEEIYLKNQTDNFKNGLSFDYTTGLPYIPGSGIKGVIRDFFPTEIGDKNDSEEEKLKYQEQNNAKLELINQILESNYTLEDIERIGESIFEGRAFEKKENFEKEEYLPIFKRDKFIEGRIIVNKNKQIILDKDYITPHKKILGNPVPIKILKIVPRTEIEILLQLTNTKIFVPEKKDIVITKEQKKKLFTEILFLTGLGAKTNVGYGHFDQEESIKVTRKREEEVKKLHEEEKQEALKKEKENMTNFEKFIYEYKNEWNNETKKKKFNEIDKFEVEEQKEIAKLFLEDFENEEKPSKKTIEKIKKAKRILNS
MARRQLYRDLNDVRGLVADALARLEEISGSAEEYWVRSALARVRGMDGMLVAASGGLSGWSRTLISAVLAFPLLWAVAWASAAIGAGSLWVIVITVLALGVAMPGLLWVTGRISRLVDGRRMGAGPRAGEAGKGDLDEVIEVLVRARVRLVSAALRQVGSRRWDAARLARLARTDRAINRIADADMLLCQAIDFLEIHAAEQQVRRAA
MIEAATRFYFDMPMQKVDTGTARLTCRRYGSGSPLLLVHGFPLSGFTWRKVLPELSKRHTCYVPDLPGMGESEWTDKTDFSFPGQAQTLKTLVDRLGLEHYRVLAQDTGGTFARYLALADSARLRKLVLINTEVPHHRPPWIPLYQRLLSLPGAMACFHLLLRSRLFLRSGMGFGGCFTDPGLLEGDFHEHVIQPLLRSALRMEGMARYLRGLQWGPVDALEQAHARITMPVQLIWGADDPTFPVEYARRMVKQLPDARLVELPGGRLLVHEEKPAEVAHAALDFLG
MHEINSWHEDGAGHTILGHNIFVREQGKGTPLLLLHGFPSCSWDWHKIAPKLEKEFRLIMPDFLGMGFSDKPRSHDYTIFEQTDIIEHLMDELGIRECSVLAHDYGDSVLQELLARQQEARLGFRIQRALMLNGGLFPEAHNPLPTQRWLAGPLGGVIGVYLQKAHLAKAMQAIAGVENPPDANTIESWWASIQHNKGKRVMHRIAGYMAERETQQQRWSDALAHANIPLAMINGTLDPISGSEMVKVYERVVPNPRIVRLPTVGHYPQIEAPDAVAKLASQFFSNKKQRMPSSATHRRSA
DHGCDTARCPSSQRGGGGGGGPGGGGGGDGRAHGGGAGGAGGGGAGGGGGWAWGAPPAARGAPPPPPPPRCELGHRAVSQPWSTSHKRLSAGGRS
MSRVSTLFRSERKGGHDRCVNIARQTGEESAALKARASGVAVPEISAEECEEAPTGTDTDVWAEESLRESDAREMFGFPHFGELGFELGDGLAVQERQTETSKREELGNTLKDFLDCIKQVKGTVTEDMQAMSRELARHSVGATSAKSFAELQHHLNEIVQLSGPELGCAWSTVKVGLGVASVFSGNLLVGSFMVALAAGSLGTSIIWKAHRDSQRNPAALTKTAEVAEIDLENAGEGAGARRCVSRILTNENGGSRRLCQSVLPFSRQFKARRDSLQVAPHGKEGGDDSMRSGAPQQRLTEYDAWSGEG
MIPPQLTTLGPYAIRLLIIGVVFGVISAVILTGHTTVAAVLSLLWWVLSFLAAGAVGPLAAKRHRSGPGYPAEPEQWLIAFSRAFTITLAVLVLVGWLGLSLPDLFGGEQAAGADGSSVRRVLMTAILAAIAVIGGWLLSTRHTLWLLYGSSRGAEASTPAVSDVEHPSSEGGSHAVH
MQTLKLINKNSQSSSSGNTPAVYTYEKVRERGMGILMGSSELIRPEESSHGILALLVKIPLHREAIFPQQAINLSLL
MVYVWDTFETYRLRQADLEGLLRERFGNYEFYINVANGYYRFWVPRYLTEISSSMLKYLFTYLGIHPAYQDAYFSGLDEAHSLSTPQRLQTIPEIGRSGRAVRLYYNMRSVERRVNWVPRDYELGWSVKSIAVYHSFDVESGHSVWLTCDSNPEARDHVKNWISGRGSFELGSLCSRFLKTLTMHLLICEWSVQNWRWYLKELEDEAQKIALETRTFVLEDIGSLTPSNKPVSGKEHVSVPSHMAIPAQMASASAQPTPLGAKLHNRSRTLSPLQSRRGTGNWNPQTQRSQPTPIAGPGMHAATMTSERSRFKDRPPEVPSGISPDEEERLHFKDIQRIDLLEEQASEAVLVLKLNIEIVSILRAQYDNIVNDASFPEEISRDGKVGILQFYKSIDGLKDRFLKQLSRTEKLLIQLDHRKNSTFFMLQYRNMRQSRQSAVEMEKMTKNMEQVAHRTQQEAISMRIITLVTLFFLPATSIATIFGSGMVKFGDNGKRSVWLYLAICMPLTWATFFVWWLMINGIPTFKARWILNPYKIFAVVGSRKMRTENVDLENHGMNGTSSQT
MTVSPETVEKALELVFGAQSDYASLNAFKSLDEKVLRSAYRRKAMHFHPDRAEVLGLDARALGELFKRLHGAYGLLNRVKVDKSLRVSTAVHRSATRPADTETCGRFYRGGMPTTRLRFVQFLYYSGLIDWQTMISALTWQRTVRPKVGDIGRAYKYFGHSEVLTVIRGTERSELFGIAALRLGIVNRHQLNVMIGKQLSLNYPIGRYFLEEGIFSQSDVDALLARNRRHNCRYNRAPANR
MNTKAFVFLFIAFYFDPLCALNDQVNYLGCDKCQIGTSLYCQDKEGKLPCEEFLELHHETLRFSAMQLQFPTLLLRNHAKL
MTNLLNRRQWIGATAASLSVPSFFPSSATAQSAQNDRPIAAVIGAGGRGTSMAWHQFGRSANLVAICDVDLPEAERAAATIEAKIGVRPDVYQDYRKLLERDDIEVVGNATPDHWHTKINIIASTISMSLLRI
MKNSFENDSDTSQSSRTIKKQKKTNLQKIIVNKGECPKAPIWKEFDTGKSNSKGEVPNNIRQHWLIQ
MTKRGLGLVVGLRLLVGKRRRKELELSSPGCRPSTSTRRRFLTPRWSQRIQISPVPVRDHAAVYVAHVEEGRLWIEGSVAAHSQVFQPAFLCPDNAESVKVFTEIPQLELKMQAVLWAPLKRSISFCLASRKCGFSFSPEAPKVCGVTVHAGAPNLHMILSVTQSKCDANLQSQLTTEGCRCSELPGAKLAVSGQGGLMVFIKRCFVARLPRSTFACRVEVAAEVSSGCWGQEHVWGTGPGLRVIQASKHHVMWQVQLIQSALENSF
MSRELSEEPIMTDLTLPGPPRTARLIPIVVTLCLTSFVAATYGFGMYLFAALLPDIRQTLGFDYGVAGIITGSAQAGFMLFALLGAFLAHRIGGAAVALLSAALCAACLLAVPVFANVVIVAALLTVLGGTAASAYVPIVELVAQSVPYSHRGKALGLISSGTSYGVFINGLLVPVFLSTGNWQGVYVTVGLITCGFVAIALVAFQRAGLLAAKTPAVEPSQGPKESQGFLKSFASAEPWVLMIWAITFLNGFTTLPFQSFLSPYLRDELGIGVELSSQVWTLIGFIGMFSGFFLGALADRIGIRWTLAMTYALVILACGLLLLVPSQWTILTAGVAFALAFYPIFGLVPGYIAKTPSRLSGTQIFSVANVTLGIGGILGNLAGGTSQTITQTFVPVYVAIAAMSLISIVLAIGLPQEREEVRAA
MIVIMSYPVLSTPTPSRPQAPAEPETVLQFADDNNGVEVPNNVEPDAINGLEVQDDAESDDDYPYVALPGDDEAIQQLLQAMPPLRIPPADIDDAHREACFGSGWVTYYAYFEPARDDSGAIIAEAFTPDSGLMWTQEVAPLLQDFDEDEDEDDGSSDERGL
MIGHIVNLENFLKILNKIKSIKLDSVRFDKDLEKLSRDAFENGWEIEIEWL
MDAEKHKTNSEAGSSPSTLALIREQITLWERRQPARLFAGPGFSEEALKLTTLSKYLDFDTWTPEAAALLVCGLQAPVIDGQLCTEIPKGAMGLDNCFLMGSQDPFHEAKRVLGIWRSQVNPPDRVRPLDFVRWCRARGFDTAWLQSIEEDASNTETEGMKAAGRPEFLEQGVTPDARELLTIGLAREQWDRMTASQRQSASQAIADTKAYSEQVARQADERRALGRYTLNEAAQAIAATGERFEALLEKLCGTAQRGALPMHAPGERARYEYTNGKLVRPFYEEAYWNDLNTWLDKYEPRITFRFATPVAAMNPNTATGETVDAKPSPEKFTLFWLNRKIDEDASFAEQAAVVLQRMNEQKPKVMHDTTTLASAASSLVNEWLVSQDLPCYMRGRLVDDFAALTLEPGSCNLSDTMDILEGSIHLHRDDVVRLLEREGMAVPDFLGRENPPDGLARHRADASQPGPVNVAPVASDVTETPAAEARDTLAATAAPLRVTSHSLTSRGDLLTPVIQRVVTGTGSHDSNVVFTRLREMAIEEEAPLNGVDDDGALLWTDASGSKKKLTRRALAERLRSMSKRAKTG
MLAHLAHSHAPGGHTGNRQAGRLAPSPETTRLPPSPRGRGRGRGRGGGPGRGRGRGGDDLVVFGDDGLLTAHVVLGVTIIVLAAVRLYWRRRTARARLR
MSNPYQFPVVLRGYDPVKVDEFLAAVEANHAGGGEPLPPPQLDIVLRGYDRTQVDDVFQRHGGVATPPRKPGLLSRLFKS
MAVVPFDVFSTGCMHMKYAKAWREDGESSRSLSKDYVESGRGGNVGICAIVDEFKCRQGMGRGASTFGNTEYRTPSYKKRCVLNGFLLCYGYRLKEVSTVVHSARVMRPPLSSEKRDTSPERTAADQASRTTRSDEDRDLGWDAWGPWSDCSRTCGGGASYSLRRCLNGGNCEGKNIRYKSCSNTDCPAESGDFRAQQCSAHNDVKYHGLVHDWVPVTYDPSAPGVCQEVGCDRQLGSGAREDNCGVCGGDDSTCQLVRGQALLHVSSEEPVATVIEVPAGSRFLRVNTKGPGVIGEYHYSQAVEQGMTTRGKLTANESNALGPLI
MAIQKSEEKREKILQAGIKLFSENGYSKATIKDISKEAGVSFGTVFTYFENKDTLFEASVLEPLEDMKAVLLSQAEEQDDVAAQIKETIKLHMTYFAQQGTYARMVQYVIGQRDRFADLFNELNDFTEEFQESLEPLIRKGQEQGVLIELDPNNVAITYLAYINGVRLTTIDDIDQLPSWNMFMDHAYLLFGPKQ
MKLFDLYQTVTIAKGPFKGTQGKVMGHHNGKYNIRFGGKGKRVVFCDFRPHQIKR
MRANQLRYAPDLAISKDWAVELGLRPATQGFGFPAFTGLPGTTIGSGGVGGNGSGTTLDINMGIADDFSWVRGSHTFKFGVDIRAFQMNRYDRNDLGGGTYNFSASQTNDGTGGGSALASFVLGILNQYTVRTRMVPFYYRWKYYAGYFQDDWKVRRNLTLNIGLRYSVETPRTEKYNRQGSFDPTVKGVMNGVPVTGAFVFAGENGRPKGLWNTNWLGFEPRFGFAYTPARRVSIRGSYALLRAPLTGQSFFIIPDLNVPSTPIAGDAGGVNPGYLNMITNPVGVVAPNTPLSGGPLFTWAGTGTAGLPYIDLSSVHPYALQWSFSIQYALSQSSVVEITYGGNKGNHLFSPSLDQNAPDYAVVKKAIAEQWNFGQQLPNPYGRLDAAGRVLNMGLFDTLRPYPHLWNQQIPSMFERRGSSIYHAMWLSFKHRMARGLNLQGSYTWSKSIDNASAGFGGLIGQETDIFGLARPQNPRDMGLERSESTYNIPHKFAMAFNWDVPVGKGRGLNLNRGALNTIIGGWNMAGFLTTQTGYPIWLRLGSGGYWFSQAGGGGNLASGAVLRPNLVQGVPIVKEDWRKDPYAPGSYLNAAAFAIPGRLDNPEFGNLPRTMPWARNPVTFSLDANLSKRFLIWSDRLAMVLRADFLNVLNHPNFFFNPNTGHDLYGGDFNRQSLTNPSVAPFSLNSNFGKLDPNNTNNGRTIRLAIRITF
MKSATLPGNVHALGRQLPLITLSGTPGPWVSGKELHRLLDVEKNFNSWTNTWAAEWHSWVGNGWWIDIGEPMRHTAGGDIMIYASLASVIAGDAFLANAGITDAGQVSNYLCHAERQWRILNGGLFSASEVADEYHLVDRRTNATRRIGDSLVDFAARRADMPPIGDVGGHSRRKRGFPATLIQAWHREGGGAELIEAERERPKRPARSRRADMFADAAILPETVTVMERA
MIPCRSLPRLSGLRTGIVDAFLFSEPCFSAKRHEFVTFIRTRVAKAHQAPEVGADLSLCENCRSLDLYAIFEKASRKFQDAREESPAWDMKDTVFLAVVPDHEMLPLTGHGVQWMVRYIPRTGVVYRLGADEERDPDIDVLARPKELRSEEANLALAKECLTHAISTMAVPLGDGANMNPSQGAFG
MFYCQEVIPIKKNRKVSKLLKIHCAQCGSCCCDPVIELTDSDIRRLVTYTGIPANRLIKLYAKSDFTVVNDTEDWINLSYGKRQLGLRKKKDGSCIFLSEDKRCTAYEARPMACRVFPIDVYLDEDNKATDFELSDVVRDKFIKCKHYYGKPNSFSAFKQKADQSGKETALFCRKIKQWNRKDSHGGKDDFLNFLGIQTRR
MTGRQASYTDDERERLRKALADHAGHYSLSAQQLADRIAEGTNYAISLDAGRKRVERFLKSSHRQTDDFIGAVAAYLGSVPPPDIEQSAAMLVHFFTRRVRQDDGIENLIGRYRVYASTDRRAHGHVGFREIMVMNQWADFTAAPIKPMISRVPYAVIDMKPMPKEKALLVSEAIINFHVDPEIEDFPDVQPRDQDAGVIVAFGYSDRNVPRYLMATRTILETRLYRLYKVSDDPLTLRGELNFNGATGRPHNATHSNPLHPDYEVELVRIEDEQDGAAHRGFPAAEG
MDKLYIIKKLTMQIPSKYLLKLNRSSHSLELYRKSSDNSVFHNYYTICEDIDMSDYLMYEKERENPYIWGGGETLTPEGIIQIEKASEKHEEYISGHHLEHDKVKFFGYLVVFEDYFIHSNLYVDKATKDTVEQEEPINKTDEHTSGCIRVSQEDLNWLVENIEAGTPIVM
MVMNALVVACHNDDLYMYAVESLAGFYAGFGFISIPERDLPLSIRERYTWAAGGNMEGAEVRPMFRRAGL
PDLLGLMTELAAKPVDFLLIPNFSSLSAYVIKKKKKKFPAIKFVGADFWGDEQVPNLDKYNLPLSVRGIHVRGGPSASNMRDYYKVRSLRREHAGRSVLPDFASFMLVDFVRRLSDELCQLKPKDKAAFLAYLKRLKPDHFKTDMKVAVFTLEGPKVNYAYVVSK
MYAAAQCEVPGRHGSRAVADRELLSLDYPRALTARPGSSAASERGKRHPPSRHSEKRAMFTQMPAETRAVASLPFAFRFAAY
MSLAVGIDIGGTGIKYSLVTEVGEVRRRHYHPLQRGVAYSELLSEMAGTVASLAADASDKIIGIGLATPGHIERIGYTFVDGGENLPALGEGRIVPDLADATDLPVAIENDGLAAAMGEMMFGAGQGVENFAMLTLGTGVGGGLICGGRPNHGPGRQPPEFGAIVLDIAGPPNTHGQPGTLEAFAGKAGFAAAFARQGLIGDDLRAHFALAGQGHEPAVRAVDAVALRIAQAIGIITNIANIEICIIGGGVSGAGPVLFEAIERHLPHYTWPALYPNVRIVSAKNGNDAGTLGAAALAFDANAQPG
MNDGANTRYAAGVDFGGTSVKIALVNSTGQLLRELRIVTKDLPSREAWMDHVASAIREIQGEHTLSGVGVGVPGFVDHERGYIYDLPNVPGWQGVHLSEMMESRLNLRVEVDNDVNAMALGECTFGAGRTYQHAIFLTLGTGVGGGLLINNRLYRGAFSMAGEVGHISIDRNGISSAQGKGGVEQYVGNARFVAYARSLLESGRTSILDDLCGGDRAQLSPKLIKEAAVQGDELGLELLHYYADCLATAMASMTYMIQPQAFIIGGGVAEDAALLFSLIREHLNQRLHPQFSSRIEIKQAELGSEAGMIGCATLAWMD
MSWTRTFLGRRWTWRCVLGSRTSFATGTGATARTTQALSFSPTLRTYSAFVIPSRACSSWCLIARFPH
MDMDACKVYWPAFGDQPALICDVVDRARAFGIVVVAVCHRQEWLWHFCASTPQMFRGGYGLTPEQAMWGGLDGYQSAIECERARRTVQNLVLSAGSC
MNKKFFLSLALFVGLFMSTNNVEAAPLLSNPYVIPTDVNGVLGKELPLNLVDLSYLGENLIEDIFLNDQLVGVLNFNVKKDDKIIIKNMGKYNQEMLDVNITVLEDSSVTFFQNGTLQIKTDSLSKGTISMNMYKHDTKIIFPDLYVRFSDKICGTGDKYRQGDLYGYENLYGLYVSKNLGIFLGSADVTQHDGLLDIRYGKGESSNPETVYPIFDNTETGFVRGGTGTSKTLTMFGSFPQPSPLRLSRVAPALYDESTALYTEITPIDISSFDIRYIVTQKLVEYKDYSNYPTDKSFKIKTNFSLDPIGDSPVEAVVKIENSNQQVDKSYYSGVPLKNSYEITFTTRFLEYYKGKTVVIEYKQRVDSQKVNLMQHYNKYVYSFLFSYSSENRWERDDQYFQQKDPSRNTAAVRYSVSVNAKIGSEQKVIQNKSTDDYDPLDFISNLTSTFPGDSVSAAFTKKVIFQDMGEQNVYITLKGSLSSVTKDIVVKVVAIKGTLHFTDVPSELNFPVVGLTGKMIDYEVASFKDGKLAVVDERPTRSPWSLTARLTENKTSNNFGDILYFRNQEGTVYNITNRTQVVMKNRNLESANTIIDYEQNKKIGLFIRVNPLFVKRGAYEGSITWSLVDAPN
MVKSINFVIDLLVDIATFALGALPESPFIEMVIEPLDWGPLGKYVGFFFDIPTMVKHMAMITAACLAYYAVRQLLRWIKMIG
MAPILTARLDALTAHPRPDLVVDLGPVPFLDCSALGVLCRARRRIRERHGGLRLVSGDDRLRVVLGHTGLANAFSIHASLQDALRSPRNAALADGLARAAAPERESVSCGS
QRNSNRLNPIRFTTATTTSPRRGSRHRDHKRPARHSRAGRSHQCIVINTDDADIVIFNDGQQSIAMSAPGKVGGMKRHNFTEPLQNARCQRKRQAHTLLRGTLTKPDATERRPERHHRSCSLILDKVGGKIAKSRWNQPPYSHPDLHLRSTHTTKAANPVRIRGFCIYRVLW
MKEDSPAIVVCVTGASGFIGSWLVMRLLERGYIVRATVRDPGDMKKVKHLMELPKAETNLTLWKADLAQEGSFDEAIEGCHGVFHVATPMDFESKDPENEMIKPTIEGVLSIIRSCAKAKXSSSLITSHEPMKPEAPVTHTTMAGESSFMLFLWYLKYLWMMD
MTGWKERRRHKRIPVASDLKVILPGVSAVFTARSRDLSWGGALFSVRRMLPGAGEICLLLPWARDKYIATQAEILRVAPDNGSQLVAVRFRSLAHHHQSRLERLLSMLQGESNEPLCDALKLVVDDGEEYCRMVEQMSDGAYILYTGESYQTHQSILVVIEGPDYLPELRLRARIMGIDRIRTSFRVALSFEHPREAMQELAAKVKRKHHRRK
MAGVEVWKKSQEMPATIERRRHQRFSLQAPVQLILPDKGESMSALNQEISWGGAIFTASEPLPRGVSSLLVNLPWVRDQHISIHAKILRTALLPNNQYLIVVRFSSLMPESHVRLEKLLKMLSGQAQGVKRKGSADLFPEVQVSTRNPEDFRKVLEKIAAGRFILHTVNWYEQDQSISLAVACPDHPAELRLRARVIGIQTTHRIRFDGSNLHLVNLEFEHPRDSIAPLIGSLLRRLPRTDIAPALSESSRTGKKPGAPVSNSRCAIEVQFPAVLAYLIAVWHNDQAFSKFFLELLDVGHAGSGWPAEIWEELVLLQNVHKQVHGATNPGSLSLRGATEVTDDFARSPPQTVPSPEPPDPTSGSDYSER
MVALPFRARADYDYLIKILLIGDSGRGSGEEGGGSDLRSLPHGAQRCTGPSPPRAALVVWVKQGHRGELEDERLRPLFRVTSASGFIFKYIGELGRKVQTLQRQPRFQSYWMIGL
AIYIATYVFMNLGAFAIIAFLRNAMHSEEIADYAGLLRRCPITVICFSLILFSLVGLPPLAGFIGKFAIFAALVDGYQVSVSAGHPAAFLIVLLVVGGMNTAISLFYYLRVVKVMTMEEEPADRPPFVYSEVSLQGAFVWLVTAPTVLLIINWNVLSEWAHAATLYLLAAA
MNLRRLFAVSILFTSSLPVFASAASAQVYGAPRFYPSEVSRVNSQTPFQTHSFELFNNSWQDILYLHIYSDSNPDDIAVYGGIRQLPPGRAWKVNLLGRECIYNVAVEYENGSQSVYSDVNTCDYQGIEIQ
MTSIDLRPDCTRCAALCCVALPFDRSSRFAIDKAANEPCPNLDRCGRCRIHSSLADRGFGGCVAFDCFGAGQRVTQEIFEGRSWQDDPALLEPMARVFLTATRAHECLMLLREAGSLPLSIADEARRAGLEAAVAEAGVSAEAIGALRRETFAFLASLRGSLPSAVAAGSGGRGRTP
MYAVDGAFNEVLKIFNDEGVVRAAAQAALDKALTTSGDWETVTEQRFALPMLFSGFDDFEQLMMRPTYAQHDLSEAVTARVHTEFKRHLTPEGARLVLPIHVRLFRRTGA
MKLGMENRNQETQRKLCQHLPAFSTPRRATLGGSLFHLPRTAGAIA
VLVVQDPFTSYYDAQVVADFVRLVEKLGFQPVLLPFSPNGKAQHIKGFLNRFAKTAKKTADFLNRMAKLGMSLIHISRCRR
MAVFIFSNACSPFDMQSKNKCLQIYSKLEFRFKRMILFNKPHKSLFVYLNTTVT
MNRLVIAALCSASLSIIPSVFAASPSQNLDLAGLKVKCNELQANEQLKPFKAVVSCKQTSTEWRPSQAEADPIQIANFKEVGASFTLKGYEVPFKSEALEIPSSFVSCSILEQVRKSVPAVDIELSCEALNSVDSIAELCAPAIDARVDADPSIVFEELTGVTYNSCNGKK
METFPGDLFEAVPSVQNELSTRPLRPMVDTDWNRVTYYGEFVNHLIIHSGSFANGLLTILTNGTMDL
MANFNEAFLILMRLEFSKPENALDKNPTEKSWTFMGIYQDAHPHWAGWDEILGAVALGGDTRKISRALYASENLRAQVRAFYKEAYWDRMRLDEISSQIKANEMFIFGVNVGVKPAVRVAQQLVGVVNDGIVGDQTLAAINRYDEERFDKQFDRAELEYYNRLIEKNPKFRIYANGWRNRALAV
MAGCYDKVPDEWIRPTTWPPRKSVLERGPDAPHVVLSTKFQEPWKTISIGIKEILEELGCTVYNPNTDNKELWKEKADSRWLRTFQENLDRIEQSKRGFVLQIQQGVLREKSDMQVAEENMGKKWQVPRIGLFAFPTTSIRGGGTRAEQYLATAVQKAREQWEQGVKDEVQMVSEWYDPIGGDAELPVNDQGNMDGRARCTFPSGNMYEGDWKDGKRNGTGTHTFANGGVYVGEWKDDKRNGMGTQTFANGDVYVGEWKDGKKNGKGTYTHKSGNVTVSFFEEGEYKGRSVRLSASGEKAWLCMDGKVEREVSVAEARKVAKELGLPPLP
MKRILYILFLILSQTVFGQIRYEPTFINQCTDKVEENVLWYVTDSDTTYGFDYEQSKSISLPKLGTYQLHYNFEDPIKIEILDSGVNRDTLLLKKISLAIYVSNPPHSEYFDCDSLANGKITDFYMNGNKRMQGVFKNGQPVDSLFSYHRNGQLSELFIQNKKSWKKSIYFKNGQLHSVYNTKKRFEKEYYQNGQIKKERSWSKKYHVKSTEYFQNGQISKQWNRRKLKIFNKEGNLIEKIKRKEFLVLRRIFSKDPYERNNRFYKYKWETFTQNEMPKRKIVFHEEGFLMTPFPDSIQQIKPFLFDNITFFKNGQEIKKLESKFVPENGKYIYKLFIYRKEENEWIEEKVTAIDDVHKIITAYSK
MISDNNNLESKKVNLCLTPNINARKANFEAFGDSVKFHGYQEYSLSKHWIQPSVTDTSLQKKYNLLYPFFKPRYIEKRTILDLGANSAFYCFWALQQKAIKATAVDIDEEYLDMVRKAKDYLDFENLNVVNANVMDINSPSDIVIALALVHWIYSCTALTGSLDAVVKKLANLTNYMLIVEWIEPDDAAIQFFKHINFNENIIIEPYTQEAFNSALKKYFKKVIEIGDISSSRKLFAAFCTPNEIDLSAPFPFIKPQDTILSGRLLAVNAGIEYWSFVYDAEGVIYKQTTANLALREADFLREFDSEYFPKVKDFWTENNFSVVVIEKIKGENLDNFFLKPPSQDIFLEFISGCLEILSELHKKDIVHRDIRPDNMLIRNGKPVLLDFGWAESNEHKIFTPDLLGGEERPPDGNHSDIYSMGKVIEQVNQGKYPVVQHFTNLMTATDPYLRIHDISILKSVWFSLQNYLKD
MKDACINIVLDVLEKAEEQYLDRVLNFLVALRKQANFKPNLLLEVFRQVVNKISEGEAFNPRITTHVANLLGKATLGDPGLLKLAEIHYPLFLLVLQQLHKTVGKEQLELKFRASKIDLMSCLPEVDLNKTRLSEVLDGRFPTFLYPLLKVQAEMSKQLTNDPTPSIFFKWIKANSDAKHYKEKALKKLFKLHRIEAESLPPTPQRLRVYVASAPQVATNKLLYLMYFRV
MPPRPEATSPAAAPRRHDATPHRRATTPRRRSSRRSPLPRAAHAATGRRRPPGTARVVRRRIWAAVLGAVSRRWISGRLVSGAAAGSRCAHRRPAPSAAGSRAGRSQARAAGFPSPAFLASAPCTAASPACVLPPVPAHGGATVVLDLILAAVRCSVVACLLRAQHLVPRLNQCNADGMAREIESLLSRLRYNR
MSKELPSNKNNNEEVDLIVFFNLIGNAFSKLFDFIALLFKGLFKILISILSHFHKRIFWYIGAAIIGLAIGLFLDGSSEKSYGANMFIETNYNSGYQVYENIKNLNELASVDKDAEELSKMLGLKVSEASSITAFYIKPETDPSENMKMFVEFKKELDSTAREELEYESFILRVSKFNFKRHQIGVASTDKKIYSKLNNVLPKVLSENPYLRRAKEVGQENYRREEKTLAAQQKKIDSLVRVYLQIRSDQSNKPATPGAGTNLYLGNAQKNELLVNEAELLNEILEVEEMRREVISNMIKEENIISVISDFPTSVTI
MNAPGCKSSEQTIRAGQLRTIDGILFVEYLKSKKRHRDFLADFFISVSDLKQSRQPMHSCRKAVSGLPGAFRNLLLRANIALNQSREQNGWVKDLRKSLRR
MFEGGSLVIDGVAQDFRSEDGDDCTEVVWDPANGVFTVTYTHGTPQGPVWFRFWAIPSTFKTISNDRGSDPGEVYEFDGIIEAREPRPGKDLITPRIRMTCRLEYRI
MEKPANTYSPIVVKNRLNSWINYYKKNNTNCSHAEAIFQIKNSLQQKESEVCKGINKTLELTLLTKQWFESEKNARKLEYKGINLGCCINMRVANVFSNYLSNKKD
MSFLRKSMTNLTKVSMNITKHVFSKEKFQRQNIVFSPLSLQTVLSIITAGSEGPTQQQLLSFLRSKSTANLNSLYSHLVEDXRLSFVNGVWVEQXLLMFTDYKATLSPVDFINKPDEVREEVNIWAAKQTNDLIKDILPRGSVDSLTYLIFANALYFKGVWDQKFNVSKTKDYDFHLLNGSSIKVPFMTNWDMQYISVFDGFKVLCLPYNQGKDKRQFSMYFFLPDAKDGLLALIEKLTYESEFLEQKLPCRKMKVGDFRIPRFEISFQLETPSVLKEFGVVSLFEVMGNSTTNNNNNSNSSGSIPNVGLPRTPQHEGGLTKMVNSPKLHHMDRIVIGKADEVANEVNLWAEKETNGLLIKELLPLGSVNSLTRLIFANALYFKGLWNDKFDARLTKDNDFHLLNGTSVKVPFMTSKKKRFIKAFDGFKVLGIPYKQGEDKRQFSMYFFLPDAXKVTSESELLEHKLPREKVKVGDFRILRFNISFGIETSDTLKELGVVLPFYGGVTKMVDSPMSQDLYVSNIFHKSFIQVNEEGTKAAAAILFIRKWSILLLGDLNFSSRNQLNHP
MHPALSVIAFTSLSGAGYGLAIVLGTGHGNPGFLATKIAWILALALIAIGLLCSTLHLGNPQRAWRAFSQWRSSWLSREGVLAILTFIPLGLLALMSLFMDEFNSVLGYIAAFLSIATVASTAMIYGSLRTIPAWHTMWTLASYLAVSLTTGTMLYLTFFGREAGTDSAAIWNWLAIVFLIITWGIRMVWMNRARTVGYGDSSMESATGLGGLGKVRLLERPHAMGNYLTNEMAFRVARKHEDKFWRIALVLGLVVPVICLIIATYAPSFGFLRVIGTASLFAGVFMDRWLFFAVARHAVGLYYGGDEALVPAE
MVHTLTPKVTPDRLAQAGSRLPGCVAYPLSPTSAWIECEQFALEVVLHENATLLEFRTTWPGPARKRDEIAAALSGSMWRGTIVSDEAGGRVVHLSFVTDVQAGLSDEQLIGWLVFVHNDVCVVFHDLNTHFSILSPALTPLVCAIDATLPAVTPRRCEELLSRGSLPVEVSDEAYQQHREAEGLGLITVRFTGETLRLSYWDESGYYQKKFLPPEVHAIVVQLNQEPHIGAIEINGYRELFIHTVVYCGAGATDEQLIYWCDHTLVLMHELALRLHRKPEKR
MIKRNLQQDYSLSKYFMKKMLRQRIYSHIFEHLLFRKVNYFYIRITKPLFISLMSLTKIIKSLLNHMIFK
MNWHGMNEFISMGGYALYVWGAAGMTALLVAGEVLALRSRTKAIVRQHQRALRRERHPTDGIGGRNGKNGKNGKDGHEGKA
MSLYDRDYSRSKEFENTRSSELSIFIKQTYQLFAASLLAATVGAYVGIFALASFFIQSQVTFWILFAVEIGLLFALQWKKREAPLNLVLLFGFTFCSGLTLTPLLISVLALPAGGIIIAQAFALTTVAFAGLSVFAMNTKKDFTVMGKALFIVLIVIVAASLLNLFFQSSIVNLAISAVAAILFSFYILYDTQNIIRGNYETPIEGAVALYLDFVNLFVSLLNILRSFNSR
MYFRPPPKGPEWYAGDAEAGQAARPLYPMMLEDPRLRWSFIRKVYSILSIQMLLTVAVAAVVVYVRPVALFFVSTPGGFGLYIFLIILPFIVLCPLYYYYQHHPVNLLLLGLFTVAISFAVGLTCAFTKGQVILESAILTSVVVVSLTAYTFWAAKRGHDFSFLGPFLFAGVMILVVFSLIQLFFPLGRVSLMVYGGLAALIFCGYIIYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRAADS
MYGYFRKSYDDVEGGYQGSEPLLYSGLEYEDTYMRWGFIRKVYGIVLSQAVLTILASLVVLFISPVRDFVVSNPAIVLLTVLLQLGVLCFLYCYRHSHPANIILLGLWTITFSVTIGATCAFVPGLVLLEALLLVAAVVVSLTGYTFWACRKGYGFSYLGPMLFSALIVLFIWSLIQIVIVPGPVGKFVYALVGSLLFSLYIVYDTDNLINGYDYDEYVWASVALYLDIANLFVLLLDAVRGLPQTGSRRRLFDEDQMQGGG
MDSSTGGSESSFRLDPAIGSLPPPTPGEPDDLVERICRFMTKHQTSTKKFLLSYLTSKNRYVVTRKKQWGSVKKGWKTTEEILDAIDKLVNAKPKCREKWNDWVLKKAKVIVAAQSPPTGSLYININKLDQTFFDHKMDVERDVTVIQSMNFIHELISFKLGLDESQSDSPLAESYVYHKSTDKAENTTSRLKAVSCLYKVMVRPAD
SILDVGLFVAFVGATFRGLMSPSIGFDECAPIVLLLTVLGLSDFTIWLPARAEVYLYMIVCLLLASDESNADEEKGTVAWITGVKLCQLAIWAWASISKWGPWFPHTVQVMMCNCM
MKSQCLRNIKKLSFPHRTVDIWNRLSEEIVAAENVHKLKEKQDKNRDRSLGAPLEPCKIQLDKHKQVYTHLLKRSSRFGSGGGGDGGGIFQFKQRDFLQHLSLHCHTIQLLHTEREREKKNN
MDEGRRRPASDGGACGKASAFSPSSHDASAEVWHSTPVKKRNADAPLDVGHSSVLTSFRMDSPAKPWAECTRPIPADAPCPHRSQDTEKRCLLKRTNARIGGREETADVLRLRRGTGAEPPVARPILSSCLETGEDLGVAVIEILTKQCGLWAGRRCRAQRRPSSSSVSWNRKSSTSAKMSKTLFVVWTSCSSRSHRALERIIRLKQNSSCFTESVCILSVTGEAGGELLGNKIVRHRNLSSGCLGSQRRPATVAVGLESAVSLREGQSGNGWQVNSGMNNLVETQAYRGLCGSGSLVMMKSAAAKEIVQVQQLFHTLLLRGTTGPLVPHSVADLLTTTSAGTEGGGFTIIPRLPSGGDDRLQQLGDESRCSSSSHTAAASYRTTEPLHSYNCQTSNHHHHPPSSPGQRVEEFHHHPSSCIHRAGETPPKACPRHGAREMCGWLDPD
MKAPTVIIITITLVISVAALIDFRLWWALDNQSLFGGAGQASFATPADEGQHLFGQKCAACHTVGGGDRVGPDLKDVLTRREKLWVARFIKEPDVVLASGDPIATDLLKKYKNVPMTNLDLSKREIDAIVAYLEGPKQEAAPTAAPAIKGDPAAGRKLFAGMTHLANGGPACMSCHNVNGVGRYGGGTVGPDLTTASRFGAAGIAGILEGLPYPAMQPIYRNKLLTKEEQAHLAAFLMSVPPAAPAPFPWKFLGAVALGFLFFVVTPGIVWRRRNRGIRQPLVEACRR
MELSPLHAQSSASSLIHGWVQWQHRAFAEGRKDFDTRHYLLTQSCGCEIHFSKDSCDNAYFSLKALLAELLESFENPQQSAGIPASNFYVLRPFISSSFWSPPCFPHLLSHYLKPKPNPPMQESNRRSPTPTEWCISRLTRMNRSGYNNSETNMRSNGKKNSGGEAEAYGYKRKSIAVYHVQMIRKGAIPSEELHLQKSSWVVNCDWKCLIHVVAFEFRSNARAFLLPESESLLRESLQREEGGEQRAQRAEVCNWFRRWAGND
LANQLCKLTRQPAPELPPINRRYCDEKEASRGRLSGTLHPAGSRHGSKRKTMKAALERELLEEKTSKRLRRAAPAEPTVSKTTDATTSTSATAQQDDDEESAVLNLCLRLPGLIQKSPNLLSAASPASGHPYSSVHCLASVLAGLAPYQPSVAIAVVDNVLEDIRLGMEINETRLNQRRIACSRYLGELYNYCLVESGLILNTLHSFISFGVDLSDPTAPEQSSPPPAADYISLDPPEHLLRIRLSCVLLQTCGQYFDTGSNKKRLDAYLLYLQQYYWSKRRQLVWSSEDRPFPLDIEYLYTETNAWPPSFKQQSDHSSSRSADHEVEASGAAGATSTASAPTEDEDDEDDDDEKRTEMTREAAESDAPVDGIIVGMTLAPTVTIRKAKTATTTDRASSNSATPQRGRSPQAGADGLPGGREFISSFRTNSGCGRRRLVWRGVGGGVGGPPGFLSASGDPNSVACASNFSSRADAPAAAPWPSPSWPAVAANSRNSLWRYLAAVEADARERELLNEPTLSITEAQMRRDEAADEAAAAAANLPNAEVPHLIGHRQRGGGGGRWQPDRAELAVAGQKNSFYKLSSN
MGPDSQMILRFGNKGLKNAQFSLLLVGSSNFCRLMYDTITSLSLKYLRIPVNVSVTESIENILTSSAPIHVDFIVFLMDTKERNSIEQVERNLCLVEPIYLCDRIVLVNCCNHLGTGNLGLSVDELSTLCQKYRLQMIHGNIEEEESRENIAKRIVHFSSVICGVKTGMPMVFDFPNFLF
MRVDFGKMVVRSTGARRQALREMQEHPTRARAIIADAWSEVWEAALAPAWPQLERILRADIVVRSRAIATGGIAGMAGDLHPKVGWGDGAVRVSLRRHSEQVDCRGSGLVLVPSVMSSWGCMVLTEPPAQPTLFYPARGVTAGWAKDAIEISAALSALLGPARAEILLEAGNARTTSQVAKDAGIAVSTASHHLTVLRGAGLVSSERDGARMLHLRTPLGEALVGATL
MEQPSNGISASTAYEMACRYNNLFQYNPAAAAAVYGMFNNSSSKTSSQIGSTNSPFQPIGTIATSSLPSSSSSNLTSSPTSSLSSLASNSSKQDNNNNNNNSNKLNSGNLQLEVKSEVKLNESQNQNKSKNSYDNVSDNGSLSESSDVEDDNNRSRLSSSSECADDECEKEIDLSKIQQKLNTEAEKSISTCLNLDEEMEEVNLEESKQESDDDNEENPNKKPCLDNNNQEHSLSSIMNSLIKKDDNNSLLNLNRNDFENLKKSLLNSVTQAIENTLESFYSNSKNVIREKPIPKVNIPKPTPAPAPVQKRIRINEKISKNSIVNNNSQNFNVSKILSKDVNNLNKRKLNTSDSYPSFNNNTNNSLLHSKTLPNNGSQSLLTANPRFFLPYNQPPPPVHNPPVHSNYNPLSTHIPPLGSTSSTPSNTSTNSGSSTNSTNPGISSIFAAHQHQLLMAAAQAAAANGQNSNYLQMAAAANRLFTPYLIDQQTSQQKPSFNQTIQPTFSQFNSPHKRRRTKVTDTRLSPRNPLVRTGILGMVQKSNSRDESPVNDDDEQENEYDDEQNQNQEDDTASSGCNESGSTSGSNPRTQNGYLNNAYEMSNENNSEYNAYQNTNFHYTSTLSSLHLRKAKLMFFFSRYPSSTTLRNFFPDVKFNKANTAQLVKWFSNFREFFYIQMEKYARQHLAEGVRNAEDLVVDCDSEIFRALNSHYNRNNQLEIPATFCYVIQATLREFFKAIQEAKDLDPSWKKAIYKIIARMDDTIPEYFKSVQWMESLETSI
MLSKLLGYDYFWKPELDIQMHNTYFVFQPLLFTALLFLPIATVVTGVRALIGAFRHFGPNAVLTSLTVIWSLTLLLAVAGWLFR
MDVDDNEIVTPLDVLTVIYYINGNGGGELPRPMEPTEVEHRYVDVNGDNNCTPLDVLEQIAHINRQSAGDGEAAIAAGPVLPVEVPGSAGGGAGVSFQLANLQEKASWKLAPRVDSHLSIAQNPPHQVEPRPVQHAPAASCDALLSYELGDEDTSSHLDDLLAIIADGVTGASLDATPFDISISQMVDE
MLLYEVTVKLTECFDGDPQVLKNLNTTPKAQIVSEGHYSYKNNAGHNVAPSTSIPATN
MIKSATESLITSLKPIENAIESGELGKEDVEDTSRLGLTDDYGVKIIEEFIRMAKADGRCC
MTDPKAVKFIIQQGMRCLQGGDFDAAVALFQDAVKLTPENGEAWTGLGLALGHLQRYEEAIASFDQAGVLNPQDASIWLNRGIVLSDWGKHEAAIASFDQVIEREPTHPEAWNSRGTSLMILGRNKEALASFDQAIACNPNYDKAWSNRGNVLTNLGRHKAALKSFDKALHISPNHPEIWYNQGCLLMQLQKRDDAIASFNKALELKPDHIGSWINKGIVISEMGREKEALLYYEKALEFDPNETHCWNNRGLTMRRLGRLQDAVASYDRALECMPENYEAWDNRGYALVKMGRYREAMASFDKALEVNPDHVNAVYNKGYCYAAQGKVTLAVNYIEQAIKVNPQKYLPVAKTDPDLERLRKNKRFQKMIGGGK
MRALLSQILDHLKKMADRARKAIETHDDQDIAGADVAHQPL
MYKKKRPSASRHKQQPLFAAADQPSPSQLPIPAAVEPVPESEIKQAISRALTEMKFGVREKALEFIKESVSRYPLSGHLHHVEAMIHLRLADHAEDESDQQVEHLEDGILSANLAVDFLQKSIHSAALLADLHFQLAFVDQEWVRVIELCMQALDMENPDDSGIAELFGEDVTDESVTEEDKDTIIMLLSDSKRKIRELAEKKLAEEANVDVEDDDSEEKKDNQVDVDRVVVAMDRRKKQFKAITQVCRSLKQKMIGISKKLNGDIETIVEYLRFWNISLSDETKRGFQKVNIEELVKNLKALDCQLVVDHLLEAIDFAKEEQTLQFWECYVCVKKFGDYDSYGRHFWEVHWVDTVWKLASELDLSKKSVDMLEKCVWRPLDIDEARKMFVNHKKTESSSTSKTSADNPKWVFCNDAQRAGLLARIRGMFDLLLKNNCLASSHINFVIEYTKDQFEGIIPLSLYRNHHGLETLQIICCLNVSQLTEFLEFLTGVVRNCGLGEDAETDSSMEYKHSDKFFSVRIDFNKDYSCFLWQELQGESDDKFDAVEDDGSAIILPLERESNVFYDGNDHIVSWLHVGSNCVEILESWTCLRDFQRLQAMKFLKMFDEELLTVSKLCDGHIKISSMLKAVQVVGSLIVEEINKREKSPEDYQALHLLDLLRMRRQAIQDNIDDFSVRTELEVISSILEGSLVILRRDQSGSNPCSEEEDWKQADDAIKFVTRRLKMQMLTDMAYLDAIVLRLVVALGQYERQLSSISVYDYRSIIVPMIKSFLQPCLKDMYEDAEEKSKAAAEALLADLTLEETEKQIDKEDADKKKKKKKKNKKKKKNKGPKTAEESEESDDDSKHIQLHQIGAEQETDDPTAQNEDSVDEPKQSSISDDERKLTQYLEHQKRFEEEASLNVNAQSEDTNEGEASLNVDVQRSEDTNEDEASLNVNAPRSEVTNESEASLNVDAQRSEDTNEDEASLNVNAPRSEVTNEDVDDSRE
MNEPKPEWYEQMRNDPFDRRTFTLQNINRIEKMAADGRAGSKRNGRPKPVLWLAAGVVIVLLLLIPDMRATVGLKPWSGEAGTETNPPAERERYRLKGDVEVTQSPGAYEHGAPVFVADADLSYEVTEIRGNFAKIASGGASGWIPEWYLNREPETGALLSVKPYEMLVLNPVVFRLYPDEAKPSGFELPAGKVVQVTREYRNWANIRLFTYDTPYTGEKWVPKSALAAFDPASAREGTLREGAGVYTEKGGAKERPPSPGPIWIEREAGSRYVIIAPGGYTGYIEKADFVPNPFRDTGSEGRAESGNTEEEETALKEDLSHRAVGTHTEGRIVVKPSGQAKVAALGAPSCYGQETDLNWRGAYEAVWEPKSGGAASPIFSFPTEFEIIQKNDSPVQMKSFALSGTDLFAYVPRHTDCHALETYLFGVSDGKAFPVPFEMDPERSWTNIGQLPNRQFQVTSGEMIVTGGYGAGQDYIDVYHFRYDSKKKAMVLQRTEQLKPNEING
MINRRDSRDIPAEAEREVLSTYSLRDTDLLGSGTESKTYILDDKHVLKIYADPGQRAALEILQDFYDRLGHPDATWVLPHIDSITEHGELLAVVERRIPGQPMEHYCLTTGPEIEQLYLETVRDLGRTTITPPLDRRLLLPSPAEPPAGTDWHGFLTRTLESKLPPLLPVLQESVSGFEARAGGLLRHFALPYTGFETVIHGDLYPGNILMTDRETVSGIIDFGTFTMIGDPLYDLACACSFYRMYEPDHAEVRTRLLATAAGDLDGDRRRDLHAYVLVNALLTCDLYPEPDRPIERTGHFQWAVEILAADTHWQAVGGRR
MRTTTDTLTILFTHSRRRIGFDFVHSRRLISHRLHKRAPTQKLTISLILFKSSEKLRSSLSRSLPRFHSQKLTFHS
MDNRSSRINSNRRERQRIARRREEIRRRLASRVNDTDVYLYNQGNAPKGSARTEDAVQGHHMPPQAYLRRVGIKPSRVPVIAVEHPQIHEHTTSFRGGYSSPQGQARYNNPDLSFVDVMIEDCMELANIPSDNRYNNSIKEMIDLYDQALQNPETYASNDVDETNVEEMYRQFFGDEREKIDQFITELEEMELEQSNIQENEISNQELSEGESEEESEVQEAAEEPEVEEESEEGSEVQEEATEETEVEEEPIEEPEVREEATEEPEVVEESVEEPEVQEVSKEPEVEEEPEEESEVQEAAEEPEVEE
MTAPPDRPDPVERELRTAMQDAATRWEPSPAPVDAILRVGRARRRARHRALAAVVCTVVLGCGGAVVHQWSGSGDGRATPLPPAGSPHSPASVPAKVTERVGGGTAGGTTWSVTLELYREIPDDYPGDDPRFPPPSGTSPEPGTSLLCQRTVIGGVRVDHQGGPWSGCAVVEGAHGFNEQAGLFGHTDKAVRGFRVLVVQPGAEVTRAVLTLDGGGTRTAGVTSLPGTTYRAYAIPLLPGETVAAVDEYDRADRRVSHQTQWN
MPPLLEQEDSHRTIPDNIPDIVLTMKDTLEIFPHENEIGWGKGEPSLLFGSECGSIKIDVRDLPSEPSPDLSPAYLRDISALRCLPTVPSDTGRPPRVRSLTRRRGYRADRPNPPLLVGTTWIPEKLLNNRSITKWNTTLHLTIGDLQVTSEKILINCGIFQGDALSPLWFCLALNPLSSMLNATGYGFKIKGRGNIQANLTHLMYVDDIRVYAATAKQLKHLLDIVQGYTIDICMSFGMDKCKTLTIEKGQLRVEAYQAMDGIMEAMQEGNVYRHLGFHQAKLIEHQQIKENLRDQYFKRISSILRTKLNGKNLIKAINAYVITVNELAETIRGTHRCRQCTKLLETFPQKKKETVPASVSLKLGNGRARRVAALRIDSPALCPSLSISVEAHTAIVGTAGGEYLHVVDALRAPSGILMKNSVSPWLRFDPVTFDIVPGRVKFRHRSPLGHPTLASGEELFSYVKPIRIYLFGYTDAHLLPFNSTWVYLANTTSIII
MTRRFSGLALGSALLGLVAGCAEEVTPPVEEPIPTMEDDLVAASPGGKFDTGYLSNLAVELEGQFESHIVVDYSDLSGADREAAIEAFSTNTSTIRRLIDEQIKFSKNQLNAAQLHLNLSASDAEFAIEFDENGIGTVTYTTTVETIVTSVELEEEGVSIEEVLNGNYAAVIPDQPERMADDVGAACISEGDR
MNAGGDAAEQIVRMSLEGVEVAARITGSGAKNLAVLIAAVLKEEQKTHGKARLSNMIRSGNELKVFTVQQKDLKRFAKEAKRYGVLYSVLREKGSGSPDAAIDVIARATDAPKINRIVERFHLNTVDKASVVKTMEKELDRNPILAKTGKSPQSLQHSEKENDIPTGRKQRTSVREELQKYREGSEKRKKAASVKDAGRNLSAGKKKGKER
MAKTKVSTDVRDLINDELKQQERTLTWLHKKTEIAYSTLYNILKHKISTLDQTQLDAINSILGTDFKLS
MSDDLQRLQEQCSAAYERGGYVGAAPFAERIIAAIDRSPQHGAWAALVTAQMRYFLARAALDQGSRDAASGHLDEGFRAAATAEELGDPRWTQQRLLLLVSRAELRMTAGDADGALADLAEAERLDDLSSAPDWGEAQVHMRLAKQYALQEVGRFDESLRQAQIALELATAHEPRLVPEALQRLALVRRLTGAGDEGDHHLHAAAAIGATQDLGGPGRAELARSLASRALEVGDLAGAERHLDDAEREFSAVGDLRRAAYAGVGRADVLRQRHEFDAAVDAALAAVERAAELGEGSAQLEGWTVAGMAHDEAGRGALALEAHGRAREIAQREGDVLQLVRIDVRRGAAALNAAGRAVQAEQRQGSAFGRAARSGPTGSDSAVPDAAAADGGGGDCAAGGRGPADASPSTGGGVGPDDAGAGTVGDVSRMAGEPDARARAAGGRGPADASPSTGGGVGPDGPGAGTVGDASRMAGEPDARARAAGGCGPADTSPSTGGGVGPDGPGAGTVGDASRTAGEPDPRARAAGATTVGPAPRTSSDCFAVTADIALPAALAADAIRFDMEPGPVRESWARELSTPLTDIALRALTGLGRADEIVALLEYAAATASLDPAPREAVAAAPVPGGASASASAVSWGGEGLTVARDPALRGAEAAAPLPGAEAPTEPLPGQAPMAAPSEAAPAAGGRAAPTAPHDRLDPPPYVRTDPAGGSAIDWAITAARERYGIAVRGDEEVDAW
MDTWEQSREPSMEALGLTSGWKIKSPYKESKRPGRCVGSLLEGSMSNSTLRKMSPTGNDMKSTTQGTTLRQQGFHEVNKRRTFLQDNSWIKKCPKEEK
MTPTTPQVLGRTTAGEPLTLPSPSTHPVTVGGTGGGKTTPLPRVPATQRWFWTPTWQAGEREASAQIAAGGLTVYADMAALFADLDTSPTTPEKD
MGPLVLASQLAAGLGVLAGAAIVKSAMESGPMAGGLPRCATCNGTGRVACLCSRWSDGDVGCRSCVGSGMMLCRSCGGSGSGRPLPIQVPMRSTRSP
MAQALSNSTMCSIHSVSRREAYRQQTALPSLAHCAIRRNSRRSSTSPQALSVGGVADDFGLTSGSSAVNILLQAATFAAIGVAAWFTARLVDQAPEQREDETGECPRCSGSGFEECICTRWSDNDAGCATCNGSSRMACRSCGGGGTAVPATAKIVARRGSNYIGR
MSGTPRAGTARVLALPLTVALLGLALLAGRAPTAAAEPAPTALSVEYQTLVAGPGEQVGVTGSVTIGGQPGPAGVPVDVTFDGEQVLATIETGEGGMLRGSFAVPDDARPGDRQLLAMIEGDETRNAAVQGDLLQITGRRAQTALTAQVDPAPVDQGQPVRVSGQVTRGDGRPTGRVSVEVLGGAAADHLDTIDTDDQGRFDAQVTVPPGAGDAGDFPAYPLLISFEGTDALTGAEQPLTLTLNRPPDPQAEAQTDEPAPAPDEATPSESATVAAAPGQDSARPSELTLVPIWVWFIVCAGFVAVVGAAMIAHRRRPDENSRLLP
MARLHACSTMPWAHFKDLEQIAFKTPAPALTAQVNCACACARRQAMPTHGLQSIFKVKCSRLCFLLYGYLGEQGSAPAAQEKAEFFPSWRLTWEGSRLGHIHARGHAPDFRNAKPLCSEKQESVLVS
MKADISFKDDDLAHKTIPLRWWKAHHRTYPTRAILAQEYLGAPGSSCAVGRLFSAASDVCSTSRGSLLPATMSHIVSSLMWRRKEVPLSNEFEEAGKALEALSLKKNR
VVWRSALGALVARGGLILARFAGPPGHPWPIGPIAAFPPASQPAVCAWLHGRAALTPGAEPTAIYRRVGPSLYRWWRAQRAAVALARAAR
MTGEPVVRAVEVMEAIGADVPNEYTLGDVDDLAYPVKYDDLKLTIGDKVVYDDLMRVYETTPVFHPEDQAEYEGDQFDLNSLGVGYGTDVAGYDPDTFPFLLMVTLEKYVGAKVLYVVSRDGTIACLDSPSVDVVEDGINEIIESIHRTKPEGPTFIDDAKLPVAEATVESITDL
MLNSAQRSAFDSIVTSLRERRDEVNTKEARCFFVDGPGGTGKTFLYETLYYYCVVEGFKCIVTAWTGISASLLPTGRTVHSTFKLPLNLTETATCNVRMNSADGQKLEEADIILWDECSMAESRALTAVMVMGGDFRQILLVVQYGDRSHILEKCVLENACWPLFRSLKLHRNERAAISQKAFATHLNDVGEGRTNNELGLHVFNPLTQIRLGNGLFLKTAWANSRVTLAALVKYTEKNREKLRAVDITSLRYFVVFILIARKIVFMEVKVKKYWCGSEFRVSQMPTENLCHN
MRLASVAVIAAVLVCAGWSQTAAPCACGKNASGPPPTRSLKPYAAAPEDLRPFSKFTTPYYEFYQDLIEYNGAAREIPVPDLKELTEVRIGFLAPLYDHPDQVLGNRMLNGAKMAIDEANAAGGYGGKPFRLITHNDYDNWQISSAATGVSKDSAIWGAASNDAVRMIYEDKVWAMFGSISSESTHIALRLTLKAETPLVNSASTDPTIPETIIPWYHTVIQDDRVQGYTIARHIYTELGLKRVAILRVNDRYGRFGVLKFKDASRRLGHPVVIEQKFMRGDTDFRHQLQVIQDSRVDAIVLWTDIEPTAMILQQMQELGMKQRVFGSHRTIGEELIKLAGTAAEGFEAVFPYDPTRRDPRWLEFNAQYEARYHEKPDHFAALAYDQMRILLDAICRAGLNKGRIRDALTGLTSFKGVTGDMVFDPNCKNIAPLFLGQVHNGQIAYRRITMEKPYAMVGEGGVQFVGPSTPDQSASDLRLGIFGPHADEVVRSPEIATLLSALNANGKKFSLVAISSEAAWGKTSNDLVNAVYQDHVLALIALDRASSHLAEQIAV
MFFSLKFTISKKSISDTQLFVYKSYKYFATRIKTANNMDELKLLDVKHTTLLIPYGVKIPYLLSNFLTFFYTLTNGELSCEDLLADHDICIYAPGLIGIWLNSKPNCKTQRDAKLIMDKVATISKLDKGLIKYRLLYEKFRLGSDVPSPFITHLAHRQGYYNVIKDITIGDWLPCFTRQTTCDDHIIII
MAAQHQLELLESRVSEMIAHLKALRLEKTKLSSEISRQETAFRQLQEERRIVRKRLEKLLGTLNHAEGKNTEKQLCGW
MNDRADRHVDHINNNQRRIQEHQLTFAEIELEQQGPEQAKSIVVSSDHQEREMDWQRDFRYVSRERQFVEQARVLEWENEAVAEFIPFHAYWPTYEQMQPRQLRWYLYWRGEVRSGRYPDTDLSYLFVYLYELIHGVGWSEPAEGYELMRRVWLAYRERYPELDGYVREWLYDYSLVFELEVPPAEPLQKLPRGLSRELKELEWKRRFTAEPLVLTWDMLRTMIDYDVEKSRFYTGQGRKDLQLYVPKVVSIVDAYLFKSEGARLLERFMPREKKVSRPLFRTAVYDHELYGRSISVSVLPISEHLPLRSFLTQLARFTENKLRELAGFRGKLRGITIEPAVEELVVRYLHRDLEQRKVEKARVHLSKVKINTVKLHRLQRESDEVRDMLMAEEQVEIDFERLQIEPVLRAGSMSAYSKRRDEEVSSEGGKQVEIAAEAAATGLSQEWRELFAQLSGAHRELLSVLLNGENTAARFRIAEQAGSMPELLLDEINEISMEWMGDLLVDGDEIVEEYRAELQSMLGGSGF
MCITLLSRGVIYFLFALELFINIFINMSSKTLRPLRLLCLPLRSKIYNSDTLQSPLFHLFKGVVYADNRTNRQLTQFPISTQQR
MAEVPLQINATIIDAADPERLTTFWSELLGRPIVDRSGPYVWLRREKGWASAFSRPMSPIQRRRIACTSTSHPPIRLPNSSGRGARRAAPGGVRRRGFLVMADPEGNEFCVIPGGSVSVGLDAQGRAHYLD
MTTAVLAPPSTRTERREPLGTIGMAASQAQSRASAPGKARGPRRSTRNSANQQGLEEITNNDSKRKASYEDDEGFQFARYQSTPHPTWKIPQYNQRHGGGRPPKKRVGETTATTAKGKSIDLPTRRPAKGAMSSEPDHHQEHATRSARPRDYQEEQPEQKKRKPGRPAKSKTADANGFRSPEPTKVALPVADTPVIQRNKELRGKKSGKGRRRISSEMRGRRASSLIDSGASNALPHREVRTAEFYKHIADEGLSEPRRMRQLLIWCATRALGDKPSSFHQEDQSAHLAARVIQEDLQKEFSSNSNLSDWFSREELHPASVVVKKPNPRNVQNTDKIKELEEQILKLQKERHALNALLKQPPIPRIDTESNPPPKKSPRSKNRPQKNPREEIDTSLLDPSQKALYKSLNPPRINHRRRIIHLLKIPTPTLFHLPLRCFSPPLSNHDRTRPDTRRFCGRTPRHRTIPIYSRYLLITSPTNLRPTSRRTRCAKYAAASRDRRRGQRDPSFFFTRGPVTATAEARSGAYTWSS
MILDFNSKRQVKYENIRQTFIKEHDYKLFPALFLSENYSYDDINTKSDKLDNIDFLAERSKYINWNKVIIDTVRCFVGKYDYYKK
MPWGASYLILGPDGNNTDVIAHELCHDELFARLGWWRVKRQIPQWFNEGLP
MTNCQHVNFEDTKEQIYTFTIGDKPQWFVMRDLKRTNTKLPAYKMLSDMNFEIFIPMTWKVRLVNGKRVPLKVPFMQDLLFVHVSRRMLDPVVERVSTLQYRFIRDGLRTPMTVRDADMERFIHAVEAADDPKYYAPADIKPSMIGKTVRIIGGPLNDYVGKLQKIQGSKVKRLFVSLSNLLTVSVEVNPDYIQVL
MAALLVERMGRPQKQYVALVLFESGARFNERGQQLLTSYLLTVDGIRHPYSIFMLSAERFWVYEPIRTGVFSENNIPTDLRRLLEGIALAGATEIVLVTDEPIETGDLRRGELPPVTVHCLKEPLSGKRACEGGGKRLARRTSGEYHDEIPVMAGG
MSRLYPADTRSQKVLEEQYEKETISQLNWFLKCQQAKNINHDNTSITPSTIVDPSLPKHSSKSLPKSNTEDQEDLTEQSNEIHLTDNVIKEQNHIDTIELDMYKPSNDVLKLLYDGISKEGKGRSRYLHDRYKLNLEDKFQFPVLSSMEYGWGHADLISKSTAQSRKFGRQCVIEDSFYRRTGIPFKHGAGMLGLDKYSF
MSLRSRIGWSIHSAIQSYYRPKVSARVLEHAGARTASKAYPPQFVDLVRLTRFVRERRPSVVWEFGSGWSTQYLAQALADNGAGKLYSLDADAFWSENAQAMLPPWLGPFAEMRHVPCKQMQVQGACAWKYDWRPDDMPQLIYVDGPAGAKECPGNADLLEIEERLAPGCLIVIDGRAKTAEFLRTKFRREWRYWEDLGPFGRFFSQFNQRYLELMR
YSSKGKNYSVGYDKTFLIDAVAQALEYERFDSRYSETQRKAEQIVIHYGDEKLLLDFENRTREVIR
MGFSPLNNLSTDILSLNRTMSPPRNDSEQGEGQDQLFDPLSYKIMVIAFFSVIFAFGFVGNVSVLGTVLKWKRLRNPCGLLIANIALADLGVALIAAPLRIADLYLHGWPFGDVLCRLILPLQDVMVCVSVVTHSTIAFERYRATVTPFKERLSVTKIKFVNVGIWLFCYVFSGLPLAIPLKVQPWKGVLYCFPDWSDLYRRVYEIYLVLVFIVAQLIIQSYTYVTIIRTLKRKSDIRIVEVAEKSETLPSRPSISQPGLTNDSRATCTGISARVKRKQKLVKMLLVLVVAFQICHLPRGITMLYREFVDPSSISPTFNYIDLIALALYYLKHVINPFILFAMSADFRSGIVSICAMCCNRREGYREPDSQKSALKRAEDFNEQLGEDEEVEEEENKV
MQSRKPFNFLLPLLAECIFIGALSLKSCQAVARASLAPVIIGLCLYCIVFTTTGVSEIDYGVGGILMSRVFLVISLLLLLPKDTPILQNDEIQQGLDPDSLPVRQRLLRSFSLLVNPRGIGWNCSMPSAVIPETRPIPRRSFILNELIRIPLLILVLDAKETLQAVHPLLNVVARADDCSVLRLRDQPYPIRCVLIGMWAAAAYAVIMLQWSVLSVLCVGSGLSKPKDWPTFFGPLKGCWTVRRFWGKTWHQLFRFTCVSHGRYVSRKLGFALVSSADIWTTLVVAFIVSAAIHSLGGDYMAASRATISYKFYLSQPIAVALETLVSNATSSWLPHVSLRKAIGYVWVVFWFSWSTVDMLDGLMCQGMMRREMFPSFIFRPLLEHIWNGTNTV
MWRLQRNWSCNSCSSTPGAVNVCNTAIGGTCST
MDSELLQMAIKSLAADLSETKTELKAVRVELNELRHRPMAVQPEQKHVAQDDDLLTLLEARTILRVCRNVFLKMVQDNVIKPIRMNTRTIRYSKIAIQDFISQNR
QNAVGIGWNYCFSNYLLNGQRGVINGNTMGSPIDSSHIDIQSGYFQTPVQNATSMSSGWETVDLNGFSSLIGCPINGDWTIRICDHWASDNGYLFSWNIEFAENGSIDYQNTTTISNVNMSGAYVTSQSDSVFTITSPLEIDPNISNIYTVNIVDNIGCAWDTSLYLNLVQTPIVNLGNDTSVFEPTIIYAPISLVDQYTYFWQPTHQTNAQTTTPDILDCDSIINYSVQVFNLKPYITCSGYDDITVTINPTPTVPVNIDAQINIPQNNIILTWESQAMKYDIYRNDVYYSTTTYPIYIDPNVVQGEDYCYKVMAINNSCE
MHDANIRVAIAGAGGRMGRQLIQAALALEGVQLGAALEREGSSLLGSDAGELAGAGKTGRYRAKQPRCGKR
FGFGTQLSPEIPYYGPWQAMGVLVGEIIIVLGFIFQIPFRLQREESDNSIENKENSEASTVDMVFE
MSDVAIRKYWTGLKGRVPLNINWDQVNADSAVYVTASEYAPNRAAPASAQRFVGDATITVRNVTPHGPPYDPNHGVTFVVDVAWGAPLNVVTDIVLLDRPRGSQHPPLDWRRLAFTVQHQQQTNWCWCAVSVSVANFYGASLTQCTFANTFLSRTDCCTASGGSGPCNQQARLGGALQTAGHLASASPSQPSFATIQGEIDGGRPACVRIEWSGGGGHFVVVDGYLAQDQFVGVEDPWSGATDVRLSTLNSSYQGTGRVTHSYLTR
MLTRTSWSHRWCTVTRMRNVISARDIVYTINIGIGVTFVACILYTFCCVRTLVQTMLTRTSWSHRWLRMTSSSTFPIPYSSIIKSFHLL
MAAKEHKAPLVNWAIIGLIGYWLTWWLAKMLFVVPLADIVPKHSVVEFLLTQTPVAFALGVCYFIRKKLIAGITTAS
VSLEAFSTFLYVFSFYKQISYRNVDLISVVVITTATSMKQHMSKLQILKHQTGVEFQRSTSHTDITELSNDEMLTFLDSLLLQRQQLEKINEDSYSTRLRDLLNQFLTDQKSLQILINSLRSGERALVSKILQLLGLITEFECTGTYFLQTQQPLLMFLQSELNRPNETQWYCLQIIYNLNFTEQIEVDYSFLPYYYGFEQTAEYAMNLALQVKHPSLLQCEQILESGELILEVLQEIECEIDEKIFYRCLKSQDKSLISYVMQNYDLTSLLSNENVLLFNQMLDTDDLKELYLSSFEFVAFDKLSTGVRQAILQTVGSHVEVHLDPRMQSF
MLHLIVDKRLARGRLTVIDATNLRDDRPWLLARARHWQRPTTAVLFDVPLATVQAQNASRDRVVPSEVVREFHGLLPTVDQLHDEGWDAVHLSSTLAHRSPTGH
MFFGLSKISAFFLTSGNLVTLGFLVALLALIFGATRRFGQKLLIVIAVTCLAVTLIPVGEFGVQFLENRFSRPGPESIDTQNIAGIVVLGGAVDGSQSVERGLAEYNGSADRVLTMVELAHHYPALPVIFSGADGALMARGFNEADAVREDMARKKIAVPNIVYENR
MLPSPPPACMHACQGSLGRLAKQSEGARSWQVLLHAAGELLRVLLGDAAGEHPGAVLRQRRFEDDDAGDEVLVHERAGEHGRAKAVVHVGEPQLAPVGDVDGLIVEAHLEGILPRLLLGELDELHRHPLLRLPDHVDHLHHRRLQHLLAAPGHLHGEDGVVVVPGVQLQQVAHAGEEAELHRGLRLQVQRPGAALPQLGRQHVEAGAELAAVRLVLRLEPVDVACGEVKHDVVQRQHGELRERARLDARVGELLPVPHRLHRRLREPPRHVAHCLDELRRAHAVRRRVVEPQAHHEPAASEFRDVKPCNK
MRYRNRTRSVLLGFIDIMANDISEAQMDPQRSKEKKRERRDTPPSAKAAGATGKMSFERERIFTRSFFLFSKLLTGLTPKDQLTRNPQGVPEKPNGPYAST
MISVVGVSLGTMALIIVLSAFNGLNGLITDLYGSFDPDLKITSVVGKSFVPNSKAFEQIKKMDKVLFYAEALEDNALLKYGKRQRPAIVKGVSEDFALMTGIDTMLIDGKFILQNKNRNYAVLGYNVARDLGVGLNFIDPIKFYVPKRNAKVGINPMDAFNMDFLFPSGVFTIQEDFDSQYVLVPMDFARRLFDKQEEVSAIEVKLKNIDESDEVQKQIQNLLGDEYEVKNGFQQHDVLFKMMETEKTAIFFILAFILVIASFNIIGSLSMLIIDKQNDIETLKSIGANKNLIQRIFLLEGWLISLSGAVIGTILGVLVCYLQLKFGLVSIGGDNIIFSTYPVRVEFIDVSLVFVTVVIIGYLASRLPVKYLTRKFLNVG
MGETQIVPATASTKVTGGSTMAYLSAHPLGVVVVGSLLLGIGAYYFGKAMANRANRKKAEQVEKAVAPVAA
MIGAFLLGLALAADQPILANVPGGARAEWRRSGAPELLVLPRPGEGWGKLAARVTPAAANAAELQAANEALARPLTGVRLRVPWDLLRPDLRVACARALFPLDRRVEAGWEHEVLAPWGGDGESWWELAEWFCGAGSRYPELRQTNPALGLYPARGSPARRPPGRARPRHRP
MMAKRQVARSLEEVMMPSTLSLVKLALESTFHELGKENAANNFAHGHYTIDKKIADNCIGLQGFLVFNVVGGGTGSGLGSLLLELQPVDYGKKSKLSFTVDPFPQVSTSVVEPYNSVLSPHSLLEHTDVAILLDNEAITTSAGALWTLIDPPTPILTA
MKFKIFDYAGREVIVDAGDKEIRQIRVEEVTGDEVIEIEYVDGSIEEFDSGICRLTDFRDGAYRVAGDDLKKWLADRAVIDIETRIINLTPHAINIVDKDGNKIVDLPSEGEARAVQTNISIGSLGDIPLVKTEFGEPIGLPEPTENTFYVVSAITANAAKQAGRSIDDLLLTAETVRNEKNQIIGCKALAKI
MFRTNTLIKTYSPSLNRVLSKKYSLSTLNLSEQDISAFENRINIKFNQKQIISQALTHPSYNHSHFEANERLEWLGKRIINLYTAEFLITKFPKLLPEELQDLQQIYYGEKNLAKFSFTWGMNQLIRWTPITSDFNHKAPVGQSKVFGKAVQALVGAIYNDQGAAVARAFVEKNLLSSDIDETKVKHLDQPKRRLLALAKLNNFSEIKYSVAYETNKFTPVTMYTVFLFIGSKKIGEGSGNSISNAQSKAAKHALISHFKNYSRDFDFTATIEDSEDQITFMPLN
MLKRLLAAISLVILLSVVWVPSASACPTQVCNDYGSCRVEECGPGEGGGGSGQPDDQGGGRGGEEATTCSYQGVKINCSTAAGTWSNAVGAWCKPASPQPPLTHVNWGGHTDGAIYDCSPPTSNRADPDFGLAFQRWLPAAPDLPPPDPEVLAWRALARVNLQPIDMGIAPESLATNANSLGAVGLPVWLWADNTSPNTTGPITDSASERGYTVTITARLKNITWNLGDGTPAIQCGIGQRFDPRTMGPQTPVVCGRQAGYSKQGEYTITATSNWEVAWTGIGQSGVIPYQRETSGTVRIGEIQVVVK
MLRRGLAALVAAGALIAGMLVATAPAAAADGVWCNEDQQCYVQLSYRPADPSPSPDSNGWTAGAPRCYMITSESQAIAQGFEPDEIFVYSESGGYTEWYVLVNCGSGISYWSNNRQCYVSMAPGEWPAQPPQYDQDAGYYVCTSGVLGPGGGVDIDDTYFWSNTVPPGLQVLTPGNAAAQLISTFQLRGVTIGMAPRVNPEWGHRRTYVGIPVWLWVDDPEPLTWGPYTETATLGGQTITATAKVSAIRWEMGDGGTEVCGSTGTPYTYRTEITASPTCGYTYTRTSSSSPADRFTVTAVSQWVVTWTSLSGANGTVNLTTSSSVDLEVNELQSVTVPNPNG
MLKILVAVVVAVVMTIAPANAEPSGGHCPPDDPECYVFDDLPAGPPGGGTGGRGGGTGGRAACTLDGKTVPCQLDGLGSYVGGGCYVRQVAAPVPDPHAGAGGWYVRTCNVFSGNPSQSDAEWSLNPPTGGPSPEELAREALAKIRLLGAAVGTAPGPDGAGLVGLPVWLWTAVTPNTWGPITSSASSGGLTVTITGRATRIVWSMGDGSTVTCHNPGTPYDAGYADQPSPNCGHVYQKASRSRPSGVYRISAVTHWRVDWAGGGQSGVIDTTRQSSTTLAIDELQVVTS
MTSGKVFSYCIRPKKDVLNNTEKDFGICQVKGETSGMEVRDESRKTRLVKCLDVDVDDQRAPRAYLVHPPNLPVGATLEPACPSPSPSDSQSPSPSPSPSPSPAMLPFSFRAPAAFLASFSRQRWLHTPSLPDWLSLSLGLNSLPSPQQPQPQPNHHQHHKEDLQHPPPPTPAALPQPADPADHQAFLSSPPPPPLSSADLPLPESSTPDSSPFADKDPAVPDEGEVRLAQPEEDDSHTPPPSTPLPQLAQRRPPLNANRAKRENVVLPPCDLHAKLDLEGEGRVSGMAAWLGGIRKWWLTRRTGEETVFRPENVRKVVVLGVHGWFPGRLLQKVVGEPQGTSNHFVLKTAQSLSAYYRAHYPTLSPPIPTLIPLSAPGKVLRRVSLLYAQLSPADITSLKEADLVLVSAHSQGTPVAALLGLFIGHGGNFAR
MASAIGEDFWACELAIPFYCLGITDKVGDTWGINVCREKKKPAEESSIAEQGAFNIATRFAELTGIQADLSAYRYEIAPVRTSTRIAEGALDLTLTVPIVNQTGAAEQRLLDGWLIGPDGDVHTAASAISPAAGTQADFDLGPIRLTEQGQYTCYVRVADAITKKPLALRKSSLTIEYVPLTIRLLEPWYRNAIFATQKLEQVVLDVNVSLDAAAGAAGSLHVAITDAAAGQVLAETTIAQVRDSNRVTFPAGPLPESRMRIVATLRDADGKQIAETSHPLRKLPALPGEVWLDRDMQWRVDGQPFFLNGAXXRTSARTTTPSAPETPVSSGSIPGS
MRFTLACLAALASSAAAYMVNAPMTGDQVPIQAGTIITWSAVDTDQPTFDLWLVNMRHFEPYARQIGQGINRDAQTYRVQGVSGVPPNTGYQFNFVRHGADANEAKERPLAQSGDFTVYGEGTV
MTKTITCGCGAIIRGSSKDHAKANLKIHEKSRRHKELIKLKKAQQRAPTGKDESLEQ
MPTTLLARFLNRFTSDEDNKNDGRFVPSQLDWSVRYGTEGGREEANREITRIQRQADEIEQRRRE
MQTECPQYVYPTRTYRVHLLYVLIVSPCPQYVYPTRTYRVLLLYVLIASPVRVPDYNLQSSSLCPQYVYPTRAYRVLLLYVLIVSPCLQYVYPTRAYRVLLLYVLIVSPCPQYVYPTRAYRVLLLYVLIVSPYVYPTRTYRVLLLYVLIVSPVRIPDWSLQSSSLCPQYVYPVTRAYMSSFLLYVLIVSPVRILRLKGAYRVPSLCPQYVYPTRTYRVLLLYVLDSVPSTYEYPTRAYMSPSTCTRLKLTEFFSCMSWIVSPSTYTRLRKSYRVLLLYVLIVSPCPQYVYPTRTYRVLLLYVLIVSPVRIPD
MSDTIHPALKWLRLANDEPSDVVFGCLAAIISSTFLALHLNVPPPHPESQSTFFLRRWWDAAPTRQLRRQLVWTGMVVFAPEFLVSMAFGTWRASSIGAEVFRSGHGNIQTWGKRHACFANMGGIEFKFQKDGDNIPESHDRQFLIHLANSRARENSTLRKMAVVFHHKTAVLRTWLEKAYWAVITTFQYLAKPFLVTSRYPAGEPARAEREPDGPLQAPETSIELSEMDTRAVAASSRHPETATATATNIYPRIGESPAGPSNAHRDSTDLGLVCLTDLYSPEGRPGSTVYARQDEAILSEPDPFSQDLAPQDRNSPSTCLSNITDTVTLYLNAIQIVAAQNLCLLDEGPSISEEDIKDKDKTSVYARGLVIFQLLWFAMRSITQLCLGQSPAQIELATLTYVLCALMAYAMFWNQPQGVERPIQHTVRASPTKGTRPVTDEDIHWLSFYSGSPFLERNFVPPFGMGSTGLDATKLPPSDLSLTCFTTFGGVLLMDDDVAGIIVGIIFGALYCLGWNHSFSSKLELWAWRASAIVITGSLVPFALANAPCSIFALHHQADRVRPSTHSTHILSLYMLLVLYILCRGFMLVIMVRVLWL
MTKFLLIFFNIYIICSVLFHLVPSSPVPSRSVPSAYQTVPIGTAVTRTCPDHLSIQSESLVLGLLILKLIEFNCHSYSVDRVSLAVSSASSPSLHHPDNTTAQTTTIFSICHLSLTSLSPSRFDIPRTQTYITQPPHHPSSRFCVFPSFTEEDEIQILLVYSKEINKRMLDTVKSRNAIASAAQNGAMESETVSSAVECTAVAANEDAKAEEHRSLHKTKNPWTRPEPAHFNQAE
MNGILRKAETHAYFVVAACVRVCCNGGAGRLGVFSAYADHQPKSCEV
MEHYDPEKAARVWQRVQAQPGGIREPESLCTLIAAENQAAAVFDTLARRTGKPELCRRMAQECRRHSTWLKGICRLTDCDRPVSAGAAPTREPLTVTLRKSYGSCLRTAAACDSRREDPEYGHAFAAMAEKKREHCRILLEFLGADPKVSRRKHR
MNDPTVYSVFRKDGIHTYRPSAYLQFGTATTSLGAVTLLNPGAAGSDDPDVQEALKSTGNYSGIVKPDPTMRQLIKFVNRICTSPDGPNGQLHIYNLFSLQGAKAETAIDTFESQVFSGLSPDFEMPSTAEIHQHPWFLIGWGLKRKERWGRLQERRKRWICTLEKEKIAYFGKPHPKIQLAYYHPCPMLEKNKEPVLNDLVAAYKTVVN
MQILERTLMCICALCSQEEKFRAGKDRRNGSTGEKRTILHYACAHGHPQVVALLLKWNCDIDLRDSDNSTALIKASQYEQEECLAILLEHGADPNAMDNSGNTALHYAVWHNNTSMATKLLAHHADINIRNEDSFTPVILALIKNNENLAKFLEDQRKKIQEPDELDRSSKKTSNEKDKVKEEENRMFELNELISSSERNSEDCEMFSHDTILQLIEQLRRQSKDPESLVKVWCAVCSYKKSMESTKRNCELITERNKSLGNDIIALQKERSQVEIEKTRLQLELCNMRLTAENSELKAAIKVQAKEDGQLPRTPSEKVKEEIKNQIKLNQSLIQDLDEIKERNSELEKEITRFKNLCSMAKDSDSHKSREFTQNASSTTHRENDVVLNLRKT
MLLVSDRWPERALLRAALLEEGLEVIGARDLFEALVWLQEEPVRLAIVDLPCRGQGALPQVRARWPDLPLLLLSGPFAGLGAGGLEALPGVRVLRRPLPVGSLVRTVREALGLGTAEGEETADGCAP
MNEMFSQGGKGSTGILTNKQAVARHFGVKQSEVVYFSVGVDLGGYKVIYDKETQRAYSLPPGLASGTTAISLSTAAVLVHSAGSVDLGALAVTREEYVTLPGSFDSGSTLNVKNELLIYTDGKYRWNGAFPKTVAPGSTPETSGGVGLGAWLSVGDAALRGELIKGFNGGYLKRTTVAEIASSIFPVGAQLTVTDRADGHFTVVPGGTANGTYILDAGNGNTAVYQIPADGFVNVKALGGKSGVDSSAAILAAHSLSTLVHYPDDDVYQISYDVNTANRSFITYSGQTGIRITGSAATIKDVSVFAVGTSYLVDIFKFVACKDVYINVNFDATPLPDLTAPPPEGLGYKGSSAIYLEGYCEHVTVDNRMSNVRYGVRQGGYSNPAFGGANNIRLRTRCYQVGYPIACYTASNLELDIDSNIQHRAAYLSGCINVRGTIAFAGYTYAEIGVLLGDSISVVSDTDVDRRVNSCRNIKLNIVDRGSVNNLTNRAACGITQSWRAPNVAHNNIHLHLQLKTNNATRTLAGFRLQSVPGVGWMGGNIYSDIKVSGVIDRTEQTLSGSQWADYSIIGIEDGETGPYPNSPTFDGIDFSELRIVKGSVTGNISQLLVPNATGVINLKNARQGITLSVDAPGARVILTNAAFASVTGVGVIYPSINNGVNGYRVDDDGSIEQWMLVNFTAAAGTSQSFNFPKAFSNACRGVSVTPVGSSTVTATLTAAPTSSSVTISCNATGASLYIKANGY
MQIDLELDEMIVRLSPLEKLAAFKIGGIRVPKSSIEGVERSLPPPTWKELRIPGTFIPGLIKAGSYLTDRGWEFWYVTRAGKSHPMTISLKDQRYAKLVFGFQSGSEADRIEEWLRGDRS
MVKLAFSKPTRDAEGQRLLFDRYQQAGYDGLQLKGNQYSPYLDDPARFQAEWGSDPAHVSSLITMNPLDEDGKERLRTLFRFAAAVGSERVVLCHDVPRVGLTDDDLADIARMMSGLGQEASDQGVRLSLHHHTNEPVMHRRDFDVFFDAVQDKAVSLTVDTGHLMKSEVLDIAGLIRDLAPVIDNVHLKDYEDGQFRLLGQGTVEFGDIIDALTTLDASATLCVDEESRAEIFEGMEVSQRFLEGRLVAR
PAAGLATYWTGTRPQLS
MEQSNYACSQSSRRFALTYIANRCADLLNVCLTDAATDIEVASSELLIFDASFVRLRRALDRVACAQRLLETMREVGATGCSRERLRADGGNVGAERVATGWTDVAGEMRAVVELLARESPDEYPAGAVEWMVVENGSVSHGVTTATNGGPSPE
MDIDIFELRFTANLDFFQNKETIAKELEKLFDYWEVDSTTIKLANNAKNYSVILECKRIAFSSLNLKQEEVSNLFNRIIETYKKYVEIKKVERIGYKKTSTDKLEMSLSEANKLISDKLYANKKDIEKLLGKISDLALVIDSEEEDYFFHHRDGAMETDQARENMWGLIPKTIKESSFPIFEKMPKKSTYFFSDIDCFKVNQKLEIYKDFPSFVKKVTLLKKDIKKYLIR
MIGLKAQEKSAFRSSGLVVAENGKVKRERTRRATQNHGSTLGCGCCTRIYGPPPLVVPRRCNAIARTQAKKRLEFTGFVPAVIESLCGATFGVAEAPRLSLSGIRLSTGETGKQKPLLYFDENIPASIIDNFRKRSWWKKKVKVLSAVELGNQGRSDDFHSSTARGSDTRSSLLIPTSMMMQPTRFQTEECTG
MSKKEYFKIENCYNYLVVSEDREVIEELKDLITVPAITINWNNFETGDIYYNENCEEYKVKASHHMNMIVLEKILN
MASMAPGFLLNPAIFSTRAMDLHVHRLASASIRQGKGPRRSPVTGPGHPDALCPRAPSDLLPLCQASPAGHRSRGPSSSARSPASASSLLQRRTSSAVLLTASPDPPPTSSTGYAMLPVLRPSPYRCTAVGQASPLLRLNREENASASVRSGRPRVDRARCHNDRAWASSSLAPAQSFLQQVRPSTKAQSQLPSPSRPSSARACRPNLAGADESVQMTQANSRRSSMKILSFVLFCSS
MAAHGVLNLSTWKQPHPVLAAVQHIRTMRGGSQAHLLAASDGNLYITKFQNNPQHVRILASEFLATRLAHALHLPVPKVEVIMVSDCLIEENPSLRIEIAGKITPCSSGLHLGLRYAADLWQDRIFDYLSEARFGSILNKEDFWRILAFDKWLGNCDSRQVVFTRRSGGQEYKATFIDYGYCFNGAEWTFPDLPLHGVF
RLGCVSAISHQNRHAQLVSLSSAGASSIPKNSVAGGKLRPIEMFAPLWNRCIDGFGNHAPGGGREKQQRPAWDVLHPGRSWAEKLQPPSVNEKGLLKHVSDYIKVTKSQLLARSSEAHDRQK
MKEDIVETRRFRKVASIKKIPPGTVIDLCTFEMITELYCPTTYTGSDAAPRSPHHSEELQKYRLHSVMLVSQEVVSKAEEIADQVIRNGKHILPTLARLCLIATFLEDGLRMWFQWSEQKEFMNNTWHCGAFLATIFVLVNLVGQLSGCVMVIGRWKVSIACGILFFIVVLQTLAYNILWDVTFLFRNLALIGALLLVLAESRIEGRSLFAGVPSLGDNKPKNLLQLTGRILLAFMFTTLIRFEISFLQILQDILGSSLMVLVTIGYKTKLSALLLVLLLSALNLYHNAWWTIPEYKALRDFLKYDFFQTLSVIGGLLMIVSLGPGGVSMDEHKKEW
MIVTCGFGLFDMIGVDHIICASAVGISDAGEDFFDVPGLSSFKPSFLTKFSDKMNFMERTINFISSFISKNVIKHMYGEYEIMWQRHGVDRKMEDYRSKINYVLSNSDEFMEIPSPTTAKIVHVGGITIAEKSELPQKFRSLMERKDRTGVVYISFGSVVPTKQMPNYFRETIFHVASSFPQITFLWKMDADDTPPEIPNLHTFSWLPQQALLG
MKILDKLKVLFNKYNLTYFAEVGTALGAYRHGGFVPWDDDIDIAMLRKDFMILMEHAGEIDDDLCIRSVYNSETFMNFHAVCTHKVDILEWDDDRMRDYYGCPFICYVDIYPLDYYPRDPEKMQIFRELYYFSFKQVYDLLDIESSFNKGNLLSLGELRAMKQGKDNPEAESASVTLKELELLKSYIKNYMGENIRFKEDKPLRNQLCLCVEKVAQMCAEKDSDFIDYCPKIPISKKLRPRNKGWYADVKEVPFECTTIKVPVECAKALEAHYGPNYMVPIRGKAGHDYPYFRDEVTVLVGGDTGDNYVGISEEEAEIPQEWIEVLFRGDGTKRKITLYGLSATDVLNRGGPGINMVKNYVEEIENSPEDVLLIMLAPAGLKDFMERCRLELSGDYTRMIQDFSSMEKVIFDDNPDSREMAMAMSVADEYYGDKCRLADLCQEIGIPVTILGY
MVINTEEQDWKKIQTKTFTKWINTKLNEGSYPTVTNLFENIRDGTVLAHLLFILTEEKFIYNKRPITRFQKVENIQQVLNFIKQKNITLINIGSPDIVDGNEKLILGLIWTIILRFVVTEGVQSDSSAKSALLSWCRACTASYKNVDIVDFSKSWQDGLGFNAIIHKFTPELIGDYNQLSKKNKIENLRKAFDTAENKLGIPKLFDPEDIAHSIKPDEKSIFTYVSQYYKKFSEMEKMDSAKKRLSDFLSIVNNSIKIKNEYEMEAKKFIELKNEFENNYSEIENLIETLNIKLGVRRTLSNEMNHKYLLLNSLLGNINTINNFYKIKKYEPRDEYKLDSLQKNILNPTYKSYKSYSSLMKEFEILDNQNMEYIKTLPSKFRSEEDIESQLKAVKNSLEEIDSSLKQTFNNKSIDNISLNEFETKKSLEFSKQIFLEKYEILKIISIKRTERTNLLNEATMMFKKIDRQKTGKINIIDFHHCAKVLGLITEDKDICLEKDTLLTLEEFLKCITFLYDQTFSLDRITKNIKIKNNQDFYSPENKIENIHIDELKEMIDFNLSLENGDIRKVLER
MPLILDNGIFRAEIIPGIGGNVIALHHLSSGTKLLREPTDLNELKRFPEQFGLPVLFPPNRIEDGQFSFEGRRCQLPVNERALNNHLHGLAVNKPWKIISSDKCSAELQFVFTPANPEYAGFPFAFSLKRKVELTGQGLRDSMMVTNHGEWNMPLGLGYHSTFPAPLKMRIGTADRQIEIGERHLPTGRLTEWQEFDPRSRFDPRGCNIGFHARAKCLDLEDGSSFHGAELLYDSGLLRYMTDEKFSFWYTWNKRGEADFVSVEPVSWMANALNQKESLPAGVRILAPGGEIAFRNELLFCTVAHDLHNLLRPGKFTQNEYYENQNDREENQPLHGIGNDDRQGSAHINQANFKNSDSCS
RGAADQAEPLLQQAADMFYELGKEDMEADTLRYLAQIQMQRGGFLDSIITYNRALDRMGDLTGRQKLIRTLSNIFLKIIGVKVT
MQELWDYCGDDPLGMKILTIMLDCLVKTHERYKVLGIPNKIFIDTMGFIPRFIAGHKAAHGVSAFVWSWWLPREISLKEFRIGEYEYEFLTDNGERRINLHIPSDADLKSGDIEAIYPFVKEYYPEYDGCEIVCDSWLLSAELKKLLSEDTTLQRAMKKHLEAGRKVGSAYGVYVRR
MWPFNRKAKVVREIKAGVWGKLVQQGVDVDTLTKDVRCVEKAGNANNGAPVTHVRIFKLSQAAQKGVEITGWEVFDRHPELVLFEGYINPESNQAVIEKRSA
MTPVANPRTPQEQRYNRAHARSRTVVERAIGLLKGRWLCLSNAGGTLQYKPEKVCHIILACCVLHNLPIRQAVPLQEPPRADEPIPNAEPFPPPNAAAIQTRERIIQRF
MTLIHNSPLDSPEGRYTACHCWTRNCVERLFGVMKSKWRCLLKEGILKYAPPTAGRIITACTVLNNMMRHYRIEEPQQLVEEVPLLHAEHNEVPPAIATNVRAGIVQRYFQ
MTPFMNPRNAAQERYNNALTHTRSIVERTIGQLKRRFHCLHSELRIEPSRACKIIVACVVLFNMSKMYSAFEEVDELEEEGQEAEEVHEANHLQQDFQQAGFAVRDAIVNAFFN
MSRRDGEEILLGDSGYPVRPWLMTPFLNPETAAQRRYNKSHKKTRCLVERGIGQWKRRFNCLHGQLRYSPQKSCEIIAVCTALQNIAVNRGLPDFEDLPIEHQQPRQPQPDDDHENVAVLPDRPNGAAARNDIVNNHFQ
MKILDSPYTNTPHSELKKTPALESDRSDFLNFNSQFLQYVKTTLANLNGDGHEYFQ
MLEIILVSILAIFAALSAFAVALTVVGSVQVSSKVREGILQYLFVGLLLSNGLAFLVAGKLGDPSSVEMATPGPSALASWIIRFNSIFVIIACLDQFFRYVRGNPVIDWRRLLLIAGVVCMFCGNVISPALFGKNPVSIESSWFYGPMLGVGIMCLSQAAAQNAVLVGRNALVVFTVLSLALIPILPASMLQLDYSEGFLPGVPRFTGLAPHAIMMGMLCSIALWCMIVHPFSSVKIHRFSLAACLLALFLAQSKTVWFSFILSLPVLYFFRVDWNHWKRKRFGDYKLVFVLGCLAVAFVMLTALALLITGFAEERASAFSRSSAGHQVLSLTGRDVIWRHAIEEWKTSPLTGYGLPLFNEEYRARIRHAGATSGHNQFIDALGRAGGIGAVTMAVYYCILSWFGFRYSRATNGLSTVLTLSMLVRSISELPIRIFSLGIGETPHLLLLILIVVGLWQDKKSDRRVKAFAQSRHTVSVT
MKNMLCASLLAFTAMSVQMAQAGDASCNARYNTVVFVYPKPVGDPIYDKLSENAKSMRDSSPESILDATFGKGLYEVVKIDDIPLTANGEPVVSEKLHNDEPVLITGADGKPKITPTHTGWRYVYTGEPVDDGVRTDIRIERFDKTPVTGKPMLLHAKWTAIVNKAELQDWTVPSYPYGFVTQMYVTDCPLARNR
MKTILLAIVLCAAGSANATATNFGAKSGTVVSMGQVASVFETKGRTSSKRVGGTGRSGKGGRYVGGHK
MLEVSPEAVATAAGRVDAAASAILDVDAVTPFSASGEALPGSQVAQACLWVSTRLGAALQVYGDSLGSLATAARETAVTVSAADAGVSTQLGRGMTL
MPEKCLSCGECAVIEEEVDGGRVQRICVECGTVASGVRPEIALHSNTVKIECVPFLNVKKEEQACESCGDFLQLSFHSGVNLCAECQELESGVADDVERLASTQSDKQQVSQPSSSLKTCNSCHSSNLGMDVCGADERLVCRDCGTVAENEALTNDDFEVTSRTYRNSFMTLPKHSRPTTILKGRAAGHDKITFIYNKLCFPEIIRDEALEMFNTVFEKPGVYFTHITTKEHIAAACVFIVCRQHDMPVSLQHFRKYVEKYKIFLKGRKIVMKTLNINHPSITMCSQVELVLANCAFNRAIISKVKDILFLCRKAWLTQGRSRQGLIIIAAYYAFISSMSFVSMTKFRQMFKFPNISMLLQSECSSLFLKLARSIPWVVAKHIKPTTVYKYVDDILQYQNSLLQLAFRSDGEVSSNVDLTIPETENELDTTPKRKKRCKNARHGTAVNKDVLLPSALKKPRQEVFRPETKISPMVNLDSPVVLASEFDEAEMASYLLSPEEEALLRTPKLTFMQ
MSTDMPNWISSTTMFVLNNRAGRFLVLNDEESKIAGESIGIEDSSDSPKSGMKESWRYFAAIVEWLSFFCVILIYVIILITLVPTG
MRLKDKVAIVTGSSQGIGEAVAKRLAREGATVAINYSRNDAAAQKVVADIEAAGGKAKAFRANCSNVADISRLVEEVGEVFGRIDILVNNAGTFRTVPVSETTEEIWDEQIDLNLKGTFFAVQSVLPWFRKQGGGKVVNFSSIAGVDAFPNCPAYCASKGGVSLLTKALASELAKENINVNAIAPGNVATPINAHLRGPGNEEYMALMSSRTPTGRAFMDVEDMTGAVAFLSSDDAKGVHGLIMLVDDGWCA
MSIQDKVIIITGASSGIGEATAKLLANQGGKIVLAARREERLKQIAADITRTGGKVIYKAVDVRKPADNAALVTYAKKQYGRVDVIFLNAGIMPIAPLSALKVDEWDDMIDINIKGVLNGIAAVLPDFIAQKSGHIIATSSVAGLKAYPGGAVYGATKWAVRDLMEVLRMESAQEGTNIRTATLYPAAINTELLGAITDANSAKDFDAIYKQYGITPDRVASVVAFAINQPSDVNVSEFTIGPTTQPW
MIKDKVVIITGASSGIGEASAKLLASKGAKVVLGARREDKLQKIVADITSAGGQAAYQVLDVTKQAENDAIVTLAKEKFGRVDAIFLNAGLMPASNISALKTDEWDQMVDVNVKGVLNGVAAVMPTFTEQKSGYVLATSSVAGQKAYPSNGVYCGTKWFVRSFMEVLRMESAMEGTNIRTATLYPAAINTELLDTISDSATAEAYQGLYKTYGISPDRIADVVAFALDLPDDTAVSEFVVGPANQPW
MIKDKVVIITGASSGIGAATALLLAGKGAKLVLGARREDKLQTIVNQIEKNGGEATYRVTDVTVPADNEALVALAKERFGKVDVMFLNAGLMPSSPLSELKTTEWNQMVDVNIKGVLNGIAAVLPTFKGQRSGHVIATSSVAGLKAYPGGAVYGATKWAVRDLMEVLRMESAQENSHIRTATIYPAAIRTELLETITDPESAKGMQDLYDQYQIGPDRIANVVAFAIDQPDDTNVSEFTVGPTDQPW
MARSDLQNKVALITGGSRSIGAAIAVELAGRGAKVVVNFVSNATAAKDVVRVIESNGGTAISVQGDVSQQSDVVALIHETVKQFGRIDILVNNAAMQRRQPFPNVDPIYFHEMFGTNVLGPIMVTQASLPHFPASGGRVINLSSRVSFSGGSGDTVYAATKAAVRRLTQSFAKELGPRNITVNCVAPGMIETEGSADLPPGYRERTLSETPLGRIGQSDDIAAIVGFLASEESRWLTGRTLLADGGKSDYW
MKLQNRVAVITGAGSGIGRVIAVAFAQEGAQLALVGRREHKLRETAELCGNDPLLVSADLRQEADVERLRDTVLGRFGRCEILVNNAGVFKPEPGVLLYESPVTAWDDVLDTNVRAAFLCLRAFVPGMIQQNYGRILSTTSGLKHAAGHGVYSVSKSALDALTKTAAQELRRYNILVNTLNPGWVRTEMATNAPEPPDKVGPIAVRLATLPPDEPSGVEFHA
MSNPLDKFNMDWFRLDGKIAMVTGANQGLGMAYAVAFAKAGANLFIPHFTEDVSEIKALIEKEGRQVHFLRGDLTDKAYRKDCVDECMKQYGRIDILVNNAGASAFHDFDDYPDKYWEMCINLDFNAVYYLSKEVAHIMKAKGGGKIINIGSALSYTSDAKCPPYTAAKHGVIGITRNFANELGRFNIQTNAICPGFLATEVNAELRKDPAFYNKITNRIAAGRWGELDDLMGTMVFLASRASDYLNGVDINVDGGFFTVL
MTLQDSLSLGGPPRRVAIVTGGGRGLGREMARSLVEAGADVVLASRTLAQLEDTAAFIERDTGRRPHIIPTDIQKSSECDALIDQTVEKFGRLDIMMNNAGIGDRRGAGARLWELTDEDWHDTIEVNLYGTFYCSRKAASQFIAQGGGGKIINTASIAGKQGYEPLAHYSASKFAVVALTQAAARAFGGNRINVNAICPGVTFTAILEDLLETRSKEQGITVEDMRTRIEKPIPIGRGNTPADIGAMAVFLAGPGARNITGQCYNVDGGLVPS
MADYAIVTGGSQGIGLAICRRLIQDGLTPIIFDRVVPKDSSLGEFRAVELSDLDATAAALDWALGLGPVGHLINNVGVVMPALLENTSLEDFDDLMALNVRCAIQCTQAVAPGMRKRQIGRIVNIASRAALGKALRTNYSASKAALIGLTRTWSLELAADGITVNCIC
MLLSGRVAIVTGGAKGIGRGIALKFAQEGCDVVVNALHIEGAQKVADEVKALGRKSLAIAADVANSAAVNDMVARTIKEFKKIDILVNNAGGISAGKEGTIENTTEEDWDRVVDINLKGQFLCCRAVVPHMKKNKYGKIINVSSMGAIHPPAPIVHYHSAKGGVLGLTTNLALELAHLNITVNAILPGPIRSEFFNEMLKNMTKEEGKAFFKMLDNKV
MPGSCTGRVALVTGGSRGIGRAIALRLAGEGADVALVARDIDGNRLGRSLSGTIDEVRALGRRAVPIAADLTDPSADRSAVVRQAEAELGPIDILVNNAAMSVFTNVVDWSDAKLRAMQEVNVFAPWQLIQAAAPGMVERDAGWIVNISSGVAAPDHARPGGAAYGGTKAMLDQMTRCLALELAETSVVANVVSPQGASRTEFVNSLVDRDVLSPELTEPLEAMAEAALALATAPPGVSGLVIRSYELLIELGRPVHDLAGERILNGYDVDDLPGRLAAIDGEAAKPRDLRGAWGADWGRRAP
MNLGINGRVSVVTGASGAIGGAIARRMAREGSKVIVGYKERRGIAEELAAELTRDGHIAYAAQVDVRDSSSVAEFMNTAISLAGPPDCLINVAGVAAFAPTSQLSEEDWNNVIDTNLKGAFLCSREVLPHMLRSGFGDIINVSSIAGTIGSFEGIAYAASKAGLDQFTKSLALELGNANIRVNGVAPGRIETPFRRQRAGQYFDFMLEQTPVGRLGTADEVANAVAFLSSRICSFITGETVTVSGGLSTVFLKHVNPDPLSRLGRHENDSGLS
MKLENKVAIITGGTKGIGYGIAEEYLKEGAKITICSRNAQEGVKAAKELGKLGEVLYLQADVSSIKDNQMLVDETVKKYGRLDIFVANAGINDPDKTHYLHITEEQYDRILGVNLKGLFFGGQLAARQMVKQGQGGAIVNISSVNAYLALDSQMCYTTSKGGVSQLTKVQAVALTPYDIKVNAICPGPIESELMRRVGSDEQLFNTVISRTPIGRIGTPNECGRLAVFLACDDSNFVYGQSIYIDGGRSFQAFPVPGYKTVTDEQYEKLMELEQK
CERAAVAAAVETGFQAFGRIDVVVNNAGYGLFCPLEDVPEKEARQIVETNLLGSLWVIQSVLPYLRRQGHGHIVQISSIAGLLALPGMAMYNATKWAVEGMVETLAHEVKGFGINVTLVEPGPHLTDWVGSSAVRPERGQAYPSHEQMMQQSWPRLPLAAPDAAAAPMMALVDSDMPPLRLLLGESLNALIMQEGQQRLASIV
MVLQRVIASTISRASSRRTSTLGFEGRVAVVTGAGNGLGKEYALELGRRGASVVVNDFGGVLGAGGSTSAADAVVAEIIAAGGSAKPNYASVEHASQIIDPVMEEFGKVDILINNAGILRDKNMLRISQEDWDDIISIHLTSAFKISQAVFPFMKENKYGKIVNTTSASGIYGNFGQVNYSAAKMGLVGLTKSIAIEGRKSNVHANAIAPLAASRMTEKVFPSVMLEKMDPKFVMPLYLCHESCSETGSVFEVAAGWAAKIQTESAAGAVFAKSKDEATLESVQEHFDALCDFEKDTVKLNSLQEATIRVMDAIMK
MDSLNHKVAVVTGGSGGIGGATAELFAGRGLRVFELSRSGKGGAHITHIDCDVSDEQSVVRAIAMVMEAAGRIDILVSNAGMGISGAVEETEAVDAARIFGVNFFGSFYMAKHVMPYLRESRGSLVFVSSVAAVIAIPFQAFYSASKAAINLLSMGLRQEVAPYGVRVCAVMPGDVKTGFTAARDKNEQGGAIYGARIRRAVASMEKDEQNGMPPSKVAECIVKAALKANPRPLYVVGEKYRLFNVAAKFLPQRLVNFIVGKMYG
MGNLLKDQVAIITGSGQGIGKDLAVWMASQGCKVFTNNRKKGSSMQAHDGKFVKLNEDDQAKLATIIGDAETAAEAVNSNPDVQAAGGEACPVYADISKPEGCKKLVDAAIEKWGRLDILVNNAAATWTGNVKEMKPENWETCVRSKLDSSFYLIYYALPHMLKQGYGRILLASSEGQVGLEGMCGYSAACGGVAAMTRGIAQDLAGDGISINAYTPNAGTRSWFNMLAEYRAEGIDPSYIEAAAPAAQKFPPDRMIPVLGYMCTKEFEATGLVVKVGADGEVSLWSNMDKYNTMFKDLWKDGAWTVEELRERVPKELLKDATVTKSVLAVNKGSYD
MKDLTGRVAVVTGAASGIGRAIAERCADAGMAVVLADVEDVSLQQTVADLQNRGAEAAGLCVDVREPADLEALAALAVDRFGGVHLLCNNAGVDTGGPFDQIPEASWRWVMDVNFFGVVNGCQAFLPALRAAGEGHIVNTGSMAAVNAGMRTMAPYIASKFAVLGFTENLAVELAATDPQIGVSALLPGGVKTRMTDSERNRPAGVELSEDPVRAEVVAGIRSATDRDGLEPLDVADLVLDAVRERRFYVLTHREAALDAVSQRLAWMTEDRQPLPRVLR
MHRLSRARLRSSLCAARHRVRYCGQGLCAARLDDGGDPHRIDAGERTRLPRANRHARRGAMSAPRVAVVTGGSAGIGRSVAEVLVREGWRVVGCARRLDAAEELLGAGITLEQCDVADALSVASLVETVRNRHGAVAALVNCAGIVLPRKNFVETSDADMEQLFAVNVFGTLRVTRALLPLMSDGGTIVNLSSTLASRPRPGSALYAATKGAIERFSTALATEVASRQIRVHVVAPALVRSRIWLEAGMSGEAYDALLASRGKDFPLGRAGEPEDVSELIAFLVSSKANWMTGTAIQVDGGAMLR
MVHNERYRVVVSYPPQSEAELELKEGDIVFVHKKREDGWFKGTLQRNGRTGLFPGSFVLSINTFDVCDYDDGVCCFGGVGWERAGLVTMTTRGTVGPSSLGVQFTGWAVMSELGVVFGGSRGIGRAVSQLLAARGHRVAVVSRNLEAAQAAVSTLAGGGHLALSCDVSREQDVQEAFKKIQKSCGNVHYLVNAAGVNRDGLLLRMGQEDMVSLLHTNLLGTMLTCRAALRSLLHKQGGAIVNIGSVVGQKGHSGQCVYSATKAGLEGFTRSLAKEVASRNIRVNLVAPGFIRTDMTAGLQEEELIGGVPLARFGEPEEVALAVLFLLETPYVTGQVLQVDGGLRLAM
MGLAFEDTDASGWRQTIRANLEGYYYTIQKVLPLMRTSGWGRIINISSELAEDGMAGGGAYTAAKAGLHGLTATLSKELGPVGILTNVVMPGWTMTEKALAIFPAEMIEKERENVPTRKHSVPEDIANLVVFLGSEANGNINGELIRVTGGK
MRYQFDVNFFGLGRLTQLVLHHMREQGWGKIINVSSGEGKVHAPPTAWYVSSKLALEGFSDCLRAETAQFGIDVAIVRPGAIDTEITGGFIDPLLETSGSGPYSAIARKMAD
MTAQYPDLKDSSVLITGGASGIGADIVRAFCQQGAKVAFIDIDIVAAEQLIAELVELGCSVPVFSYCDLAELESIRAVVDKLSESCGAFTVLVNNAASDNRHTPQELTPDYWRNRLDLNLGQQFFCAQAVHQYMREQKRGSIINMGSVSYQLALPNLTAYATSKAAVIGLSRSLAREWGVDNIRVNTLVPGCVMTQKQLKLWISAEDEAKIQQQQCLKKRIVGADIANMALFLASQSSAACTAQEFIVDCGII
MAITNDDPSHSNNKTQQKQTQAESLNNNGNLAEQILEMLPIIGNLILLLLNACWLIGKAFIELIIPVPPKSVKGEIVLITGAASGIGRELALQYAAEGATVVCWDINEKGNLETVEEIASLGYPKAHAYVCNVANRKEVMETAEKVGKDVGNVTILINNAGALRSCPFLDHTSKDIETVINVNLMGHFWTLQAFLPTMVKNNYGHIVAISSLAGLIGASRHITAYTASKFAVRGMMEALYSDLHVDSKCQIKTTCIYPAGVETGLFDIKRVYRRYPKIMPMLKSKDVAKCIMDAQRRDMFEITVPRHTLTLCYLFRLLPVRVNIAVFKFLECYIKSDSETIQ
MVEVNNNNNNKGSRNGHISHGHMAPASAAPLAFYNNLKSWVAAISDVVVFIIYCIGFIIQDLYYIAFGYPEKELKTDIALVTGGGSGLGRLLSMRLSKLGTKVVIWDINKPGIDETVKMVQESGGFCKGYVVDISRKEEVYKAAEVLRHEIGDISLLINNAGVVSGLHLLDTPDHLIERSFNVNVMAHFWTTKAFLPKMIENQCGHIVTIASLAGHVGITKLVDYCASKFAAVGFDEALRLELEVLGHTNIQTTCVCPFFIQQTGMFDDVNARWVPTLNANDVADRVIQAIKKNEKIAIIPGYCKFLLSFKWTFPWGCVGGLLKRLVPDASPHGLAQKSLLSVYKKVSSAKNAVMTATPTSALITDISAAYKDATSMTKAGTLLIKRSPSLGERVL
ISFCLIVGAVFYFFEAFVRLFIPHCKKDVEGEIVLVTGAANGIGKLIAKELGHHGATLVLWDINSDALDKTAKELKQVLDVRVYAYTCDCSRRSEVYKVAELVKREVGDVSILVNNAGMVTGKYTFVEAPDNLVDRTLRVNVAAHFWTYKALLPAMLQRNHGHLVCVACHGALFAMNALADYCASKSAAVSFAESVALELLVLKKEGIKTTIVCPYLLNTKMFRGCQTKNLCSLPSFLPILDQRYVAKQIVDAILQEKMYLLLPSSLHFLVALKRYFRPLNSHACQTGHHLCELHWWHGSDGSLQGGSCTHYQLQKASAPTK
MQCKGLSMNAARKVAIVVGGTAADGRDSIGAALCHFLRDQGALVLPVSSRGAKVEATLRALGADTALTPALTADASRPEDLERTFDEIVSRYGRIDILVNAQGIAVKKPTLDMGAAEWEEIIAVNLLSAVTACRLAAARMIPRGTGHIVNIASGTALRGYAEVAAYGTTKGALVSLTRHLACEWAQHGLSVNALVPGYFVTAINREMLEQHPERLDRIIARTPARRVGDAAFEDLRAPLTYLTTCTPFVNGQTVVVDGGYCMAGSG
MCLNQRLAQKVALITSSSSGICASTAKQLASEGAKVMICGRNGAKGMATVRHIRSQGGRASFTLADTAIPADAQAVIDETIATYGRLDILFNNANSSHAQDGAVLNVSEAAWDRVSEAVLKGTFFCCQYALPFLQQSGSGTIINLIEQSHESEMRSVNVICQGGIVALTKALAQQSRALTTTANLIWATQHPADSPSMMSLLTPPLWSLPGAAESPTSVTTADAPYAPMNRLWPVEQRPVEQRPVEQRPVEQRQCLLFQRLLKR
MNSKVAVVTGASRGIGRAVAERLGAAGARVIVNYHSDAVAAKDAVTAVERAGGQATAVRADVRDPAQLSSLFEAAVEEYGGLDVLVSNAGRAWQMSLADATDDDLELHLTINTWPVFRALKEASLRMRDGGRTPG
MAPEVAIVTGASRGIGAAIAGATVVGTATSDSGAEAISARMGEQWGQGMKLDVTDSKNVEEVVKAVTEKYGAPDILVNNAGITKDTLMMRMKEDQWLDVINTNLNSVFRMTKAATKGMTKKRWGRVISISSVVGSMGNVGQSNYAAAKAGMDGWTRAMAREIGSRGITVNSVAPGFIDTDMTADLPDDWKEKLLENVPAKRLGQPSEVAEA
MRLRGKTALITGGNSGIGLATAKLFVAEGAKVVITGRNKQTLDAAAKELGPNALALAADATDIAGTEAAIKQGAEKFGKFDIVFANAGIAGGTPLGSATLEVFEKVISTNLTGVFFTVQAALPHLNDNASIILNGSVISVLGIPGYSAYGAAKAGVRAMARIMASELS
MIYADLKGKRALVTGGASGIGLATVEKLANCGATVAINDLPGSKVLAEQVARLRELGLDVLAAEGDVSNPGDVIRMFDEAVSSMGGLDYLINNAATPGTADTIAESDLNAQDEAFWDKLLSVNLVGPFRCIRAALPHLEKGGAIVNVASVAAMGGGASSTVYASTKGGLITMTRELARGLGPNIRVNAIAPGWVKSSGWDCSWDEADADKAAQALPLKRIGVPEDYAEAIFYFCAGASYVTGQTLIVDGGLLA
MGSDVRTAVITGSSSGLGVAIALQMAQRGWRVSLGARREDRLLEVAADVRERGGSAFAHALDVTDEDSIERFFEASEAAHGVADIVVNNAGVSRPGLLSGQDPAWLRTEVETNLLGALLVTRRALIPMRAQGLTGDIVFMSSDSVRNPRPLQVTYGATKAALENLSDGLSLELEGTGIRC
MTSSDESIRKPWKSCFRDGLFDGQVALVTGGGTGIGRAISLELATLGAIVVIASRNRSKCEDAAKEINRQLSGNSKGRVVVGKSSLNIRKEEDIENLIASILEEHKRLDIVVNNAGGQFVCPAEDLSNRGFSAVMETNLRGTFLVCRESFNQWMGEHGGRIVNITLGNRNGMPYMMHSGAARAGVENMTATLCTEWINSDVRVNCVRPGVVYTDSGAENYGEAEDMLLERVLPSIPAKRFGTPEEISSAVTWLLCEGASYVTGAILCVDGGSSYTFLPLIDIEDAAHLPFYGKLPKKARL
MTVAKTVLITGSNRGIGLAFTRHYVANGWKVIAAARDVDGATDLKELPVSKIIPLDISDESSIAKAAEALKNEPIDLLINNAGMGGGGGIPDVTKAEMMKLFEVNAVGPFLVTRALLPNLKLAVAKHGSATVGQVTSRMGSIADNGSGGRYSYRASKTALNMVNKSLSIDLKDDKIIALALHPGYVVTRMTGHTGEVTTEESVAGLTKIMADATPEDSGKYFHFRGDILPW
MDLGLKGKYALVTGGTHGIGLAIALELAGEGCNVAVCSRTKERVDNAVELIRKKGVDSIGEVVDVLNPNDIDRMIDIIFSEWGGVSILVNNVGGGGRWGSTDVITTDESVWMDVYNKNAMAAVRFTMGFLPFMRKMRWGRVVTITSIFGREGGGRPWFNMAKSA
MGNLTGKVALVTGSGRGIGREVALRLAEDGASIIAHYSGTKAGAEEVVQQIQANGGTAVAYQADICKRDEIVRMFQQIDERPGVLDIVVNNSGVMTTSNFGDLSTEQVDLVFGVNVFGPLYIVDEAIKRIRDGGRIINFSSSVAKYPLASAGIYSAAKKALESFTESWARALAPRNITVNAIVPGAVSPGMMDASPQYLPHMIAASPFGRIGKATEIASIVSFLASEEASWVTGGQILANGAANT
MNQRPLEGRTAIITGAGRGLGKAMAEGLATQGAKIALIDLEEEILSAAVADIEEAGGQGCALPVQADVTDPDRAHAAINRISEVFGGFDILINDAAMGPQFFTNNFVAHTPKFWEHDTELWHRVLSVNAFGPQLMATAAAPHLIKKQWGRIVNVTTSLDTMYLRGCGAYGPSKAALEANTRIMAQDLEGTGVTANILIPGGPANTRMIPEDTGFDRDELVQPVAMQKPICWLCSTDADSTTNRRFIAALWDESLPIGDRVEAASAPTAWAQVGSVAKRPSEWVDTKR
MVENLVEPWVGSSAVVTGGGTGVGAEVCRGLVARGATRIAIVYSRSAADADALAAELSSDAVDAFAIQADVGDDPAVRALFAEITERWGELDYLVNNAGATRLVPFAELDAVTEEDWNTVFDVNIKGAFFCARAAAPLLRARSGAIVNVSSVAAYAAMGSSIPYGVSKAGLLQLTRSLARVLAPEVRVNAVSPGAIQSRWLEDLMGAEKAQAFKDSEAADTPLGRVAEAAEVADAVLSMLAVRFVTGEDVIVDGGKHTRY
MHAVVTGGAVRLGAAISRALGQRGCSVTVHCHGSRDAAEALVATLPAGSRVLQADLRDPGALERFADVVTEAQPPDLLVLSAASFVRARLRETSPAEFDEILALNLRAPVWLAAHIGETMRARGGAIVLVSDAAGLVLWPGYGAHSIAKAALHPAVELLARELSPEVRVNAVAPGPVLAPVDYDEQMIRRSTERTLLKRMGTPQDVAEAVCFLALDASYVTGAVLPVDGGRHAER
MTVSQQIAYQYAKKVARLALVARMVGSLHDVAARARDGGSPDVLVVAGDVAKPEDCRRFVQATVEHFGRLDHLVNNAGVANVCWFEDVPDVAALKRVLAVNFWGAVHATHCALPHLKKSGGGKVFVNSSAAAALAMPGMSLYNASEAAVLNFFETLRVELRDEVGITIATSGWIVSEMTGGKQLSKEGTVEVAVRCAEATVDAICRGRRHLTVPLWYRALFLWRALAPEVVEFSQRLLCRRTAGGRGHQAKGKRLQEVTGAVQPAPLHSSDIKRE
LLPLFLLFDVLCSFVFRHNKLEERNGLHGKAVLITGASSGIGEYMAYEYAKRGASLALVARREDRLQKVGAKARCFGSPDVLVICGDVSSFDDCRKFVNTTVNHFGRLDHLVNNAGIFNFYSVNWGVEITKFSPVMDTNFWGSVYPTYFAMPHLKKTRGKIVVNSSVAATVHIPTLAFYCASKAALWSFYEALRAEVEPEVGITIATLGFVESEMSDGKVMSKEGKMNDGVRIPQVVRETIMGTEACARAIVGGACRGRRRVTEPQWFKVLAMANYLFPDILQWVFTFFVDMGP
GPGARPEWLKIGRTVAALLFFLPMPLAAVTELSDAESDAEPKLKSKGAKKQRAPTLMKKIGGKQKVAKKKKKKLTKTQSKKVVSPTVLAKLITVRSLGVAKTVMEKTAKLPHHGYIGKAIHAVTVPLKRTPPLNLKVVCRQKPGKNKKGADKFTGSRRFKAWSTIRCFSATTTVTRSSVSKRGISDLANQIANSTSYKQAIRARGEKPGAYLSVGAAEWFSGLPLGWSSPHAGAVDKDVVQNMFPDRGVQKLPCVSLFTGIGGWLEPVEMVERDPHCRAVLTARQAEGNLPCCHLCEDVVGYVPTWRARTAVALTAGFPCQGVSQAGHQEGMRDRRSALVKCVFDVWDKLPRARVAVMENVTALLSKSDGCRDIFNFILQESQKRGLILHWTSLKLTNLGLPAGRSRTFLVAARPGDDALFQDPVELDHWIKNSPWNKLNSIPEHLWLAKTRSEEDECRIHSMGNLVVPQQACSVSCCLIAPDVQGLMSRVNLCFNWRM
MLVALHSYEDEASKPRAASNKHTKKEYNNFACASLEQLELNNTIPTNNLIWPYRQNQDLANNCISKSLTNYQRPLRNIDPTLIYNSDHVATPSRNLITPEPHRSLSTMSLDNISGSQSLTGYDLLMNNQNQESFTTQQNYCKEKISRPNAPSQHTSSLSATFCNMSNVPSQYTLSLSATSCNMSNVPSQYNSSLPATSCNMPNQLLVICQMSQYSSFSPTTSHDISTWNSNNNSQKYYHQHREKISSVDIQFQHASYSQANSYNKTANTDSEELNFSDEELLVNWLCTRPDLINKVQILSKTSLLHKNEVNTPESFNKKTMTNLQFVAGKVWRQKLSKYLDASDFSEFKKSSSSLKSLESFVAESLKIHIEYLIEDRNQKKPSYIENVLAKIKTLDQLTFHLTIPSSSRRNYANELDIDQASTELSSDNE
HVPIADGYTSSRNDSIESESDSSVTSKSPNNWNQDTILEEIMSTARAVPDPKSQSLNNNYISRNSPCSVNMGRSPNEHNYHSFMKRSTPRKSIDRCGSPSLSGYPNAVRRGSLDHYGSPPSNAYFPHDLDDIYEYKTDHQYFTHTGNYNQQKSINRTSLNSDAHRQQFFHRTPAARSLDDDNPLHARYADKNRFDNSSFIRSLNDSETSINQSPKEKSSKSSLHGMFKTIGKKAHIWPRKRHESMSCNMATNDTTTPINEVPDNFRSRSKSLDVSYAHRILNDCDATYKIFDSIVREGAHMRRASAELEKRRASLGATRGLRPDGTLDPYHAAILFRDSR
MKRIISASAVLVSVSILLFTTSCNINDDTSERTAATEQQELNEALTRLVTANYDIDTTALGVFYIMNKEGEGPLPSQGDTCNLIYTGYFLDGTIFDSSGFYFQDSIWTFNFLEVSLIPGFNDGISLLNKGAEADIIIPSELAYGSTGYQEIPPFTPLIFSLKMKDLKPKQ
MDDPHVNRNLYPLRGRERLHLNLRTVARDLAQAQSQSQFITTLLNTINRIFQSDVAIVYLMDTDTDTLRPHVAQRAVDGARHIRDIPLSGCSPRVLEAPYHWPSAEVDPIVHRCQFHNHLSELGFATWFSLPIRRKNTPLGLIAVGYYHYEYLVEDVVQILWEFAQDVAQALVPHLPSHLQRQLADAGSESQVPTLYEQRRMRRLLTNHYQLTNSLWTDDNLSAIAKVLSQILRQPVTVMDRYLGVLCSEPADVQWRTPLAKARQWIREHQLLSPFNHPFPVRTDRIGEDTFVFAPIQMGDSPLGCVLVW
FQNQITLNVKTDWQVGEEIVIASTDFNLDHAETFKITGVDNSGTKTVLTLNTTAAYKHYSGSKTYTGSNGVNPDMTKTLEMRAEVGLLTRNVVFKGADDDSVANRYGAHIMLHSPGDESVIGRFSYIELKQVGQ
MRLILLSFLFSLQLSAQRFSKTDSTFGGANRPALVFKESGFIVADEDHYSLGVLQVGLFDSIGQKVYHKSYDYANSSDSVIRVNTCFKCLISEGINYYFAQIDFLRADSAFVRFTKFNQNLDTIYTKKYLEFQGTTPYIRDIKFDTDSTFVVSGDLFRPTNRNKYDLWVAKFDTAFNPIWELRLLDTIPSLSGGYYGYDLVLDQYGSCLVSGRATHYDVPSRLNIDHSFAARVDLQTGQLKWFHPFNEDLGSQNIAALDNGDGSYSFARVEVLSFVPSTYNPADAQIRLGRIDTSGKVKYDTTTGPKFPYFRFQDLISTQDGNFYVAGDLYVPPYNFPIAAYKFTPDGDSIWMRSYYHLNDSADWNHIWAFQEAPDSGFLHIGQLFDWDNDIAPVRIQHFYMLKTDQYGCLQKGCQSIGLEEIGPLEAELRVYPNPTTGVLHLESDLEQEITYVLFNSLGQAIRQGSFKASKILNIRDLNQGVYVLQTRSGGRNYHSQKIWLQY
MAGPWQGTACLEDCSLPEQHVIRKEALHTGSHLRRRMSSRPVSGCTGRHGYRTKRTRQRLAMETVSCSAPTTPGQSPPTPIFTRFSKLQGASSTT
MKILYICMHNPFGRGDGGDLASHAYFNAFCKLANGAIDLICSNEIELKNNSEDNFTKGKIFFAPERPFFYKLLSVVTGRLNRYTRFAKRIIKKGHYEYVVFDHSSIAGQLVDYAKKNGVKTITIHHNYEYEYYKDNSKWLNRLLFLHHVVRNEKKAYQKSDLNLFLTKQDLVTFENVYKHSLNKNAVLGTFEYMSNTHLPQIRQNENGDCITFSITGTLCSFQTNDGIKYFFSKLFSCMPSKCKVIISGKNPTEDIILLCREHANVKLIKNPENMDDVIQQSDVYLCPTRIGGGLKLRVMDGLRNGIPVLCHEKAARGYDFFYDTPFFKIFHNEMEFKEYLIELIENVQEKNFSRIFIQEKYEEYFSFNSGFQRLKSIFETTFII
MYINNINPGLGMGMQGGFGGFSGFPGSAVINYSFVPTASGWRAGAGTGYPAVSLSYNPALGWHTDIQAGPFSGMGQYWGGFGQPVISGLNLPLASGQPGGFLGGISPMAMGMAHPLSTGFPGLMGGINPVSMGMAQPMTTGFSGMMGGMPVSSTIAPTTGMIQPRIELAETNSDVVVTAELPNINPNNLQLTVTDDSLSISALAISGGMTTSLHRTVALPCSVRAEHVDATYSNGILEARLPKSDLTARRRVKVNVTG
MPFRRTDQRFDRLFSKCSLAAFGDRRKLQIADIFLNCLENSVWNQESSGDAAQAVARIRNELLPPARTDQPNQITFSHSAVCEGLNNSLKDQERSIVVPSFFHGVVQFLLTSDASFDAGHQLCIDILTNAGVTSETLETSGASRLGEWVDDVFVEHLIANRQELKGRDHELLTYENWLRNSINAGRPVTLVGERGVGKTIFVEHLAASLQRKLPDRQFAILRPHASVFMQGEVDAELELLSRMAMGDSRIFLIFDQFDAMLSHEVFRLAFAEFFGGALSFGGHPMLFVCRPNMNLNEVLFREIEKKQLAEIEPRHVVEAVEHKLSGEARIQSEDGEGEAESQRFAKEIFRFSSQYFPSDAQPLAAVRLLQSVTDRKPRQDSGLPDVLFQDLISTVATEAGVEERQLQSDPDEFYATIQSQLAQEIIGQEHAVKTICNRLAKWEKKSRELLTISATTRRNRLEPARILLVGPPGIGKTETARALADLLGRPLHQFSMNQYATEMARTSFIGADVGYEGSGKTDTIFSQVQKTPRCIVLLDEIEKAHLDVQNILLGILEGEGKDYSGAITRFHQTIFVMTSNYAEQLISRKYETDRKEKTRSEVAASLSTPIVKVLLQQGASAEVEQEMVEFIREASEQITAEFRQQRTEWMNAVREKRESDATKARDRSLELVGAHLQYSAAEAELTKLREGKSIGSALLDRANDIVAYLPFVRHEEGDVKRADDSDIIQAFVDRFLPPTEAGKDREQQVNNIAVKVPHLASVRTIKTIVEQSS
MKNKLQYAVLACFMSIIHQSSGALTATITAGRTHATVSGTVSEGDIHFTNGLFAYVIWPDYSNSSFQTSFIQFTSPTKGGVLQFQDKTTAPNCPTSFECAYPPGDILPAYFRGYGWPGPTCPATGDDYRNGPVVSMRPLIVSWINSRMPMTIPYLASNVAKGEPVVVNSYNFGCFSPSPPSGLMTSANRVFVSFTVRPDDIAIVDPTVDPSVCSISDIPEISFSSFSKDVNGLKKSESVSFGCGAGNPQHYKLRLTGNADDSGRLLFSSGVAAKISFNSQPLNANGTPVELRALVSGTATLDVELVGTAPETGVSHATGVLILENL
MPTEPKYDLDTVLTPDSDSDTRHRIDQRLQNVDTDEVWYTIVINDSEPRLVPESHYEEWTTV
IKSSKGWNYTESIIVHVSDSEGKAMIEGSVDLSNMRILSRIAKIPKEGTPQLLIFYRDKKEVFVNIDGSWVKVGEHEWHIEDTILYRIIEAMYKIGEFSTTTIQENGTEYLVISAETSKDKRLDEIYLELYNIASPCKETPQYTHVKITLKVMYEQDIPDTLSISFFSGNKLIMEMNYKVWSFDQEVKISPPT
MFGLYWVNLVLEQMASL
MQLKKAAEAPRTKATGKRALTEAEEAQYEKRTRRKLLVDPPAQKLMAGAFKSARTRRKLLVDPPAQKLMAGAFKSASGGEEQESAVASRPDRADPHSGGSTFSEGFSPHRPLDQAEGGDEFPSGDMGASPATDPDISPFPDQTLGAASSPAGVLSTTSPSEGVKLPTDEMATDEFANLNESQSPASSILLPPSGTNIPSPTTGRLTQVDTTASSPGLSAADDDEPLDYGSSSHHDDDADEDDTDDDEPLDYGSSSHHDDDADEDDSDDDDFYDWAHQPPPPPDKASGYLPFYPTGSPTPEAAGTPSSLHPQAADVSPFESMSVTTSSLPEEPLSLTIPLKPVGTEIVLSSTQKGALMALPSSPSQLDITIASPTSSRSSPTAFASGSMLLGSPSTSPMKSLSPVAIPSILKALLRPLEDMMKIAIEEISAGRASFDFFKPILMNSLNNVRYVDDARLFQHCSEGISRLEKDLRALEELHQADLAAQAALVFGNLQAEAARQYESFQEAITERELKIASVQGQCIQKQHAVDASGAAILRSRLVYFTFTEA
MLPEISEPEYQQAGLLVAALFYNQLGQYELGLRYASKLESIVKEERNACLAIQLTIEAKLKLHQLDENSPEINNGIDVCEKSGETLVSAIINTYVATLLLEQHKYQQALQLLEAQLDTIHAFNYAPVSSRLYSLLAQGYQALGQTNKAETYASQAVATSIPMGNDESSVIAYRILYQTNMARNDYKAALDYHVKYAQADKAYLDDIKTKHLAFQLAEHQAAEQKSRIALLDRQNNLLLTEQQLARIQAENNRLFISLLIAIITLLGFWAYKSWTIQKRLKQLAEYDALTHVFNRGHFTQVAQSALSYCESSEVDLSYILFDLDNFKQINDKYGHAIGDWVLKKVAKACQAQGRKNDIFARIGGEEFCIALPGCDLKTAIKLAEACREAIATIDCSATGHEFNVTASFGITDTQMSGYKLERLINDADSAMYQSKETGRDRLCIFDPEAKRTIPQASHSLLSL
MSTARLRNAAPLYRDPSSQHSHGTVQYEAQHPIRAAYSYVAARPSSHGGPPTGFLREGLIRPGWPTHATPKRCQNAGGARPALAPHRIVSAGAGPPPPPPPPVQPRAVGPTED
MREQLDFVETTVERRSFSRSKVLITVALSVAAILALIGAGSLAWNFVVYQPTDRGSCLSFNSHDCKSLTRAAIEDMGQVSLPEDARVLRSGSGKTLKSASSYAVVELPGDSELILNSNYRETKSDGTAPAYVRDAGLVSVDTAAAFTESSNVIRKVFVGSGEGGERLAYIEEWRDF
MSSVTETSGTERNYLHQLTSLRGVACLVVLFGHAIQVFRYQNPQRTGARAAVHDIVTYAFNAEAAVVLFFVLSGCVLSLSLRKYTHLTGRIVGSFYIKRVFRIYPLLWFSIGLAIASMVVARGWVGDGVFVGWLSRNLQTPVSVRNTVMSVAGVFTRYNGPMWSLRVELMYSVAFPFLFWLVRAPRLRVVTLTGLAAIALLPLPHEVGTVFALSFGVGALIPLLPTTLSPRHGLYALLALVVLLYDRYALAGSDLPERIPDMIETVAAFILIRDLYASGRQYRVLLSRPVIAIGELSYGIYLLHLPIMLIVFSVAGHVVGTAALLAHPSLTQAGLGITTVVLTTALAAMTYNILELPLHNLGRRLGNALLVQSSPSRPVSPRQGADTHASRV
MDITLDDVDGLLDVALLDGDLVTDDGLRTAVAFSLLCDRRAEEDDIIPDGTDNRRGWWADAIADQDGDKWGSRLWLLSREKELPEVRRRAEAYAEEALDWLLADGVVSEIVVTAETLNRSVLWLRVDIQRGDGTRISDRYQYVWS
MSLQSLLKTAILFIILALPVSAQEVVDDSEWNYIPDLEKTDAEARDVIGNNVMFYYDDLAHAMHYYGRILGFKKVWEFDGRVVTYQTSPSSYITLVAKSVGLHKEDKPKTFAVAFVSEQIEEWYAYALKQEALGNVEFFDHLDPLNGRPYHGFVLKDPEGYLLEFERFGPHEENAKLMPILDKSPTLFPDETQDSWRPANIGVKASVYWMYYNDADKAGEFYEDVFGGERVVTQKNSLIYRTSNTGYVGMVRSGQGMLKATPEKAATVSFLTSDVNPWYKFLKTKPAFEFRADSVVVERDRMNIVVGYGPENYYIEVNGFYDIPNNKLLNDTLEKYKE
MTSLLYNPNILGLSFMLCISYLILLFLLIFSPLAFGAREPWALATLELGCFLGVVLYLLANKGKKTVYRVPGFLPLGLFVSWHLVQAVPLPVALVKWVSPATYTIYRESLGQLYGVKWIPLSVDPGKSLAEFFRFSAYLLFYWWVVHLLSYRAKLKKTVLVVAGLAGCMAVYAIVETLFTNGKIYGFYAGPANNTHMGPYVYHNHYSGFMGMMVPVVLGLYLYYRPQVRYGTWRERVVAFWSGAAGHLHLLLGFAAVLMGTSVFLSLSRGGIISLCLSMICLIRFFSLRKGASPRKRFVSLSVLLILLSVSWFGWEPIVERFDKAFTEDGELSNSRFTIWDDAYEMVNDYLLTGSGLGTFSAIYPSYRSLPGQKSVGHAHSDYIELLACGGVVSFLLVAWFVAALFRATWVRYRNRRDPYAQCLYLGSISGILAIFFHSVVDFNFYSNANGLIFFFMCGLAVAASHTRLRGRKETFLRESTPAHKRAMWAVTVLFLGSAIVYQGGSLWASALFARVDGTVVSNDTSSEESSHVVENLKRATFFAPLEPVYPFALARVFDFYGKAAAPEGLLVKALRLCPVDSTVLQYTARYMCKKNQADLGRHYFERAIAHDVANPERLKMLAGWLLGRGETDEATAHLRQAMLLDASRQNIKGCISLLLYHGVPKEAVYAMLPERIYPRFVFADTMRAFGYRELSREVTVRSLDYLETEDSVRPWHFTKVYWTYMKEKDYHMALSVLQKASDYFPDHAKIRLLTGDTYVKMGIPYRAVEEYERVLIVDPDNRKATAGLKRLSSYL
MTPNHNSAEALSSLYEAFGLPESGPRYCFTEAGNGKRCSEAVPGTRRPRINTLMQTLSRHEFSNKDPIVSQSVERAITELASKLVCYRAPKGHAERFRRDGKVDKNNQEQLIDSLSSKFEEWQSKNANAGTSKSKRASNQDTRDSSQESSDQTDEDDVSSTRRQDKRQRPRLVREKPRDERELFQTPVRSRRSTSGGKRRGEESSKSLSGPYGGDDKFILSPESVASPDPDDIFTPLSAASTPCSLASTTEFESRRCSFRGSDRKRQNVSPTRGADVDSLTRDMRRKLNLVDLVSDGEYDPEEEYDSDEESDFDDFDEEGDFDEESEPDEEGESDDESSSDAQSLDAKSDEETTARSPYQPMKFALKKQPIRDVLEYMAKPVEVGPKRVGRSPGWVYGFTDPSAPGHIKIGYSQESAEQRLREWIKTCGHEELNLEFEAEMPCAVQKMEQLIHLTLHTEQEYAWCRSKKCEKRHKEWFKISREEALSVVETWRKFSKLMTYTELGDLDDIWSDIVERALRDEPCSSSSKEWLETELLTIILEQEKLAKSGGHKVER
MLILAMKEGHDGGLVAIEDGKLLFALEAEKNNFPRYDRLTAEVITRGLGLLDRQPDVVALGGWMKGFSLASPVRARCEPALAHRIFRSR
MELGDCHTWNRYNTKGNRENQAFVDLNDEILAANKSAWDNPPVSATWTSAQKRSALELLQQNGQAQVFAFKDPRTLLVLDGWKDVCPQMEFIGVFRHPNAVAKSLESRSGMSRAQAIELWSDYNRRLLNQWKGRQFPLLCFDEPEEVFHSKLDVALDRLGLPELPKSMKFWEDSLRTSQRYEAARLPIKSWLLYKALKARSL
MNTIDQISRESSQLPPEAQFEVLDFVRFLQGKIKQQPIVEEDDELTRLLSNPLQVKAARPLHRDEIYDR
MKTSMACQFANIQMPFLSGYEETVFLAGLNITNDDIEPLADNCTKVSGINHWSVRDFMLKRNDVPELKSRLEYATGRRQPVKTTAKRVGATHVKPMASKPSVYG
MFQTKCNGFLIGGCIGPRKVFERYLNSDQKKELSKIVENNFDGRNRDQILIRIYVYLQKQLTVDQWQAIVPEIAAYQKLNISCSPYSQLLPPKYFKPLLKAVRRAIENKADRKKIKYLVEDYLDRVLFTQEFIKEYRKEPPFGELGSMVPGKTVLRPQQIRPMPIVKISAAATNPPKPLFPIVEFW
STVRLDPEWLGPPPPEGDVRIVDRRGVDIAPLDPHDEADALRLISYLWPDQPWRIERAKAAMAMCDAVVDSTDTCTWLSQRLATPRPGTIHLVTHTVAWQYFSDETRRGCLGLMEEAGARATADAPLARLSMEGDDRKGEGAPIELTIWPGNHKLNLGRVDFHGRWIDWNAPPEHSPRKKKEKP
MPGSTRRLPHAFVRAAAVFALSAGIAAPAVVHAPAAHADRPATTGSTLDDGRYRLAPPANALWIKVSVPASTAPDAAVLASAEALTPDNYDWKTDAPVVLPEGTALGDHPVRVDYRLPGETTRQWTGTYAYRPHIGVSPCPGTRGSPTPSGCARR
MLAATAVQPEVPEDIANPLYGHVLPHFLRGEFSVGEQGFGDLLPARLDPAVPDRWDAFLIGEAMRLPGHLALLPVVLVWFIFSPMVLARRR
MTRKYHRIFLLAFLILLFGQAQAQQQLSLQEIKILALENNKKIKIVQSSINASIAANAVAKAAAKPTIDASAFGLYVSDPLKDMFPEYSANGSVSLTQVLYAGNKIQNSKKITSSAIELQHAQKELTEDQVLLSTEIAYWQVVNLKEKVTLANQYLLLLDTLKKDLQNSFDAGLIYKNDLLKVEVQHNEAVLNLTRVKDGLAIAKLNLMQISGLNQVDFDIKEDSTMDVQLISQSDITTAIDKRPEINMLNKSVEIQQYQSKLIGGSLKPTLAISANGLASYGKRINFSNGNNDMQAFVGLITLSVPILDWGGRKQKVKEQEYQTEVKKFELEEARELISIEIQNAWLMLNQSVTQIELSRKSLQQAQENLRLNEDRFEAGTVLGEDVLKAQVLWQKAYADVIDAKANNKIMEAKYKKSIGEYR
MRDLFSTPFKQLPEELNIFPLAGTLLLPRGRLPLNIFEPRYLNMVLDSLGENRMIGMVQTLETSVNPIPDDARFFKTGCAGRIISFAETKDGRIVITLEGICRFNIMSEVGMQNGYRRIHSDFGPYAMDMDGPPQCVDRGTFIRLLKDYFEVEKIRVDWEMIEKTG
MRPVSEAPPGSRAEHPGRRGSAGTHPPIPRADRAPETTVRADLAPKTAGAHQAPKTAAAEAAEETS
MKRILILEDNVKTLTSLEKMIREISPEIITFPVQTYEEACVCAVKQQIDIFILDIILNTKQPGDTSGLAFAREMRNYKKYQLTPMIFITVLADPELYAYRELHSFGYLEKPFSMEEARKLVIKALENTVPVEKEKTIWLRKDGILFAVPLHKVICVETRNHILSIYLQDELLEIPYITIKEFMSEADGFGFFQCSRSTLVNKNYIKSVDMINRYISFRGTDKIVEVGLVYRKKFVREMKNG
MFQTLPGIIPRFYTSFYTDDVWLIGAFQTLPGIIPRFYAALSGLCLDPQDQFQTLPGIIPRFYPDYWTNLE
MLSLLLIFDFCSGLLFVVGHTFSNPERVTEKFESGTCSKMERVCDNTVIRARGLPWQSSDQDIARFFRGLNIAKYVCSVSSGVLSVVFDSLLL
MTEKQPWLKEKQVQLSELIDECTQHEQQLLICELLQRFTFLDGPGFQAAIQGIADYIQNDLGLSAADCSIAASENGRYADSSQLVVYSLKTANWKSYDWNTTSFVSSLSELVGSAAKNTLIIVDEFIGTGETAEKKVKWIRDQLSSTGANPKIYIAVVAGMEDGIKRVSAGVDGIFSAHKLQKGISDAYTEAEVQPNIDAMKALETTLGAEGRRGKIGKHSLGYKGSESLYSRANGNTPNNVFPIFWWEVRKDGSLRATVLTCI
MTRLYRHRGPALALAIAALGGCARKEVPPPVAVAVDAGPPLAKKPADHLAPGELVEGTEKVFGLTIPKLMHVDRRFDDLVFVSGPVDPNLVTKYVEARVRNGSVKQVGNDQVFEKVNAIGETRPLKITVAKGTTGTGTSMQLRDVTPPPQVALPDEEARWRAVGLKPNGEPLDKTKLR
MRYWGKRVFRLLRVSRAGLFSDLSVGDTCPLRIPVTQLLIKYAYQGAWMSGNPKNPLAPIPLPVLPLYCIQIRQKLLRKVSFLISPCFFAQQKLYLKTPLSERVGECKIQRN
MTDDTLHVTCESKLMVQRIVFNITVTNTGILEYTGITAELDGVTTSRYVRTREKGSGFATLPFTASPEKENFFRKEVLVFGINTGVSNVIRLHLDGDMPVDADLDLSDVFKDFTADGISVDITVRVSPSLHTASASIEDWQNVEWGQGIITY
MIQERAKRAKKIGANTRADTSSLGSLRKIKGNRATKERGNTFFIDLGLFIVTFKQCIVPDLKFSMFFCLQKYKKKENKQYSYFPF
MCKIYLMAKSFLKDVLLGFSSLCDRTAAPLRLLLAVSGGADSMAMLSAFLELKSDINAEIFVLTVNHNIRPEKETLGDAQFVLDFCNDKCPCILAEIPKNTVFDEAKNRKTGIEDAARFLRYNEFEKAADSLNADYILTAHNKNDNYETVLMRLFQGSEPEALMGISPRRGRFIRPMLNISRSEIEEYLKEKNIPWREDATNLEASYLRNKVRHNLLPVLSICFDGWQSGLDKSLAKIKAQNDFVIASYKTKKEEWVLDKKEDCCRCKFLFFLSLDEALKLKFLQEGLILLKGKRRIPYSVFDDLMKLSDTKKIIFSGGFCIKKEGDEVLLFKAVTEEKTSEVFYSIWIDKPCSFDTPAGNFKALENDDGFFIVHESDKTCGIGPFKPPFCVRSRLFGDEIETSSGSKKSVKKIINEWNIDYENRNILPIIEEGGVVKGIYGAVFGKKNWYVVGDLGVKR
MTQEAEPTVPNTGRMFDYWLGGKHYYPVDVAAAKAFEAVFDDFPRVFRALRDFIGRASHFIQSQGVDHQAATWRSTATAPRRWITRSRSPSGATRASRP
MPPYKPFLSLTNICIEWVLQWLARNVKRLGNPKERLKQREYITVHLNSNIRQRLLNIIFQQHMYKWDLASKCFILELLGDRSTQIIDFTKGGYGFVDEVWHFYRTLTIGLMINLTKIGVMCDLKTESDKKYLADINHTFYRVFSQMKNLRWVILKGVADTVLLGMMGTNCPYLEYLDVTGSYKVDDESVANLLLKDPLSVEGRNLQKLSLQDIPTQPCAKILKHVCLSETNVSLVSAVILLHYVPNLQSFGGDIHAGPVSSVMEVLQPKEGHMTFQLQELWDARILPHHASLMSMVCPHLTCLNTDASSLDCLHILSSISSLTLSLYYRNFVNQIYNYLLGSGENLKKLILVDHINSYLDLSWLVELTPNLEHLESSVDLQEGTEVTKWPYLKFARVTLGASKVLLALLTNAPELRELDIVENHTKRLLRASMMT
MIVGLLGLLDLHVAILLCAMGLGVEIPVSVAIATAILLFAKACLSLADIGGLQDVAGVILILLGIFIIIPQWLLFIAAVFMGFKGLSSLAA
MKDSYPCMAIAVKGFNQPVDDRRANFLEAGCIGLTSSDPVLSRYVAADTIKPIYVTTDAVRNQCVLCFLKRTLSKLASFPISNPTHGTTKFSRQHHCSYCSSAAAELQQPSLPNRPIIVVQVAKTTEILSVTQQLRPHCDATPTPKRANRNDTHEEHQPKLPKNHFLHEASINNPIRKMQCVIVAKLRRTPTTKQLRLYPTETKKDDKHPPFLMLGEVNAKRRLQEGERHLMASSSSCRPMAWQGFRQRFPIKAPHNHRVHQPSSDHHANPLAPKPNSTDAALVPPARARRDNGLPSTPCRVPGPSRDAAAETGSGSSRSSTAAVIFAPATKLYHRLRICLGKRRIHLREHRIHCSLVVSGRRGGAPSSRAEEEFRRAALPPPRPSRLAAWLSGGGEVQDWGCTRCRCHRLCPRVVVNASEGKKEYGRNLCSVGGLSLFTFSMQQLMALEYDNECLYGSGAKPINGYLGCNNDLIGCLHGTSSAWTFHHRFGAHIIRLLKFA
LKQPTEQEFIWFFQRPPKPSRYESKSHTMYHKALIAFINFICKHLNHTEVIVRLDISNLLDLYRTKSAQSKS
MKIANEAKAQDIVVTQDYGVAAMVLGKKAYAISPKGNIYNNDNIDKLLFERHLSAKVRRGGGKTASHKKRSSEDDTRLKENLIRLIRKSEINS
MSQPLFASPLTTELPKCFGLMRIRRSCFIYNGVSFFIRERQSQFPVYRRHFMDIERERVKEHRRYGNHLKRTARIKNEKEQLRKAEEKKMERQRQQEEERKDMAEREDLILERLRLDEEEAAEKVERQEKTKSIKESKWYIEAMQALMKEKLEKDKVKLPPLCCCGDTFWDSHPYTCANNCIFYNNPKAYALALQSVLLSCDLK
MSRTAKIAILVAVFAFGACSLACVLTAFAVYRYQPAVVAARGYDMAWPTRPGPAGSLVKSYQMFFEMRPCEYELLGWTEGGQLYYRESCRKRDSQVSQVWAYDPDRRGRPRPAGVPPNLSQQVVPRESLLEWVRSPRVWPADAELNVRRLEVRVDGLASPDGQWVAAVVRHIYGPEDVIVVGE
MRRDTLERFAAAAVGGLLMMVLLAMPVFGGTSLEIRFQEWMVSGFGASRLVAALVGTFVYCVLVSGSATLCILGWCAWRGGSGGEEAGVEGGEPRERP
MLALELCCPPASPAAKPARRLGHCAAELASRRFVLVSQVQSEKQLVVNYVSREWQRIAGNELVPGSPLRGRTRSLGAAKTLMIPRRKHNASSGSLPAPDDKHASNDYIREYPPAAAPHRGMGQSHSFPA
MTLRFIFQVLLVCLFTQTISAIGADNETSAEKAGSRIIGRVVDSQKNQMAFATLIIENTSYSTITDANKYFPLAQLIQSPETFKTLPLHTRKAEALSMKSTSEVIKTKYIHQQLQ
VLPALQIPQISYASTAPELSDSTRYDFFSRVVPPDSYQAQAMVDIVRALGWNYVSTLASEGNYGESGVEAFVQISREAGGVCIAQSIKIPREPKPGEFNKVIRRLMETPNARGIIIFANEDDIRRVLEAARQANLTGHFLWVGSDSWGAKTSPILSLEDVAVGAITILPKRASIDGFDQYFMTRSLENNRRNIWFAEFWEENFNCKLTGSGTQADDSTRKCTGEERIGRDSTYEQEGKVQFVIDAVYAIAHALHSMHQALCPGHTGLCPAMEPTDGRTLLQYIRAVRFNGSAGTPVMFNENGDAPGRYDIFQYQATNGSASSGGYQAVGQWAETLRLDVEALQWSGDPHEVPSSLCSLPCGPGERKKMVKGVPCCWHCEACDGYRFQVDEFTCEACPGDMRPTPNHTGCRPPPGGRPRWASPWAALPLLLAVLGIMATTTVVATFMRHNNTPIVRASGRELSYVLLTGIFLIYAITFLMVAEPGAVVCATRRLFLGLGTTLSYSALLTKTNRIYRIFEQGKRSVTPPPFISPTSQLAITFSLTSLQVVGVIAWLGARPPHSVIDYEEQRTVDPEQARGVLKCDMSDLSLIGCLGYSLLLMVTCTVYAIKARGVPETFNEAKPIGFTMYTTCIIWLAFVPIFFGTAQSAEKIYIQTTTLTVSLSLSASVSLGMLYVPKTYVILFHPEQNVQKRKRSLKATSTVAAPPKGEDAEDHK
RRASELGISLQVVDPLKPHQLKDQHLGLQGEHQYENAGLAVALASTWLEKQGHVDRMPLNHTDPLPDQFIRGLSSASLQGRAQIVPDSQVNSEEKDRDSSLVFYLDGAHSPESMEICARWFSHATKEQSQTCSKSRKILLFNCMSVRDPMRLLPHLVDTATQNGGAL
MRVMTSRAIERKCRLRVAPSFEAYDWPCQEVEIGIPGQHQYWNVTLAMQLSKAWLERMHAAGMVFQKDENEMPARGSVLPGFRVPDEFLDGIRLCEWPGRSQVLKLDSVTYFLDGAHTPKSLQCCAEWYKWERERVNQRLRSKPLRVLLFHCTADRTPESLLPFLKDCNFDVALFCPTRVRPVLDMRSDQANLNHSEQEQRQRVEHNMVVWKQLTGEVPHNF
MKGGYAHNGFNPPRPVEQALRRGWSGRCPACCEGGLFRIWMLVHDECSHCSETLQHARISNVVPVVAVPLAFLPAAFFGAILDFVRGLPLLFVVVVSEVVAVAAAIYVLPRAKGLLVGLA
MEEDPTKTVSSIRAGIAGRCPACGKGKLFQGYLKVAGRCSVCGLDLSEYENADGPAVFAILLLGFLVVGLALFVEVRYQPPFWLHALLWFPFILLSVYLMLPRLKGWLIGASYKYRSHHRGEAP
MTETPQTFSDKPAPRAAEQRDWGQAMRRGTFGKCPACGEGQLFRKYLKVADSCPKCGEELHHHRADDAPPYFTMLIVCHFIVAGVMAVEEHYQPSYWLHIALWFPLTIGMCMWMLPHIKGSLVGLQWALRMHGFDGHDPLEPSLGEPASASNPKATL
MVLDISRCKVTMRIQAHNVYPRILHHVFLDAVHPTEGKVGSITAFHIDRDRCAPGDFLEIMSKGLGECSAFAFALFDGCGRLKARIVEDEHSKRNGVWGQELDGGAILYVLDTQVPEKFDWGAVTSFLLRALARSKLATPRTFFLSDKTQKAATYPLHSLIASFGLGLPMVGEVLVQEAIQGAYLQDPTSVRKRDCRGFSPLHVAAYAGNVLAARTLLGLPVLSGIAEDVRARDNVDGRTPLEFCEDTIRDSREKAQMTVDADAWSGHGTNVLRIIFLLKKAAGEHIGLTEDEFVAQRRWGCTCGQCTAGWLSPKMRYRLFWQTKTMADAIDRARHAPEAFPLASDWPALSHIPPEILKKSLKSFYRDYAVVMRVISRVLARPGSAGLPTAANVCAELYGKVTGFFANGCGARDVMDFVLHSAMKQSPRGDGTWDQLQGGLATEGRPEAVAYVGMPACDNDLDFARVAEKLELGTHNRYRRRTDCGRHNDHVNADDNEDEDEDQDEDQDECEVEGEVEGEDEDQGEEVLDEHQEDKGEDADDDEAEDCEDGGEQDLDERENEDTDAFEEHAVDVNMDDDDDEEEDGDNEGGYDGEDEDEDECMDGYE
MRLRLLDLRITENGYMCGTTVMIPGAFKGEALSHYMTKNYIRIDSCRIAWKWDGQPCRCSWYG
SLPAPPASTPAAPAAPAASASDPFPIRQGTVWSRARDAERLRKTAIARVDQRVRDVRFQQSLTAERRYGFPSEFGGTDAESDYGQVRPDQLNSLTSKDNRSLIKGTISRWRLQASRITLTPTTFSGERVAFSNDPFTPAQSWMDSEGVVATLQPNGDTVIQAERNAVVLDDRLRIPARRQTRLQKKEEVDNPWVIGIDREDRDGVFVGYNTRVRIGERGQLTIQPQVLVERAIDGESDSYPFPWQSAGADAQKQPAKTGDDFGLLAKLQTNVLGFDTNARVDISTFNPDNLPNGTRSLAELSRDVRLPLVGTTDLRLFGAYRFRTWNGTLGEQDVYSAFGGFLEKKETLPNWGRLSSNYLWRLGVGNFQSDDFDTTNLSTFWRGNAIASLNFTYPLWTGKAAPSTPLEGLANTAQPVVPGLSLFANVQGVLAYYGDGTNQNTASISGGPTLTLGHFVKPFLDYTRLTITGSGTLRQGLSPFGFDRAADLGTLGIGLTQQIAGPLVFNGGVGLNVDPGSENYGEVTGSYLELRWQRRSYEVGVFYSPYDGLGGLRVRLNDLNFNGPGVPFVPLTPSQAIEQRPF
MLNDINRERKNEKNWKETIDSLGTFPQRQDSVVEQLEDLVYVANKLGFYDAADIIKVMAIREHRKAFKSE
MGAPRIIISTDSQVTTGQIDKLYQARNSELAKYLAAFRRAEMHFRGVTMAGTARANITDADNLAKGLAANTQLPPHIMYEVLSTPAAWPSDTPPSTMAAINTMPDWRTPIIDILTGQAEVLAGLEE
MREILETFVVSLIGSLLLVFFIWMFLNYPSLVIAYWLGVITVIFINLRGI
MLIQIGLMIGLIIFVMSFYWTRVIFGALLMLAWGLFAVGAPLYFFFDNLRTANVAAAVIVLLASAPVVFCWLIAARAARDWYQQQIKWGRFRQPWNAR
MKVSLNTVKRYAEIDLPPTPELVKRINEQLGKVEEVIDLSAKYKDAVVARIAECEKHPDADRLSVCKIDVGSGELVQVVCGAPNVRAGMWVVWLPPGAVVPATYDTNDPFTLGARKLRGIMSNGMLASAKELALGDDHDGILEITEVDLPPPLEPGIHSDGQNSTQTDVVLRLGQSFAELFGLNDTIIAIENKMFTHRPDLFGQLGVAREIFAILSPEPDAQGSTDICFPERAWYWHSPKPTSGAGLELTVFNDATDKSPRFMALAMQEVTIKQSPIWLRACLVRWGGKPINSVVDCTNYIMLLTAQPTHAYDYDKLRGHTIGVRMARDGEQARLLNGKTYTLDRADIVIADGEGVIGLAGIMGGGNSEVSATTKNIVLEVASFDMYAVRRSSMRHGLFTDALTRFNKGQSSLQNDRVLAGLQQLIINTSGGKQASVVYDVPLLPEATPRAAETSIFHITSEFINQRLGLKLTAEQVGNLLRYAQFSVHQSEQDSTNLSITVPFWRTDIAQPEDIVEEVGRLYGFSRLPRELPERSIAPVSENPIIAMKQKITQILSGAGANEVKTYSFVPEHLLRGAGQNPDQAYRVSNALSPDLQYYRLSLSPSLLKHVHGNSKSGHDTFALFEIGKVHSKGSLDEHGLPQEFGRVAFVYAAKKPQSTSGSAYFTARYYVERLLRQINSTASVEYVPLHTYSFASRPNAQQATAASFEPSRTAVVTIDGDYAGVVGEYTLQAQHTFKLPQTCAGFELSLDELFKTARPSPAYVPLPRFPHLTQDISLRVAGEVAFVAVYQTALQAIQNSAAPKLSCQLEPLGVYAPTGSTTKTITLRLRVTHRDRTLTDAEVSALLTAVADAVKQACGAEQV
MASSSLPPALTEDTGRNGNDGVASRQINTLAQPSSSSRSAVFRNPDIMEMVFNALSDHAKPAAKSQNLLHAALTSKEFLNPAMNVLWRSLTSLLPILNLIPSFEIIANERDANRPYYTTSSHIIEDDLTRVDVYSRRVRHLTLLNQSGENEHQRIASHIYFSLHELRRFRLFPALKSFTAPTLDQLLPDDLQVLLLVPSPTLSTVSLSGIDRISEVYVTTFLNQLCGLNSKSLMNLTLWGKQTTNCLLVLQKSKTLSQLSLCLNDIQDIGNLLVAVSRNAGLVQLALDCRSAKDSHSKLALKKPAIIAPPNTFVAERLERIRTIGYMQSISAIVDAIIKYAPNMVSLILEICLDDSSSPHFAALKQCLKGVKKNAASLVFLTIVNVHHPMYAFNWSTLECIDNWTSLRHLKVLIPVVGLESDESIDPLVWPFASLNSLEALTFQVDIWEQNGSKLPDTNVTVLTLPQIARCCPQLKYLRIYIPPKLLADDGVLDKMERELEDMRAAHQNPSPIFVPHGLKKLSFLGSRSSKVPKAITPNISRAVGVAEYLSLVFPNLTKVNFKAGALELDKDWTDTITTILTRFKPRPST
MLPIEKNYFDDIRIDENYNVFAIDKVNKYESCLPEEISISSGSNNDLEGNCEVTINLLDSARSIKCRTIFPSLKEANTYAQELTELMTKASNSKNSIR
MKMIYSKYAFPLIGVALLLSQPAAADPFFGQVDEVKSSSFTGEGFDQHLAANYRELVIFEVDEMYDWFDGRDYADKAQAAAAGARVMPDDLEDRNIADPNALTDLRTQHTRLLAALNGGAIQKAPVQIARAQAKFDCWAEQQEEGWQTWDIAACRDAYMAAMGEVDAAMAPKVAAGPSAPLPVSPFPVDGYMVFFDFDRSAITPQAAAILDRVAIAALEQKAQLVELTAYTDRAGSIAYNQLLSERRGDAVRAYLGGRGVVVGHITTLGMGEANSRVATADDVREPENRRVTVYLR
MQNKTEPPSSGQLLDPALPEELSDWTRLSPVKSQLQFPSADLEADDGRIPCKLVTVHPRAPCRKNTLDRRKVRHTGIDKLKGTAKVWMRKKVTRVQRVWRMERMHRLLRRHWEFNKIDRYVYHAARTRK
MFDRWVKRCLEWALRSAVVDGRVTVAGLVVGRAARLPTLGQRVLGDAGSACWPLVSEAEAAEGKIGVCLPLGYTCAAEGAQQQTRLDHSVGGWLRLGWMLADGVHWA
MTINDQQRHDQTNPFRPQSLPPSSLSLSFGLSFVYAWTAPTISAPNGNVSAPINTSGTPQIKTGGFTVGSLITAGSATVGSLNAGSGAISTTGTFTSGAATVGSLSAGSGAISTTGSISGGGITANRIELANKWFLWDTGDSWLRLLNTAGTAYSGGLAANDLWAGNAVYAMGAGNAVYAPNGNVSANDYYSSTAGKWMSTLQSRVTGSCSVGQSITTINADGTVVCGPGGIGVGQTWQNFTSARALNTTYTNSTGKPIMIAVHSSCSAAITGLRLWVDSVWVQGYDGWTNGSGTCSIAYVSGIIPNGATYRVTSNNGSFFRWGELR
MGTIVYMLFDFDNMRLADRGQILALMNTLAENDRYRFLKKAIVCDDMPGEIYVPNTPELLDRAWSNAVRAAPRYNGQYWMEFENASGLRIGFGYDPRKLKRLNLSIDKDALKLPGSAPNAAELVNVISIIANTLAPAYGYGLFNYDIHDLQPVGAAPQGVWDYNLLGSKLVEQWGHVLESLPAAVTRFENGSALVSMSDEPFAGYREAAPRYKQAAQQLGLNAVFHDG
VSESFRKDWLSLWHPKELLNPEKESQDGLSHEWSAYKCPHWEVLEAELLQGVITEWRPLILEMMRKKRTSVEEQELTELFEKGSLQGVWNAMKHWSEVINKQRKMKEMYAAKIR
MKTLKFVVLGTIFMGLAACTPQSAKDARGDLHLTSGAAAAASRTAADESLMMGTVATDIMVDVQNIQEIEAMFDQRRLISFD
MRRHGITLEHLLHMRSTTLRRPEPAQSRPAAGEPATPAGRRPKAVGNANAIALRAARLRRELADRADTLTSDELEQILTELKAAVADLNATIGLIVGTPGCGADTAVQQDAPTAAAGMDVDTPLAHTPREHAMPATTNRDRDTPGQVATAISWRTGGLRRHVAGHGVDNIADEDLALLVDLLHAAAVDIHASVELIAAATASARPRVRTRRYDDPTADGSTAAAEGQTGPAAGLLQTT
MAQHSNRHAYASLVFGARSLLTNLTTWVNMTKTAVAAYVAKMNGSCGKLQAITDGFRVPEWSTCGSRSSA
IVVSDLPKAKGGEFPKIRYFTTIAKNIVEAERFGKIWERFSKEKDFGNRLINSLKGHWGRTPLSAHNLFENGNQRMLVERIRHMAERIKDKASKEADIDRINLASRIEDLASSYHLALTLPDNTFITLSAWTWASYSFKGGRDFPTPLSLHVERDWASTDFLLEYIKAGELGDEDTVERKIIELMGEGRESEDLAHHLLGLEKEAERVLIDKVSVLKEIPSGGLTRLTKGPIIEPLKDHRWESKFVFNCASA
VKLPGALAMSQWAGTHERVCLTLLTSAWLTLAVVAIYLTAVIHPGFVPLLLLSVFVLCHVLINPRQAKHGHFGAPVIRFAMPEELVYTTKVAQPTYMTISEDNFPQKVKVNVQVPIPCAKAYCNAQPSLSSLHSPAILVV
MSVEIRQATPSWSLSWYIQKYMSWILRIRKERTEVGCCIPDEDVPQRKRHFVYGTRTRLWPPSRVESTDPNDDSNRVIMEVVPCVSSEEQEQEEEEEEESVGKVESLDKENVILESSGDPFHCHRRSEESIEYPTQP
MPSFHALPRFLLAGAVLGDLSGVYLVIIPSVSSGFKDMLYGFLELLGMRRPFAMMFDDDDDVEPQIKVVDKYYFEHSKDKPVCFSILPLHFDNNEEVVECDSEEKVYLRGVRDNSPCPVHKRVVAWRVALDCEQPKIFVLSSEGNWITVLSPRKCYQEKIVRSILITVQMLRFIKKQPGDKKSLWDQLWNHLYEVFNKLDSKPTVDDLRKHHPVIKLFVERDTALIKSKILQTFIQDTTGKIKEKTLSTKTQLIVSGDSRLSIKDNDGDQDDKNDCDSSYSEHNSDNEYSLTEFSTEEYYRNSENNSTDDDSSYGDTVDDECTDADAICAICDGGTLLR
MAGYLSPKKWELRASSPSSLVANFSNHDGPPGLSENKIISSPAPKQREPGRIYACWWFPQQARDVY
MKIKSLVLDAKRLSLLGALLAAGMVSACGSSPENEAKAAAFRACVADAGISGPFTTSLVRNSAGALSAKVEASGNVTQARADKANACIRAKGL
MCNKFRMKIVPLRILLPRFSLNNYAMKHNVRENSLKRMQKEKKNENRVEDNNHGRNNEREMIRGKKGKVLCTNNKMAITYTNYYLYRLPLWTNKDLIYKFGYEIPNNHGRNNEREMIRGKKGKVLCTNNKMAITYTNYYLYRLPLWTNKDLIYKFGYEIPVL
MTKLIPVSIGQNKNTTTVSLLIEPITHIKKTIRGKVSYHYYWNNRTKEVIFGSGTLKTKEFIFSVAHILRYKESTEQIRNRDIQLGDSVGVLFNKKS
MAADGKSVIVAGEGTAITFTPEAGFTGDPTPISYTVDDNTGETSNEATVTIDYPQAINDLQT
MATDNRINFWRRNTKVPGRYPKDSKPKFNNLKSKKACSFHEFACSTNDAWDIDDEEDENFCGTPFSASFTPSGPDLTSIHNQQRRHQTGETEHVLPHILTPPRDEGHNSQRDDSSECERVNGKFVKSSSDADLNTTSVRSSLQKQQSLPVRPIIPLVARISDQNASGAPPMTVREKSRLDKFKQLLASPNTDLEELRKHSWSGIPREVRPITWRLLSGYLPANKERRELVLKRKREEYFGFIEQYYHSRTDEHYKDTYRQIHIDIPRTNPLIPLFQQPAVQEVFERILFIWAIRLRGRT
MTEKQSAGIADPTCPSCEVRGMDKIVSQPSSETAKKGQPWFFVAQCTDCGHVYGVFAKHIFGPPGGPQLVVKERGN
MDNGLYLFGGGLIALGLVISLVGYVRTKRGGKTRWGYWMVGMAVLAIGLALQMWLESMANTPA
NSPEEAKAKADTIAALKAASNQTREEIVEGFKKGLTVKQAEELIDKANEKAAEEDKEAAAKEEAAQKEFLANYDAA
MQITADLTQEANEIMKSVSDIVVLPRLKDGRFIQASKRAQDSSRETVEKLEDLDHQETAALEPLLQDLSLMNQYIQKMAGMFQSGSLSLAQYQPKSLNWKDFHEDISNPNPENEQSILQDIAEGAIEGTGKAVGDTWEGLKSTYELGRTIMGPFSPLFLGNEVLFNRDQLLENQRKHQEFYLGIINDRIGKVRQALDMPKYIWSAVTTAWERDVINGDAKSRTAFFTYGLTSLGIGILGG
MEINEKDFLLEKARQAADSLGAFLDQEQEATGGLAKSNDSRIKKTILRKPQEDMVHLRAERKKLL
MLGEEPLPTPKKSVFDYMSKEDKERVMSSKLVTPKPASTASATSTYSSATVSAPRKWSSNVHFGSNSFKPFTKDRAKQARYEEFLKARNTEQTCESSIDSGLTEWEREREREEFSRAAALYQPLSSTLAARFTSAKQKDDHTTVYEEVPAQEASDMSEQTKAARMKMFGKLTRDTFEWHPNNVLCKRFNIPNPYPGSDVIGVPKMRRPKFSLGDFLASQTENPREILASSELEKERPGSSAGQIASLEVSPKSSEPAASVPVETASTVVTNEAITIGDKTKQDDTNAVPQRPPMDLFKAIFADSSEDESNENDNDDDEETEVPPNPTESKTTPSFQVADQTNADNSVSDVFADLSDTSKEVLASGNEKETETTLHTDVQQQLESSDFQYGPTLPPGLRHGVASLGREKRFEHGSGGSSEHKSRTKEQTNSTKTRNSDFKTSSRYSYEEKHSGKKIRWEDSQGNRHMKKDMGGKHEGTVRNFSESSDSDENNRSRHKHKRKEKKRSHRHKHSKKSKHRDEIKNSEKKVSENRSKQSAHDNTVSNDKQILDKLKNLQNLKDGKRMKAIDFM
MEGVRQLAPDVADSVLPRFIVPPKKERNDAEPLLIEMAEVPDISVALAAHWRDRPALIDATYIIDEYSRDRLAAWLPSMFQRAWAAGVIAIPIAQLSDLGSAEISAFRASIPGQSPLKFAICVSSDELVGAELRATLLNILSSLGLNPADCAVVADFAGAELSDPMIAAPIISGALETLQEIGAWQHIIFQGTHYPEVNPAKDGTAEIWPRNEWLAWREAVRFDPTTAEYMIFGDYAADCAKMALGGGGAPAIRHIRYATSGAWRVQRAAKEGKDSLRMHGVYKAIVDSGDFAGEGFSSADSFIANGAKNPTAGPGNSTTWRQLNTTHHITQVVSDIAKVRGIAIKKAPASEEVQLLLPA
MIDIGYASYVPVLRLKQAEYDSMALLNQSAKSQILPHFIIPPASKKDKESGRLFTGEETLQTSAQRVGRFWMNRPSLIDVRFISRWATANLPAGWLINFMQRIEKNSGMPIPVVSLTDFRGSHRREFIDAVIANRRGMAIRLSLSDLGDDELKNKLHQALLSAALKPQECVLLVDFSDADFSSVSEVEDQYRIYFDILYEIGLWSKVIFQGNSYPDQNPCKPGLTVSIPRNDWLAWRGASSEKMFAAHTLFSDFAADNAVFRFDPVAVPAIRHIRYSVPDAWTVYRAEDGSKDQTRLQKIARTIATSSSFAGRNFSWGDRWIDDCAFAAGRPGTSVIWRKVNLTHHFSRVIFDLREVTGFTSEPYHYESRGDQPALLLSS
MADRIRIGVSACLLGERTRYDGGHKHDRYITGTIGELFDLVAVCPEVECGLPVPREPMRLEGDPAAPRLVVISSGEELTLKMAEYCTRKVGELRTCNICGFILKARSPSCGVFGVPVTASGEPAMSGRGLFAAAVTKALPLLPLEEEERMADPELRRNFLERVIAYHSGRNST
MMTSPLRLGISRCLLGEEVRFDGGHKRDVFLTEVLGRYVEWVPVCPEVEAGLGTPREAMRLIGNPQQPRLVAIKSGRDHTLALETMTGKRLGDLEQLDLSGFVFKKDSPSCGVERVRTYNEHGMPGRKGVGLFARAFLDRFPLIPVEEEGRLCDPILRENFIERVFCYRRWQDLMQSGVTRQALVRFHTIHKYLLLAHSAPHYRQLGRLVAQVNEYRPKDLAIRYGELFMQALAAKATVRKHVNVLQHILGHFKERLDAEEKAELLSVIGDYHQGLTPLIVPLTLIKHYVQIFDVEYIRDQVYLNPHPKELMLRNHV
MSHPILVSACLLGLATRYDGRSKYNEKVRHYLQQHKMIPIPVCPEQLAGLPTPRPMTFFAEGSGAEVLNGSGLVIRTDQTDMNTVFVQGAKETLKIAQLTGCTHALFKDGSPSCGVHRICRQGSKVAGQGITTALLQRNGLQIISEEDL
MPPDPLDLTGFSRPKLALSACLGFLSCRYDGTGFLSPLPRRFFDWAEGRPFCPETQAGLGTPRTPIQWVLTGGRPTVVELKNGQDHLPQLEKTAQCLLGAWGELEGLLLKARSPSCALDDCPRYLPGTSTPTNFGPGVLGKAALDSDRPWILATEEQVKTPWGFELWAAGLFQLARLKALPDNLLPDFHHRQTALLEGMGAKAALEGCRSDRREYTHRFARAFTSPPEYQVFLANLGLGNTSPELPLRLDQLAALLGVSGQSLNHPYPPSLTATRSP
GGDDANEDNRNCAIRLSNDGVAREGLELINHITRNNLPITELVTADYIMVNWYSQKVYDAVLVNPNDSFAILPAENNPCLEYSTGYASATLRHDPSDFKPARITQALEHDGNGIPHAGILTSAMFLNRFPTTDTNRNRHRSYMVYDMFLDTDILDIEGSRPEDSIDTTSAVPTLQNPACYTCHTVMDPIASTFQHWDERGRRIPSYTDANSWSSNDIEGPGIAGKKIDISGTDVYSNMLQWLGNEIAQDPRYIRAITRHLYKGIIGQDLLPTPGDGASEADITAFNAQRSILASIGQAMVANDWNLKTAINGILLSPYYRAVQVDQSKVVAAEHIGAVRLLTPEMLQRKLKATLGFDWDELRTNKGDNRIMFGGIDSDSVTSRINEPSGLMIAMQELMAAEMACRATAFDFTKERSPTANERRLFKFVSPEIQPFDKDGFELTSNVEAIKTNIQYLHSILLSEDLALDSPELEATYQLFLSTWQLGQTLLANSDDYTPSPSNNIPSGHCRGYYDWEKGGYPYYVDEESRITDDSNYVIRSWMAVMTYLLSDYRYIYE
MQVGEKIHKTTSFKYERAGGNTDVALNGASVAEWHAVWPRAAPLPPVRHSVAAWRHSE
AVTSICATRQMNLRNAQQEHMNMRNAPYESAQRAINRVLILPQPL
MQGNCLAGNLCQFSHDPSVLMQQLSIANSGTTTPQYIAPNFQLTDIDSFPALQPQTSNPYEDSDPIDPETITAVLQHHQQQMAAAMPTGLYPNFIPTGPRNSSRPTSRHTSRAPTPGVNNNVNFTDEEAFPTLGSAAAAKPGKRHHGKRGGHGHHSTNPVLTPQPSSLADIVRLSPSPAASPSARDAMRRGLHNNRSFTSTRENSSASLSIPAPTQIPWLETGDKVNQAYLCARAEAVRHGGLRNKFLQSAAQAWNRNDARGAKALSLRGQNENALMREAHREAARALYEDRNSHLSSASGAKELYVDLHGLHAEEAVAYLSDCLLEHQTSSRPLYAITGTGHHSKNGKDKVGKAVRAFLVEWRYAFREFSVPGDRGGVGGILGIDPSSYDRSVAREARAGKAGDGDSGVDLGQDTKVLLAKEDPRKRMGSGEREEEVLESGS
FFILTGDDSSFNIRPLDLTEVVDCSIPFRRPSALNDKLEKSLKDRRLCLNFHHPTNTAKLMLQCVKEGQTERLGKNTSLCREIK
MTGCPRSGTTPVGSNLSLAAGARYLYEPFNPNFGLQAVSRFYEVPGANEFSLSRFDSCVEAIRTVRLDLKRFSYPRERGVRRIVKRLIGSRSHMAYRMCRLDWTLRTVIWKDPTACFTSAAAIDRHNIPVVVTVRPAAAVAASYKRMQWDSSLPQLLDSLAQVGISYPELVSEYGPHADSLAVSAAMLWWVAYTTLLNWASSRSQIYFFDLQRSIEQPVDAYRTLYGVLDLPFTPAIAEKLRRAYATGTEGRNPRRTPCRXRAHVSGRSFSDINTYGRKLLSDEESALIETMTTALWHRLQAACLPLDGTTMSGGGTVRTPTPPPPAS
MRTKTVAGSALAAVALIVAASQVGPAAAAPVAVWALVSALSLLFAAGWPRLFGLPAATQIAAVLGAAGLAAAAGAALAPMPQPLFWMAPAAAVGLILAFLVQLLRGTGEKLRLESMLSSATGALVVASGSGWIALDRIAGTAAESSLMVAAGASMAAAILVCTIRWPDRIVGPLAVVVAVLVGGLATLAFGTAPLLPALAVGAVSGAVIAGVRSLVVSSGGPRGTLAAIATGLCAVLGSGTLAYFLERLLLG
TIIDVRLEQRLFMMATPVISTDTIAVEARVDVDGRNIFLDQGDLLPQVPWQFLYQYNVTFTTDIEVII
MLNTKTMINRNLIRSIILTTLVYTAFTGCVTKQGLIKKTQGNYDISITKSNSNGDPFFYGVIKEYKTNDPLNGGIIKIDNKIINKVNNAGKFEFNIKSGRHTFTSMLMGYYILKSENIKVFNGDTIKIDFYLKPDTTTKLIEPKINR
MYNTILATLGAAALVSAAPTPSKKAFSIPAIRNPNYKPNAAVLYAKALHKYGNPQEAARILASQDNGDGTGTVTATAQGGDSEYTCPVTVGSQTFTLDFDTGSSDLWVMGPDSAQGTSHNVYEPGSTSQQLQDSSWSISYGDGSTAAGDVFLDQVTIGGFTVTQQAVERATTAADQFLQGPSDGLVGLGFDVGNQVQPQQQSTFFTNAINNGLAQPVLGVALKHQTDGAYDFGYADQNQYTGDIQYTSVDGSQGYWTFTPDSYSIGGGDQQQGLTGIADTGTTLLLLPSDVCDAYYSSVGGTYDPNQGLYTYPCSSADQLQDFSIQVGGQSFTIPADYLNFVSLDDTTCGGGLAQSDAIGINIYGDIFLKSVYAVFDHSTPQIGFAQPS
MHYPSVFAAASLASVALAAPAAGAAPFGTVSKEGSFTVPRILNTHGTKPDGKTAIRKAFAKFGMNYTAPVSTQATVTKKNTLSRHQATKVSKQLANLSLDPSTQASVVSLLNELLGEMKAQGVSCSTAGAAAPSATSGAATGTSTGAGAGAGAGAAAGNGTTSGNGGGAVATPEQGGALYLTPVNIGGQTVHLDFDTGSSDLWVFDKTATGRGFDSKAATGFTPMQGATFKISYGDGSSASGSVGTDVVKVGTASVSAQAVELANTVSAQFQQDTTTDGLLGLAFSTLNTVTPTKQKTFYDNIKSQLATPVFTANLLLDGSGTYEFGNIDSSKYAGKLAYVPVDSSAGFWQFASASYKVAGTVGQNTQANPAIADTGTSLLLVDDNVVTAYYAKVPGATNDAQQGGYVYPCNTKLPDFSVALGTTGQYVTVPGSAITYMAQGGQCFGGIQSNQGNPVQIYGDILLKTQFVVFDGTKPQLGFAPSAGSLNTTSAATPAAGTTAAAGK
MSTRKVRFCCKLIFFSRMTIYDALVMMICLLICKQLEEHRPHARHTSQ
MWPEKHDIETLRRMEQAAPYVFAGQYLQRPAPPDGGTFKPDNLQFVKALPAGNIRWVRAWDLASTANGGDYTAGGRLGVTEDGRYIIANVVRGRYGADERDRILRNTAQKDGVKTKISIPQDPGQAGKSQTLYLTRQLAGFSVSAGPESGDKVTRAGPFAAQVNIGNVMVLDDGTWDTDALIAEMRVFPNGRHDDQIDCLGRAFGELLDTRTGMIDFLRSQVEAVK
SDVKSLTFIPAKLADNPILTGTDPSYRATLRSMPRVEREKLLGGNWNVRIEAGSFFRRSYFEIVDAPPAKVRGRIRAWDLAATKPTKSNPDPDWTVGVKLSIDADNILYIEHVERLRDGPLGVERALQNTASGDGKQCHIGLWQDPGQAGKTQIAHLRGKLLGFVIKVERAARDKQTYAMPPSSSAEGGRIKVVRGPWNDAFFAIVEGFPDGAHDDDVDALSLAHLKLVKSNLERLRRMVGR
MLLKASNAPTASALAAAAAVRAVQTATQLPNAVAEASAGTPLERLATSSMAATAPSPGTKGPRAPEFFSIADKDDDSPPTSPRNFNTIPLDGSARSGEVGERPLEKLISKGGLTEKELRDFEEIQASFDQFRARQTEVERSVNHLLQRLNRRIQVPSSVASSECGDASDADSLGRTEDGLSFLDSCLGSRPGTPLSANSPLESPRDRISLPLGLPSMPSMPSIPASGSGLNDGARRFDGTNPRGSESGDLRPSRAAPVMADKEAKAWVQEDLQQEVKSLAAPGWQQPEEDLQQEVKSLAAPSWQQPEEDLQQEVKSLAAPSWQQPEAVSTALAESSREGVEELEGAGTEQTVPRRTAWPLLETSGSEQVQEVAPHETRLASSPGGSISPSSPVPAHTEHDRLPQFGSPGVCDETDAEEPDLANWYGWTVVATAEGRLFFFHQVRQQSQWHQPAELNPILGVWKEARDEQGSHVTFWRNDLLRISLWKDPRETSNIFQAAMDGNLFFLKLYSQVSGDLDCTDHRKCSALHYACAGGSAPSTLFLLQQRAMVDRGDVTSTTPLMLACRYGYAGVLKVLLDAQANPCIADHQGQAPLHQAADLGQLDCLHLLLLCGAQAQQRNYQGETALDIAQRKRHLNCLTLLRRHDQFAEHDRPPMSQGPYDFSESKSATDKAAGLTPWAADETESAPWVSAYQEVAANDLIGFPDRYPRYPEIEADSSSDNSDQYTEATDTPRHYRPEAGLLSTLRRRLFPIQADLGLPNRFRFNKATQQWELPSGEADVYELSRC
MYSTSPKTAIFISGIIGLQALISGMAVPEFRTKLSGKVFYGPVSENTVTVDFRRDVHAKALDEKAPPATSPAAVPEARCGSYVYNSDYIQKTLATVTELRSKGATAGTSDFPKPFGTDGKVMIYPLLRNDQIFDGTTNNQPGPDRLAIGTEGMRYSVFTAEGQPDPENSANFQTCEYLMPENAQIEA
MENLTLENAKEFALGNFKQMSAEYQEWNLLHSESIIEILRILVKNKETNLTKLFSLAWVHDIGKAKSEENHAVISLGILKNHFNLDKIDEDCILNHGSSMDPQTEEGKIFRYADGLSLFTDKVILFKIHAEKLEGKNLQEIFGGVKKAYEKYKVKYSKNEEIIKLLDKLYTEVVRNHFSQPE
MLSVLSAPTRPAHAATVRIETPRGGYTSSSSQRVEGSVSGAPALNRMTLVVNGIPQDVPVRNGRFGVDVVVSPGENILEARAAGASDRISFFARVPGRDVKIVLTWDDARYVDLWVTDPDGERCYWANPSTAAGGNLIANDETGFGPQIFTLARAKPGTYNIDVQYYSKGFAPVSRVKIYAVLYEGTPRERRMQWDFLMTRQHTVFHIGDFEIAPQ
MEHIGTVIIYIIMICAVLGAIGAIKDAQRGIGKEFMEGIYTIGPIFAKFSRYNGIYTVYFQIY
MEKVKIVDYYAVGTFHEVINYSFVKMCASIFKEVQYFSGKTATLNQQQVFIRTDGKSSTNVHYNVMVNFEQETPIGARLRDLWGFFLTLYQYLITPYNTFLFYNYTNKLSLPFILLLNILLRKKVVFLFHGELEFLEGKVSYLKTSGWYRQSMRFSFHYLFMKSPAYVMVLGDSIRKNLSNIYPNLFSHIISICHPYILNEFDDYKAQKCEGSPMRIGTVGTMKASKGLKELLRLSDLLKDLLEDGKLELYSVGRVYADGIQLGDNITWIGHKNGLLRDEFEKEVQRLDYLLYLYPIGSYRFTASGAILDAVKMNKPIIALHNDYFDYLLDGCPIGYVGNTVEELAQVIRDIVKGNLCDNFSAGLKGLSDKISLERNTHIFENELRRVDCV
MRNVTRKPRICVTVFGLWTAGEKSDVIVARKLDLGRGWFQTAGAQSDVVISSRIRLGRNIDGHAFGVLLAEPERAELASRLRAVIQGCRDSFYRLELAQDDRLLHSVLLERNLLSASEHGIDEVYVRSDQLCTVVPFDGEHVVLVGIRSGLELRSIHRDLSRLAGRIDRDLPFAVSLHWGYVAADPLASGALMQGSILLHLPALVEQGDPDGALDKARSGAARLELFPSGQANRNGSLANVFQLSNAPNCGLNEEQIIVQLEEAVHGLVHYEREARAQLLQGRRRETTESVERAIGLLRHTPAIGAEEALVLLSWIRLGVALELTEEISIEEVTALLFVSQRCNVMQVSQRPGDEDDRRARLLRDRLASHG
MSTHETNVLAETEAGGCCGGGSQQAKHHEHAHGRAQRDQATSETLQMVEDSPTAAVQKPVGKSGCCCS
MATIADIVQNNQWRSSDIRASSDVNALFSSGTVSGGGDMAQAAVNALDFDNVQSVIKTGLASYAWSEQNLGDATDNEVTGAEMVLDTVNVKTFYGNQWWTTRNIQKDLMDASRPIQLSCNEFRGSLLRLNKRHMHTPYPA
MISDAPRGTRRVAHIITKSGLSSIDIAIRRYGLIANETTFHQISNDVEVWPYRGRDHRKGFLYEIVANKRNGIDVDRWDYFARDCYGLGIKNTFDHNRFMTFARVLEVKDEYQICSXEYQICSRDKEAETLYGMFQIRATLHRRAYQHRVCDAIEAMKLKKLSECIDDPEAYTNLTDSIVHVILMSSDKNLEKSRKILQRIMHRQLYACVGETTPIEGETFCPIP
MRWSALPYQARFHWSQTSEDSDSSSNSICPNSISIQTMNINVLILTAIECYGNTTFLEGATYNPYVFLS
LGDPAGGPRLLLADGAGTVRATALGPGELAAFESALRGAVRRGHPDVAFPADPARAGASAAPAPAPRTIHLGIGRVAEGPLANAAPGSLQPFTAQFRFQIEGKEGVPYPVGWWTPSAEGIAAGRGGAETFVALRYDAGALWAVMSPPRDQPARVWILRDEQWPARETLGDDARQDSRGAAYVDVTEPRLYAIARATPGQHVVKLSPDARGLTIHALVLEPLDAGRSRR
MIAATVLGIPGTLCSPALFEPTWQALNASHPDVAARGVSWMDGLGPWDIPSVAARLASSLRAASAGGAADGAPGGAAGGAGPALVVGHSTGGAIALELALSAPDLVSGLVLVDTGPNMHDHGDVDGILRTIRDAWGEPLWAGILDRSFASPLPGDVRASFLDYARGVSRRAALDVLTSQRALDFLPRLAALECPVAVVHGLLDPVRTVAQASAFAAALAAAAAPALTAAGAPAGPPVAGSPAAPPRPALTLLDCGHSPPYEAPQAFAATLAPFLP
SAEIKAQYIKDEGLGGAMFWSLDMDDFDGNYGRTFPLVRAVRDILKG
MIPLTADDTRLLKDVAHFSEPVPVCDPNGKLLGIFVPASRACTKPHFDSADIKRRLQNEKPTGVLFGDVVALLKELDTERKRRKDAGEPDFTHEEVNARMEALRAKVRATSHH
MYKRSLFCGPLLVALSLASPSTEAQAFGKAAVPPPFLVCACAIAAGFADAPVAWMQDEPGSYLSVNSSAGSMPLGGTSRSTRYTRIPSTGSLSSISSSSSSVSSSSTGGGWRATIITTGSYPSYGLPAGLTAAQAASMAQQEAFLQSMQQQVAMSSRLALEAPAQYCAGEGEETDFCQVIKEYAAAVRSISDLECVPRREITKKEKKKKVALLGETTLQQDARPHSFVEVPTQEEVKQKEEAAAALFREQAEAARRRGEERLREAGQRGNVREIAEAAQAVYLVQAVLQSYVLITSAKVSLYNLKTTIQSSSDQLIISLSDVYTFLLSGLSGPLSIAWHLLDIVIEEIGEVLKKITRERADAERHAAEGMQRLSDIDALAEETAKRKATITATLEKTTQEIDAQVRQEVQTWVYGHLSPQLQQTIVDRVLAAINSTAAGSVQVSTAIIQGGGGAAAAAAPPPHPGYVMRFGVSEGAPAGAAAAPPTPPGAAAAAAAAPEAAAAPPGAAAGLYPRVQVIYEGGAPAAAAARAAVFQGLEEAETAATKKETEGILDLGRRLARGRSGTTFHSATADYVLSSRGTEGDLCKVEINLLSATPDERQRGVYTADLGSRAAAAANRMMLHHTEAKTLEKPFAFFATPEDVERHVKNIWEVKKRVLMSELSAAPSFYTLTSTGCYSTSEDLKRIFYSNWRDRNLFKAIASDPALSERLRKRVQILASQGILPVMDTEIDKKVGSQIAQTLTSYLHHERPQAKGILPRKKGGEITFGFLEVCDSRCYKQPESKKGDGEI
MSVAPSRLRPTSSLHVTVREEILKRISNGTYQPDAPIPSTAMLGEEFGVSLITIKRALRDLQAAGMIVSVAGKGTYVKKQTRILRKLDMTAPSFEGTTMQLLSVTREKIADPVMLTFSPPRAAMLCVRKTIFIDDMPFLYDSTYLSADVADEIVDEFSERLVTEALRRHNILVTNTDLIIDAAPATGQVEDVFGIPTGYPILRRFYKFSTDTADISIYGVLQAPFDRLSCSVSFPARNGRASRPGSTSIASSKHRA
SISHRPDQYLHGNLEPASVVYTAEDGQIVSATLALCQLVGDRAAAAMQLGAATIGCKNPMERGPSSDFRVVAALELYGVNFSGHKLVGFASRSLHSPQFLSCISTFSPVDCDTTSHRYRGVGSALVENAMDAAGPGSLLVPECPMSAMGCHMFAKVFAERVQRARPVEGETFAHLAARTRVPLHFLHCLVMHEEQRSPSKLAGIPLAMPP
RIALEGAGGGEGAGVHTLRLPPYDASADTGRGDRLAGATVREARDRRLVRRVCQGVLIRPRSQGGKGAGHPQSSVQRRANTEPKHHR
MASLPLWIWVAMLLSMLLPNVHAGHPTWETARFSTPDWISIRPWEADTHLWPDAILPFCFDSDANFEKYRPRIESAFDLWYAAGLPNKLRFRYPTQEACEEYPYVTILVRDTPSMMTATTGVSVPTVGDDGYIPAAGPPTMYVSVEEQDTWEDNIRKIAHLIGHLFGLHHEHQTPSFWNPNTRDSRQFDFWCEYLADFEKKTKQLTYEERWGTVGICQDRTAAILSGFSAANFLPLEANTYFTDAQWWSTPDWNSIMIYSSYVGGAPDRQVLQKANGGIINTNYVPSAKDVAGLKFLYTTGIYENPLSPFFNNPLSPYYGTFRMYNGCNE
MPHQLSKTAAVVVLLAPVIGCTSSVGSEPVDGVRQAQRSSVENCDYLGDVSGVSGLYGVFAADGLSNAKTAAQREARSMGADTVVFANPTMGHGSTSITAAAYQCKGKDLGVKHRNKRFFTYLSLYSSIYKHTIIQC
MSAVSTHERNGNEQLLVHLLFPLFVSLSFYLFYLCVQWNFCPALGSTSLHSCYKFAFALSLFLSFAANLHPTTTNLLFLVINYGDERLRSLKIEFLNCFRLHLGPV
MLALVTGASAGFGYSISKKLIESGYKVIGCGRRAEKLEELQKQLGENFYPLVFDMTDTAENINKLFLCTRQ
MASVEEIRNAQRAKGPATVLAIGTATPDNCLYQSDFADYYFRVTKSEHMTELKKKFNRICDKSMIKKRYIHLTEEMLEEHPNIGAYMAPSLNIRQEIITAEVPKLGKEAALKALKEWGQPKSKITHLVFCTTSGVEMPGADYKLANLLGLEPSVRRVMLYHQGCYAGGTVLRTAKDLAENNAGARVLRSLLLHFVVLPKMLWTL
MTHRIRRITVYRLLGIFLLAMLVGCQREPAHVATRQPLAGPPDRGLVLRVLLANLRIPLSSHASCKDIGTLPSDTTVGDYIAGFMAEQTTGQNSITTECPARTGHGYQCEVYLKHSDGDDEWGWGVGFEIAEDATLVPGSMRCLGAG
MVGFDEKLSIIEGSEAFGKLVSFFKLSNRSLDRIGGFGDILSQN
MPSMKITLAIGEPWIVGIISSGQPVLLIQSSPSLPLRALQYGSRCLCEATTSKLNEVRMKDAFVVICAAGAYCKKMKNNPNASQLKGSGATEELLNHTSRSLLGVTMNISSTPTLMGLSDVLEGTSNWLNAQSRSFRGNARWNSERAIKLKLVECLKELESFQSFASEGLQEDDPLQPKDLNDTMFSTSTIQLSQEQNAEP
MESDPYFDGDEAQKLLQKAILTLPEKQRLVFHMKYSEEMKYEDISDILGTSVGALKASYHHAVKKIEKFLSEPH
MNSHTNIINGTSTSRVRISRVKWTERSSFPKKKKTKQNRALLLTHRRPPRHRRYGRLRPPPPVHLPQSSTSTTISVLYHGISHWYPPSLSIYTSPSQPLSSTTVGRSATASNQNHRRRSCHRMGELEVEKHVNYIFSVEKKKDDFESVVT
MGLEEMLEPIRARIRLLIGRAVIAASKNADGKIMLDLELLAGEKRRGIELMQQFGFTSRPKGDVSTVALFIGGSRDNGIVIASRGDGPEMTDYKLEEGETMMHSPFGQKILFKNDGNIEMKAAAGKKILIKSEVEISENLIVQKEVTSMKTGSVTLSGHTHKSGAGLTDSPNPGT
MPAVKKATAITLSLSTAIFVMAGLTGCGTNRDTNNMHTQSVRQQANGINRYGVETNGMDGIRAKSYRMHNVTDLKSSEELAKRITEMKEVKSARVMLTDRNAYVAVRLADGHAGKLESKSNGRTSMLGGTMRNHASDTMRGGNMNHDMGGMRVNGGTGTMSPYSTSGIAPGLNTNSATDRSHMGNDRGIYGTMGTGAVGMMRGLTNSGKARGTDDGHYGMKSEGQRVDSSDDNTSAEIKGKISAKIKQFAPNIENVYVSANPDFVEHVENYATDIRNGKPVSGMIDTFQSMVERIFPTNGTDTNHRDGILDDGLMNRNHNGGVMNRMNR
MRYDLPILGRIESFPSYSNPSITSSRSNISSLFDKISRALSHDTGSIVSAALSLNNPGKAVRDSEVRLSRVELIFTEEEIAILKFTWSLEAMGTEHEQEIVDPRYSLFGTSYFWNQVYGIVLESHPNAGNMVPTVDHQTRAFAGMMYLCMRNLEDLTKLDEYLASLGRRHSRMFGAQPPHFEAVGVAVIETFQQYYGDVFTKEIAAIWIKLYCFLANSMVQASLYDPIIIENKLVFPTLVKAAEESDTPSGKLPEEARGADSVADEDAETMFLDFPVGQKGMDILRKRRRKRLGDLASKVFGEGYSTHSQPLKSLLSPT
MEAEMESITKNPVQMKEVEVFRKSLKRGDKLIYLEEAPRDDGIKGRAIIKRVMEVDKVHKYTIDLVSGKLKRNVAIKVAYICNVKKHMAESDRKAREARCKMISDMERRGMTMEEISQQTGYSKCAVRNIQRANEDTVKKRDKRNAEIIRLRKAGKKVKEVAEQLGCSQNLVSGVYKRYMETEKKDVGKLS
MSQPLFITDLLQQLKSEYVEVIDDATSFYSKFSELQGFFLREKEKLEEVNNQLKTILGYKQKNGNYPKIKDQADVEVYLSELNQIKKKPMRT
MQICKHYIHQEQTESMAAYQRRPPLVLQLVQRLC
MLFLEQQCQFHRPYTCFHWHFPNQKRRRPFKRPDGTFNYNPDVYCDKYDETTGSCVEGDDCPYAHRNAGDTERRYHPRYFKTGNCIYETTDNGACVKNGLHCAFAHGPDDIRLPVYDIREVQDASSKFTINLPASLEKERVLSEDPKWNQMFHVLACYKTDLCKKPPRMCRQGYSCPFYHNGKDKRRAPDKWRYRSTPCPSVRPGDEWQDSSLCEAGDACGYCHTRTEQQFHPEIYKSTKCNDVINSGYCPRGPFCAFAHCDSELSTGRDFLAKLQAHLSLSSKSLSPSSASTEGVPANVPRSFGSAPSGRMHPVVGYPQAVAQANPSGQLFERTSYLLSFVQGEGGQGANEAVKRSSQVPTPLISNLLPAFGPVGNAPNSMVVGRGRRVGRCVSPHQNAGIWQPPNNSNVVSERHASLSAQRNFSSNLACSPETSSTASSASVNPNQFQLPFYSARQQVNPLTNVLTTKPGFGDKGRHRSGNSVCSENGLSMTSRDSVASSSPIANPTSVYTFTYPGCSSAFGIPNASGSIRPVCSGIGPSSRSPNVQQLLRTGQRKQSQQYPYQENGQVLGLSGNSHASIFPASRSHSQAVGDGLESTFDRSMPMPNSSVHFLRGDIWSHQATTTTLSTSDSHSTGHFLASPWPWQTAMDSGNNSETSGNDADISALLDLPGSVVRSSASAAMASSSLLDTSNRDSGLVLDFSLPHSGSNVSEPAECSHNLSGSVHADEHINALGESQRHGLHT
MSYASNDERYRRSAHAAGRHAAGGPRVSGVSRVGAHAIPEDVDDSTAPRRCAAPVDSLQTLSAGQGARVTTRENAAEAADIARDRAEQRSRNRRLSGRNRPEYGSKPERKPANLKSVLLVIAGVVGLFVILAIVAVVTFLGAQDNTQSVEPAATTAEEPQQVQTTADGSVTYQGVSYAIEATGDGTYAVVATDPQGNKTQEFTVSGTPVTLILYNSVIVVPENKSDGTWDVVAYTIGGDSEPVSVVDSSGNAITGTGTIASATLDGSVVHVTDSAGAATDVALE
MLILQTLVLGAAHGQTIAHAIERGSDEVLQVEHGSLYPALHRLQNRGWIAAFWGTSENNRKARDYRLTPEGKRQLAARHDGRVYRTRNGASRGPGSGTKETRQHPPPRSRRRSIA
MFHQFHVQVKDRKYLRFLWWKNRDMSTQPQEYRMKVLFFWCNIIPWLHKLWAQFIARDFYVDDGVTSAETVERAIQLAQERYVQRVVSAFTRKEFYRKCVAKVLAGMIRCQRC
MKRVAGRVVWGESALPAGGKTWRAAAATCDQITTAWLATAKIAQCLGSLLPVDVGWGAKGSDHNEDCKRSDVHRPGRVHCDGSCRGSDDGSDHDGAGHHNDRPSEEGKDDCHDA
MKQFKMNERQRFSIRKFSIGAVSVLLGSVFFIANPATEVQAAEVNTEVVSQDGNGNTGNPSVLPPSDTSNQNLNVEQEGAKPNTQETEKKEPGTSSKDSEGKAKSTVENKGDEKVDGVVKPVSGELSTVSEVEKDKKEVQPELEEKSSIIKEEANREDKIDQSQEEDGDQLYDKLIDASKRLKESNPESAAAFKNLADTINEKLGLSDDGKISGELVEKAHKLLDGNQVMMSKNDRRKILRKEEFM
MQQSAISSNGLASQPSRSRPPRAQHEGEERLQIRGNSKLINSILVAGVLGRGQTGKPQQPPASPRFSFPGFSRHLIWIANTPRAWILEQHPTGQHSRAPRCSLRLFGSVAAIRPMRYPPRVKSLLPEGGFPSACPSFPAFSHAQRIMQTARWKRKFPPSTLREDESSLLLRVWHAARSPSYRDTLRYQAPHCSTVKPLESESRVTQLGGRPSTSRSVSCLSIGPRRYQLERSLLASRDRAVHPDVLGAPWPTKSGGCFECATACSSRLVRASSTRLQGRTRWGWLVRQRAFLSAKLDSHHVCRQLYADTILDIAHLPSHSDVDG
MTEPEIELRVGEKTVHHPVFTDGGSRVHLRLEEPIEVTRERKCVVFTDIKITNPDGWVGFAKLSNLCGEDICLEPYYADLASWVPKVYVQLPHWKEDPVMLQAGTPIVMYRYIACDYDECGHESCEPRNKLRLERRRAKMGELLWKQWLERKQRVQCRFLKENPIPVFVDKIIYLRAERDILVTKDDVSLAKFDVCFDVPFGWHVRCFIDDTVLEELCETQCLIWDEETKPTLELRLKQRAPVEEKLFARGDLICCVEMVQYRCDCPKCRLLQNERNAPRHTFST
MKQIIVYKDKPASINNKNDNAKTAYQNSLREVANQQDAFIMDGDLIVDILWQQSNPADIDNIIKYTLDALKGICYNDDKSIIKLTISKEHSTNDQLTITIKSKFIISKFIKKIVFKTIIKPLLRYINSNYQDMLTAQIPKPKIEPIPKISPPKPINQNNDITTNLTQDIISALNSQKKQDRYS
MTVRDDGMHLFPQDGAALSSTEQAFLVSAGFSTANIDAALRSASRNATTIYLELMAQAPDQEALLYRCLAQWLGAGFVGDVAPDRLIIDADDQPAIAASHSFVHYRVGKHDAVMLIAPSPRQLRKLIMAGRFAATDAARIAITTPFALRGAIIERSSRARLRQAVNGHAESRPTESARHTLTGMQGWVIGMLIAITPSAVMLYPAATLSILQGVVMMFFLSCVVLRAWAAFKVTKRTLPGIRPYTDAELPRYAVLVALYKETEVAAQLVASLQRIKWPASKLEVYLICEADDQQTMAALRAAGLPAGYRIVSVPSGGPRTKPKALMYALPLVRAEYLVLYDAEDRPHPEQLLEAWQALTRDHDPPACVQAPLEIANRAHSMLTRMFAFEYAALFRGLLPALSSRRLFVPLGGTSNHFRVSALRDVGGWDPYNVTEDADLGMRLHAHGHHTGMIARPTFEDAPDTIPIWIKQRTRWYKGFIQTWLISLRHPRRLLSVSGGASFLVMQIMLGGLVLSALVHPFLLVSAILMLALMATGQQANLAFVPMAMIDWISLLLGYAAFMALGSACLRLHEQDRLWRTLAAIPAYWMLQSWAAWRALLQINRNPHLWEKTPHKPKTPNG
MVATDSSHAAIRPFFSAGSSVAEQTFLEANDNGLSVYELALASLPELQSALDRLGVSHRIRLATASRAAFQGTTFQTELLASGVVTEQAYFAAMAREIGVEFLTAIEPQKLMMDDEACWAQFHRRAMHARLCEAPGRHTGIVAPDQAGWCLLKQHCEGNPDLRLRIKVVAPSRLREALLERSRPMLTRFAVGNLHDNRPDCSARNVFWPWQTYSFGMLTVLLPFAMVTVPMLALFAVHLLLVSFFIACSALRAIAAIARPPVVPMPISLPLSPDLPVYSVLVALYREAEVVPDLLVGLGLLQWPRSKIEIKLVCEEDDAETIAAIRAHPLRACVEVVLVPPSLPRTKPKALSYALPLTRGELVVLYDAEDRPHPMQLAEAWRRFEASDRELACLQAPLEIANRRAGLIASMFGFEYAALFRGLLPWLAHNRIMLPLGGTSNHFRRDVLEEVGGWDPYNVTEDADLALRLARHGYRCETLSCPTREDGPEDLVSWHNQRVRWFKGWIQTWLVHMREPGALASELPLVSFVVTQILFAGMVLSSLLHLVLIAMLLGLAVQVLFDVPMSLWQSYLVAFDIISILLGYGAFLFLGWLVLKPRDRRGFWKLCLFTPVYWTMLSWAAWCALYELWRRPHHWAKTPHRKARAF
MSDTLDLIKAILRQDCPDDETARQVLHAALEREIDVLVYCAVILGIGEAPAMERAAAWLDMAYFDVVPRNLSGSSAPVRLDALADIKCFRVMVLDIDVLFCAPDFSNLLAMKAYRRRHPALARQVCLVPASALRAYLVNACSSALIDGARQNLARRWPYAAAQLELTRPARWLFVSGLLVLLGAVILMPFLGPSVLGLLVLAMLAVPAAIRLAAIRHALVTPVAAAPEPIPDAELPVYSVLIPLRNEAHMVPQLQRAMAALDYPADKLDIKFVVEARSAATLVAVRQLLDDPRFSLVPVPEALPLTKPKALDFALPLCRGQHVVVYDAEDTPDPGQLRLAAARFRAEPDIECLQAELLIDNGRESLLTGLFAGEYAGLFGVMLPALAAWGLPVPLGGTSNHFRVSTLRALGGWDAFNVTEDADLGMRLARMRYRIGVLASSTQEEAPIRLKTWMNQRTRWLKGWMQTFIVHNRSPLRLYKEMGLVPMLVFEFLVLGMIVAPLLHCAFLLTLVGRLALGLPALPDTPLSWQVLYLGVFALGHGAVMAQTLLGLHRHRRYHLMLQQLALPFYWLLIAFAALRAVRELLDRPFHWAKTEHRPILSRRRHRHRSGTAPAPQVAPVYSAAGKLTP
MTQLDNAPDDDVRNTRLLTTRWKTGLSVKEAKARIRLTIALIDMLVRIYIRLRSLSPWRMPGWRGTFFTLIAAAVFGICMYCHWAHASDTIVGCAKKAYEIIVSFLTPAAPSR
MNNPKQGDDEKDEASAITKANESNTNNVTDMSSRTQPISAKVWCCQICTFAENPYTVPVCIVCGALPTRTNPTIVEMPEFLSNDDLPSIDDTPILREESNIDDIFEPNFLRQATVDVYQMLENKLQKAVVEKQERESALEATLKKDEKDEKKKEQQTDKDKTEKNAVFVVEDISEVDHSKITQELSKIDNKEGLINHLRASCQRVETRLAHHEEQVTRLRKELIEIALQYREDKALWKRYAVLELQETCELFYKAQMRYYHTLIDVEIKYAETCSVKSGKLRKSETQISEHYTAWSLEDVQLSVAQAIEVAYSIRLSKETIDKQAQLKLLQKYDILKQQFGMSHVDMHQRTREVINYLTDIITAKRNYDSHNTQNSQAGGDRLGDMDAFVQNVLDEKNDGEAKEGILCKKFVEKLAKNMKNISLEEIFEFIEELLQHFSNESFHISKAFPDKDLTEVQLLVKVLIYTCIFAYVPVVRDALNLCLNADRGKQEYKQLKKVKKYAYFISKIDKHIKINKRIGALLFSKKKKIRKIIDTF
MAAVPRPRALRTTVVIDYQNVHMTAYDVFSRFSRSDRLGLSRSETRIRPILFAQRLIDRRNERQRSAGFPLARLEQVKVFRGLPSEEFDERGFRCGLAEQQAWMRDDPRVEVQCRELRYTLLRDRSTKRVGTEAQPQVIGAREKGIDVLCALAVTEASYDPRVDLILVASHDRDLEPAIESVQRSRRALIEGFQWWAETPGPKLRSELGTFWVTRLDESDFRAVRETRDRLTMTDPVAVDGLTEALVGHQVRADVSPCTRGLSAPLPAAVAETVHDAGAAVQ
MAQSRYLFAISCFHMDLLTEAEAALCPINDPSAEVPNGAAGHYLLGLIYRCTDRRKSAIQHFKQALSMDPLMWVAYEELCILGAAEEASIVFGEAAAFCIQKEYPDCSTSPNSHMSPEDSNEVAPRSCIPEEGNPRQLKQMQGLKDIAAYHHGASILGGATCQSINSGSSNMSFYSTPSPMVAQLSGVAPPPLCRNVMPNCQNLTTLSVDSSPKSIVNSPIQAPRRKFVGEGKLRKVGSILQPIVASLVGRLFSDSGPRRSSRLSSSESVNANANSAVVSGNGNNNSYKGGSKLNHVAFRAMAIRKGQSWANENIDEGIRSDVPDYSLNNTSTNSCSSPDVEAKTYEQEAATFHIGRQVTSDSKIITGASEILTLLRVLGEGYRLSCLYRSQDALDTYLKLPQKHYNTGWVLSQVGKAYFELVDYLEADCAFSLARQITPYSLEGMDIHSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALRSFQRAVQLNPRFAYAHTLCGHEYVALEDFENGIKSYQSALMVDSRHYKAWYGLGMLYLRQEKLEFSEHHFRMAFQINPRSSVILSYLGTALHALKRSWEALAIMEKAILADKKNPLPMYQKASILISLEKFDEALDVLEELKEGGPRESSVYALMGNIYRRRNMHERAMFHYGVALDLKPSATDAAVIKAAVEKLHIPDEFEDGL
MFRSIKAFTRNVRGAFALQFALLAVPMTICTGLAVDGGRAFLARFELSSALDAAALAVGSTLQQGTDLNAVAAKFVERNFRTEHSEPIALTLSTVDDIVLLQGSVKINTLFMSMIGQPTVTINAESEVRRGGSNIEIALALDITGSMSTSRMNGLRAAANILVAEVVNDVQEPFFSRIGIVPWSQSINLDLPTNAKGFVPSAALDQLRRPVIGTKEVSGASWKAAGAPNIAIAQAGWRTTAGARTIASTGNSGVDWRWGASRTISSFANITVGTGSNQTKRIRVTTSNDHGYSNGQFVRITTGSSGSYTGLNNKIFRVGYNTNSPDSNTTKTYYLRELDDSAWVAQPSNNTATTGASQR
MTQDIISDLILKFALHFKGQNFNYVDDTSKEVRVTEVDPDRGALHSGDRDGTVTLSQINKYAKEFTTGKAVHIEHAIGTGSNNRSVVEALMAHHPNVIVCFQPKPDGTQDAKHTRWMDHVIHRPGRMLYRDDLGTRETSGEPATSDWTAPEWCLAVEATHYLEENPHHEDEIYELLGQSTSRSNWHQKIDLLKSVKSDRASAQELPSQKVEQARWYLHFTKGDYGNWKDYFADTSPEEGLAEQAILTGPAGEELCNRLEDLDSPSATSSDLPGAEMVEMFVDKLLEVGMHINVKASEEKSDKDADYPELPFGDA
MATPVYEAEALAKLLESRLNNEQLGQVAKLAPGAAVPAFCRQALAKYEAQPAVWSGAFELVKKRQVTRAG
MGLNLQKNNNSKKKIKKYIINTFIILFMLGFGNLFGESLSPGVTESQYNSTKYEYEKSLQDLEAKQSELNKINSHITAKTSNVNNLNTQLEQEKNQ
MFQSVETETGDQALALRLFDARVPLPRKPRVDVLQHRHVRKQRIPLEEISHPALLRRQVDLFSGVVEHAPVQHDPAFIRLLHARDAP
VNEFGEYNEILGEYITEDNDKEPEEEPELEQIHDYTLQTPEITCHVRKQYELFPPHNEQSDILPEIQMLINSLLVP
MFILKLKTGEMYQIQDESQLKTAVTGFRQQGVKGNIYRCWGRSRTICGSFLIL
MSRNYSKNYNFSGRSPIKSRNSIWRTVVKFVYIVLPIIIIVFGVYFFIFSDFFKIKEIVVRGNSYVVSAAIVDAFQNIFAETKLLFLKNNNLNILNSQSAEQEIKDKFPRIEEVSIEKQWPDKIIVVIKEKEAVEILCKSKNASVSDFSVANFSDCFFIDKNGIAFDRAADTQGFLILKILDNRGVDIGLDKKSLNTEFLNFVRDLKNNFDDYLNYNIKLIVLDHSAQREVSALIDNWRAIFDVSGDAKKQLFVLREVLEKEVKDQKDKLDYIDLRVEGRAYYKLK
MHINFSEDLSVQSANIKLPKLPAQAKCNVFFDFFQIVIMALNMAGLFIYHNRLIYSKKYLDWPMNIRHAYTCYFVGDIIFFTLWILLNLSHVKPYVNLRNEWANSQQDFLSSFQ
AAAHYEGIVRVLEEERDLYKQQLDVLKSHCCCVPQSYSSSCSNVEIERLQRERDDLQNLLAKFERHICETKGELAMTRQELLRSPRQTKASLQAQAMLRRLENEREDALTELRRVTTERDTLHERLQEQTEHALKDVQKKLSRKEEEFDQRQDDLVHLEKDMVTVENDNRWPGRDLSPASHHRLMDKEKDALQMWWTRRRTKRAARKRHIAEDSSSEELMEVSRAREVAFRENRPFQDDLSSMMKENQAPGSDVDLVVVDRA
MANQCSIIMAYSFYSAVGNISDFEDNDPVSDTIPDQSLSVRDIIHRYTRGQIEVPPIDQGEDQDIEDSDMDFDSFDDAFESAHVDPSLFGNLPAGTPAETPTGAPTETPVE
DVTADGVEVIEYAAAKPNLLSIAAMEGKEPQYIPFWKFAADVEIDDYLSEGDAETGLPSIEGKRSYYICAGDIPRYLSEPWEIDLTIRNPEYEEQAEVLERMPILINKKTAKELSEFLYLRYETQKPGILQVLRYRFNVTSAEIVYIPYYKEEAGYIPGV
MRKSAESGFIHIVAIIILLAGLVGGMYLVQHPQIFQPKASNEGTRIEFVNDQGAAITSTTSQNVKVKLIYVVPTVQGVSTTRLLAQASTPDDPTLPWSDAEITDWIQRNQANINQPTPPGITRQYPDLLASLGTRAGIVAAFQLYVRDTGGNIPPSLDELSRWATAGYATTTGPGTLPTTLTLSPSGEITSLPDGFIRIAWTDAPSGAQLALRRENGGGWQTAPISVATSSTTAQLAMGDTLGVEIRNASGATLASGSVHLSAPATFPTHFKIANSQEELASSRDEVFDQNGKIKEWTLTPGPGGKTVYAQFKVNGTWEQSVSASIGLVFSESSTASSSAKLFLKADKTEVGVNQEVKVNLYALTSDAANLFNAKINFPKDLLEVTSIETSTLSEIKSIEEVYDNNTGTISIVGGVPNPGIKTTGEGILMATIIMKTKASGSAKITFDASSQILKNSDNSNILSQRDGITISIDQNFISRIISGIGSNTSQSGSSGTNQGAISSGTVAAGSGDGNGDKKVDLIDLSILMSDFNQTSGIRLGIDMNKDGVINSFDSSTLTNRLIQDGVIKGN
EDKLVTDAIDAFTKANPNVKVTWEPIAKDYDTVLKTNIAAGTEADVFYVDINWVGSLMTTGKLMALDDLMSKAKVAKSDFVPTLIDAFSNGGKVYGLPKDFNTLGLVYNKDVFAKQGVKEPTNDWTWADLKQAAKDLTKNGVVGVSLPADAARFVPFLWMAGGDITAINNAAGTMATDYYTGFEAQDKTSKLPSELGMGWPGEALAKGKAAIAFEGGWLPAFLKSDYKDVKYGVVQLPKGPSSKSNLIFTVAYAMSAKTKNADASFAVLNYLTGAENQRKVLQSGFALPTRTALASEITDAGSKEIFNGAAYGKPFNYGGANNGKINDAINKQLEAIITGKSSVKDGLDKMANDLKPLVTP
MKGKKLVTLLLCVLLFVTSLTACSSGNSTSKTDTADTTNTTVTKAAGDTSTPASDANAEPVTLTFAIWDKNQQPAMQAMADAFTKLNPNVTISVECSSWGDYWTKLDAAAQGGTLPDVFWMHIAQLSKYVKGNMLAPLDDVLKADNYDLSNFPEALVQGGQMGGIQYGIPKDFDTIGLWYNKELFDAKGVAYPTDSWTWDDMVAAAQKLTDTANGIYGISGGYDSQQTTYNTIPMAGGYVISPDKTKSGYDLPETLNGLQCWVDLIDKYKVSPSQQQLTDTNATAMFESGKVAMVFLGSWMVSEMKTNEYTMDKVDVVSFPSFNGKKQVVINGLTYAMSNTTKNKDVAAKFLEFMGSKDAQSISAQMGAAIPAFNGTQDAWVAATPNLHLQCFIDMTQNAVPYPSDPSMPEWTPVEQQVFPQAWAGQITLQDACKQVADAMNQAIADNAQ
GAGPAAGPAAGAGGAGGADTTMPAAVAWWNLLQEQFRQAVANAMPPDGSTTAAAPEAPAAREPVKEPTDMGARTTRTKADKS
MVVEIFKTNVQKEADKNYVTAVIQSQFPEYKINFDLEDCDKILRVEGNGLESKNIIDYVNCLGYICVKLD
MVAVFKTNIKNEQEVAFIIQNLQEHYHSAAINVDLDDEDKVLRVDNPVVDAIKIILLLSAWSFKCEVLGVIKANKSKSKIK
MFDPADLELIRHIALTYELELVHASFNVMCRSQDLPLISGSLYDFEPRQIRLLATTLFNWLLSALPANSALGVSLNVLASSMMTSSDAVCCNATNRIILLIEAAIHATDTMLVWDLGDLQEIQGCTFLDVQEFFEKFFDAKDLTELAQDVYNLLKEQHVNNMWTFLRASPSMTEMMRWLDFLQDDILKVEHRRFWEVNLASELTEGIKGGRLDVCVKRKSEPRSPAMSGWEDLLAIGQLTAEEDIKKRLVELACYARHLFDFQATRRYLHAFTICGSMLEPWVFDRSGCYSPGAFDIHQHPERFIRVITGYIMMSEDVLGLDTFVQRKGRSGFIDIVGPEGEQKKLYLSPTLLDHRPTIVSLATSCFLAKHADSTDHDHVVKFSWPLYHQSSEVDVFKLAKQRGVQGIVSMVTHFEITTVNEMRRGMTFGRRYAFDDTASAMPTPSQPLLPSQPLSTKRSRSPDSSSSQSKKRKSTSTKEALHLRRPEGNTQLPGRQNDVAPKEDRRNAIKAHQSLYVQGKMLHQDISENNIIITDVKKTGFSGMLIDFDLVREIDKEPFKPGTRTGTKEFMAIRVLRGIEHTYRHDLESFFYVLLWQFIVRGWQFVGRRNPVAFSALNGWRAASSLEHLARNKQANMDKECFEAVLAEFPPEFQRIKPLCRTLRSILFPMKDGALFTGTPWHPDTLYLPILAAFDEIIARGRKGTEEARDEGQEGA
MEYTSTLCSVMKFCLSLDIELKCSGDEKGKVRGGLFVPPNNPKKLHKLLRKQFKDTAGKNWFDMVAQTLIPELKKDLQLLKLRSVGTVIESASDFFTGRLTKKERKATLADELLSDHTLGEYRKRKVRESEEQNHPGGVEKWKIKGGQSWKRAKQRRR
MDGLTLLIMSWLIIGVLCYFMLVKVGAPQLAVTTEINDGMTKAKSATFSNGSGDEYFTDWLNGLIEWLFSNMNCAPDILHAWIVAMNEAAKKISIPGKFEVLFEGFSDNNSVTRAPRITDIRMQQSHNNHLIVKSNISVPEVHLKLMSSQRIGDRLLVTNFDAKITDLHGEIELRLACIANQIYMIVCFCGRPELDIELRNRDAAPTGMVSTTIVDEMIRKCLLSAVTNLSLPEIGAGQCGRMGSNVVPSSTSTAFSTISRLITTNVDRPDVIDSVDSLPAAAPQTQTTHEMLKRMNQSTFLANHHAKAQAIPNKMRVKVIRAQRLGGDRLVNQPYVVLEMDEPAQKFQTKYGLNSSPFWDETFDFDLTSASEEILFEIYEGCSSSSSTLIEQATGYRGQHEVENDQFLGLAIVGLNEVRHCNANTLHTLKLQGRPYRNDVITGDLTAQFDFYYDPVSNSIGKNAKQTASDHQFPELVNRPKRSTYDPSDSFGAYNGHDVLSTMEAIGTTKSITQIYDSHGEQIGSADMQQQQHIITPQQQSSGMNERHEYATGQYQPRGSATTSQMQQHMIPSNSTGTTSTHHLPTETNITDVDNYPYHGYDKQEAEGLLKSKSDEMPQTQEVSENRQSRGNQSYAQ
MIIPDKVKQMLGVSPEVEVTMYDVAKKSIDVQPKIRFIYLMSLFEGFIKEYICNRTMTDIDKYRSVVNAYDSDWDLNHKALGARSSLNLNYALYLLDNLFGVKVSDRFSDITYEIGAFGLTP
MIQNVFPPTGYEPANIPPFEAYLDSDLYRANSYNEIWVAIN
MPAATWAVFEAIGPVNPAVQATWERIFSEWFPATGYELANAPQFEAYPKDGDIMAQDHITEIWIPVVKKKI
DIPLEPYTIKTDMSPEEIVNIFNKSLKTVPYAVGINNHQGSLATENRWLMSIVLDLAAKNGFYFVDSRTSPDTVGLDTARTMGIASNWRNIFIDNEKDVDYNKGQLEQAKSVAAKRGYAIAIGHDSKTTYEALVKYMPEFESMGYEFVYASELMFLR
MVSFLALAGFGLFAMGHDAGHGNGWCVIANPQGALCSGENAFAIVRSHVEAFTSVLTAIFDSFGAQAALFIVTMFAALAGIRIFVRAGIFLASKIAFSFSRQAHIPFSIPSEQELRQWLARRTNSPSKR
MRPTASKPISGPRSDQNMDCFENSKDPPDMFRPQSYQRLQHDRDVRCVVGQGGDPRSWPHLQLPRYEA
MTTSLNSAMVQPSCSRPTPRYNLSQLAHLNAGSISCQFSISSLLCRTQNSVAASKRVLRYLPASYRVRATGGQLSRDGVHWLVTSAEYSLFYKCIAHASGGSKNVFQPFKVASPEGVSLSLAFHSQTYDEDEEYCIDFHVEDLVTSGLSLEIRVSLVRFKKPGGSSFSADKSQWPAPEPAIVTTLTDNGLAGSVSTYTTGFVTVKVEVFSLSPRPCHEILRGLNDTRAQGHLPDVVLTLDGHEFPAHRAVLAATSPVFLKMFSGDYKEAKALKERDSGDLVCEVKISGVTKEAVELFLTLMYQGQADDWAGLEVELLEIADYYMVNHVKDKCERRLMGMDGPTALGLLRHADNPVISSDLRVCAVQAVVRGWKQLSITPQWRDLQKTRPVLVDFIRAAQQLYEQASSKPAQ
MNTAAPKPDLNELRQLIGDFDQLHGGKDTSEQLRAIGITPEGMGTSQWSQKIRQHMEALSAPDASGVRAHLVSLRTQLIHASLIFDTPLDWLFDVIAGYMYCMPAVTGRKTEASIDWQALAAAMRVKARLAHPRDDLERHYPREFSVGKAARRLSKRGYAIEQHGDRLDVSNADDARLVARIEELVRALGGLNVARQLFQTALSTYDAPLARYLITRRIQGGRPMSPDVPWGYLLALAGKHFYGKARSTAPQADWIELVALVTDYAALHDVQDYSPPIFESLTTDKLPGSLSRRALYDTIFTFPQLRPTDVVRILRPLLYNIPSDRPYGAGWTLSDVYAVIERIYQCIGDRCGPVTLDVGHVSRSVPNVTRAVAKDIILNVLSHPPEGPSRLFSRPTGQTAKQSDGGPDTGNNLYFKPLLPLNGGKFVVLDKSVAGVAFIEAVISALRDIEKGQFQSEIIGKGVENLVRHELAAHGITTYTGEYVTKAGISGECDQVIDEPGHLVFLESKAKALTRNANAGSDVDIIVSLAASVIAAQEQAMGHEVQLRRDTTLHLAESNHDLTWQEQDVDRIAVALFDFGVFQDRTTLSKLLPQILPARYNAIDSEAQKLHKNTLKELNEKTLPALIDHLGSWNEFGLKKRDPFFGSWFLSVPQLLVLLDGVSEPTEFLSRLRFLRSATHQTYNFYAEVKHGLTLRAEIAKKSH
MEQSERASSREITLNFLTKLCKTNQKCRIEMIDGIVVRGNLSACDRLNKYLKLNQVTTPSGEYETLLIRWDDIIIINFDN
ILDNEYALTLTMVKHVSDESGTGGDWALRINVSRNAAATNIKRANQRLSLVMYLTDEDTPTEPWWVMPHGEASELMEGVLLAEGGNKVVGPWSMHVVEVGGGPDGKKKRKRPARLNYLALPAREGEHDFRLAVRELLMSIMYEQLERNPTSEKFHLSLPNGATTGSDLALFQVTVNMNSCVDFVFLSHGPGAARDPEALDARLARLSGPALTRLAAQREAEFDARFE
MALVALAFASIAVSYLVLWMPRLIQWIKQRLRIIELVDRLPGPRALPLVGCAYQFSFDSYKFTYLMEEIFEKFSDGLNGNGMFRMWLGPVPVAILENSKEISKPAEGYTRLQDWLGKGLLTSNGDNWRARRKMLTPAFHFSILNDFVPIFNKEATILLEQFSQFADTGRCADVFPLIKLCTLDVICESAMGVNIRAQLGQNKDYVRSVKDVCQLLWDRERLPWLWPTPLWILSGKAARFEKALATVQGFSLEVIAKRKKLFAAKQRDPGQKPAFLDLLLEMQEANCLTDNDIREEVDTFMFEGHDTVSSALGYALFCLGNYPEEQERLFEEVKAVIGQRFAVLEEKVMLARLVRRFRFRATMTFEQNRGLPELILRPSQGIPLIIERRTE
MLTVLLVGASVVFALYAFLYLKHKAHMKKFKNIPGIAPVTVFGNLYEVATIYSKKLQHHPGVYILQGVLGTNSLFYKEGIQLVWMGTFPIVVILKPEWLELILSSTTSLEKTYEYSYLHKWLRQGLLTSTGAKWKSRRKLLTPCFHFRILEDFLPAFNDNSFILVKKLRTLQNEEYVDIMSLIVLCTLDIVCETVMGARIGAQSGENPEYVKAVHNLGDVLLERIIRPLLWSDFLFNLSKTGRGFNRDLKILHSFTDKVIKEKKRALLAQRVHGSKETEDVRLGGKKRQALMDLLLDQHINGQQLTEEDITEEVDTFMFEGHDTTATAMNFCLYCIGLYPEVQRKIHEELDSIFGEDTERPVTLDDVRDMKYLECVIKESLRLYPSVPLIGRILNEDFNHNGMEIPKGTTLNFFIVSIHRNPEIFPNPEVFDPDRFSPENVVKRHPFAYLPFSAGPRNCIGEYRTEFLRHIFSV
MKSNAPKAKGNIGYSFMIPWIGDSLLVTHGSKWERNRRLLTPAFHFSVLNGYFKIYNDVADTLLEKFSNGSKSGQYIEVYQASALAVLDSLMQCSLSYKGNIQSVGESHPYVKAVKRLVELVMARNLNLLFYPEWLYRLAPSGKEFFNLCDYVHQFAEDIIEKRKTELINKVSNNKKRQMDFLDILLTAKDENGQGLTDEEIRSEVDTFMFAGHETTASVLSWSIYALGKYKLIQDKVYREVKRVIGDKQHIDGQVEFTQ
MVLAMAVSAVVPASIALPSLVGLLLVLAAVLLVQRVVLPRRRFTLAMARVPGPPALPVLGNSLILTGGQDEFFRLLKDCAREYGEMFVIWVGLRPFVFVQSAEACQPLLNSSQHIDKSYEYRFLNDWVGTGLITSSGSKWHNRRKLLTPSFHNKVMEDFALTSQTSVNTFIEILGKQQGVFDVLPFAKLCTLDIICDTVMGHHMDFQRNSNADYVEAIAEISGIMQRRFITPWLKPDSLFNISPLGMKQRKCVKTIHKYVDNIIEMREKELQKRAHMNGNVDIGCEPLGSKASKHRIALLDLLLEMRKDGLSDEDIREEANTFMFAHA
MDDAASSVLDNDTTDGFDYSGDVKATNGNPGDVGEVVMGSDGGLLIMYSDGSVDFSANGEFDHLNDGETAQTEFTYEIDGGDTATLLVTVWGEGEDLPG
MPRNDVLSGVAPAPTREANCLAYNAWVREAGTRQAQGIAHLVNTDAWVPTGHA
MDLPQRAMLGGSLLPPDCLLDRRVRTDFDDDDENDLIGLQPFKILRCVEKTAVGFTPEMQGYATEVVQSLHLGLHLASGPPGLSFLGLRGGSPLTKVEAVDQGIIVLTTTFLHDFSRIVYLVYDADNGSLHMAPAPEDPSWLFTGLTVRLLIVRPNYGDYTLALLGKLDGEGDALLVWQPSSSSVPPWSKIKAVGVESLIGKSSLQVDCTFSASGMSCWADLLRGVTFCNPGLFTTGLHNKEYILKFGFFPLPQELVEKSKDHRRSNSRVAQPKAYRTMGTTLQTWWRKFPPFYNVRFVSIDGFLEPIDLKDRAVTVWWLCQKGLEWKLEYKISLEALWEFNGFGDLPRNLTPMYPLLSPNDHEIVYFALGEWRENQSNWLFIPTCARYLLAINPQHKTVVASVCLADCFGNPTIPPDIISSDFQRHIHTVSLDLHIMLMETMKQMSLTSLDPAEYDEEEAKKLMKEEPWTWQEKLKEQDRPLPLPLPAWYYRQPSLLRLIGNADTILKLSD
MLPLPLLGLPVAIFNAYLRNCYFSPIWKEVEVIDIHKPGKPRDLPASYRPLSLPSGLGVQLALFADDTVLYFRARHKKSTFLRLQSAVDKPSQWFRTWRIKGLRTPNLAKFLKDASKRFFYIAGSHPNALLHSAVDYEPPQSHHFICRPRNVLTDPPDALTAAVDSLMEVNDTHDRLSGMSRAVDTPAWRPAAFGEAIVEVQLYTIAEQIIPTSGEVFSGFQPHTTRVHGRAGVRIPSRTSRRANWQTGRIPGWDPRTWIPRQTADGDLGGAYGIIRTRPRRHKTDAKDAPARNPLIKWRDGVVAIKL
MTKRQKLLRDIRRQAKKTGVSYRESEGDRHTIVHLGTGRPVPIPRHNEIPERTTEAIYKETEEYLGKRWWKQ
MVAACEVSKLLTSLRWRMVMRSMLCFTKPVVLC
MPQAIHPISRERFNAFVLWSRAPIASAVNREVEWYADGNEWLLGVLALDLVDFDWSYAVLGRDTDGRFRWIDGDVSVQTQRAANQALMTAMQRHAETGASVFPQGDETGGFPDLFTPVVADDKLHHSFRAMVRYPVWIPAISIVREMMRNFVDIDGHFVREFQTYGFDARIWELYLYAYLNEECLPLDRSFNAPDYVIALGDERICIEAVTVNPTGGATLGSEIPNLEQIPAEEADELLKDFIPIKFGSALYSKLSKQPPYWTLGHAADHALIFAVADFHTPQSMLWTSSGLQRYLFGYHHEHELDDQGELVIRPMKIDFHRYGDKEIPSGFFFQPGAENVSAVLHSNSGTLSKFGRMGRLAGFEAPGITTFREGLAYDHRPNATSPRRFAHEVAPGLVTESWAEGLNMFHNPNATHPVNPDLFPSIAHHFFEGGLVRSIIPDFHPMMSMTLHVGAEGAVDPTGTDVARSPGD
QGLPGAPGAPGSPGAPGLNGPSGPPGEKGEPSAFAKGSKGEPGSPGLIGLMGPKGEKGNKGEAGTKGPAGPRGPSGPTGQPGKMDLQNSENNIRNMPLMGLPGLPGPPGSPGIPGEKGPTGPAGTPGPPGPKGDRGETGCC
MHSDRTLYLNERNFRQKCLEEETKILKRKTRYFRRKLAEVSALLNYFELLNDEREILNGVTSSGLFNETKRISEGKPGLSGLNGIDGKSGKDGQPGQNGFNREDYPSQIILDFCFQCSPGLIGGLGPKGQKGINGKPGKRGEEGKSGMKGKPGREGFDGQIGLTGKIGQKGKPGRIKLGKCGPPGPVGDTGIRGRKGEDGKIGMTGRPGNKGMPGGCGHCSKPIILKNNLID
GDQGYMDVTVMTNSDSTDTYRIRRSYIAFDLAEITEEHEITYAHVRIRSRGFNGSPTPKLQIFQGTWDYTLAISDFDSFTGVSLIDSPYTWAGSESNMSMVLNQAGRDYLNNNRGKIVYICIREYDHDVLDSPPSGPDFDSSGQFYGTGQGADDTYDPQMYINYTTPA
MKLLEFPVLVQQMIFEEMTNAVLLLLSFGSFNVKEMIKLTQRKRLNNLGSMVFCTGSPNEEVYISNIIYNQGKPVREIQEPILGIAGGYMKVEKDWFQVKMCGELIYFQISDIYRPILCYSNCNKEILIKCLHRCLHDFFGGEIEYQWWTHANKIVFPEVEDLTICIKANSPKAFWGALPQNISIKHFEGYDLMMDPLKRSDFYKIECLRLHVFGSVVEDVLRNIEGRQADITCSVGFNYSKLGNFLKRWKLGKARHKLEYFKVQIQDNMDRNGIPKVDILNYARCKKLDDSRYGPSHSIPFACGSHYPTPTTPSFWSHDYVVRESDKRVANVRIEDFCFIFGVWEMAETEFLKMAAKEVFFGDLLQIPPVPEKGSDGLPCKIDHVFESPLWKNLVQYEELEILMRRENDPEYAERLKKWRVGEYTEEDNIFE
MAAASSSGQPLPTLSSLDGFDRLLLSQTPISQNDVYALASTFATTADLKMVCLWKCTLDDRAVRVLCGTAFLRGHPGVTCLHIVGCGVRASGALHVARLLADLPDDVGDVEKLWDSSNTTDHGGDTVGHGHPPSHDTTKQRPTHPTTTTLPPLPFANSVSQPRKHASALTRTAPDLVPQPPPTKPPFTSLLTILVLDHNSGLGTVGVSLLFDAIRLTSMPKPAPSPSPAPSPDDAPSAPAPSADPAAPVDPPTSASPRSAKALAGGKPASFGCRLTKVSIRYCDAGEDAAGAIGRALEINTTIVDLDLTGNSLSNAGVLHIAPYLSRNTALQKLNLSSNLITDAIPTAEALLKLPRFPAPFDYAEALRYHAARREEGGGSTGGGGAGAGQDASGDSAGATTEPTDPSPPVLLSPLESLACAITAPVNPSSALSYLDLRSNDVGDHGGQVVMNLLKARKGKVQVKVGERMVGVVGERRGLE
MPRVKLPRDKAMSLLFKTSSIVIALILGVIAAEWFSQLRQTQNAIDLSAYCQISNTACTQQNVTIQANSARVHPLVPTQLQVTWPQHSAQQLTLTLQGLEMEMGTVKFVLNRAANQEFTGKITLPVCTNREMTWIGELSDGSLSVKTAIRMER
MQKRPLLGGFLLALPGVIKLLPLVLGPWLFWKKELRPALGWVLGILLSFVPFFLHQGWDLGLRQLESYEHMILVDSSFGAYHERFQGLPAFINGTLVEHYAPDMESAAQNHDWQGVRNFLGATPLAPYRKQIALLACGLLILVCAFACRRHHPETALRWLGELGLVLMAMLLISPHTWKHYLWWYFPAVLYAAAAFQSPDPGERRFAKTFLLIVLLTLSLPHRSLFPGIFWQTWHVFHGFALGGTLAFLVLASHLITSQGKQ
MFDPRVRKYPGMDRAEPVSVISLRSLCLHAAASQQTAPVKFWFQSFGGVKAKMEYQSNARTNSRAIVLVHMMTGNTESSQGSMRDDNLTINKRVKSRSIATEDERPVDPKVWFCLFHQFWKSPPSPTGYYGYCEPL
MMDHSGFHCFFQSEEPLWVGRGPAQSSCLVGPRDGAFRSDYSANKMILNNITQVTSATNAALKAGLVGAELDAEIRKRTIHGVDLSISLEPLPDPKNRVTLSTTRRDPHGIACPDVYYDVGDYVRKGYEASVAQLKQIAGLFNATELNITTALNANNHIMGGTIMGADPKTSVVDGNCRAHDHANLWIPGGGAMPSASVVNSTLTMAALGIKAADDISRALRA
MLPSWETGATLVGVSDAQDGLNRRALLGAAAATGIAAALPREAQASTRKTTTKRSRLPRTAEVVVVGGGFSGLVAALRLQDAGKDVVLLEASTRTGGRVLNEDIGDGQVAEAGGTYVGPTQNRILALGEELGVPTFKAYAKGDNVYIKADGSATRYQGTIPPLDGLTLADAALLQENLNAMAAATPVDAPWEAEKAGEWDRQTLDSWLRQNSRDPAALIRVLEAYLHPTFGGNAQDVSLLFVSWYLAGAGDERNVGTFDRSAGSENAAQDSRFVGGSQLISDIMEKRLGRRLFLRAPVRRIRQRGSRVEVVCDKGEIRCRKVIVAVPPTLAAAIDWDPILPPERAFLLARTPMGRLMKCDAIYDTPFWRSDGLSGMGVAETGAVRTSFDCSPPDGSKGVILGFVGGSGWDVASGMPPERRKQLVLENFARIYGPQALKPAGYFEQDWTRERWIGGGPTGVGVPGALTQFGHWIRKPHGRVHW
MSLSRRELIASAGLTGIAAIGLNHSSFASELPDQVDVVVVGAGLSGLMAARQLKQNGKNIHILEARNRTGGRMVRKLTDSGHFVDLGGQWGGQSHHRFRELVSELGLETFPVYKEGKASLVWNNKNTLADVAADYDEGLLFLDSDQIGQTQEEVDKAKSTLKLYQELVKTVDHGAPWKTPNAVELDRITIESWLKRHSDSPLSTFMLEILSNVGGSGGFDAWDASMLHSIWTQAISPQRDYPESWLIKGAAGQVAELLTEELTDHITLNSPVICIEQDDNSVQVTDGYGRKIFAKAAIVAIPPPLRQRISFRPALRAETRSFLQRNPMGSMIKVLAIYDKAFWRQKGMSGLGIGNQNTLQFMADSSPPDGNPGVIASFITAGRAVEFQQLTDNQQKSSVLSDLAAYFGPDAAQPKELILQNWNQEDWSSGAFTSYVTPGAWTTYGEYWQEPHGRVHWAGTEASTRWRGYLEGALEAAAHAADSIRRHI
ALMDLVERNLERVSARVSVVRRQQASAGRVQEFGPSEVASFLLLQTLEPAAAALRSLRANPGSHPWQAYDVLSRLVAGLRIFSDMDDDNAVPEPPVYDHGDLHAVMQALDRAVDDLIGTALPRHLASIQLVRESESILQVEGVESRLLDEQTLFLAVRFDAATSMTWIDDLPRQVKVGARAELERIVASALPGVQLIHVQRPPNRLPVKTGYEYFRLDKSGEFWGRAREAGSLALYMPAAFRDARVEMVSVHEG
MTKKYLLIAGLLAQTLALHAQVDSNIINSIRSEVANNSQMEILGQQLADDIGPRLIGSPGLLKANDWLVNTYKSWGIEARNEKYGTWPGWERGETNIVMTAPRREQLDGIQLAWSPVTPNGKPVEAELILLPLLKDSVALQQWLPKAKGKIVLISQPQISGRPDASWKEFALETDYKNFLDRKAKAAAAWNDGLKAMGTSHNKIQTQLEEAGAIAIASSFWTGGWSSN
MKVPQVATALALTAILGTSTIDAQTFTTDDPVLRRIWAMGMDSSRTYEFAQVLMDSIGPRLTGTPELKAAGDWLVSHYTSWGIPARTEQYGTWRGWIRGPTHVDLIRPRVRTLEATMLAWSPGTGGPLEAEVVALPMVESPAEFSTWLTAARGKYVLVSAPQPTCRPDQSWEQFADSSVYHNMSETRDRHARDWQASIERTGYTVRTLPQALERGGVLGVLTSTWSGGWGVTRIFGARTDEVPSLDLSCEDYSLVFRLAQNGQEPVLRVHAQAEMLG
MKRISHVLIAATLIFASLTGFIGCRDETDPKYNLKLMHDRPWREKALKNISEIFSATMQANQNDLKNPEVQKVVDVLVPGLIDGFKKFDRDKDNRKTIIELLAMMNDPRSEEVFLDAMELENTSDSLMFETAATAVRRQGVERALPKLIAAANKCFASRDAKAGAGFSNSENAIVQAFIGSAQGILEEHPNSAHKGTVVEMLIKVADTPDTMQELRLNMRAIRALGRIGDPAGIPVLIRGIAMRGVRQPVALGQFAFAALQQVPDRDAVVTGMLKFARLEDKEFMKAYEKEVKEDPVMKNPTWFIQQTVSFFGELAYPSAQVLEYLNSELNHNATDDLDATAAEVAPDFAADAWAAMRRNWAAVSLAILGQSDVLPTLLTRINAKITLEEKVGYIQALGYLLMPEKSCPALQKAITGADDSLRDKLFYNASLMCGKEFLTPMQKAIDKIDCDDIIKKRFQGEASEEEESQARNECEIMKTRIAEYMDRIKFGEECGQNLECYAKTIADHASKNVERAIASAYRIARDNPAQKDAVVKVLLENLHNPSMVALNANAMALDKLITESNAEINDRVESVMNNFARQSTYKDRGRRLESFSGHLRARTK
MAFIFAVALVMVVAHQAHAACILPSPGSLTAPPAPVPSTLALPCDACGPLKTQITQHPDINFDLPLPEEIPLGRFCACQKYGITPSLRPCPPRPRIPVPVAPAPRPAIVSIPAYAAPAPAPAPAQVVYSVPAQSAVIYGSPAACGAPAVSVNPASVSAFLRGGCSCA
MYNIIFIHKGNPDFLGYSLRQAKKFNPKTVIHLIGDESNNTYDFIDHHNILEYDRDAINFKRYYKHFSTNPYDFELFCFQRWFILKDFLISNTIEKFFHADSDVLVYFNIEEEFKKFKEFDFTLSTGSSGHCSFWNNTASLEHFCQFIMNMYTGKDNENYNKMVDHYRIRQSEGLPGGVCDMTAFRLYRLNKHAKIGETTDIINNSTYDDNFNVPTNGQLLYEMRYGAKKIEWINTIPYGSVFENKDKIKFNVLHCQGNAKNYISRIYKHKQPITMHKFLLNKIKMLLKIIVTRVIATNKFNSTK
MMRSAELVCAVALGAVLILAAACDDDGATEPTTTATPEAQATGVETTATRVSGTPAPSGRTGIPEVDALLAAFSADDRKGSGEPFKPLIGFTEIACTATPEGIGGPPPCQLNEEDGELVEVFDYGACEGEYLRPHQIDRVLSILARSSLYAIYRPATDGRYSGDYVAVVTDTAAEGMGLAWAVEIDDGKIVGLSFSCAAGPEEFVQQFAPEDVVLPPEAE
MRHFSENELKSFGAKLKEKNNFFKKLAKVKSHEMKEELYSNLVRTSREQQQKVRGKIEEADKTIKHLDECIFSDAVADKERQMSDLELEKKQNSSKLEQIKEENSSLQKQIDILNMMSEWRLGERSNKSTCFTFLHGTMFLQLEYEQLERSDADSGCEKKITNIAFKFELDPEKSQPHARLVHKLVSQYMEGESGWVEKYSTSQDVPKLLHDVSLVVSRCRMLGEEIRLLKMWGGLRFDILDINCMDTQVRVVFSSMKRCSKFEVVFDVSLTNQLCAFQVQSFKNVFGSSSIQQIEDIVASLASGRKLLTRIIKKIHETLLQ
MSGRLVDRGLDDELKGATYAVVDGVDGRTHHIRFPDLEATGDGAPGAIVELSGFEDGRGQPRIARTVRSDPKIERQVTASCATWLDRTASPPRLTDR
MITVLSGPIGTLANQFFESRKLSQPTFSDLSLKPARISRKTLSLKMAQVAQNPSQQQKVIITNKYGNKLVGILHESGTKEIVILCHGLRSTKEDDIIKNLAAALENAGVSSFRFDFTGNGESEGSFEFGHYWREVDDLHDVVQHFHGANHKVIAIIGHSKGGSVVLLYASKHHDIKTVVNLSGRYDLKAGLEERLGKDYLERIMKDGFIDVMQSGSFDYRVTLESLMDRLDTNMHEACLQIDKECRVLTVHGSSDPVIPVGDASEFAKIIPNHKLIIIEGADHSYTNHQDELASVVVNRIKEALVHFSNDV
MDFGLVIYPKTVTYPRAIANNFKTNDYSRVCANPVGANGCLPELSARKQLPDFSR
MAPSFERHAICKTRSPKVDKIHRRYRFNLKQAMADTALYRRIRHTLADFRYETKKIVERLHERRNAPLAIVVEERAWAAKCDEYKVLADQLIDQLAAIVPKDEVSKMVVETFRREEIANKKEELTGNVVVWKPVGELNASAFMSSKAQEAQVWILTSAQDAFLRRHPEIPGKALLVDN
MDVIYEAIFVSIHALARSANYRTSKGPGTPTSFNPRAREEREGDLNLLFWPM
MATSLVDIHIDALKLSDALLSIDEKGSKLTWYRSNK
MQWRAIPGQKLSIQHNAASQRTHLALSSDHRLMNTSKPGIPGYTKFDGTGEVAPWRTGFSGPESGKKLFSDARDGK
MGNRALAAAIHGRSSGHPRPLCEHGLGQKEVSDIRSERASDEAGTTTPIRGDDEVEDEEGEEEEEDENCGRRRSDDEG
MSIDGVNSPDILAALTVVAALQASPIPWKGPIATSRVGAVKNGEVTFIVNPTEEDQKFSVLDLVVSSSEEKVVMIETRAEELKEDLI
MTATITTPETAAKAIDDIRRDAATRLLSIIRRAQHGETIDTRDLAWAADLITDSKANRDMTILAGMHPTTTDHDLTYIGTHVDDHAKTIVNRLMPQTPEHTAELDRVRRLAETMARTTEGRRESAGPLAVAAYLAWAAGDEPAAARHALAALDINDNETLPTLILVMIDRGITIDQLKR
MVDLQSALLPQQSWDHIPLFLHVRYADFTPEDYQLMAKVKLVTIQPHTPQIPGETQVAIVKKQLGSLAPHVPVMLYWNVFYSEPIYKANQELMRHPELCAHTPDGKLYLPGNRLVFNMSNTRTQDFWIDVVTKAVGDGTADGVLVDNACGSGLPFLSAPEQKAFE
MIVQLYRKKLLTDMKLSVFPMNQKITLGATGNFPQGPVQVKILAEQNVSIQLVQKRRAADVFSNGTNGHYYQNKSGAWVKK
MARMMFFAIAFFYAVSSVHTVAVSQPILAPPEFERSLVIVRVDRFQFQPDETVSFPNKPDDFFLGGVYRANSTALKTLSGPSIKGPVEISLAGLHIDRWRNVKLLMVIRKDEAGRLWAGQQWERITDKICLSDDEIRTWQIEPLFASAKRRDDGFQCIRS
ARSDIVCFGSSAPSQTDGLLQTYHKSLADRALAHRRKAALAARRLDRGRLGYDVGLGEDLHEISWSQLELVVESDVSILRSQLGALPVWDPDLPARLRRWAAAGGVQGLLPAKPWRPPPTAGLHPRDPKVFAKEDCLEACGQVQGFALTALLGPNRSRARCSAAYAWNS
MRSRRRRERNPHRSGSNSLPGSNNHRRSSVDVDDVQYSSIHFQSAGGKFPRDTTVEESVQYAEVNIRRPPAAT
MPCSWYQAIPAIIDLVLLDKPQSILDLGAGFGKYGVLLREAGDIPYERYDRNNWGLVIDGVEGFRSYKNPLHEYVYNEMIYGDVLTVVKSMVKEYDTVLLIDVLEHLDKESGYELIDEILQITNRSLIISTPIQWVEQGAYLGNELEVHRSSWSMVDFADYDYQFSQVKIGNNGANLFKLYPTVIQRKALATVKPLKLAYFLPHHNLTGGLKMLLSQMDAMRKRGHYITALYKGEEGDFVLPSWCRIEVDEEILIPHNQLARDYADDCDVIVAGWIQQVLELKGAKAKVVYWEQGHEWLFGDVRNSQQIDLIRRQMETYYTSGVDVMSVSRFVERVLMARYNLQTTVIPNGIDTTLYCPPAQRNEEEPVTVLLIGNPTLSFKNFPTAINVLQILWERGHKFQVNWVSQVRPETNVGFPLKIIVHPSEEVLISYYQQADIFLYTSWYEGFGMPPLEAMACETAVVATKCGGIDDYAVHDFNSLLAEPEDEEKLIVHMESLLMNEERREYLAVNGRKTAVDYNYERVIPKLEDYLLSLLGSKVSP
MVGAYECSGHRSLVRTLRRVCDVSFTLTATLFNQEGMPPLAGAELVHTPLQLYRYLLRCCRQLPSTAMQQHYRHAIRQGYNSHSDEDDPERTQMIIQRAIADADWILDKYTKKK
METSQIEPVSGEENGVQRDRVGKERERGNKKKRGRKRERGGALNYGRSGCRKESNGWRRMRKERKGRDRIRVSRRKWAVVARLKMHIMR
IWSKNLKQRRIAFWNYFNNQQKYQLYTRWVNSEPPIVPNTFKICLNPQETDIEHSLRKTHANRTFQFHIDLHEAKATRFRQQQQQIDAQHEQFLSTVATGAVFIQLLNLWNKDCLRNEQTSLKIWEKHEHHYRKYEEAIDNRQDPWIIIKSDNRPKFPYRSCRINNTQHSTRFNPGKKNYYPTQQYRQYCSPSR
MELIRPNEKCLFSYAEALAEDLTHRLNENTMFDDPESVIERVKFFETSQNLPAGYVNQTTFWLVSDSLFIGHIKIRHRLTSALLKYGGHISYQIRYSEWGEGIRYYDVSVSFRLLSSCATFKKCVNYLRR
MPSFFKKPSSDKNFFQNYSDHIDALEIKFIKKFNELKKPKNRGKIFDILADEALGRINIFEPLRDGHDYCDEFVGATALPALGLIASLAFAGKALFEAGEALFIKVGFSQKDGEDHLSKAGFALALSAISFALSIASFIKSAISLVTRPIATLFSGYAPQKEDRFYRNDGVDRLISFGEETLDEAERGLSNLFSF
MDDDNIAVARKSNVRSNRRTGKKPEISTDDTTITLAGSENQLHPRLNSRKHKQNTKSRKDINLSKNERLKLGYECSKDFFSQSKNSFDEVELRKKGLANNEIKYSSFL
KKMSPTPAYPPQPLKKSLRKKARPKAKQKDTKDAMDEELRCQLMKRRQAIDPPQKKKKKESKVPKARYNRKGRKFRNEVDTNVISLKLKVLKDDAELAAGDPIFCGKC
MKSILFGCLLLIISVSANDKPSFFNPCSMNDADTSSCVAENIERIIKLGKNGFELQDIPAIDPVFIDSAQTPDSSAAVTLKQKFTNFTFSGVSDMKIIDIKTNFVDKCQIKVKNSLPKAIIKGDYEANGRLLLFQVQGADKFEVIMNKIKVGTIINCEFYEKDNEKYFKILNIKFQLRPENGHMSFPNIIHNNKELSQTVNDLLNENFLDIFNEFKSTIQEKLEEKFSIIANKIIGDHPVKELFKVE
MHNKFRWHKAIAPIAAFLLVAGTAAYAQEGAQVRQGWPEQIAVQAPAQAAGASMWTGDRLYFGGVPWRVLQTGEKLFLLSEQMLKTDVAFDASPEGASWQDSDLRAFLNGENNAGGEQTLLGTQFSPEEQNAIVVSQVDTEGQVTQDKLFLLSAQEAEMQALGFTGNASRAAQDGTQTEDWWWLRTPGYDGNDAANVYYDGSIDPDGYPTAYAGGGLRPAMYLDCNQIAYSFHASEFGEVAPQEDGWKVFLKGEQTFSAQAATTDGQSVQLKAALPDGYDKIYVVLLDENENKLGVQKAAVQDGETIAAAITPETKNILVFAAKGTEDMFGTAVASNGVVLNLNAPAESEITPAVSESTPAVSESTPAESESTP
MIDDAVSATGVPIFAATLFCFVDQGVGLCVCYHHNAVDATGFTEVVRLCSIRVLATSNEEAMRSLFKICARISESQSHSKINSRHIAELYRLVNCMEDYRSLFAGWDLGLGPVWVA
MSSAAAGDATTTVRAAKSASPEDARQMDAAPRRDAIARRHWWRPRRWLKRSRPNGSSPLAGKRVIDNGNTSGHVPQHARQLCQGPGDGGRRRVPRRPEIGSHAGRKSGHQ
MSDYTFGRKKASTSNFSNPSLVSSNTPTLANPTRGFGLPTNNTIQTATEESTNFQETQSADEQSLLSEFIQQESFGHDISHIALRRPQAKLMVGTAGDKYEQEADRTAAQVMSMPNAGVQPIQREAMPEEDEIQTKPLPASITHYLTLQRESGHTSTAFSKPLVVQRDSKPKSVDNRITDLEKQQKMLAAKQQATTLDLRWRGQFGELLSNYRQSIYRISGSFQKATTNFQATQTAQMQMDAVWAQVIALIVTVSIAGLFEPVMGGLGKALGKSEEQVKNWALKELLENPLNTAASGSANVATTIRGNDKANDRQTPAVQGGGVSAADPLSYLTQNLEQIEKHTQGIENAFSTRATKAETMTADEWEKWEPKAEEAKYKSLMDELKPIGPDIAKLKSAEEIAKIVERHLWAAWIRSHVPVVKAYGEHAQHKDAKTRTADDYDSVAISLGSEVEDKLNAIGISALAGVKLTGHWYTDNSEKYRVKLFVWANNYSEKISNE
VPEYRDVLDWLAVDFMEHGWSQKHLIETIVTSATYQQASHASRELQERDPRNALLARGPRFRADAEVVRDIALGVSGLLTHKVGGPSVIPPVPQNVLDYNYVYPAYWKPAEGAERYRRTLYGFRKRSMPDPVMSNFDAPNADFACARRIRSNTPLAALTGLNETIFVEAARALALRILREGGTTDADRATRAFLLCTLRQPSARERDAILSLLESRRKRIADGWLNPREVTTGDPAALPTLPPSTTPQDAAAWTLVARVLLNLDETMTKN
MPMPPSQVCRKTSARPSSKARARRSIPRCGSRSSPTTAASPPCSNPSAGRSPXSGPVVEPAFPGFLSGDSSAPAGNQRLTRLDLARWIASRENPLTARAFVNRVWALFFGNGLSRDLQDLGNQGQWPTHPELLDWLSVEFMNSGWDVKALVKLIVTSRTYQQSSNAAPGLVEGDPYNLLYARQNPRRLPAEFVRDNALAVSGLLNKQVGGASARPYQPPGYYRELNFPKREYQHQTDANQYRRGVYMHWQRSFLHPMLVAFDAPPREECTASRSSSNTPQQALGLLNDPTFVEAARVLAQDLMTGHPDFRTRLEAGFRRLLARQPHSEEVTLLTAFYEKQRSRYRDRMKDAVALLGMGLSPTDKQHNPAELAAMTAVTRAMFNLHETITRY
RIAVPASQVGLAAGAKLAGFALTQCDGHVRWDLVGAVTRDDPTVDERRSLAAWWKKRTGEKKLDDVPAALQGLVREGPEKTTKPEDVARVRAHWLAAVWRDRPAEVTAAVTARDEAQKARDGLDGRIVRTFVFNDLPQMRDSFVMQRGAYDKPGDKVDRGTPAFLPPLPAVEGRPPSRLDLAEWLMRADQPLTARVAANRLWQQFFGTGLVKTSEDFGLQGEPPSHPDLLDWLAVEYRDGGWDTRRFVRMIVTSRAFRRASHAVPDQLERDPENRLLARGPRIRLDAEQIRDNALAVSGLLVRTFGGKGVKPYQPDNIWEPVGFAGSNTAKYARDSGDALYRRSLYTFLKRTAPPPFMANFDAPNREQFCARRERSNTPLQALQLMNDVQHVEAARALAARALSEGGDDDAARIDWLFTAVLARRPEPAEREVLTASLAAHRSRYAADSPAAARLIAHGESKPPARLPTEELASWTLLANTMLNLDETLMRN
MPEILSISETSSASSTDPDNWHIFRSIDSNSVKGFPKDPKEATMKNLVCGKNVLIDMSIHTAYVKAIRAAQHFVYIENQYFIGSSYNWSQYNDVGANNLIPMEIALKICEKIRANQRFAAYIVIPMWPEGNPTGAATQRILFWQHKTIQMMYETIYKTLVEVGLEDAFSPQDYLNFFCLGNRETDEGEDENSGAANTPQALSRKYRRFMIYVHSKGMIVDDEYVIVGSANINQRSLEGTRDTEIAMGAYQPHHTWARKQSSPSGQICRYRMSLWAEHLGVVDDYFTRPESLECVRRVRSMGEANWKQFSADEVTEMRGHLLKYPVEVDRRGKVKSLPGFEEFPDVGGDIIGSFLAIQENLTI
MPQAENMRLASQIIAYGSAAAPSKFPKDDPIVLNVWADVLETINVPEDVWPEAIRYWAATTHTDTMAGPWDIIHAAKQVIKQWERDESKKYILEAHRWKHRVARAKRNYGPEFEMHKVVPPPHWTGIDATQDPDGLDVVEIARAGWHRAQQQPPEVEMQPEEFFARLKRNIDKNRLPEPGEDTQPPQNTP
MRGTEHVRTVRGFVRRFVRGFREDSLDEIAATMTYYAIFAVFPMMAFVLSLTLLLVPGDVVGEAMIMAERVLPPEVGRLLAAEVARTQQATEPYVAVVSGAIALFGASRGTAALTGATTAASRAGRR
MNTAFQIVSHAQLDIGCYGCGNEGHSQYRTDLPMMKQSAASLTRSDPVHGGDDFADHDGGRNPTGPAPHSHEQPEEPHVFGDDVSVRPPDKSRSKGRAIKASETRVLQLGAPGPKVRN
KIKPLYRSLLEEEGRHQDSKAGLAASWSMLHKAVADAGFDGYDRYIFAITPDR
MMRSGPRARLEALRKVWRGGREEDGCKEDGCKEDGCKEDGCKEDAGEKTTGKKAASKAKKEEIPIREWWNEPPLKNGQKWRSLRHNGVYFAEEWKPHGEPIIYDGEEIVLPPLAEQYATQFAQVKDNENYGANPVFRRNFFKDWRKQCLVPAKLGRIKDIKKIDWSRLIAWSEAEKERKKSRSKEEKDAEKAKKAAIKEKYGHVWIDGFREELGNFTIEPPGLFRGRGEHPKRGSLKPITWPEDITLNISRDAPVPPCPLEGHKWGAIVHNDSAMWIANWREKLCGQHKYVWASAGASISQRGDMAKFDKARELQYYIDKLRGDYMRKLKSKDATSRQLGLASWIVDVLALRVGMEKNAEEEAETYGTCSLLVEHVELLEGPKLKLEFLGKDSMPYTNTVDVPEEVAAAMGKCLKGKAATDSIFDSISPTTLNSYFKEFMPGLSAKVFRTYNASVTLERELAKSEGLNLSIEEARVFYTNANREVAILCNHMRTVNEESFSAQVDRMQERLKAARKALKERKNKTKDARATLKEAKAEGKPKALEKAKKALERAKALETKQVGRVKKIEADMELKTSTKEIALGTSKINYMDPRITYAWCKKVGMPVEKVFNPALIKKFPWADVAGEDWKFICPREVSGIKIKRVVKKKKSTAKKKSTAKKKSTAKKKSTAKKKATATKKKKSTATKKKSTKKKVTGKRKRGSATAKKAADGDDEDDFVASGDDDDAFTRRKDGDFVASDDDDDLPLRSPVKRSRRSRAVVNYAVDDNDDDE
LRISFPSFLSSYFKKKKKKEKDRKEKKSSSSSKSSKKDVKKENGEPPQKRKKKEEEPEDVWEWWKEEKKPAGVKWNSLHHKGPLFAPPYVRLPEHVKFKYDGKVVLLSEEAEEVATFYAKMLDHEYTTKDAFNKNFFRDWRKVMTPAERELITDLSKCDFRQMDVYFKEQSEIRKSMSKEEKAKIKEAKEAEAKIYGVAYIDGHRQKVGNFRIEPPGLFRGRGGHPKMGMLKKRIRPEDVIINCSKDSEIPVAPDGHKWKEVRHDNTVTWLVSWTENVLGQNKYIMLNPSSKIKGEKDYEKYETARRLKSRIDDIRAVYTADWKSKEMRVRQRAVALYFIDKLALRAGNEKDVDEAADTVGCCSLRCEHIKLYEKLDDKEYVVEFDFLGKDSIRYFNQVPVEKRVFKNLQLFMDNKEPGDDLFDRLDTASLNEHLRSLMDGLTVKVFRTYNASITLQQQLAKLTNPDDNVHQKMLSYNRANRMVAILCNHQRAVPKGHEKAMENLEQKIKDKKRELKEAKAELEKARGAAKEKAKKKVERLKEQLKKLKISRTDRDENKQIALGTSKLNYLDPRISVAWCKKFNVPIEKVFNKTLREKFRWAIDMTMSSDEEYIF
MPDETARHGPGHRGTPGDEGSGAGHAAVPADEGAGGRRAAVPAEAGPGGAHPADDPLARLVLRLREIGMDPDAEQLCDALWLARWTRSADAADAPPDPGPRLAPPVVGPPVPPVPLPPG
MLGGPKYEPLRIPFLNFPEELSRRLSRSLSESPFRMQWKFTQDRVENRPRKSMMVNLTCKTKLNVYDHHVRLSTCSQQCSMTQVAALPRHVHGCPGRCWKGSLLALSGHCAWIGSYPCVHSVHSVRLDCDIMAMGVAMQAPQV
MVYLHAVQSLYRQTKASGVVPDTFLLNLIIKAYARCVEVDDALKVFREMPLYGCEPNEFTYGYNTGMVYFAEMREKGFVPSGGMYMIAVSALALEWRFEVLRRVLLDMLDCKRKPDMITYRTLLEEMCWAGQTEQTFEVLEELKGRKRGPLDQRMYSELLDGLHWISQPHKDNRTVHDKGSDD
MDAYKVGEDSARQLARGNPERVSAAVISDHQSKQESRSLALRAPLCTGNCGQVIPRDKDLMYDILRMGCGGETIARMECSFTNTKLERALWQSSG
MKGKGVAGELLMEIVELESGEFAIRPSGEDGEPVIRVAFSDALKDQLGDGGTEISRVMLTAGIQLVAEAGHEIQAPAPAPRPVIH
MKRVFLRGFDKLIFGNGKMYVKLNNEESFITYFLQETIL
MARPLSEAKRIALLEAAVGEIAFDGLGAVTAKIAKAAGVASGTLFVYFPTKDDLFNQLYIHLKQEILKCVMEDYPKGAPVEEQYQHFWNRYIEWGSHTPEKLKVLRQLTASEKLTYASRSAAWQAFSDVHDMLTEGFNTGVLREQPLDFLGGVMDAIASLVLERIEREPRKIEEYTLLGWQAFWGAISLSRVESIE
MQLVGQAIKHKVFGKGIVTGRDNNVLTVDFSAGEKRFLFPDSFSSFLSLQDGGLQNEIQALLQEKHAKQHAKQQEIAKEKARRDYLRSLKIVPNSQAVFNLKKEEIAKAFADWSVCTGYYLSGYSKGKPRTLDRLAPNSICVLTHCKNGMIEQDRRIIGAFMVPEDFLGSECEDGIIQAHEIYRMKLAPKDELLFWPYLQETPPTKAWGSVAFRYLSNITAAKILFDMKEAVRGTGEQEHAEQFYEHFCKINKLNLSF
GIVLASLLFMRRMAEASSVTLISPEEHAARALPPGLVVYEIAGPLFFGAANKAMTVLQSIGEGVRVVVLDLRAVPVLDATGLVALDSTVAKLRGRGIGVVLAGIAPQPLRVLARAGWRNRAGSLAIARDFELGLAAAIDWPTASAE
MNAKGKPGKGAAPDAWPSAVDHFDGLLPFVSAAILIESAKSDADKLETSLKWFRERDGKHLIQRRDPETGENVVYVAYGDGVHASIRKTASSMVSDLRSALDHALYDAVMMTGGKAGSGIQFPTAKSRVGLDAEIKRKCRMAHPALTDFCRSLEPYEDGRGYRLWAVSRLAAYAKHRRILPLVPRISKIVVRTDYEVRITLPQQRPDENNEVEIFRCKAYIDDKVGTAHGISVDPSAFRFGDQRSASVQDLIQEVEGAVAGIQTVAQGISRLKK
MIGLDNSGKSTIINQMKPHEDQVTQVMPSIGCSIEKFIFNNTTFMVHDMSGQGKYRNLWENYYNEVDGVAFVVDSNDRLRMAVVRDELRLLLDHKEFAQRKVPLLIFANKMDEKGAMRTSDISDSIGLNSIINRNWHICATCAITGQGLNDGFQWLLENIRAYMESKSN
MYQAKTSSVRSGSKKKCKNSNDWIGRCWEDDNSLSPKARRSSCNYANNRIHSKTVKYKNLSFNVWDIGGQDKIRPLWKYYYKNTEGLIFVVDCNDQDRIQQARKELSLVLMEDDIKDVPLLVFANKQDLPNALSASNLAVELQLTDNKVTSWYIQSTCAVSGQGLFEGLDWLSQEILKKNF
MGGQVSKLMGKIFGSKEMRLLMLGLDAAGKTTILYKLKLNQDVTTIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYFSEEPRAGTQGLIFVIDSNDRARIDEARQELHRIILDREMKEALLLVFANKQDIPGGTDHSPAHSLSLVPPLRFSSIYSQLTAYFKL
MGLLTILRKLKSRQRHMRLLLLGLDNAGKTSVMHALLGTPPVDADGAPTPIAPTVGFDIHTLTVGGGGAGAASAADAPATRDDGGVGGDGDGGGGGDGDGAPPPTTIHVWDVGGQASIRPFWRNYFDATDGLLFVVDAADAARLPAAGRVLAQVCKEERLAGVPLCVLANKQDVAGAVDARAVAAALGLLGSGEGADGEEGEEEEGGVGLIGDKRHWMVFPCTAMGGGGGRGGEGGGRPRRHGHPGARRGLWVARRRRVGPAV
MGPCVSRLYEIYQSFNTGPPSQILMFGLDAAGKTTILYKVKLNENIQTIPTMGFNVEEVSLCRGVSLTIWDIGGDDKTRRLPQHYEANTDGVIFVIDSNDRDRIDEAREVLHSILTKPDLSSLPLVVLANKQDLPNAMKTSDIAQKLGLNTLNGKHKWVIQSACAVTGDGLLEGMLEMSNLIKQHRKNRQ
MLSILRKARLKDKEMRILMLGLDNAGKTTIVKQIMHEDVTTVSPTLGFIIKTIDFQGYKLNIWDVGGQKTLRSYWRNYFEKTDALIWVVDATDRLRIEDCRDELAGLLLEEASFPPASTVKRKRDGERDEQQLTGEMKQSASSRSYSTGVLE
MGQIILKALYSFQSQKEKKILMLGIDDAGKTTILYQLKLNKAIQLIPTVGFTVEKIIYKNLELQFWDLSGNDYSRKFFWHHYYKNSNALLFVIDSSNIERFSEAKQTLNMLLENPNIPDIPILILANKQDIAAVNTERLQEQLELNQYIGVRQLHIQGCCALNGDGLKEGLNWLSNILIKQKF
LDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQTTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCA
MGLTISSIFSRLFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNICFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVAESAEELSKMLQEDELREAVLLVFANKQDLPNAMPVSELSDKLGLQNLRSRTWHVQATCATQGTGLYEGLDWLSNELSKR
MVCQLKAYYKHCRLIIRVLGKETEPFSVESSVKQGCILSHVLFNYFIDWILERALSSSDGVVMGLGINVSNLDYADDINALAADPATAQAMLNEIVHFSQLLEHKIVIVGLDNAGKTTILYQFLMNDVVQTSPTIGSNVEEIVWKNIHFIMWDLGGQESLRVAWNTYYIHTEFIILVVDSTDRERLSISREELHKVVNQDELKNAAILLFANKQDVKGCMSVAEISRQLNLTSIKTHRWQIQPCCALTGEGPQRGVSETLKKSIEILNLPISNVITLVAFQCAEVKLIAV
MVDVCGRPVLRDARLPEAQNAYDEGKALGHAGSCAEAAARFEHALALREAVLGGLHPDVADRLELLGKMHLHQGDFARAELLLQRGLSNREADFGGNHPLVAPSLNSLANLYSDQGMNVQAELLYTRSLAIREVAFGKDHLLVMA
MNWRRLLATVQIYVTLWCAAAGGAGAWAFLISGICRIALSLGDTESIVLIGFPVFVFIFVFLLKKLPDPMRRAGMLSDDPERFGPWFR
MILWGPGALGRAALRELLRRPEFDVVAAVGYGAESIGRDIGELVGQPPVGVAVTAYADKQTVIDMDADCVLWAGMFPFPQIAEQMDRDVISLLESGKNVISATTYHYLPAQGADYLAKFEKACRNGNSSLFGTGENPGFWFERVALTLTGMCTDVDYVELSEYADCEGSGSSPEFLTGCGFALSPQDAAELKTLAAVWDERYFVESLSFASTALYGKPLEKFERDATFYATDEELVFDTQRGDRIDLVVPKGHVKAQMHHFRGYVDGVVKLSIKTYWFLADRTSPFTGKNDNTWEIRIDGSPASLTSSFEVRTTAFDPEDRTTATWYITALTMIQGIPKVCAHEPGIVYPTVFAHGASDFRMLESS
IWIVPAATDLAPGYAQQAVSPSALAAGWALLVSGDGREGSLTMNQDADLYAARPRAGQTLTHRFAPGRLGYVQVISGQFALGDMPLTEGDGAAVSDEAVLSLVAQSAGECLLFDLPAGAR
MILPMKLLHPLFSFTTLPSSTHSVSFYTPLQTRQSKPYRCSRVIRSEIVVSPAPVSYEGRRILLTDSAEAVSTSRRARLVIVPPELAYGKKGVQEIPPNATVELDIELLSIKQSPFGTPVKIVEG
MREHVSRQKKTTTGFSIPSLKHPTRGFGLESSAISRQAVPEIQPLTHDISRIPLRTQAKLSISQPGDIYEQEADSVAQQVMQRMAQPVNRQSIQRQEMPEAVKRSPLEKTQEDSFLETSAEPIQQPIQKKESLTGAITSLTTALSSGHVVQLKVKIKGKQTKSYANFGAFKKSPLYKPLIISLTKYSPEVVEFHLKQLFSTDAEFENEQHFTEALTYDIEHKSMPDQEHTLQEQYMTLYGWKKRETGTQSATDAEAGESEPETLKVYRTMKLEDWKELEGGNHAKLSGHLGDFKQAQNYLYRKSPEPKVLVEFTLRPGAERELFSSAIMAFPDVKGKTVPNSIKSTLIKEGGSDSFAKASTNEGTAPNKVGVKSEGGEAGFSLGIGGGTSPEVFMGLVANMSVVGRSEAEEKAEG
MVTSSHFQKESLGYILPILIITTTSPVTYQSKAPADAFLSSFIAPFRHTLAYLSLQELDGHSPEILSLQALQAVAHQTPNLVQFYAKRHALSKDSELEAVIAFLTGGDSKPNTATNFDDLLRCIMRYALWPKLQYFRWDCGDSTDISDRALLEFMRSRSGQSYYHDWRVVPLHQIVVTLPRKQNFPISLWDLEGLRYEICYTDKRGIRRNY
MVKQILNQEGVSNVGKLAPVIVRDIQHVIEHMGDKLTLADHSKNVETLDQFVVNVRSACNREALIDLYDRLEKSTSKEMAEKIISNWDIVGTDIRDSARMMTESDKTRIIAYAEGKMTKERYQQEIAKLSVKLNQGEITAQEEVVIKMFPKLKQALMRLPKEYFEDFSLVLKRAFVNQQITHPEKFLQNPHEMAVFSAFGLDPSKIKANKELVQQKIKHVIQNAVLNGQAENEIPTLKELQHQIELMALKEKIIAAGGESSLTPVIHTLTKARLEIITLATNLLEQEYEKCKKKLIESNEHIPQHIDRSLRNSKNKVLSSLYRFIDRKIKENKDRLLLSANEFDKVKDMISPLDEKASSKMEKIMLDSVEKEIQFFKQWGNPIQYENIQGFIGGEGEVLGDGVCQANTYRLITREMTSLGRNQPLSNSQWEAEVKISLQDRFNQALYQVSIMEKNNNNGLPQALLKRLHLKKIQPLYSVWRFADESAFSSSDIYQALEKAVSLQHKSKLKNYGIVKLSIGHHPIKKDKTQDTAHESWGHAIYLRYDPERKIAYFYDPNHGRSINFYNWKKLDNDSDFKKMKKNEQDEAVLNYMLLCFSQMIANDFDDINDISCYEMEMNFNALDKLVSAGNKIIGGLNNFFKNPKVKESDNKIDPMREEPKGPLK
MSAQASLLSLQFLALCRAETWITPQNTATPAALSATYTFSHTPQPSGPLGSFLDEMDALCSSFPEDGTPFLLLGDFNLLLDTPQSSAFLPLLQSFDFTLAESPSTHKAGNQLDLIFTRNCGH
MGCCPDRFDTARDVTHARCTRTRTYLWARGANKTPFPFSKIQGTAPEYRGQSENQHVLGYLAKYVAGVAIGDRRLIRVNDHEVVFDAKDYRDKSHVEVSMPPKEFCYEFSRHILPHGMPRTR
MVRILDSVIKYVLARLEKENLLKEDVAIKAKVLSTQEAIGNPEEYDFPILKGKEKIMEAEFRGFKGQAFTDMFGGYKGSLESIFKMKSSNNFRRALQVAVVNALAQYWNLADKTQHCKDETPKICASKIKDYVHKNYPQIRNIVIVGYQPAIIDVLSKEYDLRVLDLDADNIGKEKFGVKIENGDGGIGEILSSAELVLATGSTLVNGTIDRIIFHAGNKVIFYGITIAGASAMTNLRRLCFA
MVGLQSVGSFTSSFARVYGKPPAAYRASLPPAALHARVPHCILRAHTRPPADTRVVPQDSTREKTPGAVTT
MEYVDKTNEKLLKELLDLQQKYDAVSLLYETEKSTLAALIENNSDAILVKDCNRRVIASNSSFLNVRGYASITELIGKTDAEILNISEDTEPAFSHKQEDLKALQLSPRESIQKEENISFPNGELKTFITKRFPIFIDKKLIGIGVIMYDITEEEQIKKNLLKLNQKYQSQSEHLKILNRHYQLINDYSSDVVAMYDYAVNPLYISPSIKNYVGYEASVFFSKTYNFDIVHPDDKQQVVALIKTLKKRNLKNHTNTYRIKHKNGYYFWNESISHVIEEDGERYIIVNSRNIDERKKTEIKLKQSETMLRAMYDSSSEASAVIDLNLSFLFINKLAKKIHKSIFGKEPQIGDSALDYIVPDLQGEFVSYYQRVLQGESIYIEKKHGEAWWASSLFPVYDDENNIVGISDNAKDITARKENELKMLKQNETLQQIAWEQSHKLRRPVASILGLINIIQQYKTLTEKEQDQYLTYLLQAVQELDQVIHKIVALSSENENKPSK
MASRPVPPFENPALAQNTAQGKTAPEGLAQAPPTPVGTVGAVNGNSVVTRVDGSTVTLTADMPIYRGDIVATRDDSQVTINFSNNSEFFLGAKGRMLVESVAPTGSDQSPQPVYFVLHGRFGFSHRSESFSGDPGAIVRTPVATLQVNNGRVAGRAAAEAVENVFTLLRNPDSTIGFTRVLTAGAAIVLQEEFATAKVFSLFRPPVEVAKPDFAEFVELFGVDISTWTQSPALSPATGGEQKGSQAPQAPDASEGNPGASLAPDASFLPPVQVAALDGFVPLPLQGAGPQTPVEDDRNPDSAENNEQTTADPDAPIDIAGVASVSFPGPGETAFFAGSAAANDKIVIAANPTSANDVTITNVGGSVQLTIAGGGTLNLNGIETVELTLGSAADVINIGDLSGTDISQNTVIVNGEGGNDTNRQGYRQRPLRQCF
MSYCFVDVGDLFMINDETLSQRPAVTEEAIKALATVRKSMEAAREEFKNFKWKF
MRKSKQQNQNLLDLSDQPQQQQSQYGIIVGYDIFGQPIYQNGGNPGFSAFGQQPQQQFSDFDLAALQAQQAQQQAQAQEQQQQQQLLAQQQAQAQQAYLLQQQQAAQAQQQAYLLQQQQLAAQQQQQQQQQLLPLKTGSNNPFAMNHQSENNNNNSLDHLQQQAQQQAQQQAQLQAQQQQQQAQPQQSQPLRQTFTGSRKNNEQYSDLNQLLATGPDLDTFGNTGATRIPAQHTKTGTFINSSGTGYKQEYGSANPFFDTQYTGVPSTGIIPAYTGYGFGNAPQSQQQQHKSNGEGGPSLIDI
MKSKPSLELKTQLIRCYNCLSTGKSAPKVKSVLKQKNSSENTAQNLHTSRRGRRRRLEPHHSRDEFPPTPTQIRPLTHCRRRRHRYRYRRGLIYLRRLRTI
MRVQVLDEATADLADGFRFYERQADGLGDYFLDSLWSDIQSLQLSGGAFIRSRTDIIASFLNGFLMQCTTELRMVLCASEPYSTAAGIQSGYRNGLINKEQYSAPFHQ
MAIPTEFELTFGCGHVGTIDLSTLAPDHRGGRLEYLKDKGLCADCFETTREKRRELDRTKWVTAKRKEETAEAAAWARDEGYPQLAGSARQIDYATRVRFELMRDLYQWAVEDGNAPADYERVEETARGVDEARWWLDQKSLITAAADLVELLDAAAASHAGQVCENNA
MSSKARPSKGKGNRPVIVVAGESDYDRQVLRHLIQSVHPKTRIANIRKKVVLTEADKHLRPRVDEIRRLADTAAVGSELTGIVVHVDLDAVDDARYTKVRERIASELSNTFPCPSALALAAFETEAWLMQFPRAFSKFDSGWTLHNKYRGCDLTKVDDPKRRLTEHGWKTSYLVSHAPKIMENAFEEDGTLMKPDGKNRSFQEFMDELSAW
MMFKVAIVAAVAAATLAAADTAPVQAWQVAGVEMSMKTPAGFCAPTGTGAGVAQMVAATDEASVTHLTMFQCGDADGSKPDYFMVKTPKAALTVTTERAPFLDSIAAAMKTPTIQARIGTVTNEDVAKNFDKVFGDRPDIGGAIKPLGRDDVCVYLGGVMSYKTPLISYSRAVSGCITAVGGKVITITRYSDGVPANVVKHLATVRAMALAVNAKAPKP
VQQALRDNVELKVADANLRRAAALYEQALDAGGFDYEAEAGVSRAQLSAESFLLEHELPPINLADGKFAVSYQFDLFGKLKRASEAAHADEQATAAAMDLARVSLVAQVAGSYVDICHANHELHVAEHSLQLQQRSREVTQRLITAGRGTPPELARANAQVAMLQAALPPLRAHRQASEYQLAALLGRTPGQLPEGVSDCSQA
MKWSTETNRGPAARHSDAHLFAALNIIVENGCISRKDLSEELGLGEGSTRNLLKTMKTWKQIDVHQHGIELSKFGMETLESLPIKFVRITSSTYVKGEYQQGILIKDKADAITNGMKQRDIGIKYGSEGASVFVMRNDRIIFPPDVKVDERDPEFAKKIRAVGMSEGDVLVIVGSEDLPKSRIAAAGIGLETI
MDSSIDTKNTTPHIGGSITNMDQIHMDAPTRDFLQMYQAQHHTPSSSRPRPQPRFQAQRPQRLPRFQDQRSQRLPRFQDQRFQRLPRFQDQRPQRLPRFQEQRSQRFPRFQAQSPQELQRPQELQSSQQLQSPEQLQSPEQLQRPQQLQRPQRLPRFQPQRPQRPRRFQAQRPQQARRFQTQSPWPPPKAQAGGPLSLPKAQAGGPLSLLKAQAGGPPPQTKAQAGGPPPQQKAQAGGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAPAPGPLPPPKAQAQSLHASATKVQHSQSPAETVAATTTTAPNLISSRLFLPDLPEHQLYKNRLQDFTQKLRIQFPKYQTVSEGSRHLSKFRSTVWVSGNCYTNQVPFFNRKAAEQDAAKLALESLSAMIKGEAPPVVIKDEARSLVLEETWLSKSILNDYAYKLNVALPTYSTVETPGVKPVFVSTLVFNGSKYTGDASGHKKAAEQLAACRAILSILCLEQPIGEAPTSKKRRKSKKKANKKARLETPLLVATPCSMDETPPCSMDEMPPCSMAQ
MDVSTTQSHPSNPNKANSTFSKKKKKSSEVSEPISSTSLIDAITLLGHNIRTLELIRSIASGMLIQEKVQTLYMSLGEIEGLTNDKQLMH
MKNALISTRSTLILSLFILLALGLSGCAGSGQVISVDRQIRFNDKGNSQGTYKSGELTVGYSYRLAGENMILTGDVNYPDSVDSLTVRVLFLDGTGTILQQKIVYYSGYRVFKPWATDRTFRENLMVPAGAGGISFSYSVQPRSSHK
MKHIITFIVFYFSIMGFSQTNDFIEFNDCIRKLVNVNFKSMEILISKNGSLILDKRYEYQKDSNEIIIYNYGNDKKNLIQTNVKIDANGNIIELTDIFKGEVLEGDKFVVKQYELMKKVLNEKDNIQIT
MIMASWNKNIEKQIVYNFIVEDGHDERTKNKVKEGEESEDVHAEEDGHDERTKNEVNEGEESEEVHDVGVREEVDFLRF
MTSSAVKAMKEAGIDISNQTSDKFEIRQKHTEQKKCFQRVRAEIGDRLKQFAVTGK
MKKLILLLLFIPVLSFGQDQTNSDGPYIFIEKNKLIEKKIINGKVFSRILRTSSYDTTYSPEKSTFNNIRKIAALSDIHGQYDLVIELFKNNKIIDENLNWSFGKGHLVITGDIFDRGDKVNEVLWFIYELEAQAKNKGGRVHYLLGNHEYLILYNDLRYLHEKYKTSSTLLNLEYDELYSDKTIIGRWLRSKSTIIKINNILFTHGGISEDFISYGDFNIEKINNTMIDSIPQSRAVIKKTDFHKMYYSTKGLIWYRGYFKKYNPDITDSDISKILKLVDADHIVVGHTTQEKVVHLFNNKIFGVDSGLKYGKYGEVLIIKNKRFFRGTRFFRGTLSGELIELVSENQ
MKPKSHLCPSRVFGSWFLTAAVLVPLYMSFGSCTAGAYKYRPHQGMTSTRQQPEEFNLNGIDGPYLVGATIYRVDASNNVQAIPYKVGDPILVEVRNEDSDRFYVPKKDKITLNPEIYPMPKRLIAISDIEGNFDAFAGFLMAHRVIDEKFDWIFGDGHLVLVGDFVDRGSNVVPVLWLIYKLEDEARKHGGHVHYILGNHELLNFQGKTKYNDERYIKIATIITGKEDPKEAVRFMYSKETELGRWLHSKNGIEKIGDYLFVHAGLSPKLPDLRLSIPQINEISRQHWDKDLYNHPQSNKAANFLIGEEGIFWFRGLAKDHKGHKKISEDELEDILDYYRAETVIFGHTVVDQVTKDFDGKAINIDVKHGKSKHSEKTQGLLIEDGIEYRLDGTGRRVRF
MLDWVVTVGEKANQYLAPAARQRGCQVKECKNAIEAGSFVRDKLKSEGVALFKGSSGGVWLEESIKINLHSTEDDKYLVRQTPEWIARKNQFFSQFKD
MRGDPLLTTSPGTKLARSYN
MRKNKRAIATKYLLLIMVFSCPTFLKALPNNASCLNFRNQVEATGVIGILPPSVLITIFQ
MKLDQREEDRSVTATRITRRSARMGGTKSGYETNTSTEQSNINKKSSKDVPVTKEIAKATAGGSMDVGKPALQQQGETREERLKRRQKDDSNEKDDEEKSNNQQDLEDEVDEESPGKPNKQRATIHLFDKSTQSKIKVGQQHQAVLTAYGNKSNSLVEDREDRDQALWIPLLANGELTACDLDKYIDEAMTLHMMPLDRALFILLKNENSFVMNGHMMILSSFKMLSTTMGKTSRSFQKLFHIVRPTQSSIITIISRSLPAQSSIQ
DVYLSKRTLQTRRGPESRSVVLSCHDLFLVHRDSVTDLPCVQVSLHCTRLRYFFIDSSFNSYGSTDGETKEAYKHIMSKLETKVQR
MWTLHQLTENKATRSTADSPSPSPSPSVSRKRARISTNLPTGTPSLVKKENERMSSEKPDPSELEKNEEGPKPDVEREWEGFSKDVLEKWPALKKRNFWCIQRHSATALHYDLRMHLDGVTVSWAVPKGLLGISKSGEARRMAVETTLHPLWYTIHEGSDGRTFGQGRQGGTLLWDIGEYTIDLPSGYIPDLDTDEEEEADNRRRFKKRRKDSENDGREEEDKFRKALHRHIGFGKSRSIHFTLKGGKKMTNHSFILVLSSEHNKYSNVSPEGKEKKTWFITLPRGVDGYPWDQGGEDGSFYGRSVKSGMTFQQHSNYKSGLFVATGLMEIRGLTMILLISLCENTRLFGKMPAMLPQHHQKRSLTVVRKNMGSSHCHHIQIAYRQLPLSIPTDFMHLVFEDVLTTLVNLLGGTSSNLEKEHFVVAKEKWEEIGRATANLGNTIPSCFAARFPISLSLDRDVRLTIGRSGSNILPVPSMYYKHIMRFSEVERECLEFEYRRDRVSGLKRNWARWVEDYERGAALLLYYKDNPTRLHLCSPQVHMFLGIANCIQAFGPHWVWWRFAICEWLRWIRVNAMEGVLLLYNIQSRSTGTCIISIGRSVFNRASIMVSSATSSLWTSIERR
MLMFILIYNFFMFSFMYMFMIFFFFRKNLLLMLLMLEFMMLMLFLMLMLNLYNYNNELFIIMIFMIFMVIESVIGLNLMILMVRVYGNDYFYNYNILQC
RDPMARANGVATPSDGRERELVQKYQGKRWSDMYETLVRSHHSEESKGMELPKARRKPKPQSNLIVPDDAVVFNERPLLEPLSLVQCTECKRRVKMDAFTSHLERCKMIRESLNGGADRDRNKDAVRSIPRGSKFQRDKNLKKSALAGDYRTTLESRVSEHIKSLRTMVIRQTPVPRVVDPKNLPVEEEPAPLAFLIPTSYEK
MLQYLSGPVKNNFESVKGHSQAINSLDFISSTKILEAIEILKIYL
MLAVSITGVDGPDRHLAVPAGPSLVTAANPVPSWTIIGAKQISKAAASWTSVAVDVNNAPCVAYSDSLTNLTVRRYFGPDAGWRNLGPSSIHPGTSTYLSLAQAPGGVNHVAYRGNSGKITVLKYNFQKNIWTELGPPRFSPGGASFISLAFDSKGTAFVIYSDEALGKRATVQKFDGTKWVLVGPRGLSADAATDTRIAIGPNDVPVIAYADATKGGRATVRKLSGASWALMGPMGFTTNKVSSIVLRINSLNRPVIGYIDYAPASPTKPKATASRWTGTAWTKLPTAGTVATASLPRNAVSLSLDSAGVPYLVFSDGATGVNGKFTMKKYTSGIAWTPVGKSGPIGGYARYLDVAVDNDDAPVVVFSDAAALNKTTVASWKNPLMSFMALGDWGRGGNAPQKATAALMAGAAGRGMIPEFIISTGDNFYPSGLTSANDPQFASSFKNVYSAPALKNIQWELVLGNHDNCDGAVGCPNCKNSPSFQLSDNIGKLDPRFRAHRTSFLEDLGGGKIAIFFIDTSPFIKQYRTTSWSKCPGGIQTQNNAANLALLDSLLANSFATWKIVIGHHPPNSNGDHGNNPDIIAAIEPILTKHKVQAYFAGHDHNLEHLRKKVTSTYTYDTIVTGGGSSLSGGPKGTANSLKFLPANGFAWASFFDDELLVDFYTVQGGNSSSPTYTARIPRKP
MSVQHCAVPLGERARLFKLLGDEQATAALISSMREPMEASQVNRSTSPPLPSARSLFQRSPDVSADCQRPLPRLHPLPPRSPTLGDGGDKSLLVFVHPDRATAAGLQPIRAMSPRSVAVAGGETQEVEPPGKAVDRAVKDKKRTRDYDENRASSDDLTEGETPRCRKRSNSTCKARKPTYLVRKEEKDELTKQIDKLEAQLEYLKHTASIRPECFERAVVRRTDHKYFEQEMHNHLLREAVRNQHFQLFSAQSALSELAYSIQQDQCPINTFIHLGCDIQQRRSVLESMKTQKLRDAKQLMDKRIQFLRDPAEQRRETAQFPTDSGSLCSVVFDVTPLDSGVEVKDIEHAYRAACAYSKRMGTMVPPYTGDDSGVVHSRLQVDTSPDLPTESNFVVFSELQVPNFGSQSEPSEERHSEPLGLL
MWNYFRLENEHLYNVGKFRAVRDISIGPIRRDEDNDLGNMIHLMDSLCEDDDYGNGDDNDDDGLTFQDQSVVICLF
MSSVRNARRCSTKHGELAISDWVFIEAFYTLVSVQVFQDGNEVTLMRLWRICR
MAEGWIRLHRQLLDSIVFQDENLLRLFLYLLLRATSNRQCISMRTGRGQTLIKLARGQTIVGREAGSDALGWPPSTFRNRLAKLQELGMVEIVSRSHFSIVTITNWSTYQRDTRTTKGQANSQGKDWEISDDTSQHAEEMDNQRTGNGQPKDTYKKAKKVKKAENTSCEPSDLRSAPLASEFEFLIKSANGETWSLSREKFSEYVGTFGNPNWVKQELRKARQWCSDNKAKRKTPAGMERFLTGWLSRANDRGSHPQDSPAIIKFDPKDAI
METCDPIGTPMEIKDKLDIDKNGTLVDAMKYRSMIGALMYLKSSRQDIVHATCLCPRYQAQPSEKHLKEDVETPSRVLPVELNF
MSLVTDSPVHSSSSDDFAAFLDTELDSKSSASSASDDEAPNQRHSDSAASSSPDQDKEAEEDDDSDFQRKRVKRSKVETVEIVEDDGGTTSFASLKHNSEASISKEICTHPGSFGTMCIVCGQLLDGESGVTFGYIHKGLRLGNDEIVRLRNTDMKNLLRHKKLYLILDLDHTLLNSTQLMHMTLDEEYLNGQTDSLQGFFCYLIIEFFPLVA
MIYYPQRKCNAIDKKAPLTEFVELRTDILIPIGELEESLYDPVWNSPQMSDELGVVALSEDFIKHKNLPHAMRYPWDKDKHVYVLTFHHSIHCLRYLRHHCMNILREDMFCHADDTPRYTGRLHSQAGKDHPQAGIGQMRKCRDFNKLFEWSNEHSACYTDIGNGNPKDYFRKDCVSASKA
MNDVIVIGAGPAGNNTAYRLASLGHDVLVADWRQNVGDKLCTGIVGTECIDRYPPHPDLIYKSVRSARLVTPDGRTSELSVGGVQAHIIDRVGYVASMAEKAKDAGAAYLLGSRATEVTVKEDRCQVRFTTGSGQWTGEAKAVVLASGFGSELSFKAGLGRPDDYVGAAQAEVEAPEIDRISIYVGRSVAPGFFAWLVPTSNGRALVGLLARHRAMEHLDGLIAQLKSEGKIADVLRGPSKWGIPLKPPSRTYGHRILAVGDVAGQVKPTTGGGIYYALLSSDAASDCLEDAFKRNDFSTGSLSTYERKWKSLLSKELKVGSLARRFFESLDDNQISYLANAVVANGLSSHLGGSLMPSFDWHSRAITKALSYPPLSKALTLVSPVLAGVVPHAANASPTRRD
MTKEQELLIRHFCAIFPAKEKIMLKKRPHTPAHLFLDDTPYFITGAIKFRRPLLQPVTLKRFLWNAMDSYFRKYRWDLHRRVILDNHYHLIGISCHGEDLYRIMKGIHGSTALTIQRANKAQTPIWWN
MIVIISDLHLTDGTTGRTIKENAFRIFARRVRDMAVAASWRKGGRYQPIERIDILLLGDILDVLRSTAWLEKDYGPRPWSHPDDLPYIGKLNDITTAILAHNEPSLTCLRNLAEPGGLLLPPPGGANGDPRPSEPGLPVEVGIHYMVGNHDWFYCVPGRSSQLLRRKVAAALGLENDPEQPFPHGPEESTRIAGILRAHGVRACHGDIYDPFNFSGSRDQPSLGDAIVIELLNRFPFEVRNRMGSLLPRTFIEGLRELDNVRPLAAASVWVDALLHEHGVSPMQAGKVKDTWNNLVDDFLALDFIRDRGSMYNPFESVDKLEYALRFTRDVPLGLSGRLGAWWNRVTGDTADSYFAHAAREKAVEDHEARFVVYGHTHHHEIVPLDVAPLGVAPENGHRGAQVYFNAGTWRRVHRLARSSRSGRAFIAYDVMTYLAFFKDDERMGRPFACWSGALGEGPG
MLVIISDLHLTDGTTGSTIGASAFRDFRGRLEELVYDASWRDNREAQSADPSQPDKIYRPISSFDLILLGDIFDIIRSTAWTDDPEQIRPWRDDSIDDWDSKMAAKVDQIVDAILCHNHKALTVLRELSAETAPLNVAPTTFQKDGETIEIDYTQRRPITIPQANQHHQPDPSLPHVEVPVRLHYFVGNHDWFYTCEGAQYDRIRAKTVEALGLSSDLSKPFPWDLVAESHPHLTKTLQAHDLFVRHGDMYDEFNFDRKLGRRDMASLGDALVIELVNRFPIEVESVLWKEREHYTIFVDSLKEIANVRPMNSLPAWLNSLIEGYKASGMQREVRVKIQQVWNQLVDNLLDSEFVKQQDTWNPLQSVDKLQVFLQTSKFVSIARAEGLIELGETVSRFVTSAVDAYAREAAREPWLVEGKAKYVVYGHTHVQRIVPLDRRVSAEKDEKLLYFNSGTWKRLHEQTIFSGEGTKFVDFMVMSYIAFFQEDERSGRPFETWTGTLATQRYE
MFHSWLMETESTFTPPISQNAPSIHDMADPGRNILPSSYRNSESLDFQMSNGSLSNDQSTINPNFDHVMSTSEQLPDAADVDLSRLYQTVETSQTERQESICWLERSSSIGVQSFGNNQSNSHEIVAITPEIGTDLLSELSIAMKQSSKFAIASWQALAQVHDHLPSMLERRSASSVSELIKENFLWSRIASYAIDFDKSILPPFVHRRCLMKDSTAQNLDFANLPEPLANCKNIIAMYLQKTPACTQLVHKTLLLEVQRLHTEFHEYDESTTLYSLQALTIYVILLAADRDHCNLLRIITRVAMGEIAHAVQKQGYYCVSEKRGNFPTWDDWVLQESKRRTLIVLHLMDRLIYINIGKPASCTNLNKIPLPCSKQIWLAQTPSSWEDEYKKYLSLRHGSEMPDIGGLQEAYRADARDFENDLVQDLSKWSKEVEDLGSLLLMAI
MTEAGPEARSVVMQRAMCSVKTDRACFHSDDPHLSSAPDPNNVPPPATMPATKTRPTVVAPRR
GAPSITEYISDHEEKKVAQKIGGASL
MAPTTQPSAGPTSSSTTRRSASRCPRQR
MGTTVFAAVYVRNQGARRHPGGPAVDRMSTHDAAHTVRRRMSHLVRLAKFLVPVIIAVEAVLVLSGVTSIGDATMVVIATEVLLFWLIVIEIVEIRRAYRRFAREEPDRFTAAMRAVESVLPEPVGRLIAHELMLQRALVLLVLRKRGVPPGGQGIPHHRPVMGFLGAVLGLTAVEMLGVELAVPWPTVRWILLAVSLIGCWWLAGFAAGLVVQPVTVGPDTLRIRYSCFADLSVPLAAIDRVVPLPRSRGRRRTAMAHGDEPVVEVGKQQHGAPPGEPVPIRLRGGAPREVRRVHLWVDDPQALAAAVAEYR
MGSLIIQPYDGKIYRDSYLYQNASSYAHNSTTKDFLGTGLMDKAPTTDEMYQYRIFAEFDLSSLPVGVNIISAKLCLYHINNRWDAGCPDIRKSPAIYNITEPWTEEMFSLRQPSFEDEYITAKIAPLPPGWLEFDVTQSLKEINSGQRPNYGWVIKNIDDSYNPNWESNLTFISSKDSDETRRPKLVIEYEYAPPNPPTPIEPIGSYKNIASECRFSWKYNGQGGSVQQAFDLQWSTDQVNWTTISQTTSNTYYDAPGGTFPTGNIYWQVRTYNEYDEASEWSDIQSFYAVGAPSAPVISSVSMGTARPTVEWSAFNQQIFQVQVLSGETVVYDSGEIPGVYVRAHKVTAWLPDGSYTMQVRTKNEYDLWSEWGNMPFTVSTSKPTKPSFSAQTTSHGIELHIQNMADYALIYRAEYGSSDFICIGMTAEGYYHDCSVKHGSEYQYFVRSVSAKETYADSDAKLAQAQIRYALLAPVSNLTDIFEFRRALNNPPKRSYTRNPSGSTVEFEGRKYPVWIPTERISAGISLEFFLHSWAEVEKFMSLYDRKETLLYRDARGRKIYGTLSGLAVEEERPGYTVSFVISQVDHDEEVEV
MSSMSAKASQDSHEKPLYSSHSTKFSQPLVQHRKGLLGTLARHQIDAPLIAILTILGTYALFPNSFAKKFLFFQEYDPVTNTYEKSYEDLYFCLFWVTAFTFLRAAVMTYILMPMATRLGATTDRSILRFAEQGWICIYYSCSWVLGM
MTKIPDPFDLIPSRKAKALPGLRMADLDIAHESVRVSAQPDQVLSDNPLNYDFDMCPVPLTGLGEDEMYLEARWRDPQTHAAMAAADPRLQDLFKRAGFGTELSGVRIPPGQYAREHDRDRHNVIVRLTQSLSEIDTSQMDWGAINRLDFFKAHAEARPVPTAPGGAPAPRRTRPDPKRQFAMIVMVCGIVLLALAALSLP
MEKFGRSRASKMADNPNHGIYRGHRLKSPPSSIHIASKEENPDWNEQNWRAEGRRSRSQFENFQRRSQTTRSNDPKLTSRHIIQYWSTKFQDRSRKSSRFEL
RLLGCRRTPQIILYRIELPQNCVCKEEEDFTEFSNQDGNSALDKEEPEPFQITLKQEEPEHQQFKEEENKLSISQDEEHLMLKQEIGDILVTPSNVQKINKETESNRNQLIFHFSSITERPVVENQDQDGSKSEDPGEKREKEQKQNKRCQKTKRQKGTTEGPKQKKHKKTQVDQNVYSCEF
MNFSCKKKKADPSAQNAKCPLLSMDGPTGNIRNYEWVGSKLVRVFSRDSIPTVVVFRYNNKNLAESMEITTENSAEKYLVKFVYGTDNIISKSNVSINGIQFMTNEFVYNENNKLSSIKTTVELFGRKVSGKTRLEYKNENVSKVYSSINDELETLSFTGEKYDTKKQFYPEVYKTAALGFVGIANNFFSYFGENNMISGKVYNDKGKVDQETQIDYLYNNSGLPIQSETVSVRNGKKSVEVCSYSFDCK
MTSFNQVTFSDIFVRQSQNTARLGFEIQFRSIKKRLDTELNEKKIAYNDIDKTLEPSLAGLKRERAAADKQRVDLTAYLELGRRNINKLSDIINKMVPALSDAASAVGPTAAADFNATRDQLNRALQGLQANSYIEGGFLDKVTKFKGEKNPSGIGDYSSYATLSDRVSAVGVFVSTSSDPTEVNVGLSRGLGETLGVLRYRMAQDFDLAKAKQEASIKKLKEIDKAIEKKDAELRLPLLKELQAMEKKRDSVLQSLSLSFEFAQANVEVRADRFSWNKVQKGSIMNLFV
MDEKAGQGFSKFVLYALRGIFIVIAVTAVGRLGFYYKCQKFGISLIPILLYVAAVAAMIYALKKNINKRVILISIVVVGFILRLIWCWSVKSIPASDFNTAFRSAQELLNGKKDIFFEFGYFARYPHLIMFTLYMASVIIVFGTYALTALKGLNIVASLISIIFIYLIAKEIFKDEKKSLVAAFIMALFPASIIYTAVYSTETIAIAFFLASLYYFILVMNKKKRDTYLLLSGVLLLVGHLFRMVAQVIIVAYIMYIFIYMRKQYKNKFKRTAY
MRASAFPATKTHTRFPSDQSLAMDEQGNQDFQDLDNSAPTRSQSTRRPPRRRGARAQRKPTQTEILTKNVRSLTEVVRALVDRGAHNVQLPPAQQEAVESMPSRPPR
MGPSFDICCYNGCIVGDLRFHTSELDSRRTTQNNIVMVIGESDASGSGDNNFYCVPEEVLHVQYPLERNIWLFKYRWYDTDLNKSERTHVELGYKSVNTSHFLYAEESVIFVTQAHQGFYVDNFKNGSYWKVVEVVQNKRVWDVPEVEDVENDHINVLEIVISHRVDDHIEDDTLCKTNVDPTIIERSVVRHVTDNFINDVDEHLLHASIMSYGRNNFLETDAICSSKLEHDVAVNGCILMTIAPGVEKPISPHVVHFSQAIGRFFVLDFNDQAMNRFVEHQMLTTFKKFQGDCNKHFKKYSDSEEARANPPNVLVGRHEDWHFFCDHYMSRAFQEQSRTNKAARQKHPYNHSSRSKSFLQRQHELVEKKGDSVYRVELFWETHSQPTAEGSQPLSENEICDQVLGRRPAYSKGLGWGPKSKAHKTMSASSSTTSCSQSATEREIQIQAKLDQALEQIDCKIKITRNPDTSFCVDINDVERGILDAVLVRRREFISRRASRCSEGRQE
MKIFVINPGTTGMKAALYEDATKLWSDSESYLQSDIDLFKESTEKEESFRFANTIDILKKHGNNVFEISAVV
MSPMEGRSLLRGGILLLTLSFIRMGLDQVRVREAFSPAGETELPHLLEESREVRDDQARRSAPLAPGETLDPNRSSEEELDRLPGIGPSTARALVEDREKNGGFTRLEELLRVAGVGPAKLTRISPHLDFSKGVPVDLRQNRSGGVNALTSRPGPDGVGLSTTSDSVSMPGTRVDLNRGTSKDLQSLPGIGPALAQRILDSRSQDGPFRSPDDLLRVRGVGPATLARIRALVVPGG
MLYRIQDKGCSIKIFDLGGEVHFANVILLNKTDLLNEQCVEELGAVLTKLNPEAQIIKTVFSQVPLTAVLHTKRFDFDQMSQSASWIKELNEEHTPETESLGISSFVYRRRRPFHPERFMSWLENWPEDIIRAKGFFWLASRNDTTGLLSQAGPSIMIQGAGKWVDAYPESEKQALLTEEPGLLQDWDSVYGDRMIEMVLIGVNMKKEEVMASLDACLLSEEEETSEWTQFRDPIPAFSAD
MKLSHKEIKTHLALVDNSGTDNTVLALALELARNIDPDYEWGEVHIDHKEKAAIVEAIEVAQGYPTVERLLWLVESANLLAKIESPAWYGVKRGLVEMVYDLDPAAITKDKQSWGYDCYSLYHKAVGVISIHDPHGELSHLDVGASAFTWSGVRRQSWALQSLMCDRTKKAVAYLSAPHKQCTRADEIIARFA
MPQPVRVTIRRILGNNKKEEEHKHLGLRTPPIRMGYCRSKRVRYLEKYHPGQCDKGRDTYAQLVFRDILIMCP
MRSDVRAPERGFTLLEIVCTLVILGVLGSLVFSGFGTALTGYTQMREVGTSDMQAELALIRLRKELAGAADFPGSPFKDSPSVTFTKTDGTQTTISCEDTGSKLLIDGQILLSDVASCRFTTNGAGPSYIGIVLTQQLAERQITWTLSVAPRNTPLAKD
MFESLELYFKGIWQFEREIIFSDSSRQYAKANGEATFEQLTIVGNELNYRENGKVFLENAGRGTSFFRAYKYVVTDDGLDIYFQDELTQNFNIYQSYIYQSGSHSLIARDKHLCNKDIYEGRFLLNDASHFVHTTLIKGPHKDYFITTHFNKL
MGFQRGRLTTATERHELITLITNAQASGARKEKACELLGLTLRTVQRWIEADDMTDKRTSTKKQPPNRLTELERQRIINTVNSAEYGHLPASKIVPKLLDKGIWIASESSFYRVMKAHNLLTHREKVKPAKPMKKPRALKAVRANEVYTWDITYLPTSVKGQFLYLYLVMDIFSRKVVGWQVYDTQLSELAADLMKDICSREQIKREQVTLHSDNGSPMKGATLLATLQELGIVPSFSRPSVSNDNPYSESLFKTLKYRPEYPEKAFEDISAAREWVSGFVDWYNNEHLHSGIKFVTPNQRHSGLDKEILAKRQQVNDAAKLNNPSRWSGKSRDWSMINEVNLNPEKKEEMRAA
MFSQSTPASRFRRPLRSAFTLLEVLLVLGIIGLFIICVIGYFASRGVEPLKPPPRKPALEKTTPLPAPPEPVQAPPAAPAPANPAPAAPAEPAP
MQEAIRRSKNIKRVAKYERKLLNVQMHVERVTGDREVQVLNWMLDGKSQRWIGQHMAFSANSIKRIKDKMRGFRASLKDIVEESEAMETVKPWSFTKDYEANEIVSNTIVDSKRIQ
MSTNLTSLRSPLRASFSDASNTDYDKVIEETREKVNHPSLKDLSLPTFKEIIEAFVFLSKNSSI
MKIQFTMAVRSSDELPTRLLAPTYVGLQGRAVPASWRVAMSTSRKRHNGIAPSGFAPFGGTALDATAFDPDGASMV
MSPKFERIDAYDLDEAPLLFPIGWDKKYYRLGCKDFHFSKRSLDLTPQLNIQENILYAGRVRLDGAIEPGRLQIAFVESEELRLIGASIDNCIMTVAYDNACWEAVANMKGRGLTINISADLASKSFSVEDLTALKQAMLGPHGKNAIISSMFPIAQTTKKATLECLDTFETYDLLGNHTNDKKFLPWDPTEIMELCVNIIEEVVKKDFIQATLGEMQRHQIARAVEEYLWNDPSKLLHKDFSLDFFANQLGASRRTIQMAVKEVFGIGFVELKRLIRLQQIRYSLLLKQDHSSVLTLAQSYAVGHFGRFAKDYRDLFGELPSQTNKRLQNNQP
MSILPKQPGWWFEDIIVGRSYDFGAAKVTADDIALFHERFAPNLPLKASEKGREGAGPRAAESHVYALWRRMLWEETREWPVLQRLGQDALRYYKAVYAGEELSVRLTFLATEDRNDREGVLTASHEVQDQDGLLVMSVMTRTLMAKRPPRD
MSEFSVSQEVVDEAAASCARLLVKWFGGVEEAIQELQKDPVAMAQIAIAQHRDDVRHMSLRAHMRITDFSRFLCEELKFRAVGHRR
MVVGRQKYTFYRNTGNCNYQELIFATVSNTSFIFSYVRTCREDISNVYLRLPGSTLILGYFYTIKP
MPMERSVSSRALALLAVAGLVGSGPAQAADPIRIGVIAEAQSVVGASIPQAVQLAADEINAKGGVDGRQIQVVTYDDKSSASYAVRAFQRAGSEDKVNLVIASYIPEVVLALMPWAARPQTPLITPGAAANEFSLALHKDIARKKHTFHGYPTSAASANQPFDSTN
STTTTTTTTTRESQYMDRADAKTNEGKTLPKPKIPTPKVAASGTTTSGNGANGSARDGDGRAKVTGSKEIPAPKIAAPVPKRVEDGRGQPASAASGKTSKAKPLANGGTKETHNAREGSNKIQDAVVPVAKTIPAPKGVKVSVNSTDGTATESKVAAESATKASPTPPIENLHISAVKPPLSPAKPVGVYVPPSQRGRSAVEDKSGSKKNKQKENFVNPRDDPDYRRGDGGVPTFKSSNDVRNDIARGGDKQSRTDTSTAKASSLTIESDEKDKKAQAAAQPGAKTTKEIRKALDENDIQTAMELFHTRSEAGLVNAEASRLLLHYCIRTPGALLDGFAVASYATQKKFKITMRQFTEILMAFPQRANPIDAMEILNAMEPMIHYESKSIAKYHFHFARLVIQEFLEEALQTLDRIENAPSFGLQENGLAAMDVVIEPDRGGGPKGAAPKAGQLVLNIPMLGIELSRSLLKGDMLLLSRTGATDRSLTLGELPKGSRGLDENSRSRTGGYHAETEFEAEVVSSMNKVTVKLVGVGAETAATVYGGGWRIDKLANRTSFHRQLKAMEDMMQVKQGNSTKAGVDVNIRDTLIAGWDGNKEKHKEIPEMCEANLAEHMHIATRQKMIDQAKDIPAMQHMNQSQIDALMAALFNRVTLIQGPPGTGKTHTAVALVQMWLRCRTSPILCTSDSNIAVDNLVDGLARAGVRVARIGRPEAVRQDLMPYMIESIAGIDQDCRWSKQQQRSAITNALRQAEVICATCAGAGSDILEKYSFQACLIDEATQATEPATVIPLTKGCKQVVLIGDQNQLPPTIISREAEAAGLGESLFERFIRAGIRTYMLKVQYRMHPAIALFPSKTFYKGELLSGTPPSQRRAPVGFDWPVPAVPMAFVNVEEGAERSDGSSQTNLAEIQRVVNIVKKLAGQHEVLPGDIGVVTPYSAQARAIKKILRGNAPERTRFDAPADPTSMK
MDERKTSRSRRRASRILAEKRRKGPPVSCDDESPTRERAKPPRRKKKEPLYEEDIIEGFAILSYIKYDDIEISLNGGKAPKKKGTTNNSGTNGVVVVAEPKVPEVTIKQEEPLAPPVTVKVNHVDKTNQDPSTSDDSCRDKEKIKREIVAVIKRDPESEDNRVSDASSRCSSGRGYLCDSEDDDKNSDSGSVLFSASPPAVRKLDVPSEVVNGHGGGGERVAGAGVGVGVAVKSNGSPRPPSPAAPPPPLTLAMPPQQLHPPPKPSPNHFSPVSIPNGVTKVERVSPPPAGIVSAHSAHHRVAPPPAPPPAPIAYSMPSRPGYPPGYPLPQPPHAHSLPGHSLPG
QQSTQGLGCLIQHTDQRIVQIRQQEYGPGSNGSPAASPTTSTTAPTASTTSAVFLAPCPTPGTSASGGINWNSMQSIEQYFKTHETAAPHPASAACPAGSCCVKTSAL
MDKYFEVHTSEATFKIFSQMHTLTLAFIILIGIAFCLMRNKFKEEYKWRNGVRIALLTLLIVAEIGYHSWLIIHHAWSVKTSLPLHLSDVAIYLSIVMLLLKNKKLLQFLYFVGLGSSIQAMLTPTIGQYAFPHFRYIEYFAVHGGVFLCCIFMIAAYRFRPTIISLCIAFLFINVYGGLIFLINRLLGSNYMYLMKKPKAGSALDILGAYPKYLFSLDMIILVGFYLLFIPFWLSNRKVNFSRT
MNIYNLFWGENFIGPPMRIFSLDHIIVLIITLLFIISLYFFKKRYSNIPHKNILVKIIGYGVIGSQILMYLWYIHTGWFNIHDSLPLYISRITSIVSGIMILTNSKKLFDVIYFWGLIPPFIALMLPEIEYFSFPHIRFWFYFIGHAFTIFAVFYMLIIEEMKPNFNSFKKASIWLLIYCAIAFIFNVLTNSNYGYLMNLPSTLSGLEKHLTWPFYLPILIGLQIFVFYLTYIPFKKSKYNSISEINALDS
MQWASQPGRKIGEMRTEKMEESLFLNLNVRLGQPYCYMHQGDCEHLIIFTDIRLLNSDDSLDIRDYPRLMKKKRVTRTLCRSCMMHSARWIVYNSEHAPENPCFFCDQCFKSFHYDEHGKKIGNIKAYKYFDQSAAINL
MNSMSRRKHNPIQDHSAYWANFAGFGIIPQKPPYFPKGISWEEFENTFRLAFGRDMTLDERRWFELSRLVEDGLDQRDVGNQLKRRAY
MSEVIGRREILDALARIRDFVRLTPVLELEPGALGVDEPVALKLEHTQATGSFKLRGAFNTLLSAERLPEAGVVVASGGNHGAAVAFAARGLG
MKLDLGDVFDASEIWSARNRIKQYIHRTPLFHSDSLSKLTGSKIYVKPECWQKCGCFKVRGAISHVSSLTEEEKNKGLVTASSGNHALAVAYASTLFGKPPTRIYVPENADPSKVKKILQWGPELVYHGDSFFEAYTEARRYTEENDATFVHSHGDPKVIAGQGTIGLEVMKD
MITYSQITDVHQRLTEVVINTPVIESVSINKQLGGRVLFKVEALQRTGSFKFRGAYNKISQLAQNSDSGIVAYSSGNHAQGVALAASLFNLPATIIMPADSPRIKINNTKALGGEVILYDRYTQSREEIGQTLAQEGNLALVKPYDDLDIIAGQGTAGLEASHQLDKLGLKPDQVIVPCGGGGL
MHITYDLPVAIDDIIEAKQRLAGRIYKTGMPRSNYFSERCKGEIFLKFENMQRTGSFKIRGAFNKLSSLTDAEKRKGVVACSAGNHAQGVSLSCAMLGIDGKVVMPKGAPKSKVAATCDYSAEVVLHGDNFNDTIAKVSEIVEMEGRIFIPPYDDPKVIAGQGTIGLEIMEDLYDVDNVIVPIGGGGLIAGIAVAIKSINPTIRVIGVQSENVHGMAASFHSGEITTHRTTGTLADGCDVSRPGNLTYEIVRELVDDIVLVSEDEIRNSMIALIQRNKVVTEGAGALACAALLSGKLGNDSNLLIVFYVQIMPDDFVMQLHR
MAAEYKVRIPPESEGAFEEFIGKIAGSEIVNLRDSKVDIEKLGAKVRTCLGQIPKDTDPRVLRSYRHYRSRNQPDENTPPQWFRMMMELKPSEKSLVTRAFNVIAQAKYSLEAARDINWDGTYYIPQVHNAGPRTFALLAVIFSN
MGIRGRDGDRFVVVQHLVSGRGADETEDPRQPDANAREKGDLCQKPDVITWSLAGTLATMIVAFLVLLIIYYLSIRTNKRVRSLRREPTRRQKPVPSYLEPLPAPATAGPRQTHAHAALPLPCAPSSSPEHLYENPDKAPASEGASGGGGRSALPEAPGEPYTRSSPTLTDQVPLCSPILADQAPLFVNTGRCIFCLQHWQIQPLPSPTLTDPFPLVSKIKTLRLALNFTT
MQHHHNGQSNRTSPPADQTAYQGTRYTDQASDSRACCEQGDATGTADRTLGGPPESAGVGRRGIRWQRWGRSKGQCAKPGTPTRIAGPEAGSDISSYSNNTLVGPSSPQRKVCNVRHPPSLQTCVAIMLSESEQDSQPELLSDSQISELDLLDQRGVVHSSGASTGGDDEDDEDLSEYDSDTPSQATTADFDFLDDSSSGDLSASGSSYQPDSVLDFATSDSGIFSTTDSSDSFVTDSSDLSTIDSSVSSNADADRNSNVHPTVEEQIPSSVQVL
DERRTGCGRGTSWGPPGRGPRRPARRPRGRRSCPGSTRGRPWRSRCPPARSRSWARPGPAEAGSRRRRRARPRRR
MANSGSRIDVAHGASPPTSHVAAWQQGRARQHQQQQQHQQNQHQQQSEIKKLPLVDESGRLNIELHGYPKLNVYFVDLFHTLVNMPLKRFSVVLVAAYAALFVAFAIPFLAFARVDGLDCLPGVKRLDHAVWFTVQSSMSIGFGGDLTPHPDCFVVNSLVAVLSVVTLGFAYALLGVFYVKFSRPTRRAGTIKFSQYMVMYEEFGQLRLAFRVADFRKHQIISAHVRMLAALNNNILAHEDESVFKFSQLPVAGGSQIYLGLPCTVTHAITHGSPLYGLSHSMMERSDLEILVLLEAVDCSTSATLQVRHSYRPCDIKHNYRFVKMVYRAANGRRCVDFSLFEAVVSASDPSAQPLHPPSLTRPSSFLSLLNLAAGPSSSSVAVAPAATPISNAPAAVAPSPVLAATTPSPAAAAAATPAPVPAVITPTSTASAPAPPPLATASPHPPTNPLPAVAARSAKPATAAATPAAAAPPPSIFLPISQPQPSNPSPSITPLPLPSLLPPSPHHRFPPTTPLSPATMPLVPFPPPLAAAHGPTPYGPTPHGPTPHSATSRAVGAGDSSEGGNSSSGGSSEGGNSSSGGSGGSGGGGGSGGSGSTGGGVVLSAIAGPVPVPAASVSPFPAAAVAIDCTAAQSRQQPQSLAVLPQSQPQPYLLSTSPSVDFPAATSLSTWIHGCGLPDVHAAGSASSVGPAAAAAAAAAAAAVPATSGSSPATTDFGKQTTESRREGVESFGTSAVHGAHEEPSETGPEDGSEGDIRGEGGEGGEGEEGSSGEDAEEADEEEELKMMEVDYEKQVQQAEERALWWRHAAIELSSRILHCTALHAAAPEAPVTTPQADEPSEDLASGAEGSGGFEMSDLLGSNGVEESSAAAAAAAAAADAASGAEQAPVTPERAAGSLGQRRSSGGANTACDPAAEGLAAAVSSALLPIASITAAISLEPAPGAVLPDSPEAQLLQAAQEVLNKALCFENE
MSLFIPAFHRVPPADKLKEKFCLDVINYYVRNTRNYNLLWDKKVFEIEGYAKGEFDLRPFKRMFKSDADKLKHNVSEQQNEITNRNEGINKTAIGFDYECLPLLPEKLNSATAIIQKKPIEITCKAFDPLAIEKKQSDVNFLKNKPEIEAQLQQVADSIGLDKIDLGSTKNSAVEYSDSPFGLDLQDPDQLDIFVNLLYSLKIESAFETGLQIFAELKNLTNIKLLEIKDQLKYAVSCNTAFQNSLTGLPDAEYIWPGDMETPWSDLPDMSDNSHRIQTKYVTPNQLWDYFGDEIGREDELLDLMNNKSYGYCTVNNQPEINRGNLDTFKITLKKIQVKSIDWVGVTKSGSITNDETKTVKKIWAQNTYSFWWLNNTKKVFGISRLPFATRTRGQESFQNFDTNIYKSHQKSTVELSIGENKKAQIAYIKMVHAVIKSLPPG
MGIQTMGSQGNGQQSHLQPSSLSRQGSWYNLTLDEVNSQLGDLGKPLGSMNLDELLQNVWTAEASKSSVIGVDSENMSSSSSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGDEVKTEEGEMTFGETTLEDFLVQAGLFAEASISPAVGLDTMDFSTAQGFQHKTGLSSSPSIGSLSDTRPGRKRDTPDAFEKTLERRLRRKIKNRESAARSRARKQAYHNELVGKVSRLEEENVKLKKEKEFEERLTPDPLPEPKYQLRRHNSAPF
MAMQSEFRQMVSVDPHPMAPTALPRPSTQASPLARQGSIYSLTLDEFQSTLCEPGKNFGSMNMDEFLSNIWTAEESQAMAAAMEAAASHAEEPNKSSMHRQPSLPRQNSLTIPAPLSQKTVEEVWSDIHGVEQANNSNSNSNSNNGGANPSTRQPTFGEMTLEDFLVKAGVVRDGGAPSGGNPTYEYPSGQGERPGFGHVIGMGYNGNGGFSLSNSNHHQQSMVVGEGGGYGKRGIGGLCMGGRMGNGGLCIGSPVSPLSSEGMCAVGTGGGVEYGGGEMGGGMRGGRKRVLDAPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENAKLRKQQEEATEKRRKKLLETLATTNVAPQGGGARMRTLRRTFTGPW
MLTKVNITNEIPSCNIHNAIKEVILKYKLKNTILFTNGKIVLTHNECYLVNNNIVKKVNYIKRIPVDFCGLLIWKSIDSFDQNNYLTRNLIRKHAMNYLSGTISNNLIGIGGEFYGYFVHLKYYKKYIGFTNNLNIFYDSKFNFKLYFPKYKYELYFLNDYSKIKGEYNNSDCVVNLSKIPTSVINFLNKSNFNSIVIISCSQKNGKQLNKLKYKLKSVCNVGEVFIYLYKN
MKQLLPLTMLCLLAFGLQAQTVLYTTNFDNASEWELGSANNFDTWTINNTYNCSNPTPDAGGGKYMHIYNDLDGEMCAYAAYYGIGGAGSCFASMKNPINTVGQSEVTITFDWLCKGQSGALPTYGKVEYSTNGGATWTQITSPRSQYNNQTSWTSASISSSQVPGLLNQSSLKLRFGWVNSAYGTNPAFAVDNLKIEGRTGSCTNVGGTISPSVTSVCSGQTVGLSLTGSSGSILWQSKTSQGDWVNISNNNSTANFTTAPLTENTQFRAVLGADGCPDQYSDIVSVQVSPLMAPTISFQPLGQTVFCEGDTVLFAASFTNGGSAPEVFWRFGNAFIHTDTLSAIAIQQNNSSVIQAFIVSNALCRTADTIASTESFFWVQPRPEVSLTLPELFCKGASSLALTGGLPEGGTYTGTGVADNAFDLNQEPGTFVIQYQYTNTFGCSASVADTVELAICTITDEVHPALPVLYPCPADERGFTLKTAGERISSINVYSADGKLVRTYKNSGLTEQEISNLDAGFYFLSVQTEHRSFVIQGIIQ
MENIFPWFSDLQHPQPIHVVWVPSKPSRYGTLRHVVPAVCSAGPYGAHIEECAFEGLSCCIKTSKANPQHNPSWVECTLCGQWVHDICVHVKAEDIGEDDPFLCGCDRLTQTICSLESNDWYNQLTLDLCKSLVNFKDVVEDTQPSLRRYVFNHDGFRMLRRSLRIGRYGPFQNDNLIDSILEELLKFISREKQNLVPDVYLPEVLLRIVEKKEKVNRLLAEKILLDYSALHFM
MFSRGLRSETRSKAKEDLKKIVSTIEHVRKWEKKWVHIKDTSLTVYKWIPSAEQNFTRHKPKPYQVSDKARFALSGPEAASSATPDEAAAAAAVAAAAADADAAAAAVAVDSAAAVDTSSIIAPMSSASAVAAAASSAPTGLDVDLDSANGDSNIGLGGSLGVGDSNNSPPTNLLMDDDSKSGGTEFTAGSCQGPGGPSEDNTNSDLMSACQDASQDGPPAAKRTKQDF
MESTWSLKQLVKDWDPIKWWTMGSSPKYRGSKGHQVCRLDVEKIGQDKVGALFKTTEVDNPSPKQFAHYISFALSNIRNLYHRPHGEGMDMLFASYSVLEDLVGIYNV
MENNDSRPASRDEYNLNRDYFPYEISAGDPDLRSSQVDSVLSSFEEAKKVMSAQAIHEIHRYLKAGRWIHDAPSTSRHPAYKHIKGQSSGPNRGVPRFYYPYFFVLHAIFPPSESLRTVCEDMGRYWGLRVSVYEPFYPRLQDSEREMDLIMGKGPHARRQVVRPPQSQYSPAVFTPTQDGSLEIEDQKDSIQVATDTVRTSQPVPTSASDTLRNYDEELASIRSNFEQRLAKFQAQMQQDADKAQEIRQHTMLAQQHFKRGQEHALAAIEIAESIVETLNAAKRHVVAVPDDEERPNKRLREN
MHARMRLQLPSSEKKQQTINNGKQQTTSNQQHIQKQITNSNKQTHKQINNKTRNSGENNKTNDNQQQTTSNRQTTNNTTNSNSVSDNCKNKQRLTHNKLQTGKTACILCRQA
MLKPGQRDRVLSLHRQRTEESEMITLITWEHESSKPEVREFETVAACYNLAANGGFYKAQIVNEFGVVDYEF
MAQIITGGHNNGARIDPKQTPRNTLFHHVRVLPKSIVRPAFQALSFEERFGFLVDLEWSRRKNSRLASLIRKADFKQSNACIEDVEYHAGLSDDKNKY
MIRPVLAGSPKKLVMRSDQGLDDIN
MIIRKAEKADCQEVVNLIKLAIEDMASVYTGYENETQVNETLNALYLKKRTRFSFQNIHVLHYQNNVAGQITAYPAAILPELNKGFEFFYNPMAKDRKAQLEALLASREGFEDEYYIDSLAVYEACRGLGFARHLINEIELIAASAGYKKLSLLVDPDNQNAERLYEKWAFKSDKRMVVLGHSYKHMVKDIG
MISINDAGQLQQNNAQNFREGMMPADALLVTVIVVAIFVVFGVTLAWADRQTSSHRLDSDSKR
MDFGENLLSKTGLFRVAKEKPVRPVTSIWLVIIGSMTILFSLLIVYAVYINNFVAAGCSAAAAILVMWCGVAFLWHSVKQLNAKISALTELVKRNNDTDSLTLH
MGCYNSSERKIYELEQQIKKLNFQVYSLNERVRQLENTVERHTHRLNRLN
MGCGTSSRKAAMGKTEKTIKAAILIQRWYRRYKARLEARRKATWCIFQSIEYAGEQDQFKLYNFFNSMVEQFSQDEQQNALLKVFGENQQRSLVATMSPFDLRKEEETLRLADPSKIPVDPRYDGPVLQFPINKTQIKNLITAFKANKVIHEHYLLDLLHHARTYLMKLPNINRVSTLLTKQVTICGDIHGQLNDLFVIIHKNGLPSDDNPYIFNGDFVDRGNNSIEVITLLLTFLLDPSCKVYLNRGNHEDHAMNIRYGFTKEVMRKYKEHAAKVIAMFNEIFCSLPLATIVDQKVLVVHGGISEHVDLRLIETIDRKKVSVCCVGVCVEGGVCFVGVWGEVSVVWAYTWRDVSVVCACVWREVSVVLACVWREVSVVCVCVEKLSAVWACVCGRKCLLFGLCVCVEGSICCVGVCVEGSVSSQSCHFCSSILQIVDILWSDPRAANGMVFNTFRGGGCYFGPDVTQRVLAKHSLQLLIRSHQCKEDGYEYTHGGKVLTVFSASNYYEEESNKGAYVKLMRQKSTDDMPICHVVQYIAQTKPGRRFTITERTSYLESSAVSSVKERIIANRSALTAKFAEFDPAGTGIISSKQWFKAMESVMGIDVPWRILKPKLVSSEGQNVLYETTFQDKRLGYKNEEQIGEPSVTESLYRNKEILETIFRAFDKDNSGHLSMAEFSEACQLLVKHANITLTQNQIRDIATSLDLNKDGMIDFNEFLEAFRIVDTETQEMREKLNSFEIDELKRDKKKSIFSRCFGGRWSNSLY
FSDFHIYKKRLHPRYLYKLLSEAKQVLASRPNIQRASTSISKQITVVGDLHGQLADLQTILHKNGLPDVTNPYIFNGDFVDRGRCSVETMVIILTLMLLRPTAVYLNRGNHEDYYVNCQYGFVKEIQKKYKSQAGPIVKCFTDLFRYLPLATIINDKMLVTHGGISSEMDLTVLKSLDRTAYQDLLWSDPVAQMGTRPNELRGLGCFFGPDVSKAFLQKMNLQLLIRSHECRPEGFEWMHENRVLTIFSASNYYTEGSNKGAYAKILSDGTVHPVQFTVSGGRKHKSMRQRINSAEEAALMDLKKKIVVHSDELLKEFHAADPDRTGQITLSAWITAMQNVLELKLPWRTLQPRLTDTAKEKHMVLYGTMFEKTKVSNSLTKAPAPLTEELYKQRDTLEGIFRAMDKDGSGHISLAEFQAACLRLPNRAHIDEKSISDMARSIDLNKDGEIDFNEFLEAFRLAELEVGKREQDSEDVYFED
MLRTLLGLLLLVTSYSAFAANVEKLAKGKWLHLATKDFEIITDLNEKKARILMNDLEAYHFFLTEMMGTKLQPNLDPLPILALGSSASFKHMGLPKTWAGVFRFRPDSYHAIANVDNYSDDLKKPSFGRQVLLHEYSHFMQKFSLNRLPYPLWVQEGKAEYLGTFRFDGEKVYLGNPKAIMFRTYGLYSNSGMLNIDVEATLKTKNLPMQSQKMSDQTFVDTFYARSFFIMHYINSTPELRASLVKYLQAVNEGKNEEDSLAIGFNQTFAEFEQSVTDYVINGLYMRVLSLRDGKVSFPVPEVKITKLDTEAFKAKIGIFLEE
MRLTIYSGSATPLELPVGTSHHPYLGRLPEVGQTIVIPEDANVDDAFKGKAKVRKIIWRYSPEGGALWPEIICKR
MAMKITVRLSGKASCELQVGTTHHPHPGPLPKVGETLVIPDDADVPQEAKGRLRVRRIVWHYSPASATLWPEIVCRPA
MAFIDLVKMTRGWHLIIVAARPFVGEIVFALHMGAVNCRKGDITTFFSLEMADKQLAMRWINSEGELKE
MRHYFCAILTFDTCILQVVKELLLCYTYRTPNAIKQDFPDILGNQNQQLKTSTPDEVSESEQHPTMSTPTQNNQPPRPAPPTSSSSGHSELTTEGGRVLSQLEQPATLGRISSKSGSIPSITQGLAAMQLGPANLLNPLGHHVVGYSTHESGAASVSSGKSSRFGFRKRLSAVFKRDRKAKNAAAITLPSQAPVRSGHCIHSDVDDVEALA
MKNTPHKVIIAIIIGLLSVQTFFPSLSSLLLPEIHAIDHTIEPLDETQNMSLLDKATQPIQTKEFDIHNPFFQFPVSVIEGIVDQPIDVFVVSSQPLDEITLVVAKEALIVEEEFAAEVKSKKLSEEEQEWTLTFDEELMEFEIPIVFNKIGDYQLIIGEAELTIEVQAEDDGEEDSDDDADNETIETEVSPSDTPQGGERTTQPCHWSR
MRKMWKSALCAFALFSMTAAVPVQAEDDYTYTVRIHAGNHGTIGGETTLEYDNLAPGSRFTFDKSSVQPDAGSKYYVKGIRESGKDNDTFVTSTSFEVNEDVDLVVAYGMLSNATEYTIRYVDREGNELRDSVTYIGNVGDRPVVSYLYIEGYQPQAYNLTGTLKENNNVWDFVYSPVPEGTITTIPGTTTVIDETTTITAPATTPATTPATTPAGEETTTPGEEGTIPEPGGEETTNPEGPAEIIDIDDPDTPLAGPEENEKGDASKSFLSMPALLGMGALALLLIGGIVYFVVRKNKEKS
MNVSNQGITFSSSEEAAQFRRNHAIALDLVVQRVKTMQGVNYADVWLNQNSPSFVAGYDSNDEQIVRIKLIV
MIVSLKTTSLQMTWLLMLMFSFAVNAKSLKESNSPIIEYKAFMIXWNAPTTQCKKCFHVDLNLQAFDIVVNPNEALNGPPVTTFYEKELGNYPYTDQSGAIVNGGMPQNENLLKHLEKESNSSRKFEGLVVIDWEEWKHQCERNWGSKNVYRIQVLNHIKYNNKDLSEAEVKAIAKQVYENAAKNFMISTLHLGLGIRPQGHWGFYLYPECYNYDDQKAPLTYTGKCAEIEISCNDDLGWLWQESTALYLSIYLPLILKDSINTQKFVYYGVKEAMKISQMARRDYDLPVFVYTRLFYSHGTEPLTKNDLLYTIGESAAMGAAGMVIWGGMEYSSSK
MRPFSPEVSPHPPRVVTAHLLRICTLFLTLLDMTPSSRAPVVPNQPFITVWNADTQQCLEKYSVDVDISVFDVVANPGQTFLGPNMTIFYSSKLGTYPYYTATGEPVFGGLPQNASLSEHLAYAFYDIQAAMPAPDFSGLVVIDWEAWRPRWAFNWDTKDIYRQRSQALIQAQHPDWPESRVKTVAKEQFQEAAKAWMAGTLQLGQTLHPRGLWGFYGLPDCYNYDFKSPNYTGQCPFGISPENDQLGWLWNQSQALYPSIYIPADLIGTGITQRYVRHRVGEAFRVARTSRNTSLPVLPYVQIFYDTTNHLLPLEELEHTLGESAAQGAAGVVFWVSSEDTNSKVDPSMAMVFLMLPFPPRNHARPSRSIWIPHWGLSS
MLAEVLHKIAGFDREERGDYFPRPSLAGPERCLRALTYWAMGTPEDKGMADRFLMVLDDSSWHEELTADWIRKSAYALHSQQMSVDICRMGIYPQTKDKEGNITEPTRHCTTCDQEVPLDVLHGHIDGIVTDLLGTDYLWEHKALNHFTFERFWNGELPMDYLTQCCLYLRGLQLVNPEMKRGNLLIKNKNTSAYIDYLIEYDNANDTATIIEISHSNGTKKQGGQAFPGITNSAIERFRTVREHVARQELPDRPHELGTQFPCGYCAWEETCWSGYEQEYHELAQDVEFEQDVVDLCRLYLEEAMHEKNAGARKDELRQQIRAILAEKEASKGKAGDYLIHNRLQKEVRLKKKEEIPEEYLPYVTKESLKEILTIRKVVPKTEAKTKKKGKSK
MKTKSTKPEESPSFEDYNDFMKFLTKSGVEDILVLKRDTFRDVTTPKRTEIIETIQKEEISSIRDLSRKLDRKVSAVHKDLEVLYESNVIDFRKEKESKIPEIKHQNILPEPLVYND
MDYLENARRIFKEDYFATEAAGIVIDEVEPGYAKCTMPLGKIHKNAAGNVMGGAVFTLADFAFGVAANTEERVSTVSLTSEIRFIGFSKGSVLTAEARRIREGKSVAFYDVEVCDDLGTVVAKVAMTGARKVFQA
MTKEDKEIMGSESLGEKNLKEKAVGDKNLEDNAKREKNLKEKISEKKAMEEKAMEDNTLTEVRKMFEKDRFATENGAVIEEMGNLYAKCSIQLEQRHKNALGAVMGGVSFMLADFAFAVASNWQNPGVVSLSSNITFLGTAKGRSLIAQAKCIKDGRTISYYRVDVLDELGNMVAAVTTTGYRK
LTRFEKTNEMLSNFNSLSSTRYQDIEAQFRKHINLMNASKKDLEQIFKRIR
MPYTIKNSLTACCLTLYLCYSCSTLRKTTLIVISGYGVMPSVLKTFFTGETGKWKGFRDNVRPF
MNILVLEDEVYQKKLLKKCIEEKFLDVRVYEVESQVEAKKIIDSGNIIDLFFIDIKLKEGSALDFAKYLRKIEQYEISPIIFINGEVAYIIEAFKEVNCFDYLLNPYAIEKVFELVEKFLRHLKKIENKNYIFFKDINGNKIKIY
MTQSGPQIKGEAKTYKKNQFTTTEGGLYIGHVMENNAKMAVAIRRIFPSPFKRAQYIGLQQSGTKNGSILCSAPATFEITCGESPVQEVAGMWYAENGDIVIGAPKGNIRIFAQNIDLISQGDGKESGFVQIRANANFEAEATDVKLTADSTLSIAADKDIDINSTGKTQVDCGSWKVIEGGDFFQIPGTGNLTIEQHIKAMIKLVKSIA
MLWADGALTMDIWQAAKNKNKGKFLMKDQDTHDFAKDAADLDPKELEQILTQVSELAKEARVSSRSSRTANSTTDNA
MLDKSIHLSGEQIAQFQADGYLILEKFLNLELVDLIISKLDPLFATRFETGIYPDEWHGRPGLSVPNATRQMCGMWKCDRAIASLSLSSEIARLNATLAGWSGGRIATDSCWIKPPGAPEVAFHRNSSYATCIDPAEVMTCWIALSDTQKSVGTLELVPGSHRWNCTDKAKQLHAPKEDYCQPLLQVAARMNNLDNYKIVTVEIPPGGCVFLHWNIWHGSGRNTTLDKTRRSFGVSTFRSDARFQPFGIGYGYIFGRYRRIDSTEMDETFFPILWTKDGYRTPFLSEYCEDALSLPAMAVNY
GGRKGGEGRQRLFRFGDDDDDGDDLNDAVVAERRRNKTNKSSKGGKGGKKSKNGKAKTDNGNKKAKVLQIVNVSKSDALFRSLVTSEALGRAVCEFAGWTGVGTRLAQDQVWAKPPGAPPLAYHRDSPYFMFDPPHVVTVWIALDDMTPDVGPLRYVKGSHLWGEGRVGSAGKFFQSDGGTGLLHSAARRAGIDDPESTLEVVSTAGLGRGGLSIHDGRTWHGSGGNVSGEGNGGSSCGRPRRGIGLHFVPANVRFTEDASKSRLWGRYVKGAMEEEGRNVRDVEVPEEDFPVVWKPSSSSS
MFARNKKFLAACSVVVLSMGLQACGGGSSSDADTSAEVPSFAGTYDVTLSKTSDSCATGLDKSFKVVQTVTQSGRAITLVSNAVTLQGSVDADNAGLSASFQNTTNGVLVTTTTVYRSTATPGLYGAGFSVVAKSGNETCSVSYNGQAKLK
MKTESRLFLLQAFLVGALTTFAQTSGFQKLDEWTEIWKTGQQTYFGYPANQQSEMRDFYKWYLEAGLESINLNNAGDPMTDSPWNMSSQAFEREVIEYFAPLYGFTRDNVWGIVTHSGTDGNNHGIYFGAEYLKNKTRMQPVLYVSDEAHYSNMRLADLQNIELRLVKSDAMGRMIPEELERALDTSRPVLIVYAM
MFSKRSKKTDCKAEVRAILQENCFIIMYVEHTHDHMPKQWTNDELSSIKKQNNAGSVANDEVLKKTEEMVRMDVHIQEKESADDVVKKNVNEQMVRKEQHTQKPESSLMQYNVETVTQDSLPFEQPNLQDHNFVNDSTLDENITMYTKKANVKVHESTIDEEQENIESAHQGNNDFEEPESPTMEQYNVESSLIESSTLGHCEKFKNDQELDKIISTLRQHLYLIRDQESKIFLNAKTTIKNLNNNLEEQKYTMLEWMKLLPGQIENVLDGVYRLKTSDLNDIDSFIQHFLDKEVNVDLLKEHFEDKAFKKLKKVINTKVKSNAYTCGKFSEDIGELRTVLMRCMHAVVPLSLRKSI
MAETSEATTPLVRLRDARLQWWGRLLVGAALVGVGVVLVVHLTASVAVLSVLVGIGLMLVAADRAVWSFRSPLPRLERVLAALLLVLAVVSLVWQGTTVPFLAVTLAVASFVVGGTAVVGGLVGTRERRAAAVVLGVAQVLVGLLVLLWPRLSVFLVGLALGGWLVLLGVRDVLAGVGQLVGRGRTRRRDAPRRPRRELLGAVAALVVAALVLGLSAWLRAGDPTLVPDAFYTPPRDVPVEPGSLVRSEPFTHGVRAGQEGWRILYTTTGAHDEPTVASALVLAPADRTGEPLPVLSLAHGTTGVVPGCAPSLLDDPFATGLERTLSTMVDDGWAGVMTDYEGLGTAGPHPYLVGTAEAYDVLDAVRAARALDDLELGDDTVVWGHSQGGGAALWTGIVAPRYAPDVHVLGVAAAAPAADLAALAAGVKNTAAGRVVSAYLAQAWSDVYDLDLTSIVAPGYVPVVRRVADRCLWGRDAVANVLFSTQLSGPVIRPSALEGETGRLLEENSPHGTSAAPVLVGQGEADRLVLPGPQDAFVAQWCATGQAVDYRTYPGLDHVPIVESGSPFVPELVAWTEDRLAGRVATPTCGAGS
MLLLLLACTKSTPVDDTAPAFTPTLPVAACGMAPYDLLPLDQVGLPLDWDALDNFALTSGGVDALLGLVGVEGLTPVPYGASVYRFRYTTQDRGALQESTGLIALPTGGAAAEPWPVVLMLHGFAGTFDACAPSGDDLIGPAQPALLASQGFVVIAPDYIGLNGFGEGSTAPHAPLIGEQVAIGSLDAWRAGRALLEGEMAEALEGPLRDDLLIWGASQGGHAAVFTELAAPHYAPEADILAVVASTTAHDLTAVVQDAVTRYTDASGLSALALARMWSWYAPDVGLDTLLVNEEPYYFADTLAALLDSDPEECAFDDLVVEVDAVEEIYAPGFLSAAQAADWDAAAPWGCFIQENSLSMTSIPRASQVPVLLIYGEDDTLIVPPLQEPDHAAMCDDGWVSETLQCAGAPHPEATLWSLPEQFAWMRDRLAGVPWDPECAFAEPACCAGTPEDEGCGDR
MASPKSSSVLAISSMVLVMVPMMLMVFGPDPVSGSHLTIWSGPGCNNRAVRYSNCGCSSIAENGGYEFAYTGQTAAVYNQPSCMGVAHTRFNSNARSCDPVGWKSIHIQC
MSKNFAENIVINEDIKVEHKNIRKQAIEAIDRIKNGNPIVISKNAKLIFKNIAKEANVSLTELHREFRDVMLYVNSLKAPKNESITNHANHARRCCLDAIERFKSGKTKNVSHNAKISILGVAKEAEVNDSYIYQFLPDVVEKIIETQSQFSRYGNKEKHERLLKALDTLLFKKEVITVKKVCRVAGLPETFDMAVRKSYPEAHK
MIDIGLPPTKNDIAFIRSRNSRFTDKAVFTEITYKVTPAWQVTGGIRFFQQRYNAVSDTALPFYDNFERNIDSQKANDRVVKLNTSYDFSRDLKFYATYAEGFRRGGSTGVTLIGNFASLPQFGVYRPDIAKNYEAGFKGALFDRRVNFTLAAYWINLKDFQFLARSPSGSSIVLNGDEARSRGIEFDSQIRVTRKFDVSIAYNYTDAMVSKQVVYVDLEPGGLISGRKRTTTFPAGARLPGTPKHIITVGADYAVDLPNSATLRLHGDAVYKTSAPSTLLSSGIGTTEIPSSFIANARVTYETSRNLTFEVFVNNLTNETAFVGGKPEIAPTPVFGLIPVRPRTVGVLGRISF
MQEVMSHFRDLSKIPHCSFETEQMREFLVEYAKECGCEVKVDKVGNIHAYKGDPKVCLQSHYDMVCMGKAPDIEIYEQEGYLRAKDSSLGADNGIGCAIMMEAMRKFKNIECLFTIDEEVGLIGANGLEHQIKSKKLLNLDHENDSQVTIGCAGGVDIFVKIPYETKTLQGEVYEVEVYGFKGGHSGVDILNHPTSSIKTLASFIKRNQGEIISFNGGERINSIPKYAKARVIFPQPPKEEKQISFKSLGVREEKISLKSAEFLYLLNSFPQGLRTYNSELKIAQTSINLAIAKLERGEINIELFARSNDESELRAIEFESVEFFSYFTQDVRSDNFYLPWEPKKSVFSEEVLGVMKAYNPSASYYAIHAGLECGIIGAKIRDLQCCSIGPNIYNPHSTDERCEISSIEKISKVVFEIIGANQ
MKMATEIKDLQPRTLFGLFDALLSVPRESGNEGKAREFVLDFARANGLRAHVDEVGNVIVRVEGSKGFEACEPVVLQAHLDMVCVKSEDSTHDFKKDRIPAFVDGDFIKTKGTTLGADNGIGLCAALAVAIDKDFAHPPLELVFTIEEETGLTGASNLKPSSFAGRKLINLDSEEEGFIYIGCAGGADATIHLKLGEIVQLPDYVWVKVEVGGIEADGSFGALAGGHSGLEIDKGRGNALKILGRAIAFATDGMDFVLGEISGGTRKNVIPSRAFGFVYVPKSMAEDFVSKISAFEGIVRQELKYSDKPCAIRCTVGEEPSDKRASPKELLKLLLALPHGVLGMSQALKGLVETSSNLAIVRREGDEALVVCNTRSSVASQMEAVRLGMKACGELAKGKVVFGGEYPPWQPDVESPLLQVAKQVHKEVFGVEPTVTAVHAGLECAVIKANIPDIDPISIGPNIAGAHSVKESCSVSSVQRFYKYLKAILSYLAKST
MKKLKASVVGANGLVGRELVKILSCHSHVEIGDLYSRADADKDISDIYPDLKPAVSSVMKHPTPETIESADVIFLALPHTQSAAYMPNAVKKA
MSVRLKRDFFLRDINIVARQLIGKIIVRKFETMKEERFRITEIEIYVGEEDLASHASKGRTKRTEVIYSCGGYVYVYLIYGIYWLLNIVTGKKDQAQAILVRGVEGIDGPGKIGQQLKLDKSFYGEDLESSNRIWMEDDGMLCNYTFIPELMLNMRVKFGKISCIVIKLRKQSFNSN
MQEPDARPTPLPRSFFARDSLTVARDLMGRELVHDSAEGMLIARIVETEAYGDERDSACHVSRGRTPRNGVLYGPPGHAYVYLIYGLHELLNVVTDADGQPGAVLLRAIVPVHGEAAMIARRNGRAGRELGNGPGKLSQALGITRSAFNRADLCSGQGLWFAAGTPVSDSAVRTGPRVGIDYAEPVDRDAPWRFRLIG
MCSYCTLPCTFYARDPRDVARDLLGKILVRRLGTIYLKVMITETEAYYGPDDPASRAYGGRRTRLTEVMWGPPGKTLIYMVHGGWLLNIVTEPEGTPSAVLIRAGEPLTGINLMKRFRKETDLYNLTTGPAKLTQALRITKKHHNIDICDETSNLFVVDSDYKPIILTSKRIGVKGDLPIDMRFYIKDSRFVSR
MDRDVYLDLFRRIGVRARSRWKDTKYVSLTHTGLSSTDYADFEYAFDPLRNIKGGSQISNAIASIGSMPDRDHWQKFHAIEPDTEKAWEALAHGIMACIDHQSQQSTDVRWLKVAYLAICGRLVLPNEELIEEIRLYPDKGEMTKVRPMIRATEMSIRMMEMEAERPSEAPLFPVEAFWSELYTSTKCFVASPKKSTTEDIISLTKELSSIANDVMSHFYQCSKNTGIDPRLDGAFGLCLYNLHLAIELAALPSNFLSSGRILLRSIVEACITLRYLTAKDDASLWKQYRNYGSGQTALAFLKTIDLDDAPSYVDLEALEMLANEDAWFETQDILIGAWDKKSLREMAIECDRKDLYDKYYDWLSGFSHGHWGAVRDTSFTICLNPMHRLHRIPIFGHVKPSVLPDVSKLINLILDDLNHLYPSFKPRIKWHKTNKPTDKVSKKPKSKTAG
MIAITTDSKPRIGKNQVGIAVPTSDGKARTVIRFFPSVSLIFLHSQAGHVVSRRRSPLDREPKSLNRRIYKGKNDTPTVA
MPRQFFFTCLLSLIITIPAHAMSVLPLYLDEIVDDAAIVFQGKSLENHSERDLQTGSIVTYSTFEVQEILKGEVGATHTIKQIGGKLEGETYQTSGVPTFTVGESYVLFLYGVSTAGFSSPVGLDQGKFNIIPVSTGFHVSNGRDFKEMTLGISTDLVPPSAQIKMQKTSGPINRLDLDEFKQLVRQKRDAAK
MSYYKTLKEKLKANFKKEIVHKVENIRILKEIKDNKYYKLDGYRSFDAFTKEFYVAKSQAYKYLKLAAALQDGVLNENYVIENGIHNSFNYIK
MSIKNKMIITKRVDIKENISKMESLEEIHKEEYLRLKDKLKTVTTDDIYNKIETAKILNTINQKKLYILDGYKNFYSFLANFKIAKSQAYKYIKIVSGVEQGIIDYNFIANNGIEKAIKQLEGSNIIKKSN
MVRIGLYGIFGVYNFGCEAIVRGAYKFINKIYSDAQIMYFSYNYEYDRKALSDLDLVVVPIIKKASFTDKKQLQIVKKEDFIVMLT
MRKEDLADILDWSERFWLLAGESEPFPRSLESAVSRALPLAIVKLPRLDGDSINEYLRKSGLNLSYLSTPRSLRGCLLARGGVGIVFLDGTDAADEMRFTLAHEVSHFLLDHLHPRQRAIQSLGSGIQDVLDGLREATPQERMNAMFQGVSFERFEHFTDRRTDGTIGRLEILRVEDRADRLALELLAPLKCIQESLASRAATFKDARTQALLATILATEYGLPGKLAAAYARFLIAEHAPSRSFRDWLRGKNI
MCLYPVKPALLPRCKPGEYYDGVEKKCVKPALLPGCKPGEYYDGVEKKV
MGKTDLVESPTEEFNHLKLETGKGGIHGNHPRTVDGLTVRSAAQTVIRSTVCRSDRRSKVNSQLAENSDGSTSDGHNS
MLDPDDLCRYACFSTACDSSELTKDEVDKVIEDDLTQKLSEDLLQSIERKFQVDLQRRHRTHSGQPSGVAEYARPDEAARRGNRYLHQYYERMRLDHQCYYQLATDKKTIKSSSDLNILQTVEMYDRLLFILMQNQETRRSSQLTAPIESSKIKIRNKPISRQDVSLRREDDRTEPRTSGRNDRDDVERSEYDNNIPDTDCPACVEKGLTCIGRCPAERSKRE
MSRDEFLVWQRKLGMMYKEIWCISSFAEAESTLRGRYRTLTKCREARVRKPEWSEKDLELLTCAVRTLSKTSHPDLNPSKAPWKKVAEYIVVHGGSYYFGNSTCRKRWDGIVREEAIKRRS
VNEGDGWLRVGEKYLPTTVRDIGVITQKVVGDGGEKVKVNQDDGSWVNGAIRSVGDWPETFNVHVMDNGAGYDLDNFPLRRILHDLEAPTERRDEGAASKLSLIPGLEQDEADAPAEYLPLTGDLGQDLEEGVF
MMKAFLGVLAFMAFLILVSCEQAPVSPLSASSAASAQIAVADVNTTVTPVTGVVFNNCTRELVAYEGTIHQRVTTKTKKDGSSSFEISVLIKGSGVGRTSGTSYQFIQHQDDVKDFEVGPPFPYVRVLDRTVRLISQGDATNTYVIFRTELNVDSNGKLVSRIVSSTTTCQ
MTTQVAGPSDGDQALFQAGAKEVGARHRCLLFNSPSNPTGGIAPCNSPRRAAIIVARRLDDDTVRVVFFIFDNRASATWHLELTAKGAGTTQTVAFDDLTNKRRILRSRVDLQGVDNPRLRMVATRTDGRQCRVRLNPPNVMTPQPLAHKDIGKLARRFAAPH
MKKELQQKLYNKYPELFVQKDLPMSQTCMCWGITTGDGWFYLIDNLCACITNYCKNNNKEIPQAAQVKEKYGTLRFYLDNEDTLIDGMIWLADYLSGTICEVCGSTENVTQTKGWIQTICKPCLTNKKEKYHGRHI
MGHIKEQDLLDEIRFDIKVKDLLKARLVLASLEQVSRKVQKQALFEVSRAENDFAIPLLAGVIANSPNVHESFPHLKETMFSKILENPEVLLDLLSNGKDLRSRTFLAGVAGEIRLEKAVPILLDILTKEKDVNLIESAIISLGMIGDPAAVAAVSKYLYPGNRELIIASVRTLGELATPEAIRKLGDKLGEDPDLDHMILNIMAKAQIPQALETLNKTLGSQHAHLRTAGKQKLGEIGVMSVRVLIKNLLRDNPDLVIHSLNVLGDIGDSAAIPPIRKLLFNQPKDPNVRFASYEALGRLPLDKGVYTLTAGLQDPVDNVRAAAAKAIDRHYNAVLAGGIRNLTRSVDTEALKIMITIINSQCGAIFLDLLEEDFFKVTAVNYLATKAHPDIRLHFASILAQAGHNDLAKQITPGKTAEDQAKLKVFAVDDSKMILNIYRSVLHNLGCEAQLFEFPAKALERIRKEKPDVILADLNMPDITGIDFAKAVRQWYRKVELPIIMVTTQSEAQDHKIAYAAGINATLQKPFTEELIKKALAAFAGKQHLRN
MKNLVKYFSPVIISCGLLACEKPGNPDIPAAVWQKHPLPVLERGKFPDYDFYAISDGYVIREGNVYKMWYTGGGAVLPDETLRSRISYATSVDGIKWEKYTTNPVLDVSGSQWDSLGVETVSVLLDEEADPKERYKMWYAGQTFNDFRYNIGYAVSQDGIHWDKYQNGPVLTVGSTDEWDNGFLEGPSVIKVGSQYKMWYAGYDVSVNGKPTDGKVNIGLAISDDGIQWKKYEGNPVMTTGTGWDAETVQDPHVIYADGSYHMWYGGVNKVDLNGQEIGYAFSEDGISWKKSANNPVLKRGASGSWDSFTASFPSVLIEGEELKIWYTGKNALDASWPDPYYWEIGMARRRFDKELRE
MANILYQIKRNKKDFLQTANTLFLSDNIIRICDVASRKEVKQLEGHSDSVNDVKYFPDGQTILLNFYAHLINIFHFKTTKKALFTIIWIKMHFTKFVYHTIFQIDKYSIIGYFSLFSEKSLICVFDFSSAKMHLGKKFIFFNISTFKKITQTQGNIRDSRHTEEGDVSVITEKELKKTRGGRKANHKKKEKINNCIGVSLFFFLLEKTISKRKSCAYSFWRNDNAKKIWYWL
MYVTLEDIKRHLNVDFNDDDTLITSMIDAAEVSIEQSINTPLAGIAVEGVLPKDLIHAIRILTAVFYEYREGFTHGKIMQVPFTLAHLLTPYRKLS
MGKTHAEIALLEGKSFTEIERCLERAVVMLDAKSVEEAIVKVNGLVPIDIEQSA
MTIPLSASGGVLFKCTAETECSVEGTEPSCGDAPDERKTFQFVSLDGEKILMRQGKHLETIFEPVGRAMFMYSTSSSDARFRFHYGVLKSGNGAYHLTLTDYDKEGERIAHIESLGTCVGGW
MVRVLCGWLADRLECGRLSLEVVEGWTPWAFAKGDPGKVIAALELLATLVAVRLWVPDGDPKKTSRVAIRGYTDNQSNESLLRKAMTTKFPSTLVLMELAEELSAKNCELQLQWIRRDLHQLADDLTNENFASFDPNFRIALKGELGEAKRTKKVRATSSTIVDPSGANELTERTERTREWSERESDVSGVSGAPLLDHPPKIDLDPVLLLPTFGHSLNVVVRFQFDIHLLRFLNFTSLASEEFAYLWCLNGA
MNRRSIKMTTSQFAKIYAITKRTLHYYDTIGLFSPHSKGDDFVISSADEYITQMMIQSETKER
MFLLPIAELFFSADSFQYLQRKHKWHSKTERARLAFLIFSSARTILLSAVYSGFHCARKHFHSMLHTAFLVISTILWIVSGALIRQMCGVIDCNEGVGEIRDGLSECHELKIIEILAWVLAGVSILATIPVVMNAMKRRKRQAERKTNVSG
MPTFTSVTRTCEEEPAGRAQAPVAGAELAGADGLAGAVVVAVGVGALLLVPVLGSVLLLGDVVGPAGRLSRHCWFVWPLHVQWMMAAPFAVDRPVTSTQRPFCTFLSAYPLPDRVATNFCAAPPLQVATSRMGVSVDTPRQPPPLSGLIV
MTTSPLDAVFDKAQHKLSRDAFHDWLCRAYGVMSEALANPGVYSERNTVQILRNAITELSVEYAKKEEVE
MDRLVSARHDDSIGAQGILRLQSRCFDNTSPSDSDPFFSFTFISSTLYSPFLLLVDGDLRNASRGVNLIVAHKVSDQRIWRFKWINEYQQPQQPPHPPPHS
MRNTIKINANIPYFLQEIEINIASLKELNEFNSRFCLLLAYKNELEDLPPIIDLKEFLKKYFNLDFKMFIFIEDSFKELFKSKTITFKFNDYEKIDSIWKINFNELILNKKIKEKIKNEEYFSLTENFQKKKKIFLSEVLKNKIPKEIESKMNYKKIESNNQKIDFQIQNYLDSNYYETLGESNIKIDHLSQEIQSINLVDNYLTYIEKEHEFIIDEDKNLLPKNRRAEKLIEHIKLGLIEENYLKDIIFEKYNITLKKNNFKEKLKSEIIYPDFEDYNIYLDNILKEEVGIEGEICIYNNNIYLVNIYNDKLKIHDLNQTLLLNRFSLQKIENKYLDFINLENVVNKNLIEILLKDLENKNNYDSIQINFIKANIKSISITEIWFEKFVKHILNSDELRELIKYSSLDLSKNMINLLVEYSDFSYEELYLNNKWEYKEKEIFTNWKKEVNFWKIIKMKNQFDVSTKENLTLEGLKFLKNKIELTDISPIKDLRVDNFYKELNKQIEIKETPTEDKITVRIINLRKKLEQKVGVTKKKTFSKVLSANYEEDGAYSFYKDSYKFLSEFVHGRAILPNDENLEKLGYIEKTLDIVNLKKK
MNENDNFKYSDAIDYKKAIKEGQKEFDGDIKEWSLEKDDGKLVYNIDLKKGNKKQEVTVDAKNGKVLKSEQDQ
VEFGSFDILQDEAIRQGLKEYSNWPTYPQLYVRGELVGGCDIVTEMAAAGELGSTLRE
MQRLTKIHTILPFFLLGTDFLFAYVAPPTNVTLHCHNLQNLLEWDYDQMLPGLKFRVDIKSDYDLKNCQRVVWVDQPPLQANLSYLSNPNAEYFLTVTAVIGQNESDPSSGIVFSYFHGSLVKQKCSLDLPPVNVTLLPDDHVQLSFEHPWLLYHEKLFICESPKKKKHLPVFKFKVTVGQREHHHTYECTDHVCEEKLREGCVHSKNCTEAAQKKHCLQIKGELEKMQVKSKQSCTLPPTRLTSAGHDTGVVVAIVLVLLAAAAVACVTIMVVIKKTRPSSDLPPSILHNSRSNQPTMLMVKEPITAVEQPSSPTPLLTNIDRNEDEEFIHVGNGSTEQDFRMPIGITSNDHDGNMNNRQSEYMKGSNLEEEEGEESETPSCPYERRAVVVELAPDERADGYRG
MIWVWEWDGALAITLYVAVPTLIIAFLLWYYIHKLLAFIRRDKSKLKTHTDKDIENVIEDIFDQIGDNSIDISLNGSHSDVHHMSHDSKSKVKKWKNGSKSCDTSAGITLIPTDEDVKSSKSRSSKSSGDSHQSRLLLSKASERYLTNRSQDSSSDNSHQTYRVKSKTSRKSTEDIPKGQKPSKPPRTSVLTKESAIDVKTYHELGDNSPKLLDDFSIEMLMTSDSSSGSVKTVIESKKLLNTSRKSSECDTSLMVNESNVSMRPMGPFDDNMTPNQTIKYSDKKDVKRSLSKRIGAKSEAVFPRFQSDPNSTHMTFTEVIDLAFDDLIKTIDDFSD
MLGLLIRVRSIIIDLGKFLSERLKDNSIGTDTDTDTDTDTDTDTDTDTDTEIIVLCIGSYCK
MTDSGCNINIGVLGHVDCGKTSLCRALTQVASTASLDKNPQSVRRGITIDLGFSSFTVKQQQGRDGTTTNEDTDELASSSSTLPQSFLSEGYNSIQFCLVDCPGHASLIRTIIGGAQIIDICMLVIDITKGIQTQTAECLVIAEILAPQLMIVLNKVDLIPSQIRDKKINTMMNRLKKTLSKTRFTSSVPMVAVSTKLDDNNDSIYNPIRGAGTILTGTVISGMVRVGQDVVILDSTTGKMASSSSTTTTGGSSKNNKVIVKSIQMFKKNVKTASEGARCALCIPGINANTLERGLLAAVDKIRYFKDPIRSKSRIHITCGHSTVMGNVLFMKKYCYNSIYDDDDNISTECGKIDESDNNKKKKNGDANNDSAMITSSIPITTLGGGALLGHDEYMAMHGNTRGDFIYKDQLLLPQEDDDDEYDKANIDNNNNNDNTLAQYHHQYYALIELERPIIACKGSVIIASKLDKEYDASSSYCRLAFYGVVSKTYKTVDGAGSGREDLSIIKWKYKEGVLERRVHTDDDTTTYIVKNMFKKETDLTPFIGMKVIHKSSGITGIIDSRFGKSGKVKCVFHHTHGLPNVKLDSRGNVLMTSKSDDDAAAEAAVVLLRFKKRLFKSKASKIPIQYGRSKSRTINQPVYVDNVDAAANEYFQRKGLGSDNEHHTSVIMKTVEVVLDPSKMMMVRKSSKVTEVKYGDMYK
MCFPEYVFVTRHPNLRYVRSGKKNVLVNLPFVQTMEDHKCSLCYFYSQI
MSEKLAPAPRTGMRIIRITAVVPLLFSLVAFILTLLTVISGSRPGMLEGAHSMALNTTHLGENIIQFSAASNGSSTSSDPISSFFSSLLGGITSGIDGALTSAEASAVSSVISALGISEYYTFHLRTICEGSLSDPSSANAKFNISKCSSYEDTTAGLEKMANIIPSSTVIGLTNVTVPLLSTVSSSAGFLNSLSQGISTTTFALFIISLVSAFFAVPASGLAAIRPSSPLLMWTSFISTGLAVTFQFIGAIIVTAFAGGATAVVSKFGDGVGLYANIGIQLQVFVWVSFGLLLVSFAYWFSVWFVEFRQRSYKARRRTSYEIGNWKGIFAEVLSDLRLPKDDDSTAMTTALAPKSSKPAAARNSYGVI
MAFVLSRTEVEALLAGRVDRNLDRVDDPRRSATEQASAASPEWPLTTLQRLESRLKAAASELTTVIGERLAAASDGPIEVEYLGVAPGARLPQDSGEVGTWVLQGVRRRDSGRIAIDQPLAVGLIDALLGGTAAASATSADRQLTGLEWSLLTRAVEFVAAVLMPSGNGEDPAVLETARESINVHGETVLATTTGPPVRTVLAGYQWKLPDCRGLVHFELSAGLVERLTEPMGSNDSVLDEATLSQTSTLVARLPTCGLATSDLGELCVGDVIVTGQPVADGPGSEWEVLVDGKPRFAGEPGSIDGRRAVVLSQPDGV
MDDQHLKAKRYGVEVFLSKENPELAPECPHGPMLLFERFYKDGRLPRRFYACSASRDRKHCSFFQWEGDVLSEARKEAHQGMIQTFRQSHNEACLRYSSIFHESDNLQGKKSFLCHSCGLLFQHNQKKNHLSHDYEEAVDLSKPTLILRPKENEKTQAQYFFSSKTTQFLICLLKELGFHNVLCVGTPRVHEEIQASRKSDNFPSGCLDSLLLDIDFRYAQFFPPSKYCWYNMFNHFFFGKHSSREVFNLFIRRKGVVLVMDPPFGGLIEVLSVSVKKIWDTWRQAHFDGKSGVELPTVWIFPYFMEPHMRAALPSFNMLDYKVDYDNHPHFNSKSQKGSKRGSPVRLFTNMKPADVLLPSSEGYRFCKSCDRYVAPENIHCEKCNGCMSKDGRQYVHCEICGTCVKPGRFHCMTCNRCETKGHQCKRSVDVGTCHICGDFGHKRRDCLQRPDNSPRKRRKLNSDGVQINSNEEGKLLKKLPQRKSKTEKKKERGEKKVLTY
MGESIRVVIESDDGHPVCKHGPALLFERRIENEFQRFYACSAYRDQNECPLHVRVDQNEAKLQDRHLLTENKENSLNLAHDQSILMQKVLKESTFERSYCHTCHTFLIASEKISNAHKHHTIQKSITNEQIQRPTTFLRSLSNDKREAQYFFSDESLKCFGHIFKRLQINKIVCIGAPRLHEFLKYHKSKLHIESILLDLDTRFYSFHNRDGLCDFFHYNMFNNYFFGGDEVQMKFHQFLQNANNEKCCIFTDPPFGCRTEPLVSTLQTISQTYRRLNRSHDILPIFWVFPYFMEMYITSLMPEMDMLDYKIDYTNHETYHSGQDGRKQGSPVRIFTNVPSHLIDLSIVNGYRLCKKCKRWVSTENQHCDQCKKCPSKNGDTYVHCKLCATCVKPSYRHCNNCWRCTQIENHQCNKYQLQLKCMICLQKGHNETNCHKWFAVCGKNTKEITKLKAKSIKIGRRICLLCFKPGHNENTCAKRKHLLDEISFLNQCYNKLSNIHYTVTM
MHEAKHWLHLICGRSRGRGGRNVGGSFAGFMGLARVKESGQKDRFDSTIGWDEWGNNNYVHCTSMILAFMTKMPNNWPYFVDGSSCFVRGLAKTWGNGFGSEKAGDVASGAGPIIVMHPNPPPPSLKTFRWGELPNCPSPSQLQEVMEVLEAMTQLRDEAHLALGNILAALNTIVENTQLGGT
EKEHAHRILTFNPQLLSVVDQGMVNFSVNRWVYAGRNPTWVVFSYPADPPAKKDNFPPSTRGYLYYQKSLPGEHGMSGGLQFRCMTPGAVSFDDGKDLLHKDGLPWKIPLYNIVHFKAYAPVLETLLLEGSVSQDLVAYVKRIPVRRLSRRSAILHRGLDTFIADLSRSPRFVHLLMPGSVITIDSFISRVFYCKSSGTTPFLGRLRLRLERSTLPQHADSCHATVRVLEIIEPIQRVVPGDNFEIAEPVEGELIRRRSSLGTEYKTYTCKLSGFTNDVLSTFFTGEV
MVFWLVTDGQPVCLVIEGELPVFFLETAAYEQAQRLLSNAQITFSHRHLELTTFHHQPVTAFYFNTLDSSQRARRLLSSEFLPVFEADVRLHDRYLMERFVYGPLEFTGEPIQRQGFLEYRHARIRPARYVPSFRVISLDIECSVRGELYSIGLYGQNISTVLMIGEPSADKEPSADKELLVDAELTIQWVADEKALLEALVVQVAQYDPDLFIGWNVINFDFRLLLKRATWHRMSLKLGRGGQPASWRERNSDAAEGDVHIPGRLVIDGIDALKSATWHFDRFSLESVARELLGKGKAINDVSNRMSEITHDFHHNKEKLACYNLEDCRLVAEIFEQTRLLLDFRVLRAQ
MCFECLMVKVPRGKQPGLLHPLPIGNRPFETVHADHVGPFLTTERICRYVLVFVDSFTKFVLFYAIAGTSAEETVQCMRRFVGAYGLPKRLVTDRGTSFTAHGFATYCEEQGITHVLVSSRHQ
MQHHFIKNAHDKGYFSVKRILEHIKNNYFIPQLQSKIEKIISNCVTCILKNKKSGKQERFLHPLVKDDIPLNTYHIDHLGPLATSHKNYKFILAVIDSFTKFVWLYIYPTKTTSTSEVIKNLDIQKTTFGNPRFLISDRGTTFTSDEFHTYCSEQNISLHHITTGLPRANGQVERINRTIISVI
MCVFKDQYLIAFIVVTIKLYRIVSYRVLNLAGRMTSWKNKLLIIGFYVSVLYSPVTGQNEGLYIYPKDEKFYVGSDVTVKCKFRNETHNQLVATNWTDWYTGSVLTAEEEYMKTNVNKGYHLIVCRYNNLSNRTTLRVEEPDIRPVVNCTSLSEFTCQCKWNIVNGVHYTYEIRTHGTIPWTLQIPQTHSGIASTSVIEAKLYQDNLQDIRVNATKDISSASWITTHDIMRKLKPNITYKESTMTATSATIKWRGNSKPEYWEFLSQFKYEECDGETNETFRMLPDQIDVNSLITKTIDDLKPYRFYKFGIRSRYTRPGNGYTGWTYVVLRTNESRPSKEVQFEIESQEDDTLLKWNDVPKESQNGKILGYTIYVNSESYDVDVNNTEYSLKGIGGGNYNVCITASNSAGESPINCQPVNLTTQMELSSTKPHEEPKLIRL
MKHPVRTLALLALTSLAPAQAAPASPSLPSGLVGSWLFGSLGTIGYTNTRTGEWQNASSTGELVTIRADGRYERTRMLVMTTYSCTSRLHIYEKGTVSVSGTQLRYQPQEGVNQGYTCSPSNGWRTTTLSPESYTLALGKDSAGRPQLTLHSDKATYQYRPYQP
MGNLLYGTTEPKAPTRNANVILRPSGYSSASSIKTNSTSSSIKTYSTPSSIKTNNSASSIKALNNSHSPRAATQTGRRRQTLERFYGFFRCPKCRKTWESTHVYCKPRTLTAVYGQECKECRVECRPYKVEKLICSVCHSVECVCLFKERHVDKTKHHRSDLCLKCKAGLPCV
MQMCGGGGAAAPPPPPPPPPAPVCCAPAAPAAPPP
MTIRLPRVVLASTLLLALAGAAVLLRGTPDAAAATGNKVVCVQVQQMPGRLDEAFIANFMSEQIAEGRQRFQTVQGVSTVLCAW
MELTLGPILFDWGRGEVLRFYDEVADLPVDRVYVGEVVCVKKLGLNLDDVAAIIKKLESAGKKVTLSSLAVVSNEDELAFTRRLAGLHGSIEANDMSVLNIADASVKEVFAGPHITSYNAPSIEFLKSIGVARVTFPVELSRESMASAIRETGVFGEAFAHGKVPLAFSWRCYTSRAHGLSKTECRHHCAKYPDGMELKTVDNGPVFTINGTSLLSADVYTLVEFVEDLNEIGVRALRVSPQKEGTRAITEVFRKRIEGRLGPDEGLEKLKALGDRFCNGWYSGSAGKDYIERARELSKTL
MARATPAVALLVLAASALLAPVIAEGPPEDCSAMDDSQKCQGSGPSMLQKEGRAAKASLIAEDEQDKAATAAMAAHGSLMKKQNSSICFSCNHGSRKAWWNPSCRCHSGWEGTCCDEPATCTQGMAPICPQRKLKEASANCADVSGTGPLTLGTAAMNLPNSLQGLFWLTKQGDSSSLASFGTSKDGHGLSQIDLDPTDGVHIKVRVGGDGVWSFADKASSWGLVEWIDLIYEFELKDANGRAPTNLNDAVEAQIIPYARNLGIRLSWTFLLDFDMKLLRQEEKTKYKNSVVWGRPSSVFGFEVDSAYYDLVQ
MASSVRLSLLALGAGLLMIGRISAQTRSSSVSVQTINNADSLESTFAVLGWRQCLPMDFNSSPSWYSAKECNSKVTNGGGVPAECAGVPALVQLYNCLAHSRYQVDTVDGNLCFNVTLKESLLLSYSKDASWHDAQENRDVACRLMSSAALKPRQQAVYSYLCARFSLFADCESQRSQTPDDLDDVSLTQRISFLQGNCPMSPNSMLDAFGEITGHTNMECGQRPNSETLKEQYYDAIKHVSCLLQDFIGDDDHLNFYGLVDALAKKFGGYWSVPLQWLDDSCSPLALNIPEITVNQFIDCWASRGLAYCAFSDANLLALDMPESCAIVAY
MVKIRRANLAYYKENIEKANFSIKIEHTNPLYQNLIDAQKKILFMRQAKKKKQK
MGIASGGLSEDASVVCAIMTEFIAHLSTSWQADAAKQQRRAAKVARALGALTINEARNYLFRWDAFSPPYQERLAAAESAAPWPAVLLVPLPLLPALAFMAFPYAQASDSWEKQGAFFGVLIAAAALMFPVFLFTVCGVVRTRKMNIVLVAWAFLAGATAPATDFVGAVIFQVFPLPLQLMIGTNAGTCQMVWLWWVLPAELRRDPAFRWRLLFASMSWAMAMGVFACGFLLLNVVLARARPLLQIALTVVYLVGKLMFERFGIFLSKRLGADIMPSFIYLGSICYEMNLCVALAGGVRPGAFAMLLGIDAVENIFHLVSMVRNPSPKVQQFIMAHTLLREFVEVVVPAQFLLLLTVLRHIQPRYNDLVCSLSDEAFRSLQLALDMDVAVEAVVCLLVQVVLLYKGLTPLTLLRGILALHWPEFLAIHSSLVCYYMWSQHSHMSMDLSWAFAWLQSESAIWECGLQWRALV
MGTYIIELEKVKEKLESLFANYSRPIENTSTSETQTSQSSQKTPSTTQSITMIKSQFLQVQVFYLTTLPICILQYMLLSFHYEIYDHLIHLHRIMTHLK
AANNQVSGYTIVEGRPKLLLLTSQPEAISHFIHLLEKKEFQCEIRSIFNAPSSLDELQDYDACILDNISTFQLSQHQLNLFSRYIRDLGRGLIAVGGVNSFGLGGYQATVLEEVLPVYAGIQQKLISPTLSLV
LDNTKGKLQSAADLTVNTQGAALTNQQGTITSNAKITLTTGDIDNTKGQITGDKGLEISSQALNTTEGKLLSAADLTVDTKGQKLTNQQGVIASNTKTILATGDLNNTEGQIAGNEGLIITSQMLDNTKGKLLSAADLTVNTQGATLTNQQGTITSNAKITLTTGDLNNTKGEITGDTG
MGNILKINILIFGFLIYTKMAKEYTRCELAKKLKEYGFDGTFIPAWLCLIDAESGRRSDKITTHGYHKRYGLFQIQSMEYCTPSKKNGGGICKSDCIDFVNENIQDDMNCAKLVQTKFGFKAWPKYETLCKDYLNRWAEDVNKCLYGPSLFKTVLPEAEKSLDSYNDLNSIESDKSSAEYSNKVSFLILISSIAMFLNFC
MSATQNPSNWTAAVIGASEIILWVMSAREVLHRHSSPRTALPPLQDLIAALKQTDAAKSSPVLVAAPVLVAELGPAAAAQVPAKPADLVPELQEQDGWQLHILPNLVQKSPLALMQGCGTQIAGFLALNPKWDGVICLPGPVTHWVQVSAAEVVSFQSTLTTGLQATLCDSLDLGTSWAGKCAAGGRCRYHIQTRAPCLPTGRAAGDCSAAIR
MPAFCFSVFVESFPGMVAILSNFLDNKIKHYFISGEVNGRTLDFFPQESGLCLRNPLLSAVGKLLSGD
MRNRFVPLLALVALAAAVAVAAGGAAHGPSAYVLPGNNVFPEGVACQRGTNTFFVTSTGDGSIFRGDLREETTTRFVANNTPFSSIGAKVDRGGFLWVAGGPTGLVNVYSAGTGERLVTFSTGTGGFLNDLVIARSGDVFVTHSFRPILYRVPKGADDIEPFLSFVGTPLQYGSGFNLNGIVATPNSRYLVVTQTNTGKLYRIEVATKEVTEIDLGGEAVPGDGLQLRGRTLWAVASGAIVKVRLSDDLTRGRVVSRTVDPSFKSPTTNALARGRMLVVNSQFAARNSGVPPVLPFTVSSIPIP
MLASIITTLFFSLFGLTAAAQPKTNAWALPGNNSFPEGIARQARSPYFYTGSWITGTIWRGNVNKPDVEVFIPGGNEFNITSASGMKVTKDNNLVVAGAATGKVFVICLESQQLLHRFQAPEGALLNDLAVAKNGNIFITDSFLPKLYVITPEHLENESVDQELETFAKLEAPFEHETGQFNSNGIVVTDDQENVLIGDYNSHAIFRLCLGSKTITKVDFGNVAIGSPDGMLMRGNTLYAVNGDSTLDTEAFIDVFDIRKPYTTGKHVRRIKGQGMLLPSTAAFDGNDLLVANFQIGKAATADPTLPFTIVRVSTKKSRTRGSRMPA
MRKLRMLLAVTVVIGACKKHDNPVKSDHYRDVTYRVDARDSFLFVQFSRAQYDNVAKGNLKIDTNFSKPGVYFFKATVLADNNVILYGESRRSGNYGLQIIGPGNVLISSIDSLTLDPANQLHPNIWYASIKANF
MNKADKETLSAIIQTQIGVSKKLKGYQYLEDAVEIALQNPQMPVMQIYQLLGNQHGRSAVSIERTLRYAIETAYDKTQLNGSFPNSGGKPSNSEVIWTIAEEIKRVRHYACRKA
MQTLDLFTKPLTDKERLWEWLKTKEFVKTSEILFWGCNNYSNRADRNARLLAQEGKLERLSKDEKILRFGNIGEEVYKVILTNQG
MDGPKLCAANVLEPFTLPPTNASIEDSQFLNLQKNGHMPPSRRTQVDSSGPSHPYRGERGNGVRDTNARPDASQCNDGLQDKGNVRVLPSNLEQISRNSYQGSSGAGNNTDSFGNIFKSKVDHCSESEIIADCKALNNRIKNTVLNVAEHWTKVSFRKPRSSDKELRARDRDFLRSILGETLYNSIKRHGQSRSTLTEIEGDITDALQTLAAYISNYIISDTFCPALSNHCDTKNKDIFISMIFHGMSYWFLHSIVRILQPHHPIPKEPQVTALRWRALTYSHATRSTPNRSAPAINAFLSSFQSRMAYDFKLIFLLAGESASSPFLSEVPQILFKCGVIESAVELAEKLKTSIFSTYIDTYWVKPKLVFDESLMDEDGRIGKSDSSHQTLVACTMELGIREIVTDNTATQSRKGRILLKPKVLVEVSDSLLIYSLL
MKERYENKNMHAVSSLSLLLGCWFLPGTPAAL
MKGYLLDTLQLVKLFRVYNTRTRKVEENLHITFLENKPMIVVGRPEGLFDIDALSKSMNFTPVPTGTNTNDFAGKGANFAAGQSSMETGPSQDYILMPLWKDSSLFDSSSQALDGHNKDKHGPSQSSESNNQERPNAESSTKTVNTPGPVCNTLKSGWQWNIEYSRALLHRSIAQDIRTTTKRVI
MSGGNTSAVVVARANMMTAFNDIPIPSHRRSQLNIEGELNGYSTNIFSRDPIAEMERELAVIGGRGKFKMEK
MFFIFPEVSSSDSKHFVLIIFVSFFRILIVGIAIIVIVYCICRRRRRRLQILKEQNERPKSRERPISKSVDMKTAELKEDSPKREIKDKRKTKTKENEIDESKKGKKPKSKLIKSDPGPHSFQPPPPGRLVTFEEDVESKSEKRSTAKTQNDATTVETVSTTQQTGPEPESKAKAGLKAKAKPKAKVVENENIHPILKAIQKLKMKNKMFSLIIFF
MTGTISAANTTSSTNTISTANTTSSANTTSVANITSSTSTISAANTTSSTNTISTANTTSAANTTSVANITPSTSTISAANTTSSASTTSVAGIASTTASITSANISAATTTETTATTETTTTTDTTTTTDTTTTTTTTTTTETTTTTETTTTTETTTTTTTTETTTTTTTTTTTTTTTTTTTTTTTTTTTTTTITTTTTTTTTKTTTTVTSTTSTSTTTSMAT
MGLFSRKKNTTTDETATDTTVAAAGGADPDGAVDGTHAAAARATPGASAGSGSPAASTTEAPRITVSRAAPTNTSSADPAAVPTGARTAAPVPPHRKAAPVVHTALQEALTGWSTTKNVQTMYSVVKELAAGSLLLDIGSSSLADPKRGPQKGDTISIAHQVDNAGKKVLLAFTSGERLGFYRKTSEPVSLVESAPHVVAMAVSKYDGLVLDPGSPETQWIGYTDELVRGLTSDPSENHAVKQAMAEKRIAWPEMLRLVRDAPSLYIASVAQRDETGEITSMGVATVTTHEGDTLSVLLTSPAEVGAWAPGADASPTRFAAVAKVALDQGHAGIVVNPAGSMLTITAAELRALLGDA
MGEKNIRILLIEDNSGDARLIQEMLTEEVDSPFTLECAGSLAEGLERLDKTDFDVVLSDLGLPDSSGLETFFKIDAQAPRVPIVVLSGMNDESLAVNAVQHGAQDYMVKGSVDSDSLRRSLRYAIERKQIQESLRIKDRVIESSINPIAIADLQGKLTYVNHFFLKLWKYESVEGVAGRSIEEFWHRSAEALWIMERVLSHGSWRGQIRGRSKDDAELDLQLSAFIVKEKNGHGEPLCVVFSFVDITELTRLRRRLKTEQSFAGIVGYDVKMLQLFDTIRQVAEVDVPVLIQGESGTGKALVAAAIHNEGALSDKPFVPVNCAALPEDVLESELFGHVKGAFSEAASNKKG
MSVGGVQSSSFNDRSHWPCRWNWCNSSFITQTQLVHHINETHIQTMKPVKRRDLKAILQQEPESPSVANLSALERLADRTISTQSTTNSTQPEKTMPQTEAADPSHPDAAPQPLEASAQPDVRLNSLEPPPPPPATSGFRRFRALGGLRGETPSPAPLPPSPDVSELLFHAAGSRNLLPPQPPGSPSPTKKRPRSPAARNSSSMSRSPSPSRSRRSPLPDRVVSSPAPNAIATLSTNLTPFAKRLKVSRQTPPPPPAAALFSKSKPKTPSPQRVRVPSPSPGISQPHVTHRDPSSSPVTRSAPPTTPSPSGLPEEPGSSPFPEEDEDEKEDGPGTDGEPGGSRATTGDLDELEEFYGSKASTPKDVSENNEGEQSQSQSLNLKDPFGFQTQAFPRSTLGDDSY
MLSKSQPINVLVNDQLQQKINKNREVLKSIVDTIILCGHTNSALRGHRDDGKYLPEAGGYANQAGVGNFHKFLNFSIRSGNQLLKDHLESSAKNARYISKTVQNDLIGCCGQEITNEIISEVKVNKFYSIIADEACDSSTKEQMALILRYVDSTCEIKEDFIRFLHCSEGLSGADLFSTIMKCLKEDLGLDIMDCRGQGYDGAGSVSGHINGLSSHILRLNSKAIYTHCHSHKLNLTVCDSLDILLVSEVFDKVKELSYFFNLSETRQNVLQKFVVECKPDTSKSKLKDICRTRWVERIDALEDFLKLYTTIVKCLKYMSSSDCMCNRDTKSKASSFVNSISRFDFLISLVCTTKVLLVTLPVTRNLQSKSVDILKGINLISALKKELIDTRNSVDAFHNNVFSEAVAIANELDVTIKAPRICKTQIHRSNTPFDSVSDYFKRSVTIPLLDFLNTSISKRFQPETVAAYKGLALIPSHLFFLKSQGKDWKNEVQDFITFYTSDFLSVNALQSELSLWEKYWEEYTDTLPDSISLALKAVSFPGFENIKIALRILGTLPVTSCESERSFSALRRLKEYARSTMTNDRLNGLALLYIHQEIIPDKDKVIDRYALSNRRLEF
MDVTHEANPHIPNLPILPTTMRRRKVSKCQIPGGSGAVVEIESSFDMVMHPKRKSHEGAAGQKQNSNAATLALPPNPEAPPSTHTALVILIPGAYKNGRSEGFTTSTGFNFSSLRGPRASKYSGAGISTHTTVEHGTSNYCITGTPRATVGEPICIEYGTKRQFSVDWLEKYDWLRYSVSENSVYCAPCILFGASSPKEKTFINTPVSKWSNLGKYIKRHTEPGSSHHSCCASASSFMKIIEGKQASITAQLSRNKVETVAKNRQVLRSVIEVIILCGRQNIPLRGHDDNNSNFTVLLKDKANRDEILKTHLSSKSKVTYTSPMIQNELITLLGNNIKGKIVQQCNDAICYSFLADEATDASTMEQIAMCVRYYSETEQDVREDFVGFVQAESTTGEALFNKFIEGQRDAGLDISKMRGQGYDGASNMSGCHRGVQARVQQVVPQAMYVHCKAHSLNLSIVHACKEALVRNLLDTVQQIGFAFKYSAKRLLAFKEELGNDPVAQEEMQKRTRLQSLCETRWASRADALYTFKAAYTSIVSALEVLEREGDSKARSYRCSILGFDFIISLVVVEFVLQGVMPLNKLLQKQNIDLIEAAKESRVLIATFRAERADDAVWDGLYDKAVAMAEDQGVEPSKPRTARRQQHRGNVPADSVSEYWKRALFYPFLDHLVVELEDRLVSSNERFKAQYLIPSLLPELSRDHEDSIFQEYESDLSGDREAFRNEVSRWKVRWELEGTKPSNLTDTLKATNKTLYPDIFTCLVVLITMPVSTATAERQFSIMRRLKNYMRSTMLTERMSGLALLHAYRHMEIDIDIIINELAASKGHKLDLL
MSFFTKRPRATEDHASTSDDPVRLQPTPSTSQLTADVPIPTTRPTSSGDEQVPLTAAGDEQVPVTTPTAGEQVPLTTADDERVPMTTPTAGEQVPLTTADDEQVPVTTAAQQVGDKQIPDNDVGKFQRSELCNDDKLKLMKNHFRPGNKFDFPVRQHKCKTLKFKLCWLDDFPWLVYSKVKNGGYCLPCVLFATKPEGRGCEFGVLIKKSFIDFRKALGKKDGILPNHETNAFHKLAVAAFAERKHVEENPAVRIDIQLEKLKQNRYSSNKAALGSIIECIIYLGRQGLAFRGHRDTSTADPDTNKGNLQELVQFRAKTDDILRSFIKSCPKNATYVSNTTQNEVLEILGDVIRESLTANITEERCPFFSIIADELTDDIANKHILSLCIRFLEYVDGKVSDIQEGLLDFSYTERGTAAHLVKMLRSKLVDCGLNHLNVHRQSYNTTSCMSSDNQGVQGIFRREVPRAVYTPCNSHKLNLVIASASKLPQIRNCVTAVNDAFLFFNLSHKRQGFFEKVMMILHTKEGQNLQRQKKLKGLCKTRWVERFEAFDNFIDMAPLFMTTCDIIVNPHLYGNDAEISALIEEKWSWDRETKTKAQGILANFREFETIVSLIVLRNVLAPLREITIKLQQRDLDVRTAYSLLSSVKEDVGRMRAEVDDRFDGWYVEIKKIAGQLEAEERTRRRAISNAFRATHPADDPKEYFKRAIVIPFIDDVNTQLADRFSDQSVSVVSGFMSLIPSVIAKMDVKEIPTVVDKLSDYEPDIDRLASLQTELEIWRGRWIDSENTPDSLLTSLKCCPKDLFPNLHTLLQLGCLIPVTSCEAERSFSAVRRIKTTLRSTMREERLSALVLLNTYRRVNINVEDIVKRFILEATQNLF
MGAFCKFCTVFAKSGGVNNQPLGQLAVRALDEWKNAKQIFREHSKKLYHGHCVVDSNHFLSVYSKQKLSIINQLDLERAEQIKSNRKKLIPIINCVILCDRQEIALRGHRDSGNSNNQSTNVGYFRAILNYRSEGDDYLKHHLEEQGRNKYITPQIQNEIISACGEIILKEIVNEVNNSRCFTVLADETTDIAVIEQLALCVRYVDSKYNIKENFLTFIPVNSLTGKNLAESILSGLTECGINCNFLYGQGYDGAKNMSGQFNGAQTHIRTTFPKAIYVHCAVHLLNLAVSTACNIQPIRNCLGIIEKLYIFFNTPKRHNVLLSCIENSNIDIKIKSLKRLCATRWVQRYDAVYDFVELFDFVLEALELISDWKDSSGTAIEANMHAICNLLVTHVIVRSF
MILTRYIPWLVVSVKTALSIMTTKYVLKYDQKQFQVFDSKITAPSAVSCAVMCQFAKICTYYSYNSLQKICLIHSNSEGDLTPIQASKWRIYQPSYSKKCPSGWMLFMDYCYLIVYEKTTWENAENECRKEYGSLVNIENKEENTWLQSLITDDAWIGLKDPSTIGSWVWSYDNSKITFENWGPQEPDGDGYCACFCTEICNWNGNWNENYWNDRQCDYPTGYIFFFVFVFKIRNKINNGTIHVLKIWLNCRVIYGRERKLNAIWFKEHPWLRYSISTDALYCGSCTVFADKGAKDKLFINPVTDWFNLSRYVTRHEKEGSCHALSEVAAENFKSVKSGKTESVISMLSSSKKEQVLRNRHILDKIIRALLLCGKQNIAIRGHTEERSNFMAILREFAEDDPLLKEHIQSTTARYKYTSPDVQNELLIICGKQISDKIVEDCNEAGFFSVLGDEYTDKSTKEQMSICLRFIDAGTKDVREDFLCFVEPENTKGDRIARCLLGTLEKEGVVIDRMRGQGYDGAANMSGKFRGVQAIVRERVSTATYVHCKAHQLNLALIHSSKEPCVRNMMGTVQDIAFAFDYSAKRLNAFATELSNCDENVKEAMEKRSKLTTLCETRWSARADSLFTFKSAFPVVVHALEYLRDNRDEKAGAYLTASEIKLHLPINNLKLNIFKMVTTMH
MINLTKLSFADKKSISALFMALSIICTVFAGIGSFYTDVVLASTQWILLAVLFAVWGLYLKLELKT
MSPSNVKEASFAMANSSEVSAPKTGATSSDSPLESHEIPIRGSLTLQTFQSGIVYCLKFSQEELPFPLDIEQAQDVISSASSGDRDRERPHLREQVVSRPGRHSTYSEDDDELLIQLKEKDKLPWDEIAEYFPERTKGTLQVHYSTKLRNRSQTSKYKKRRTTNSANERGEIYPSGFSMELLDPQLRDALPSTPFLAATADSTNSAHGNKDHTAVQPRCSSQGVGSNTDEICEVEALLAKSTVRNVVWYLVKWEGFSDDENTWQERDDISSDLVDNFEASYQGNFGVELLRKRERRGKIEYFVTWRGRPMSENSWEKGDTIHSERIKEFEAR
MTARILVVDDIEVNLRLLEARLHAEYFEVITASSGAEALAICARETIDLVLLDVMMPDMDGYAVCRALRLNSRTQHLPIVMITALDEASDRLKGLEAGADDFLTKPVRDLQLFSRVRSLTRLKLLTDELRARAETTVDLMAGTDILARIANGGSGGRVLVFGESDGTAGRVRKYLRSDHEVAGVADPSTVLKEEATGSYDIFIISLSAVGYDPLRLCSQIRSSDHLRQIPILLLTDPADEARTTIWSGRSIGTSFPPASARRSSGGATTRACGKR
MRHTILICEDEAMLRADLVAELSEVGYQVLAAGDGMQALDLLDDSRPDLILCDICMPGRDGPDLLARLRATRPDLSDVPFLFLTALGERGEIVAGKQAAADDYLVKAVDYEVILATIAAHLRQVARVRAGVEAHLTHARAALHAPLSSDGSAIDRLAPGIVLLDQGGAVLRADTAARAFCSAEGGLSIGARLSCEGNAPLREVIERCLRGEIHGVEPVSVPRPTARLT
MTVRVLVADDDPIVREYLRSVLSAEPDLDVVATAADGAAAVEAGIAHAPQVALLDVRMPGVDGIVATRALRDLDPPVAIVLITTLDTDAVLVDGLAAGAAGFVVKTAEPALLASAVRTAASGGSLLSPDALARLVRLAGDGPRHDPRIDALGARDRDVLRELATGASNAEIRRGCSWRSRR
MTDPASPHRVLLVGAGEVLPSSLAGLLADAGHEILIARNGEEALERARKDPPDLILLDLPPPGGESPGICRRLRSDPLTEHVPVLVVTARHNPGLVVESLDSGADDFISQPVVAEELLARVRAALRGKLLQDGLKQVGGFYLELFSRMGAAVTSPFRLDEELARILAHALEAVGARKGRLLLFDSHRNRMEVRAAAGEAHGDALETGREVAEGPPPPGVIRLPLVAREEPLGALEIDGRGLPPLPPDRQKLLEAVAAQTVMFVENVRLNREVRRMFLDIIVSLAGAVDAKDSYTHDHTVRVASVSLLLAHETGLPPEERESLLLAALLHDVGKIGVPDSILKKPAPLDDSERAVMQRHPEIGAQMLRHIRPLKEALPAIRHHHEHWDGSGYPEGLSGEDIPRMSRIILAADAFDALTSDRIYRRGVAAAVALEK
MNTKIDLHQTVLALSEALDLVGVDGLQHGKRVAYMMVQGCSGLPPILNETEMFQLGMLHDIGVSSTETHQYLVKHLAWEGAEEHCDVGFHRLMRFAPLVKLAPYVLHHHTRWDEYPSLGVPPDVALIANWVFLTDRVDVMAAGHYAHDILEKKEEIIAKVGYLKGRYFAPELVELFLRLSGNDAFWLDQEPRHLVQFLQNEVARLPLVDISYKELKSLAQIFAEIVDTKSQFTHEHSLGVARLAKYLAVQFKVDSENIERIEIAGLLHDLGKLQTPDEILDKPGPLDEGERARLNHHSYETFQILHKITGLEDVANWAALHHESPNGKGYPFQRKGGDLPIEARIIAVADVFQALVQNRPYRVGLPDSKVRQIILDFAATGKLDSEIASWVAERVEICRPLAQ
MAKFLDDELVFTEEAAGDAEKREALWTILIVDDDDSIHHVTKLALQSDLYDGRKIRILSAHSAKQAKEVLAAEPDIAVVLLDVVMESDDAGLQLVKFIRDELLNRSTRIVLRTGQPGQAPERKVITQYDINDYKEKGELTAQKLFTVVLASIRNYRNLRSLEHMRVGLEKIIDASGHLNEMTALEPFAGGALQQLTALLDLGQDAVACRGSALATMAQHDGQIRVLAGSGQFAEAASHTADEALPSEVVRQLRHVLATECNLHIEDMLTTYSPTDKGVAAVLHMAGIKQLDEVDLALVEIFAKNIGTSFHNLLLRNDIEAAQTEIVSLLSEAIEARSLESGNHVVRVAAYSDLLARGLGLPNEEVIKVRLAAPLHDVGKISIPDSILHKPAKLTPQEWAVMRTHAMLGYQILRKSPRPVVQAGATIARTHHEKWDGSGYPDGLQGENIPLFGRIVALADVFDALITRRSYKDRWSIEEVHTYIREQRGRHFDPKLVDILFGEMDQFIRISQELADEDLEIEHTL
MENSWQNHEVNILVVDDDEGIVEILDDYLRELGYRVVCAANGVEALERLDEKDFDLLLTDINMPKMNGMELVERIKTREKAPTTIMITAYASIQSAVDALKCGVYDYLTKPFVLDEVKNAVSRALEKHFLQRENVNLKEMMALYRASEVISSRFVFKEVLEVLFDSVAYFTQTDFIALYLDDSGGIEADSDFRLCRRKLFCRLPTADRYLLNLLPKRFPAVVAEKYFLCSSSRIFSKNDASLSGLFKDDSNRFNYESIMTFSLKANNRLTGFLVLVSLSPEIVFTDRLRRLLYMLVSKAAGAIENTRLYDNLQRQYVETVESFALALETKDSYTHGHSRQVACYAALIARKLDFSSEKLAALQQAAILHDIGKIGVSDTILNLSRPLTEGEFQEIREHPRKGRDILAPISSLATVTAVVYHHHEHWNGKGYPAGLSGFDIPLMARIIAVADAFDAMTSQRPYRLPLSLDEALAELRNGAGRQFDPELVAVFLKHKDEIELMLSNRPLSVQPSGGKNYSCPAAPSAHNLSETISCD
MTVPAPLILCVDDEKHNRNLLRAVLVPRGFEVIEAADGAQALEATRKHRPDLILLDVMMPGMDGHAVCRAIKEDPSTRDIPVIMVTSMMAKAERIKSIEAGAEEFLTKPFDKAEILARVAMLLKVKDLSDRLGSAYANISQITTFGRAIARMYDPFKFDLPRAMDKVVELILGRVPDPAKPSQVVVGLKLGGRSWGWYLYERGDDKLQRTALKLRLEVNMDLPEGKAARVVSFSGPELADKEIADVVGKLRKSSIPVDNMLCFLSDDVCFMVLNYRRPITPYDADVINAIVAHTVFLKTIYLQMNEISDSFDYSLQALARASEANDDDTGNHIHRVGEYCALLAKELSMPEKFVRSIRVQALTHDVGKIHLPPHILQKPGALTPQEWEVMKLHPQYGANILGDSPRLAMASSIAFNHHERFDGTGYPRAISGEAIPVEARILTLADQYDALRNARVYKPAFGHAKTFEIITKGDGRTLPGHFDPRVLAAFKKIAERFEQTYDRLRDSPELANEAPIQA
MAIYAHRKGSESNDALMQRFKRQVQKTGLMKLLRARQNFKKKDTKRDTRNKAIKREEYRAKNKKKQFYSNM
MSRSIVISHSSRDKLFIVDLCEAMEAQGLFSWIDSHDLRGGSELTLKIHSEIEKARAFIVIISPDAFNSAWVAEETRYAAKVKESRQDNYPIIALLREGVELGALKWIFSREPVAIRVKDGPKGIYDAIPHILSAMGERPVADPRQQSQIPPEPVEELVLELKSPHMREKEGKRRASGRAKLTYIPSQFGEPRIKCQRIFLFISPLGPIEIEELRWYLERYYLWSTGVFRTRAENVEKKLPEWGQEFYRDVIPENSCGNIISAWQNIDPIAERRFSIFVDFDSSEDDDEAGEAANLLTGLPWELIHDGNDYLFQGARSVRSQRRSV
MPNWGGGNKCGACGRTVYHAEEVQCDGRSFHRCCFLCMVCRKNLDSTTVAIHDAEVYCKSCYGKKYGPKGYGYGQGAGTLNMDRGERLGIKPESSPSPHRPTTNPNTSKFAQKFGGAEKCSRCGDSVYAAEKVIGAGKPWHKNCFRCAKCGKSLESTTLTEKEGEIYCKGCYAKNFGPKGFGYGQGAGALVHAQ
MNPLRLDIQGGKEYLPFAKKKLRELKASMKERGISSDNRHIKLEGATIFVQSISYGDARIQSGGTRTGGGGFGNGSGDGSGDGSGGSNTGIIVDHNSTGHIRGGGGGGGNTDIFGVIPISPVGGGFFGRVGWRNSDPESAAGAYSGLITDNAGVDTTGRVYAKTTGGMDLIRIRALKKPFTLILIHIATTTDPFDTLGKDEYRVLTYQIITNQGSTIQLKPAEIKLKQLKIEDKGQNLVIQSSPREILATLPSIFSFNPLIDNTDAMERTWAAVDNLFGRKRLIGSAVAMMPTHTTATTGTGTPPTGAAGKAGLEYSYNARCNYILDPRDNTKVLSRDFRWKPTFLGFGLFYVGLMPYNGGRGRARNRWHIQYRDTTNGPWKLGGLIGEDYETPNPFADGYSWKFHVPIAALSPTQALVKTVRKEQTYSIFGARVVTIDIEIGILRRCSDEKRTGTFPFLCERGLTSYYEDLHMGSVPIEELTYQEHAEKYPTIFIEHWMVKHIVAQKAWCGDWPNYLVGFLADLKISGSAGIALPPLRMYYDGKTIHPAYAEGHFRSQNKGTRELHVLMYDNINADETFIVFYTYTELNVTAEGYYEPGVMIVHQSTNSANTYYKMAYRLKGGGVTKVVLTSGTNSINAVSCQIYKGLIAYTYRLYENNIFKHRVIGIINIASGQRDEFLSYDDEPQLAGFPLSGLAAIGMA
MAPKNATPVPTSRRPALPVIFPMFIRPIALPVDMGITIPSDMVIIPDPMDPDMAAPDVLAGASFHAIVTSALDGVGIGTIDMDMDMDDMDDMDMDIEVVAAEEEVDDAVDSARARGAKSAIAATVKLEASIFEIFRFLDIFFR
MLGGNSLSFRLGSRQTLLSFFNKTSTSNIISFLQIHMASRIQDKFSTSSLTYNSYIEQTR
MASEGEVESLRRQVEEQKIQLEEALQRVSQLEKELEEERKSVRLQVLEAKEEVRDRTEKTLDDVRAHQERMRNEYTEMLAKRDSQIEELVQKLEDAREIAPPLEEDELRTEDVAEKAESASSQSLGTTNQTGRQMEEHQSHESSVASAMRAWSLPRLQKFTGERVNDEDGFKQFVKEFERYSLLAGWSGEIRRLQFEVHLGGRALRMYESLKDDQRRTYEEARDALIKVLQPVRLESYRRNQFNSRRQREGESVSDFAEALQRLMMQAFERHSMDNELRDKILLGQFEQGLLTKWKTHLKYPLDTFEDGVSQARMAEAVEEQLLGVSARGNPRGKAVKQHTAQVTTESTLTRIPGETEQDKEAEQGIATSAKLGGPTRKPWIRCFKCQRKGHYASDCPDLGGDKGPVKTKPQQGSKPSQVSVVQQSPESVSLEERIERAKKEYEDLQLRRLRLSVAATQEEEQVAVVTEAVGPRPYSRILVGGCEVEAMLDTGSPVCIVSEAVLLQVARKGKVRSSDLKRPGVRLTDYNHQEIPVLAQVELELSVKDGLTATVPVFVSEKAKPPCLLGLEAT
PPVGSVLLARPEPYGVYGALGAEVEAAAVLDEGPRRRAPRPQVRNPQPAASRRAGMKATPLSADAPRQDRARLPVVLVTERGAQGTKGLSLGMWSGQLLGDMDFDSFMTRPLYIGGPYVEQELTILHSYPELGGSKCVTADGLYTASDFEQACRCVEEGCGSSLRFKFFLCRVHWAPEEESELAQESGVWMAARCSRDFLLREPDSSFEDPLWSQIAGRAGGYIADAARAHGLL
MGIEVRRAHDRALQAEWLQALKEAGFDPDLDGDVVRLRGVGVSHGDRSVDLNIQVLEMDEHRVLELTAPIRCEPASFEIASLAAVRGSGACRLAKIDLDERLEAGAFSTTFGLRARFHLYADHLSATEFVVMVSLFLKEVDEIDNELVAIMRAQ
MSEFLEVICMLMRQINGEGLKIFNNNWVGVPIVTVWMLFGCNLIMDQLYTGEIFSCLTAMTQPPVPTTFSGLIDSDLHFVTSSWYATGVGTQSSMLQGKIIPVYKAIFKNISGRMNQLREMERRMILVNTTSFQRNVEVFENITESRALRHAKGWVDTVKPFAIMDPAYVEVFWERVLKISGRQHVLNVREDTPFHIVMVTYVDNNFMSEVFRGRLAQLASFGIAKLWTRLDEWDSILVYVRSVYGELVQVEFRKAMAGVQDTSLGYEDEPVLFKYVQSLFILGTLILAAAFLGFMIECRNPCLHNVKFLYELCGDCVITFVKEM
MTNITGNTLHDIEISEINLCILLYHEAALIPDGILLHNNDMFTVMLLSIEMINNPKHFALDKLSEICFCNNSTRGAE
MDSYALYQADNPGALTRSQKHDRHRSRAELTRSSRLGGWMNPAARDGIRGRHPGGSTYPILFARLAWEGGDCDNRSYNPLLFTGHDFKERSDATTAVPWPRFLPVNLGTLVVYSERTVGRAGSRSVHGWRLALPLPEEGQNESMSLAANSLLCLPRQSPWTYQQLP
MKRNSSTDENDPDDRKPAAATENGVKRSSCPPPPPPPLNQEVIDLTGLLPRAEDEKEDDEEEEDTPRFIIPPSDVIAIAEWRKTNAGRFRCPTMGPVADLMTCESMLVAAVVEHLCAPSWGVLHQFLVENEADATTFGNGVRRDLDLDATPLIHQVSWIQNSVPLPCTPTSVLCFLDQAVEGHGAVRSWLAERLGQILVIVPHSTLTKSLDESSLSTLLRQWQTTEPHFASSSAVAATTPREFTFAAVYNREFCLIFVKFWRCDAGQLFHEFRTEPLPLPSFLRFRNEDGIIQNEDKLRAIEQAAKMTPPVTKKPRHARGRPQRRGRVYDKMDRSKIDEWMAKRRQKTPAVAALRPPKHQARFYDKFHYLSKIDEWIAEQRLESVHDGVLGTLVYPKSEWEATALEHYVPPTVWQTYIVEHVGIRVLLDDQCAKIAPGPQAWQDNDKKTLERPFSNAQMQVLHRNYRIHGYWDEFLDIATPAARRALLQLCPIVGKILVREVWAKSISEDGDLLDFLRSTQVTRCIILSGSVHEIRFDQTGKAQVERLSLGKPKFMWPHSVVEHSSLKDPPPASTTSPPKASLDSDVETATTKAAVGQEFCGLRTSLFGSNSPPGSPPGSNTDKEPSDKKLTTSKRTKEQADGEENVDSRSKRPRTSATDDSVSNDSDGNDFDTTFEDTVNEPNITVGVQAAAVSPMTPAGTTVPLAFSAVPHHEPPSTPFFEGVTNEPNNAQRAQAAAVSPMDSPGTTVPVAFSAVPH
MKILGVVFCLLYALGMNSCKTWTLRDSSKMGTQSDVTSSQLDDVRSLDHMGVNYSEVYKVGLTESLVDAYDSDLRQSEVPMEEEIVVPEIQLDFQLKSGTQLGVGDESKDKNDGREGNNTFDDKKIGRSKQYVEDNGNLVDEKNKIQDDDMLDFSATKNPNYTELIKEIKAYKENLSEKLTQFNLENFAFKIPFNKISSEFASKDKQEEIYAVLGCDVELIEKLGDMFSRLNLAGSKSFNDSVRVSRDMLSVLSSASHYFQGSLNHFNDELLDKISNYKNKDDIDQVLSILVKIGQVRDGLIKNVDDCVKSLESVTEEKRIVAVLKESFAQNGDIKRKVSTLKEFNDVIGNLVDKLKVK
MQTCIDLAPEYYGAYYIRAIALLSVDPKQALLDFKKSQDLGFESSQIFYFKV
MNLTIREKLKDYNENNKIFSVQLDSELSGRDYRKGVIISFQTEDYSTLSKLFELVNCNIFWEAHITDWKNCSYTILEKRLSEDKNINLVQGDFGKCIRDQDDWLGKGLLSISIITLEISENNLLKVFELRNISQLIDFLCGLPVESRLKPNRLLEENDLIEYINYYTPFEITKFVNMEDIYDGHLLNLEIEFNSQEEIDKLFAIANGNGVIKGIYANSNGESFIVKDTGYFEVNNPYLLTVHLYLDEEMLQTILFDHLDPKGLINYLYDNKDFIYEYDNEPLPF
MAGCGGPELVLQSSGRARLRRDRWAGLIESRGIKRLVSDRVGTATVVVGEDGTLSWTTYRDAAR
LDRSSCAQEQRVRPLRNVTMISKWGFPGPIPIPAVDLVSLESSGEVVSRSEPSINKGKDQVVANFSIGPSHVQGEAFVVNDAVSVPDHPHVLISVPVAIRRADDHSDDEIDATLDHELALLGLSLIRFDSLHPDLSELVTTLANYDKSHPMLQILSGELSFHLIRVCISCPIRKRLGMNRKV
MRVGKRLTRKIHGRARAIASETENRFVIINCAQPRLPICFWDCALTAADSTIRKYWHCEKNIYTLCIVIQKKDRNKGGDLEMKEKFGYVIKVDGKVVWEGRDPKEKYFEIREQNPGKEIAIAWRTTEKILVC
METHTDTATTSWLGMARDVSTVGVSASLLLGVSFLTGTCAAIGWHTFGYLTATDIARSALKVSPWLVLAFATAQLLRILVPALDLLSPMPRRDGARGQLSFLRFQFVYALLLLFLAYLFLSARLFNGVAAPLTLAMAFALWDYVNDTFKEGTIDAATRLAANMAILCAYVASVAYLSTSNGILAERKIVREIVCLENSCRDAKVIARFSEATFLQWENVRDITLLMNGEIVSITLKQEQNEGPWIDLRPYFRVSWNWLRGLFGL
MAEVVTLIPAVINAFRSIAGIINAVKESKDKLKEAADKSEVAEQVEAEIESKLATYSDWTGNLVKYAQCLRSYKAIQGEVSGLRGIEDSLCTLLGVTADEELTRSHLRQIEEKVTFLKETTKGNLEAADTAQIMRLVEDSKGHIDKSRARIDIKDFGYIKSEILAASRDAGKLAVTFNARIDTLIDGLMSVAT
MLAEWLPAPTVLPCLVHAALALLALAATTCAPETTGGTAGAPLRLGGRCRRTVGHPRFVGVVLPASPAVFGAATVAYVVLPPLVADEVPGYAPLFSGMVAALTLSVGVVAPPLAVRLHHARTARATLAGMVTVIGGLLVGALAAYGDSVALVLVAAVLLGAGYGLTLGSGLLEIARLAPPSALPSTAFLHQGAAYSGFLTPLLLAVTAGAAPYPALLTGMAVVGLLCLWVTARFSRGNPP
MTTSASPAAGSDRVLVAAALVAAGWGANQFTPLSVVYRLEAGWSTMAVVLVFTTYLAGLVPALLLGCRAADRFGHRRVIRLALAVTTAGSLLLVLAETSHAAVFVSRLVTGIAAGLIVSAGAAWLRALSPGDDRGARFAVYATGAGFACGPLVAGTLAAWLPAPSVVPCLVHGGFALLVLALTARIPEMCAIPGPPPAAPPRDRWSAITHPRFVGIVLPASPAIFAAVTVSYVVLPPFVLDRVRGHAPLFSGLVAAVTLLVGLAVQPLAARIDRPGSARATLVAMATVVVGLLLGALAIEEVSPLLVLAAAVFLGAGYGLTLESGLAEIGRLAPPGALPTVSALFQGVAHSGFLAPLLLAVMARSASYPELLGGLALIGSVLLIWAAVHAARHAHHEPFRPDPTPQELTWDE
MNKSTLQRHMQREIVKTIQDQQDWKPHRAKLCINEQEHTHVRIAQNVTMSHHHSCMLTLSQKAWRQHAESDKRSKTSLNIQRLNDPSEHEPSYALRKQDTTTPALQSITFKLHSDSQTDVPKVIKTNGAMSIRIQFIQDGLQVMTVIAVSCVLHRSTNRVIHWLGLYTRKTKNKSHCANAACPANALISFKQNHIRHCCHGCLAAVAPERVRTLQT
GSGGSGGGSGGSGGGSGGSGGGSGGSGGSVADAGGCACSVPGDRPAHDNRAAFLVLGLGLLMARGRRIQRRA
MGFVLACAKTYLAYRQCVLLGADTGGPGMKGLSFGIRAEVPEDFTSNYLGARSAPFGQSVDVSIGGSLSTGAGGRRQAVGGRTVQMFTPQDFENALASARAWVDKWFALHPEAIPRFNARQLEERAAAKAAS
MVSLETTPALQLPVIDFTSPNLKPGTVEWDSVRGDVRRALEDEAVMSFAKKVSELDFMTRRMIMECFGVNENYIEKHLNSTKCLNDVKDGLEVRTSDDKQWIKANPSQDSSFIVLGGATLHVLLNGRVLTGVHRVMRMGTNTRFSAGLFSVPKTEDLIYAPEELVDAEHPRLYKPFDFEAYFQFTTEGPGRRDVAALGGYCGL
MKKILLLGIAVCTFTTLSACSNETKTSTNSENKQKQLAQTEETATYEIHGCCFNIPKSWTEKNGKNDKIKYFYPGKDGSDLTV
MSGERYKTKHHVRFVTAASLFDGHDAAINIVRRVLQDAGAEVIHLGHNRSASEVLEAAVQEDVQAVAVTSYQGGHIRYFKYLVDLFRQKGLGHVRVFGGGGGTILPAEIEELEAYGVAKIFSPEDGRVMGFEGMIDYMLRESDFSVLDKGWFDPEALAGGDAPALARALTAVEEGLGEKAVREVPEPARSGSVAPVIGITGTGGAGKSSLVDEIVNRFLHHSPKGKVAVLAMDPTRKRSGGALLGDRIRFNSMDPERVFFRSMATRGAGREVTDSAVHAVDLFRRAGYDLILVETSGIGQGDSAVTELADLSLYVMTSEFGAPSQLEKIEMLDQADLVAINKFDKPGSQDALKHVRKQVRRNMKLWEAAEEDLPVVGTVASRFHDKGVNELYRRILEILEKKAGFKVEGPFPFDTGWLHQPSAALIPPERVNYLGEIARTVRDYKKRVRETAEKVRRVQVLEESARLLDGEEGSRLLEKARGEWEEVPLEVRKAVEKWPELREQYSGKVYKARIRDKEVEYELTSTTLSGTEVPRVVLPPVEDKAEVVRYLFL
MKDLQIGLVIATRDLTFTHSDGSVEFVKISIGAPVADGDDAWACPYLIQAESFQKLFRMIGGDSLQSLIHTTHILTDELTALSRKHAGTFKYLGEADLMLPPPEYLGHRNRGGA
MEVSEVMNNVILMNKGKGIKLKSVFLEKCKAAEKQFAKFVPDTTIDIEFVDFLHEKYYLVKDMSEKQFTEYVINLKSEIYPNFVRYKSKYPI
MLSFIFLIFLLLGSATNEKEGLFQSVLVMAVKQNGNEFQGFFQVNQTYEIRFIIRNDFMYLRKMRGQDLVLFYQYSPDVHYLKVFDSGILRYKSKEFSLPFDTPQEGLLKCLRKCIRTKLKDIESFSRFLRAKRSFRTPQQRLSWSEVVILANMSEAVAQRMLYASKVQKQLIQRFHLLVQGLVNAVGPPLHTIKPSTRANLYSKAVLSDTDFPAASDYAEAPKTENTTSRYHSNHSLSSCSDMRYTSYQTHCFGMCGSYCWCWPWVCGDCCLHKGCLQHDICCQKRGYLSIYCFSPWVFGFDCENGYLGYPECLYT
MGKSLYAKLEEASVELIGTVFTELLTGEILTSPMPEGGTFHFAREFDELCALSSDETVKVGDLLRRLRALSFPPYRNAYFMEGGRRVYVDISLDEEKPSL
MEVFLPIAQVFVNPIEILILSTIVGVLSGLFGVGGGFLMTPFLIFLGVPPAYAVANEANNILATSVSGSTTHYLKNTLDYKLGLMIVIGGAIGTSLGIYTFTYFKGIGKIDTVISLAYMYILAIIGTLMLVESLGEIDKAKRNLLVKKKLHVHYWIHGLPFRMRFPKSKLYESAFTPIIIGLLVGFIAAIMGIGGAFILVPAMIYIIKMPTKLVPGTSLFVTIFVSVIVTFLHSFNYGSIDLLLVFMLVVGSIIGVQIGQKLGEKIDSSGLKAL
MKYEKINNLGDIFEYSPEEYTQQVNYSTDDFHYLVPTYLRRQNTRESISDNGVNEMNEQQIGAPFQYIPGDRAGLLDSTGPFESNKRTLHGRRHSVTRLYRKVSGMFAATEEVCCHLASFLNPFHILSLDHRVY
MSPMSDSSTTFDARRLDVLTEIVNIGVGQAANVLNQVVNAHVSLTVPRVEILPREELAQRMVDGAGDEECLSWVELGFRGDMEGAASLLFPREDASKLVSALVGETDGSEMDEVRIGTMTEVGNIVLNGIMGSLANIADRRLEYTVPTYSEGSVDTASRAHGRQAGNVCMVASARFVIEQLGLDGSVVLFFDVAQIGALVDGLLAAA
EWSPEPPAVAASPSSCAWWQREGLPDGMDLLLQNTVPTCSLACNAGCYAFDEYTSMLTQGPSAAEVQLNQWMAALKKSDQALPPEVQNLVQEASAAQAHASTNELHSAVHKL
MSGNFVPYQPPQQPVYWQQQQQQQQQQQQQQGAPSHHGTLTLTPAPTSLPHVYGPYVPPSQGQQQHPQSPYPQPLQVQQPTPQHHPQQQQAPQHPLQHPQHPHQPQQPQHYTPHPGYPHPQSPPQQYPPHQQPPPHNLNSAAYGPSPVVKSNSPVGFIAELGDTVIPTPPPEPSQDSAGNSAGSANAGPPKDDDKPVPSPQSARPPTNANPWGFFLDEKRPNPGGAAGPALFRGWCRYRTPLAAVCHRYPLPRAPVQGPPGVQHPSAPPQAQQFQQAQNTPPQAQQAQSPHGQPQQFVALNAPGLASSSAPTSVPQQGQQPLQGHGHPQPQPQGTPGAQFQAFHPQRPAGPGPNTHQPGTPFQPYAPPNPSGGSPQGGPPKMPVAIRRVNKARPPLVSALVPEPEPPGQGPLNNHPLRLAPDRSRVILLKARHIPHRSNLASHSQVIHSKAHLPLRMLLPRSHLPRLLARPCKISLMSMAPLPLKPALLSLPPSTQAHLLPTSIKARVVLPSQRYPARCPPRVSNRNTVPQSPLPNTLSHSHPHRSMARLGGNLFRALNQTLPRQLRKRQVPFLEPKVRANPFCAKRDAP
MKRFIASAILAAFLAVNLVGCADKAQTGAGLGALTGATVGALTAKNKVSGAAIGAGIGLLLGYMIGNEMDKYDKEQINKALETQPSGRPMAWKNPDTGTQYEATPSPAYVQNDKVYRDIYIKANVDGQEKDVKAKAYRQPDGQWVLVQ
MDPDCPRECCLAADPSSARRQSEEESSAPQDPVVERRIVPGTSVSRGQYEDGLAAGSEDLPQGTVRLESGIAADGDTAPRQPVGSFSSVGSGRGSYGPTLSGLPVGSAGGTVNGLIQASLAPGTWAMYVRAWDHWEAWCVDLGAMMEDLEVALLMFLGHCKEEGWSVSKINGCMAGLAFGFKMRRMFDFTKSFLVVQALKGWRRLRSGVDTRRPVQHPPWCGFWDTPMFSGGPFGQQFGRTVSN
MQPFERWASLPVKSQLVKLSSLGLTMNSFIRIRASAKPADFFDEVIPDEGCELNGWRFTRHQIPDFIPTPEGPDVEVISRWLYAETESEPGEWEATLHDKRKALDQVLNVFAAIRQARISEALEFTMWFEDYVLDGRTGSIQPFRIDVCVGIQSFQIIDANGNVIVDARIAQEKHDREQALKARRAAKAEVQSLAKYVKFLGNPDFRRAWESYRLARGRDPHAMSHMYDVREVANKAVGGAQKKLGLSCNEWSRFGLILNNQAVEGGRHNGRHTDPMRPLTTEERSFLLDFGEKLLFAFGDYLLAEEQAAQKRAKNDPGDSAKH
MSLNLLVSIPENFLPPQFYIDEDSLKIILFPEVNTASKRLTLLKLASLIGNINTLDLLTETISGTNCFRTKVGAAKAAMALMPIESHDSFKGSLGFDPTSLDVSIASGYGREQTIPRQI
MRLAFLTHEPFYPPSGGGSAEAVYLVQEMVRRGHEVDLFCPHFADVEKAERVVREPSDTRQIAATDQPTPGCSVAGQRNPGDTATVPLPGGARSGFAGVVHFHLFTAWPMGRYTSLRSFKYLAYPFFLQRMVARAARGIRFDQVLSQHAISAAAAGRLRQSMRVPVVMNFLDYLTGFMETWPPYLAPRAFIKALERFELSMPNRYQADGVMTVSDVLADYFVNAGYPRERIQPIYYGYDAKLFPSSEPEGSNGKQPVVVMHGSFDHHHLGSIALDAIARVVRSRPEVKFKFVGKQTPALTKFRQRAATVVPGLQIECPGFVPYAEIARHLAGASLGIVPYEESTGTHCAFVAKIVEYLGLGLPVVSTPLNSARRYFGGEPAVRFSEFNGESFGRQILNWLAEPLDRRRSLGRAASRRVQSELDWSAISKKAVDFVEKIQQQQCRT
AGGVAWLVLHAVGWRTPQVWVGIAVLSVLLATGVLGAARACSGCAERWLAGFAPREPPPPDSLGKCTGKWKPLFKEDLAVVESFVSTKKIPVARRTRLTRLTSRLSRLASSSVRSSRSSRASEAQVAPAVILREHDPACFLSKPEPETGSDRFPSPLQTHTSTDAPPADACASSRRASSSALSDIGSSVEGARNSVTVREVTMQLNGSTPVSRQRSVQTDGFSDVSSVDERLASGTVPPLQQAGTSSAVLGSAAPAVVFSRASSALSDVSGVPAKSAAVRKISTGGSSVGGERRVRLSVDSSQAGGVSRASSFKTQSALTDVSSNA
MLKYKTIKQFAAESGYTESAIRAKCSKGTWPEGQVWIRAPDNKPLINVEDQCRGVPRLG
MAALGATSSALRDVMHGPGVLGKRLMTEGAFCFRSLKVLGPWTRNGAFASVDQVGGDVVVRIRVPPEVLSDSVRWSHCRGRLADAWVRVRGGGCLCRLTSLPRACWRGPRVATRVSWPVALDRSPSTCVAPTIMPMHSTP
MPHWSPAHYGHRHGERRLDEVLHEKALELSSTDSLVLCKPSLGEQIQLLASTNKARVQCCFNYVL
MQNEQGLQIDLYNPRKCSITNRLITAKDHASVQIIVGEVDEKGIYTGKNVQYELSGFVRRSGEADDSLNHLATKHGLARNDVAVIGWISEQLSQPSGFVISNLNLKNGFKENEKFVKFLHQVIADNVAFVDPQLQALAKYQKNGWLNISDNRDPPPWGRIPYPENIFGVIELRDGQIIHGTYQPMPTHRIVTTKGVFMLSDPLHQKLLEKLNEICK
METPKIIKLAGSSLFVPSVQELVAKQSLVQVPPRYIRNDQEPLGDHNVTVTSMIDQSVPVIDLHKVLSPEPIGGELLERLHSASKNGVSFRW
MSNLEVKKGNCVYKRVSFDRDWPEQYNNQNNRSRQSVRRSVSDDRSYRSGSFPDGSTPIGRRSRYSESDSTVDTSQSRGKRKNLRTTRSDAELVKKGFPAQRKRSHWDLLSDKLNGGGGIDKFSETKNKWDFLQGKVHFVGVIRRIMSRDLMENRKQRLAKQKQDAAQACLHLFRLAGKMTVYCIRLMNMHSLRNRENDDEVSPFIKGLHFRDYDNPIDLMFDPSKFKANKSMRLTEETKRILSKESQDRTTHDIYSAQIAFRNIRSISDYPARMQRKIAEVGVYERKDSLVQNNNFIISSERNNQRPI
MAAQNRTCSSLNNPKGKAQKTFKVAAYMEYARVRMFCRFAAIGRFSATSTNGCSKPHPQVAQKSQGTAQKTFKVAAYMEYVRV
MLFFSALSLLWLLLHNANASLSSSYLAAVQTASAKYQNSSLLIASGNSSSLANGTTLTTHAATTPERTHYDVWTVTSTDVDGNTNTFNRLVALGLSESEIYIVGAAPGLADVNLFQVTLTDEMYFEFVPEDGVLPGNVHLVVPTCVADCGTVTTESETITTIQKRGLTTFTREEPEISYISQPKMQADGVGANFADLNEEYVFDDSSGEGVTVYMLDTGAYPDHEEFVDISKSWKWAGPSYAKTVDGEYPAPEEGDWDNYHGTSELSKVAGEWLGVVKKPLVVVVRAPPPQDWGIVEWLQMINWIIENWRNVREDGRLPIGIICMANAFLTEEDLQTGEEEKALSDIADRLNQAVNEGLLPIASSGNEGGPVDAWPQLYRSTVNWRLDEEPFVTAPVPQLVIVGATDNTGLLLEISQTADFVDLTAPGKNIRVADGPTSESYGRVEERVAETVGLAVYLAGLASVNGQWTNPPSYADNVADVRAKLLELAYPRQLGNLAQYPKGLWNGVTDVGCVHGYGSALYQSRKRDSINGTCGYGAFSSSSTASTIASSATSSVSSIQQSSTASIIASSVTSSVSSTQQSSTASSLPTGSSGQGVYTNATFSQESMMLSLNQTGLMSSLGQLLDGLCPPYFQQDECLNKTDTIPNVYSYLAEIVDAGEVTVGVEDSGYTSEARRQSLLIMAATTLAQSASDCANVTYYEGSCHSERSDLAEREVGGSNACVGKDLWMCSYASNVLVMAFDETTTSFDYINLNIQFEQNKNGPSICADLAEALQLDEAMMVLFPEFDILWADIRVELGEATAICEDVERAIDFIDDS
MKIVKLVLAISVSAMCVFAKEPSRELMQVTRALVSNPDIVQQLNKNNSSNLSDYKVTAIAHGVYKYELVFTRKCHCIPSTANVTIMEDMTPTYADGTPKYKATIRIRPEF
MSHAQKPSIGGESSTSSEDVYFEAIADEDNITPRRTREQLTHQHSSSFDSMGSSRFESVYMTPRDSNCNSRYINANEILGIDNGIMDKYRKQASTAGVGSSNSSNNNGSVGGDDIGAGVGGNDFDVVKAAEEAFNNMRLEFKSDQWFSTSNDLTNDTIVQLELQPREQLKMQHQQLHNRRYSNQQQNIPKKQELQQKQDLPSSSNKNINKKTSKRSSKLSHQVIIGKHENDVLELNLSTSQLNTCDKVFLFIGTSIVFFLAVLDINVVAMQVPIIVGEFHSAQDITWLVTSYNLGSDVLPVTRQRLLFNTLLNGVFSIAMVSGPLIGGLFADRLSWRWTFYMNAPLRSSTSITSTTTDKLKSVDWIGSFLLFTGILLILLAIGFCGTKKYYEWISVPIIGLFSVGLCVIIAFGIHEAKFATDPIIPTIFLKDYGLRAIFGAFFFLGWVQIVITYYIPIFYQYVREKSAIDAAIAFLPYIGGVVVMSWLSGVTVSRRRLFRWFIVLGSVFLIVGVALQSTFDLSTDIVRDIVGLSLAGMGVGVLFMTLPVACQAAALSLERREQYKKENISDEDDNDRVESQRNLGILTQPQSTQLATITKNNNSVNNNSLDSSEMIITTLGNFFKSAGLVFGMSISGALFNNIMSSEISALNDEQQLIVFNGVNTALIKPTSIFTKFPPELQLFVRNAVQDGVSRNFTVSFVMAAVGLVFALFIRH
MHLVVYSKPGCPLCDEGLEVLDEVGETVTFTVEVRNILSDPGWFAKYRHLVPVVERDGRPIATLRFDARSLRAILEEKS
MILNKSSMRVNATEIRGVNYVTFSFFEGEDLVFDHIGKLIENSFETADTDEITNGFSVDNAIAIV
MTFTDTLTNGEISAICRATGITTPETDPIQFGIGVVWAAARRLGHPITIGDASALTNAETEAILSAADKLPAAAGLTARSAELEALLASIQGTPSAAPDPQPSTPATSGPQSSPSEPESTQPTTTS
MRRSEVLAEESAVCLQKAPIHLQEIWELIGIPEEQRLQRSELVKMHIKDLLDRMIAEEESLRKRTLSSELQVKPFQEEKETTVLQLEKDLRTQVELMRKQEEERKQELKLLQEQDQELCEILCMPTETKASRHEQFANIKRQIILCMEELEHTPDTSFEKYIATLQKSQYQLEIKKSENEAEGERLHAQIGELWDRLQIPEEEREPVAAIMAGSKAKVRNALQLEVDRLEELKMQNLKQVTETSRVELAQFWDQCFHSQEQRQAFAPYFTEDYTENLLHLHDAEIIRLRNYYSIHKELFEGVQKWEESWKLFLEFERKASDPSRFTNRGGNLLKEEKERAKLQKTLPKLEEELKARIEKWEQEQSMAFVVNGQKFMEYVVGQWELHGLEKERTKQERQIKNQRQTETELLYGSAPRTPSKRPGLTPNKPGKVRKMNTTTLSSATPNSSIRPAFGGTMYHSPSVVTSMCSGKKTPRAAYFRANKENMDSGSSGSTPLQHNCSIKSIASTYSEFSKTHPSVTAPLLGFSENCYDC
MKRKVQCRLLVLHGLASRFKQAPDSPQYPQIKELFGPDPLAFIEQSDLAFIAVLRVTARKCTISFVSFSPLQSSLSPGFSYGTSIIKHLETIVILQQFFYS
METTTIDTKELIKALFKYGVNEATAKQLVKADAAETIRQLDALPFREGVRQAAPFLIDAIRKKYPLPADLTQKKEEAAKRAKFEQERTLATKREEYRLRFLNIYFEYLQQHLEALQIENRKAYKAFQKSWNSLAEMLTQIEPDQLERLRLIEFEQFAQGHAELGIASFWEWDERYNLEREEIKLQEPAAPEEPEPEPAAFSIKRGGKVDIVALAQERATFFHDLDDNHFADVRVADHIETYPLESRSFRIWLAGQYFNETGQPLYGDTLKEAVATLAAIARYAGQTQEVCMRIAEAAGNIYFDLSDSQWRAVEISAAGWRIIPAAETPVRFLRRLAQAPLPEPARGGRLDELRSFMNTGDDDTWTLISSWLAMTINPSGPFPLLMITGEQGSAKSTATKLLKACIDPHKAEIRALPKDERDLMIAAQNSWVLAFDNLSGINQETSDCLCRIATGATFATRKLHSDQEESIMSVKRPMVTNGIIDTNDYPDLLDRALSIFLPSISDSKRREERELWTGFYEARPRILGALFDAAASALKIRDQITLERRPRMADFARWSCAAESGLGLQPGAFWKAFDRNRAGNHEKALDNPLAIELCRFIDMQEGKAWQGKATELHQALTRWLTGKNEFEYAKHWFPRSPNALSGKLRRIAPNLRAIGYEMECGRNGGGSTIAIKRCL
MRQVIFIYIIFATILIVNSRFISRIEIEDYNDDEYYNYEYYRIFKGHKPNIIFDLNECDPKNNTGCPKGLICIVNNHGKPECYEDSFDYIE
DNNDTPRFLLKSTWEGLWRPAVAYLLFSPGIPCLFYGSEQGFRAPSDEYSSDSAAIPENRPDMFHDGRYKFPTSLKADNFDTSYRLYTTVQDLTMLRATYPALRRGTTVVRYSSSTSPGPYVFSRLHEGQEVVVAINFSLQGFQHIRFPVDPTATPPGIQLVNALNRQDVYTSAKGKRDGTNKRGSEVTISLGQNEVQVLVPKIGSSAKGTLGCLGLRLC
MTIVIYLQNQSLKNNVNDYRTAVIEERQKELKDAVLITKHVIDNMIAKGNNEQDTIKEIEALLNPLRFADNNAGYFFIYTIEGINVVHPLNSAIQGTDQIAAIDANGTTLYSSFNSII
MLSQPLRSLSEGITRPRPIMYFNIGMLVMAVIGNYCFIYGNGGFFPKWEQKEQVCQR
MSITVKDVQHVAKLARLQLSPEEEAVFTEQMNAILQYAEKLNELDTENVKPTTHVLQVSNVMREDVVKESLSQDEALLNAPEDEDGHFKVPAVLE
MNITENTIELLADLCKIYLSDEEKKDMLTSIQSTVECMDKLSNVDTSNIEPLEHIVTFVNVFREDKVKESMERNIILSNAPQHEDGCYVVPRVVD
MKIDSSFLKHIAELSRIKLSKKELRKFTPQMRTILESVKVLKEVDTTNVEPMKKHVPFSDLREDTAGKSIEQEDVLSNVKHMENGMVKVYGEVFGGIEES
MAGAPVPLSEHQVRHVAQLARLGLTGDEVATFAEQLGQILDYAEQVGEVATAEVPPTAHPLRPVNVLRCDEPVDALDHDAALAPAPRVNDGRFQVPRIAGEEEPEASADPNSADPNSADPTAAQATGDGGERP
MKTAEPSAATPVPSPPSGSLSRTSFPKVLPGHLEKLAIVYVRQSSPTQVLEHRESTARQYGFADQAVAFGWPRERVLIIDEDLGKSGRTAEGRSGFQRLITEVTLNHVGMVLGLEMSRLARSSKDWHAFFEMCAVFGTLIADADGVYDGNDPNDRLLLGLNGIMSEMELHIMRNRLERGRDNKAQRGELFFSVPVGYVILPTGEVDFDPDEQAQEVIRLIFDKFDELGTIYGLFHWLIRNDIELPIRPQVGAKKGQLDWRRPTISTLANVLAHPIYAGAYSFGRQAADPNHKLSPTKYRPRVPMEQWKVLLKDRLPAYITWDRYLQNRERIKQNRNRFDYRGAPRSGVTLLSGLLVCGNCGRRMRTLYHAHGKAQYH
MKRMRAPIPSGRESNCHLKLRLSTISNCNYRLASTQQCPRCNRSRPMRRRSLFHAFEDMSQAPHAEFARRLHRALDAAGFAPGRRRTGALAESHGVSRETARKWLTGLSLPELERMIELAVRHHVSFEWLATGRGEAEPEGLSVRDAWLKYGDPEEARLLELVRTLTHKQRRALLDLFDTR
MVKSVSIIGVAVINFNLIAVNSSFAATSDITENRRVTQTTNSTFNENSSNEIQGFINDVRSFVRGDFFGNIKQLVKNSIGSVDIPDLGQVVNEIMKGSLPSDGVVSNKLENNLPNSYAIRQDLANQSERLGAIEVAQNKTLSKTAQQESLNTLELSASSEVESNQMSDDSQNSDTSQQILQNISQQLKNNTTISDLLLKEANQSRQDNALGLTLTAQA
MRPIPLLSLALGLLGTARMAAADTGMDGEDTGAPEEEPDPRRNYLVILVDDVGVDKISSYAVDYPAEVASFRPETPTIDSISVAGIRFTRAWANPSCAPSRASLQTGVHPFRHGAGNGVDLHEAGIDPEAFELLGEVFSAAGYDTAFIGKWAIGSEDADGNVGFPLADPTLVQIHPARSGFSFFDGSYEGMSEHSDYTSWFRSTWADETWSGTTAIETGRSTDVLTDSAAAWIASREAPWLAVLAYHAPHANTLPNGSPEWHYADADATCYRTPALACLATETCADETLAVYQALLECVDVRLETLLAGMDPAALDRTTLVFLGDNGTPYRAAEGTFGRVRQGKSTVHETGLRVPLLVADGATWRTGAAGEIGTPGRTSTATVQIADLHATLVARGLGQVSTAVDSRSFAACWTDPGPDCGFGDPVGYGEEFEIKPNGALAMGLAAVRQGDAKSTFRYDNTPGCLRQETFNLVSDPWEQHPLSNRKSTGTALRGEMKALHQGTGSWAENLPFCP
MKILFVYKTCFTESFGGIEQFLHQLALPLAANGHHVTILTLADIKESNELTVANVRVVRCPISLSISSNSISLKALGIFRQMAAQHDVVHFNYPWPWGDVLSLLLPHNKPYIVSYHSDIVRQKVLRFVYWPLEQVFLARARALVATSPAYAETSANLNNHRHKTHVVPIGLADQSQTPVPESVMTHWRAVTHHKKFFLFVGALRYYKGLHIVLEALKDHDWPVVIAGTGPEEKKLKARAAQLGLKNIVWAGKITDDDKWALLRLCHAFVFPSHLRSEAFGISLLEAAMAGKPMISAELGTGTSYINAHNETGLVVPAGDAGGLAQAMQKVFDDDIFAHDCGTKARARYKNLFTADAMVKSFEKIYGQAHHA
MALRSSRLRNRTGLSSQKVRQLGSTRDQNGCRLATNTLAGAKVLSQCAACAQARKKQRLEAMTLEQRQALQALVERHDGADNDASMDFSSDFNDEIMGDFWKGTTPKSQGPRQNDHRTCRDRIQCRADAFDAQLPAMMEAYLDWSLQHVQSGTRPGFFHQMAKDALNEVEADSGSWTATVVDLFCAEQVTLTLKASDSFITSALVRQGVIPCSPISPSVAVTVDALEFY
MDNPTNDNYILGLWHLSLQGTEGENERGSELVTAPGVQNANMVDSKTLFHGEKLYLSQVWRDGSTCDLNGLPRTVEVQYFCSPDGSHNIASISEVLTCNYVLVVHVPSLCNVAAFRDIQTEDVNIIKCRLIVPDDPVERDTYLANAHASKKSHYHPAEIDPEFVFATPDQELEQMELHADSTTGKDTLEQQSSDTVNDQLLPLELDAEHLRDILKSMVEESRSGTHTASSATLSMTALSDAPDTKDTPAPSYHDDL
MPWFRSKRNGPSGVPSLFTVRNSRVVPAEPHAVWNLIRPAEKARLLNSDIVQTFSAPAQPEVGGAIECFLAVVDGEEQFSAIVVLEEIENRLAVVRNWGSHDPLSRQEYHLAPSGEGTELTLISFFSHGPDAPVSLEKHQAGHHAWNEEYLTAVERYFTGV
INHSDELNIEDNQVQDPTDDLELTQDDEINDDAKKIEDELQDNIPQIEENQQEESLTQDDEYPIVEEEIKEINFDDIPQDAEFLGQNKNENKQAEDFLPIVEEELKEKENLDDDLGINSDLSTQDQIKEELAALDELDDNIKDYESTKILDDFKQESILGDEILTAGNNEELVVPKMQYNDFDELKESEIQQALGEKITETSEISSTSNDTNENDNNKEKIVNELSQSIAQTISSSIKDDTLKAALKGMNMNINIKISFEDMKD
MELKYMKSLWRYMLCVLFVWFIFHSITVIESYYKTVAFRWINNYAVSINANNFTIQKTYDETFGYGDQEYADIYVNIYQYRWQKLLQRPCFSHMVRPHLKRFYDEIYDWEIVDIDATFVWLKDNGKLIKIAHACQKPLM
MASITQYRGKTWRVIIRRVGFKAQSKTFDRKKDAEIWAAETESKMGVSQYDALQLKQAAVTTVGDVFKRYLAEVGTGMKGRNAVNILDRLIRDAAFMRLRFDRIAPSDIRDWRDARALQVKHSSVHRELNTISAVFSHAIKEWGMAMAANPCHAVSRFKGADKPRNKVWTDADIQKFLKASNWEEGVRPVIGRDYVGWALLLAVETAMRMGELCRPTVADFYPDLKFVHLSDTKNGDMRNVPLSIKALAIITFLCKGKKPDDKIIPINANTLGEYMLDVRRACGLEHLHGHDARHTAATAMSKKLPNVLELAAVTGHRSLKSLNRYYHPVPADLADKLG
KTFVTYISVLVAEIVAHYLPKWVELHNYTPAAATKQKMENWYLLNRRVLRKLDLDLSDDVIRALANTTNPKPRVIEKVLMLLRMQLDKMMEKQGRSRREIDLAMSIDKDYIKDS
MKKIEIELDDNEYDSIQKAKSLYKQDEGVLPFERFLIRVGIGAYIKYILTGSILESEKMDFLWSEITKI
MSALHIVQKEVQTKVDLISNQEICWETFKVLRLCCDYFPYENSRIILVDRKRYPFKIELDMEGRVLFVIPLQNNVIKKIRPEEVGAIIINYLRKAAEKKYGTEIIWAVISVPAEFDEEQRNATSLAVEKA
MNRTMAGRNHDVPDHRKTAAAAIRSCSKS
MLSTKLVCFALASLALGQLYLVASEETVAVCPTNFTQVAGKCLLFDNSWKNFLDRHCQSLNAGLLSFSNKMEFTAINEWLTTVVPQSPELWTSGNKLGGSEDYYWQSTGKKAFYLPWQAGQPTPITGDCLTLLANVTMTAEGTTMSEHRLSVRGCTKWAPHVCQAPLQIFKTQLCLNTTAFFEAKVPA
MLDGIFNSHLIKLIGTPENVYFSRLKRFLARIDFFKYKILENLMTDLTKLLLMDNFDITEIK
MNPAISFSNFICGRLSAIQAFNDYDGGIRQIVGANSTLGVFVPLPQPYLSTAGCIIDQTMASAFLTIVVLVICDKRNGVPLVAQPVMCMLLVSALAFFYSVNAGAEVNPARDVGPKLMALCVGYGWEVIRLVIYLRI
MDNSLRTLTGPPSSLHLRTPPETNPPAQISTPPIAAVSSPTAGAKLTAVQAMDIADIEARTKGYDLGEYQLPKAEYNAASDTWSVTYVARDAGKAAKKLSVTIQDKTGKAEVKK
MTRSRVWPGTLSSLQRTHHQEDAMKQIGLVGASCVLFGIAMIAVSLVAASSENAQFSIGDRVGNALRWGIALGDADGDGDLDALVANESRGSLLWMNDGCASFIENAQPFPDAIAGKLVDVDGDEDLEIMLVMWDEPLSVWRGEGDGTYSEVLQGLLPVGAIDLAAADLDADGDLDLFLARDGEDIVLINDGTGMFSDSGQRLGTGFSAEVELSDMDQDGDIDAVADGWGGSGHIWLNDGSGFFTQSHPLTGPNWHVNGLALGDVDGDGDLDAVLVSSLYDPHEIWLNDGSGFLVDSGQRLAAPDGYGVALGDVDGDDDLDMVMVIGGQPRTGIALWINEDGVFVQGQIEPYAALGRDVALADLDDDGDLDIFGAFLAYTGGFLPSPNRIWLNRSND
MGSRHPPWTTRNASGASNASGVARSSHPLDAVKAVLIQGFSRSGIKSRVVRKQTRYASPHSGRTSETQHGDTTYVKGRRTLDRCVLGTLLVMWCGLLLVGQTDSPSPIQFSAAGEYGRATTFQIALGDLDGDGDLDAVLANQGSFDSRVLLNDGTGQFAMTDQLLTRNGHGIDLGDLDGDGDLDIFIACAYAFGRSLPSKIYFNDGHARFSDSGQDLGDTSISANLVQLADIDGDGDLDAFVAYLTLARDFISLVYLNDGAGQFSRSEYDLPFGTLFQDLDGDGDADAFIKEPESMYRALINDGHGVFEEVWRMDCTFLQYEPFSIAFGDVDGDGDVDAVDTNGTWTQAGQTCLLLGDGHGAFEIRTTELPSTYAAWPVLRDFDADGALDVFLSILIEDNQIWLNDGAGQFSDSAVRLRGSGSCGPGVGDLDGDGDLDLFIPSYGTTGGPSIVWLLTSD
MRNVVHLLRVWRLLPLMCATMLLTSCNNALALLLEGQGTYHNTATVADLNGDQRLDLFLHNLRNEDEFIAFSVATLWLDQGDGRFAATRMQEVNGSGLAAAVAEINQDGAPDLLVYTGHTLKVLLNQDEKAGQFEVGAVIDAPRKGDQYATLVQGDLNGDGWTDGVVAGCCGRLFALDADDQRPNFSWVWYSGPDDGRSAFAIRSAALPVLDGLAVRGAALGDLDIDGDLDLLITVDAPDGSGATSGRVYLNDGAGMFTDSGQQLDPTGGAVVALGDIDGDGALDALIGATPWLNQAGGWVQASQPLTAELIHHAFLADFDGDGDQDALLGSLRQAVLWRNDGHDLFTAGEQRFSYSRRHALAIGDLDEDGLPDIIAAAYTDDYRIWYNQGDGAFSALFTTAR
MDQDGNELAGIRLPDLAVPLATVTGWNLRHPDTGGDDQTHRIMGATVPFTFTRQERQERRDPRPSVEERYASKEDYLDRVEEVAKELVSRRYLLEEDIPRLTQMAAERYELLEATIADPQPADD
MPIGCQCRLANAHLAWTSGGHGGGGGGGGVFFQPATMNDRLTCW
MIDTAHLSKDLSVECRRLFLRGCRAATNVGHATKPLVNVEVWVPYEHSTSQDDDLAGVVDYGIMRDAVLKADSSAIDRFISTTLDQLATHPVVRARVEVIDQRSGKVIADGCRLIVP
MGSIFVRIGPHSAISDLDARPVRAQHLRSAPELPKDFPTMPLDANSRFIAQVCGVAVILLATWFHGHGKSLDRGLSRCEAGGLQAREPRDCISCERDEASHADARRVDCDAPSPALRTRNATRRS
MSLLEDLFLQDEANEAQELERIIEGDENDDRPRSAASNSDENSAKEDEAEEDKRRVDPASGKTKRVIKNPRFVLNPARLTGPRGIEIIPEHFKDFKFKGKGHEKEDLDLVLKKLEHWAYRLYPKFAFEDCLKKIEILGKKRPVMVHLQKIRSDQLQSVDTVVQKDSSDDEDKAPPEEDEFDKLLQQQIELARATPAPESAKKPPPANARHIMSPFTMPKATSSPSISDEQKERMLRNRKLAEERRLARLKQNTSHENLAVGTIKLNTLHERMSNANEHSDSSDEEHHANVSVSVQINTSSNYKGTENNANEDEIMYDNVDEQGNGQKEVVEQTNTKRTSVNSSTHTENTLDCDNTIMNNSLKELQRNGNEIDVEHSTPEINGDISANVLNRHQETIEVLHKDVNEITNGKHKDQMNEERTSLTNEETCNNLEITKDDTPVKEVENDCDDNKFKNTSSDKSIEKVTEEIVKVNEESAEMAENEQNEKVNTVDTIQNAEIDKLVESELMDVDFDDDF
MEDAMDASGQFPDYSFGQVDPNWTEEDAMRGGNVGNAEIGGAGTAANCDGFQGAHEDSEALNC
MLRAPHNPSHFAVLVPEREAARILASEGSFTVTVKRPTEQGAEFTKDKSTRPRRSIIYEGGR
MYRTSKNKKKCESFRLLKYIPAAAISCIDYISDAHYQISVIHRCLDIQKRKTKLNNSIA
MQLNFVRRCAKRMTNVHERRQGGKGGLEVRGLSNCGSRGKNIIEGWQKTMERIETSRDNDARTSRIKERMKFLGLLIKRN
MEEVVTPTGQRMIRALPKAEVHVHLEGAIDLADLFEQARATEQVAPGVRA
MSKTIDEIYRFRIWTNGYYRIGDAKTHKAFN
MSQRNHVEPMAVARHLAKWICGLVALGVLVFVGLLAVVINHDTLIFAFKRGMVLGYAIVFTVSASGWAHLHLTGRSDRE
MKMIFTGCPTDMTKGQDGPQCPQLELSALTDASRAPIVITEYSIGSHLQLLPKRSKSCPVWSCIQLFFPDSKCRFR
MNWPTNGEYGEAIQNHQQTLADKELRAGQVATNAMGMPLTWSGNFAVVYKIRCPNTGNTWALKCFTRQIPNLQQRYQIISEHLRRVNLKCMVDFQYLPQGILVRGRWFPVLKMRWVEGQELDDFLEANLGDRRLLRRFLKVWMKLVEALSAAEVAHGDLQHRNVLAVDVDGQQYLRLVDYDGMCVPGLLGAGSHECGHPAFQHPQRLAEGTYDLTIDRFSQLVIYTTVHCLRVAGEQLWNRFHGDNRLLFSSEDFAQPGRSELFRRLWRLDDVRAKALVGRLALACTRPIEQVPALGDIVTPDGIRPLDDQHYQQAERLLETGWAAPAVFAAAAAPVPGASSPPHPTPAGSTIASTPAEKPWWLEPGDVSGGSWPSAASGPQYDPPQAPQAPAVGTRPSGDPSEATLADLWYLYKDGAQYGPLTKAELDNLVFARMIDASCYLLPEGKRRWRRAAEVYPELEQVYLAELVEVATPEKNITAGGKNDWIGPVLIGGAVGLGLAAARGGCAGAIAGAMLGAVAAAIAWGIGGWVGVGVALFVMFLLLRQ
MQYPLISEYVRAIQDASNNLDKLAHLVPVLDDHGEPYRSSGAFAVVFKMKDEQTGKCYALKCFTEEQEGRAEAYRQIADELEFVDSSYITSVKYLDKEIFVDSSCEKDEFPVLLMDWIDGETMETYIAENYQNGYAMAMLCYRFCKMAAWLRSQPFAHGDIKPDNIMVRPDGNLTLVDYDGMFVPAMKGQKSPTIGTKDFSHPLRTVDDFDETIDDFALASIALSLKAISLKPSLLDEYGAADRLLFSVNDYNKLCKINLWKKLSKIKNMEIKKLQTCLRLAENRQNYDNLLISCVKEPTKDTTLEKVDFLKARAISAVCSSRQLQEHLTSNTHYDLIDLTSQKSENSYPIKEILALLAVLSFFALYFIDEELFLNVFLFFMTSSSVIFMIIGFVIYAGIILLGGYLLFVIIKKILKK
MPSLPSCSDYSTSIVVGSLVKASQLAGGRPEMYNGKPIKYAGGYCIVFPYIASGKKYAVRCWHANLEGAKERTKIISSSLHTLNMPYFVGFEYAENGIVTPLGVQPIVIMDWVEAKPLKDYIEEHLFDSDTLNRLADTFLNMTQQLHEHSVSHGDLQHGNIMVKSDGSLVLVDYDSMYVPELDGWTDEISGLPGYQHPARWTNKYLTPKADYFSEMVIYTSIVALAELPQLWQDLKVRDTDTLLFSADDINSKGKKPIFEILDSIDACSDFISQIREALQCNSIDDITPLEVSRISIAESLSELWGDNGYKPKPSYEKADIVKVTSKW
AAQVPQFGPSYGQRAYTALGSAIQSFKAKKSDDDALRAADNAVAALCQLCLSQPAVSPDLERSWQAVFARLPLKADLEESQRVNRKLLAEAQKPNGGNLGSMARVAQVLGYLCEVYGRSEHCDEELQRDVCTAFASLQQGALE
GGLPRRPRRGGRAEEATCGRQREADLKGEGGEEEQGERGEEEQGERGEDERGEEEQGERERRSKERRREERERRSHSNSSSSRGGGGRARRTKEEEEEEELVTLDEVGADEVGEGELQALVTLDEFVEEVELNTPETRPLSQEDQSEDFLNPETKLSTTMMRRSSRRKRKMPLYLPNANMMTTQISTPGNISPPVVTPEAEQTESESDSECDPSDEHPTSTDFEPIQAFTVPDNTADERQFIVFESCIVNLFRKCQRTGCAAEVREFQRMLSGSRLIISTKCAAGCNYTWSSQPTLNRMGVGNLLLSAAILFSGNTYARMQDISDYLKLPILCKSQFYALQKRYLFPNSNAMEPMGLKQCLSNMKKWNISINILTTDRHKTVRKILRVEFPEITHQFDLWHFCKSIIKKIIKACGKKKAFAPLLGWLQSISNHFWWCAESCQGNVEILKEKWDSILYHTANIHVWNDKKLFHACEHPPLGEDTGQNHGFQLGLLLMMLSPNTVLYIAILVVYHSLINKYVPKRQHFSYEGMACRTQLAAIDHNYGVGRQQATTKSDQPRYDIVVPKSFTNWKKWVAKAVKVLKDHCYREDMMRDVVLMRTHGFEKSHVQLPEESANFVTVDEVGEEEEKKEEAIKTKTRTPAKKRARQTPARKSTRGRSVTAEDDEDDEAEEAASDVPPPAPLDVSSLDRDAELSSDRGEEEGGEAAIVPHIEAASSPGQDLTEETPEDQSLEECVEEGDDEQEEEERSKPNFKAVSKRRKEPVGPQAKRSRSQSPSVSIHVKLPPFKPDNPLGQEFVVPKSGYFCNLCSMFYLNESTARDRHCGSQRHYDNL
MRSPAASASAHDPAASAPDAFQALAAAQRLAKRGLRRLLTLQVARTALRLGFAATAAMVVGRLVTGEPVATWLVPVTIVFLLAACVAGFAADGAQAFAENAVSIGLRELAGKRLDEMPARRLQSLSVGGVILSMQRRPEAIAALVVGHRAASAMMAAGPLLAAATLFLVS
MKVKNGKYLILTLALSILTACNGNTEESTPPKETSEVTANDTENTATSDTSMEDEKSDEESKTDDQPVDEKEESEEIKEDDVDKEDKMEEDQEDSEDSTEKEALLDQIKTENDKVRKVLMKTKIQKIDPENTTTQTFDADALYGDDLQAIKADTISESSDGYYQEFTFDENDNTKATILERQAGEEETTVTEATVDNFDLHPDYHRLIQSVMDLKDELEVSEDNQSIKLSLKEDAKDVLKHIESEYNIQLTLVEEDEIEKSMEIEFDKESKLLKSINLELDPQIDELKDHKITIESLFTDHDFNGN
TTAHGPLIARLAASRRAGWLAGGCHFGRAMFLTLDTLDRDSLAAQARRYSQWSASSDPV
MVTQNNLKTTNQQLSLGSIGITNGHVFKKEFKTTAFPSYKNPIKITARLLPFGKKTSKNYVTAKETQLHQTNLLSVDSLEVPQHYVIFTLTDKVSLVNSLNTSENQNVKDYLIHHTSANMLTQVAMAFNNKTLETLQKAEAIFLIESSYKTYALQLYQQGQKTARISLSEGIVFGYETATFCWQENNRHQLDLIDIVSSKNGCEQKVHPQKKEKDVFDF
MKKNLKIILSLASFTTLPALVSCAKTIKNEPEKVSNEQQNSLFKLYQNQAVKKINDLNSLSLQNLVKNLELNYPQNLDENQYKTLNFNLEQIANLYIGVLNLNKTTPDKKVEIEFANEHKIKQDIVQYTDLLSKLNKEINLDNFNSTLIENCN
MVSSAHSLVKSESSGRPRANSPPIQLTGHTDERGEDAYNLKLSKDRSIALREHLEKNGYPKGLIEIDGLGESTLYQHSTAASLTQDEIWQVNRRVKLKRK
MAGGAGMSEAELYEGQPAEPQRVVYFGFDEYSVAAKYQGMLEANADFLKANGNREVVVEGHTDERGSREYNIALGEKRANAVRDILLSYGVSAGQVETVSYGEERPAVEGSGESVWSKNRRAVIEYGQ
MINRLVIVVALMFLASCATRQDAPGGSVSQVESSGEKGDSVVETEIVTPGMLAAEKLASTEPSVYFDYDKFEVKEQFALTIEVFAEYMRAIPGSKLRIEGNCDERGTIEYNLALGQRRADAVKAALVSMGIDGDRIETISYGEERPRNSISSEAGFSVNRRADLISR
MSERGGASATDGSGIATRGAQGAQPFKGTELQDPASPLAKRVIYFDFDSNVVKSEYMPILKAHGEYLSTHPENQVTIEGHTDERGSREYNLALGERRAKAVQRILELNGAAKEQISTVSYGEEKPLDPGHTEAALTKNRRALIVYRQ
MKKVLSLSATITLSLLLMIGCSSTPTTEGGAEVSEQSTGAASEMGAEGSEASTSAASQGEEWYGDPLENPNSLLATRVIYFDYDQSVVRSDYLEVIQAHADYLAANPQAVVRLEGHADERGTREYNLGLGENRANSVRSLMMAQGVADNQMVVVSYGEERPSAFENTDEAMALNRRVELVY
MRLLLVLSLAGLLFGCESAQVKEEEPVTVEDLSTSSASTETTEDSGAQTYGTDDGSTSIYALDDPQSPLSVRIIYFEYNSNEISSEYRSTIEAHAAYLSQNPSTTITLEGHADERGSREYNLALGESRALAVKQQMLILGASSGQIRLVSYGEERPAIEGHDEAAWQQNRRVEILY
MTIKFSPFKTLNLLTVSASIGLLAGCASTADQYGGNEQGPGPYGDGSAYHHQAAGSDVKSQGLGQYDDQFNGESWGQQDGAAAQGGMASGPNAAVAKRVVYFGFDQYSVGKTDQDIVQSNVNYLLKHPKQKVLLEGYTDPRGSSQYNLNLGQKRANSLKDALLSAGVGPQQVSTLSYGKECLAVPGGTAEADYQKDRRVIFNYNMNSKGCNQG
MFKLILSALFAISLSCSHKSSLGEDTVDGSSNIQSSAVNFSAHGSDSRKFKGLHTVPFDFDSSSLNARAKELLKQNKSWLNNNSRFKFQIEGHCDAKGSVEYNLSLGERRAKSVQTYLINLGVPKKNLDILSYGKEKLLVDGHSSAADSRNRRANFVPIKK
MKLNKLLAIGMMVISLAACSKKQNTAPDANGGAPVTDSDINSSAADSDSGNAMGIQTIRFPFDSFEIVGENREILNNNVKILKDNASVAVQIEGHCDERGGIQYNLALGEKRANAVKQQFVAKGIAGSRLTTISMGKEKPVDTGSGEDAWGKNRRANFVITAK
SIHGSQYASAPELRTIYFEFDRHYLGKEAREILKQNAEAIKKNPGWEVLIEGHCDDRGTTAYNLALGQKRAKAVRDYYLLLGVPGNRAATISYGEEKPACPESTEDCWAKNRRAENKIKTGVAGKTSDSKP
MMKLRQAVILGLVAIAMVGCKKHKPATGPDNTPPATDTAAARVRADSAAREERLRQERDRARADSIARVEQARARVREQLSEMVFFEYDSFDLSQDAQEKLQAKAEILRANPSIRLRIEGHADQRGSTEYNLALGQRRAEAVRTFLEGYGIDAGRLATLSYGKERPLAEGGDESTWARNRRAEFVVTGGGE
MSINKLGKGLVVLASATFLFACAGSDDSSSQDTVPVVQEPTQPTVVTPPPKSPEELAKEANDAARQARTVYFDFDDDTVKAEGRTLLEAHAWFLSKPENAGVVVEVQGHCDERGTPAYNLALGERRAKAVAQILMLNGVQASQIKTVSHGEEKPANPGHDEAAWEENRRGVLVYEG
MLRMKKTLSYTLLVSALVLAGCSSTKLDDQAGADTGVIPTSPQDGSQTGISGIDLTASSIPGEGPANVSHIVYFDFDSYVVKPEYQSTLQSHADFLRNHTDYTVVLEGHTDDLGGREYNLALGQRRAEAVRQTLDLLGVPASRIEAVSYGMERPRVSGSTEEARAQNRRVEIRYNR
MSIVVNQHRRKNEKDFFVLSNGRDSYIGMCEQGHCERDSSVPANQERVTDKLTDKKVSQETVASKGSGKTEDLDSLRLMKEMQARLKDIRCDFDMYAIRNDERPKVRELADTLRKNSSLKVIIEGYCDDRGTNEYNLALGDRRANAAKDFLLSLGVPGVTLSKTFLAIIPRSY
MIIRSCIASVIICSIVALTGCASKEVVKMDSGSAATLSPSTSEPKEGIPEKIDQGQIAGAVIPEHIPTASDAAEPINDPRSEFHLDPVYFDFDSYLLRADARDTLSRNARWLADNRITRVTIEGHADERGSDEYNMALAEKRALAARRYVETLGVSPERLETISYGEDKPAVIGHDEDAWSKNRRVEFVISK
MLRLITKLQTLLADSQERGATAVEFGLMMLLITVISVGTVLLLARGLGARFGDLLGRLG
MNNKYYIAGGTVMDSRDTFRYDIDGLAEELEIDVCSLTGLFSSFVNEMEIEVSEMSRLLMEKDWNNLERVIHNIKGVCANLTIDDVFRQASEFHEKLKAGDSSEAAVYVESIAQMLKNAELDIKRYFSAKGLSI
MLYQLELIMHHILGVYMKQIIVANVTPFDEKGNLDLEGLRTLYNFDLSRGATGFWVMGTTGECKMLTYSEKLAVAKASIETLGNKAIIGINEESTDNAVKLAKEIVDMGASKIFSLPPIYHKPSELGLFKFFESISKIGIPVYVYNIPSYVGYNIDLNLTGKMAEEGIIQGMKYTTNDLVSFHEYTRLKQDHKEFEILMGTEHLILPSLMYGGDGVVTAVANFAPEFVKNIFDSFEKGDILKAMEDQYKVIKLASVVSGEDYPAGVKIALRYRGIYVGRVREPLQEDINREGVIYATLKEFGL
GRTTARAHREGACAHGQGPSRRRRELRHDRQALRGGRHRGKRGGSPAVPGVAAHHRGRRRVPLRGNPLRRDHPPEYLGWQVDGRSTRGAGHYPRDQGGQEHRGPAPCPRREVHPGPGRLGREARGVRGDGRALHQVAGGYHHRRRNTNGQVRRVQRPRPRPLRGVLAGSRPRARGRARGPHRRGSLHRALLRGQRVGAAQHLQGHVRAGGPPRRDAPQAELRHQRQGRRRAGAGRGRRPVHHRVLYAQRAGCGARHRPPLRRPERRGRDRAPERDEEHVRDPPVGDLLLLGPGAAGQADGDLGRRRAERRGSPKGLPPPRQDGLRRRRRQLLQGDGTGAGRV
IAANAFTRSGYTFTGWNTAANGSGTSYTDKQSITLTQDITLYAQWKKDIVNYTLTFNANGGTGTMAAQTFEAGVSQAIAANAFTRSGYTFTGWNTAANGSGNSYTDGQEIALSQDITLYAQWKKGIYTVTFDANGGTGTMAAQTFEGGVSQALSTNVFTRDGFLFDGWNTKADGSGTSYTDKQSITISQNITLYAQWKKYIVKYTVTFKPNGGSGVMAAQTFEAGVSQAIKANTFTRSGYTFTGWNTKADGSGTSYTDKQILTISQNITLYAQWKKDIVTYTVIFNANGGSGTMAAQTFEAGVSQAIAANTFTRDGYSFAGWNTAADGSGTSYKNVQVITLRQNMTLYAQWFVFTPTYVDLGLSVKWATCNIGAATPEGYGDYFAWGETTPKSNYTWETYKFRTSGDWDGNVKFNKYNTSSNHGTVDNKTTLDLSDDAARTNWGGTWRLPTSAELVELRDNCTWTWTTQNGVNGYKVTSKTNGNSIFLPAAGYRYGTSVGNVGSYGYYWSSSLGENSPHSACYLSFYSGDVDRYYSSRDYGRTVRAVCP
MNYRKGILQQLKERTKEKSGELNKNKELLKSQDQLKRNTDNNLNYRKTKAEVERLTHEIELLEDKVLSIGSLSTTEADLKQHFTRKREASFRGCHANRWMLSWKCEGAAVRERRRAGSRKVGIGEPLTTDGGGSSTLLRPPRKEQAPESRRAVGGWVVPERGEMIERAAATVISSPPPPYAQSTRSTSPAPELIVVDARRHRRSESTTVDPHPPPSIRVAPVAGGAGGIVVLGFILWI
MSVYQQLTSDPDRKCRSEVLLRLLLSTSYPQAALTDLTFLLAKHGEIVDENILENLAETMQEVERSFTMFDFVCSIELKRSGKDITIIIKCLDIDPTARSITYTAENIEVMATASLILDSEGKVLLDHEAIARKLLDIFYKSQVSFRNRSQSIQKTRWKHRTKASQQNPSIDTNIDYEAALQCLVKSLKKKNFNIVLTQANILLQDQRARLFSASRR
MLGISIRKGSGDGMPTNKSWCLVVSVVIVIMLSGCSLEQEQSTTVELERLDSHNTPVMEEVYSDSVIEEVYSTDEISLP
RHRRRRRRCRCRRRRSTTYCVSMLLSFVVVIVIVIVVIAYYCYCFRCILQKPKSLDIFITFLSIFIIRSCACVCVCVCIYACMCVCVCVRACVVKKLDGEKSCTCVMAAAAFVVFPSP
MRLLLPAALLALAACGDTESSLPGTCRGLVSYGVGSTATDTLGQNECARPGDVGGHLYRLSLHLHSNIGLTMT
MKMIFESIVVLLLILPISVSTAWGIRKDFEKKIYFWIQLFGPPIDALVVFLLLSWFDFTFIAVWGCTIAMGMLSNLLLQPMLSPRRLIVFRLSWQQIVRHKRQAALMMGGLLIASSIITSSLVIGDSLDKTMSKEVNAMYGDTDLLVYRQDLRTGFSFDINQNLSQQIGEELSNKGHVDSWNHGLETIVIVSNEEGEAIPSMKWYAYDSWQGISLNEIAAEEIDVKAGDIIEISWSGYSDDGDLSRDSANLTVESIIPMTGIGAMSGTKSPAMFSSLELAQLLQSKEFSINMMRMSLTGSTNTKAVDEVTDVIDEIVQYEDSGFEINTESGSISISNSEGMGRLESGVMDSWRENYTTLIGDGSSMEVLQIPL
MGKGDKRGSQRSDSAIITSISLEVCSKLAALNGIT
MSEIIALQIPEAISKEEYEWFLSKCTKEKQEKLARFRYPQDAYRSLFGEMLIRAMISKHLSLHQDQIKFELNAYGKPHLAGVDSFHFNVSHAGKWVVAVWARVPIGIDVEEVKSIDIRLAQRFFSKIEIDDLLAKSELDQYEYFYDLWTLKESYIKAVGKGLSIPLDSFSMRVLANGAISVISEEEGFHCKQYPLEQGYKLSVCAIKDDFAEHVSVIQMNDLVEYLDN
MMQFPHQPRHAFEPASPETTEPALPETELHVWQTRLDLPTTRIEALRKTLDRDERARAACFRFPEHQRRFIVARGILRALLARYRRTQPEDIAFAYNRYGKPFLKEEIGDYRAGDYRESDPIHFNLSHSGEMALYAFARRKVGIDVEWTKRRVGDREQIANRFFSPREAAILSRLPDASKNHAFFTCWTRKEAYVKARGKGLSIPLDGFEVLSMPEGRAPGVGFQLPSTLDDTPPPNHNEARAIWTIRTFIPDTDYLAALVVEGTVEIKYRRLD
MSQIPMERQERVRRYVREEDAIRSLCGSLLTQYVIWKELKAVNFSYSYNKYGKPFVSEFPSFHYNLSHSHNWVVCATDDNEVGIDIEKIKDIDLGIAERFFTPSEFAEIQRKSSFEQIACFYEYWTMKESYIKALGKGLSIPLNSFCIREENGEYQTIDQNSKLYFFNQLYLDNEYKLAVCSKKKIIDIKVQYVNMENFNKIYQTKSSTILF
MKRLHDDEVHLHLAAVTAPRGFEALLAGARAHLSEAEVAAALRFRFERHRILYAFAHSFLRVTLASYLDVAPASLAFEQLEHGRPELADRALRFNLSHTEGMVMVGVTPRADIGVDVERIDAERADEALARRVFTPDELRDWRGDAEWFFDHWTLKESYMKARGVGLSLGLQSFGFDGTQLVCEPSFDAPARWQFLSLAASPSHRAAACVASAGPVRWRLFS
MIIRKYSISNEEIEFKNNLYGKPYLHNFSNFEFNVSHSGDWVVCAVDKFSIGIDVELIKPIEFEIAKSFFAEAEYNDLLSIEPLRKLDYFYDLWTIKESYVKVLGEGLTIPLNSFLVKKHDFKQIEIIKNNKKIPYFVRQYDIDDQYKLSVCAMHNNFSVNPQHVNFFSIVKEIMGKEVLF
MRLGLPADEIHLWCAYADTAIDHDTAQHDALRLRYRALLTEAERSQESRFRFAEDAGRYLLTRALVRTVLSRYAPVAPQDWRFETNAQGKPRIANPEALDADLSFNLSHTQGLVVLAVAAGMAVGVDVEYMGCGTSRMEVAAACFSAAEYADLQGLAGAAQNQRFFQYWTLKESYTKARGLGLDIPLQQVSFQHQPPHGVSLSLHPSLRDVAARWAFWQFQPTAQHMVALCAEHQVERVMTPVWKRTIPLQDEAWPMQVERVRT
MKAAVYITEIPEKYERKNMEHLTGEKLLETALFKEYGKTLAFEPRAKGEHGKPFFTLQPKIHYNISHSGKYVVCVMADREVGIDIQEHREAKYERILDRLVPAEMVPKILESENMVKEFYDQWVLREAYIKWTGEGFSRELKTIPMDRGGYAFLDIDQDYSCAVWAGETPEIIVKQVEVSLEF
MTPGTITCAHLPAPAWGAAPATGPLGPGEVRVYRLEHAAGPAVAALAPHVLPPPELARAGRYLQAADRQRFLVVRAALRVLLGHLTGQLAAAVEFAQSATHKPQLRGNAQLHFSVSHTRRWALLAVATQPVGVDVEEISSTFDFTSVLDFSCSAAERQVIARSPHPYQQFYQLWTRKEAFVKATGQGIDEQFAAIPALDGCHQLTGAAGHDWLVSSFLVVPGFAAALAGPAPPALGPPQLATLPVAWLSAQLAP
MLARCIQSAPWRLCICFKRGLTLQRYHTVQNAIASAIREALQTLVELRIELHDCPQPIRQALFETFDGSARWLSKVSLELAAEDLRSAFISSPRAVVVDILLLEPAPAQFHHFLTSVSTHFPTIQHLHLGLSAAGVQEYDGSSILPPPLTTPCIFSRLTMVVLATHSFSDIEHMLRVVSLDKLYVQLCCLEDITNQADLGFLRPPALAHAVRLQTACIGPTIHLFTLDGIVSDQMWDPPTPRGNIVVSQQGAPSFYRRLCFEGVLPPRQLFSAQLTVLEIDSHMWPTVQAAFLAPNLTIICIIITFNGADYLPNLPEINGLSTIACPVMHDLAFKTGPLFEDDDSSELRLDEDYWGMFSERVLTSRTGSLCVTLLREVYLTQEYDLPPWADAIHHMQDSDDLYSCISLGVADM
MFAIILLSCLLATGYAHAKSSCYDDVSQWCNNKAELTATGSVNPCSATYGRIDSLQTQLQEYVNLHINASFSYLLLSSHFGGYMRNREGLEKLTRRLSDSTWEDAIDLIKYMSKRGIKINLSDSGPASINSLTSYSLDELKSLGYALDYQKVIANGAMKIHEEASHTSRDPEVASFLENNFLHRHADVIRNLAGLASDLKNMSSSLSYFIFDEYLQKICCHSSKKAKKSQVVGCVVLLLISLVYEAETCEKEIELLAECNFPNLLRLLSFVLSFKMKWELILLCCLWAVSYSEGEKSDEVCYKYLKGYCDSTPVEEPTGVPEDCSARYGGFNKENDMLKEIQRFANAHISSSFDYLLLGTNFGGYRNNRKGFENLFRHLSDSTWEDGIELIKFLAKRGRAMDFSVTVGAGKKHTASISEIASLGRARDIHQSLAEHSFKIHKTASHRDTVDHDPEVTSFVENNFLHKHADIIRTLAGHVSDVKKLIANPEQRSVSLFLFDEYLQKHGF
EGFIMQKLEGDGLAFVHAGGHVMERELKVGETLRIDTGCIVAFTKGVEYDIQFVGGIKNTLFGGEGVFFAVLRGPG
AISPLSLLVALYNLIFTRATPFWLALGGAFCFATYLYLYLLGCVVQNVDKKTGIRKTVLRTVQTAVLLPVSSALEGVAIISGLTKPTNKFEIIKK
MQGISAGTLSHSSKGPYYGNTGFWCWISNEYQKEKIVFEYLWMWLAAFIMLTLYGIIALVMRGILVVGDDSREHSRWRFRCRWNWTGRQRARQELAEYDEEDEEEARQARTVANLMLFYPAVYIFCVFPVGLVRWLTFSGHYVPSAATIFASVVFSLSGILNTILYALTRPELVRGSSPDTADATRSNGMVDTKSPQSPIIRRHAGHLPDTGDDLILTFHPDPQRYDTDALDHTPWLMAKPARTPSGYAYPASPIGSPENIVLGRLPSSSHDGNTLRPGSSSSEATKHRQLGSDSGHLPDIDFDRDSRLGEVLRQPQHLQIPRS
MFVKKFTHLALSAALVAGFAVSAIADEAPVQPGPVLQENVPGSFLVFPKFDIRGESSTQLRIVNNGYHDVDVLLNYVCPGVKHVNDFCASLNTEVSFTPHQTRVIDVADQHPPCNQGYVVAIALGRYNKPASYNYLTGSYHVYNGRRHEAENAIAIQSVRAKGDTLGSDNKLYFGNDYTSLSSNLYTDFRAVSFGGEGEADEGSRLTLLTLDVLAGQQNPAALAFIDFWNSAEVPFSTSVEFICWTERQLDTIDANFLEDNLGTTYGSMKIVPYANCPIPGGCPPMNFYTASMLGAIEEYGDGTSGGRTLFHDTTPKSAVFMPR
APNQRMWMTYTGTFADGSIYKEHTYTANLVTSNGVTHGIFPPTPVGKLRLLKDGSRLTIEFWVTLSESADINSA
MDFSDLYPKSRKLHGSLLNPKGLPAKAISSCGPKTTNFFAGFPDAKHRIPSGSSGCSNGVHNVFAAPSSPFVRAFTSFSTSSSSHQGAKINLLLFRAVVNSSQELTT
MSLSTLMKTVGNQAVNAVSRAVELVAGSTSVDPPSETGTTPSIRGAAVHTQQELNQGKMLQPAAQAPAATEARPAKNTGGSRARVPPTTGMSASTAPTPSLVPTAPRSAPTRAEIETLQSQLLQQQAELQSLRTTNQSLRSANDQLESQLKQAIAVSSASSPAFSPTASGASIGDIRRMLDDVSAEIYHLAAALADSSTHPPPSYLEAQEDLSQYTAHVVRTLLPPQIHHLYRSRAVVPDVVNQMALQHVLVAWCQRRVSGWIFGRGEPLFEGCLDETFMLLRMTEDPGDACRWRALTRKHVETEQDRTKTLRWRQDDLSMCIQASVSFFNPSLVQLVPGLVGKRIVAICEATKRLHDAVGTKVVSDDLQITYIVPGTPFNPQRMEDSWATAGKRQREVDEVVCTTTLGLVKMVPRSRHEVLIIVKPQVFLLSDLEELRRSQ
MGGLSIHRVRGYLKIAGHVETIAYSLTMQQLGLLLFVAASACSAETQLPTEALFYSGLTKIRT
MPSFFGISKSRAQPATAPFQILTNNEAVAKQAIHCLDWIIVITTILASNPTAIAAGPVGVGCESALDEHIKNLWKNAKKARGIDKELQLTVTTDASFRDSLRMSSRKSVTKSARRASQSQSNFLRLRKGA
MPDNLELRGWVDDADAQIATAGVVIGGAGDGVVSAVLSARRPYICLPEPRPFDEQMSKANSLAAVGGAILCREPPHPREWSNLIDRAIAQNQQWPTCLTGESGPQRVAEWLNSLSVAAMEHKGGIA
MANNPYFRGPVNDLVAVELEDPPEGPLDLPEPGDQAEEGDVEGPGDPGEEERGISIPRGSEDEPQSGEGVAREPEGGGQQSRQGSEQAAREEEEERNAEGNMEEHEDDPNQVLRDESDFIQNVSQFFNQEALSDVILTVGEQRFYGHKFVLAKSSEVFKCMLYEKQWLSGTRDSEVVLNESVECEEAFERFLQYLYTASVSINPESAVGILCLADKYGVGSLKELVTKYMVHHSRSPKVTNALNWYSWAKALNLTDLVQQCHETITWNIADIIQSPDWLIMDLEFVCDILQSHELIIKNELMMYHAAERWLLSESNIANISDNAQQMLPLVRFPQMMVRDLFEVETSALASHEECKDLLKDLLGRAYRFRSLCPSQSTLGVSFADIFYMPRDYIDLQVDKVSIQNTLRFGIQVDVRTYVGPVPNEQKEGEWKITYRKNNDTWSLQLYCHDSAMVNGEAKVQATILIHNEQEKPLQVQRTGTFACSRGNSLNIQVTLQDIEPSKSMVILIKPIAD
MRRRALREDGSAVVELALLLPILFLVLLAAVQVGLLARDQLLLVQASRAGAREAAVTLDEARIREIALGSAPGLDPARFELGIVREGSQGSVVTVAVGYQAVVAAPLAGWLLPARVELTSTASMRQEVG
MKHNSNFLPIMTPSDLYNDFALSYPISASFLTWWPFFLLIVCAAILPGLVMRIFRRVVSAKQQHLTYALRTLQIVPYYQPVLDVVSGGVYGAEVLVRWKRTNGTLSLPDEFVPLAQESGLLIPMTRMLLEQVERDMLALRLRLPRVFHIGLNVCVTVDMLSGMKDAFIHFQRSLPGHVRLTLELLEHDSAETGTELTLLLSQLRATGILIALGDFGTGSNSLDRLACLPADIIKIDKSIVAALGEGNESRCALDEIIQMAQQKNVAIIAEGIETELQHSCLLRKGVALQQGYYWSTPLSFEQFSHYIIQSSETLR
MNKLIAFLTFLAMFAMGLWAIYYQDYRTDNQRAYDNAQRAVKNIEEILDEAREAVEHSKPLLTQPCTPDVIRQLNMTAAIETHLRTVSLLKNDVVYCSSLYGVDNRPAALERFHMRQLALLAGNPVTPDKTLMMYLGNTADGSVGVAVHGQHFTNVLDLLSKRRNLYLAVGNTWISRDNKVSRFNSEDLRNFVIIPSSHYHFCILFPRDEKYSFADFWEQEKMTLLVVFLVALGSGFLAYKYSTHLNSPYDGIRRAIENQEVQPYYQPVISTESREIYGVEVLARWHHPESGFISPDIFIPLCEQSGLIIPMTRSLMSSVVRDLTPHLNTLPDNLHIALNISAQHCQSDDFITDCQNFISAFGDKNVHLMIEITEREKVEITPEIVARFKKLSDAGVLIALDDFGTGYSNFDYLRKLHVNVLKIDQSFVSMIDENDPQSSTLVNCVIALAQQMNLMTIAEGVETEYQAEFLSRKQINFMQGYFFSRPLPFDELAQTWLSKR
MTRPRILVIDDDEAVGETLQMTLVLRGYPAVWRPSLAEAERRDDQAEVIVLDLNMPGHDGFTTIDDIARSRRHAQLIIASGQSEKIIAAAVACAKAAGIAVLGALEKPYTGRELVDVLDRFVPGGPDPNADDAGLIQAAIADGTLVERLHVVFQSKRDLKRGTITGYEALARLTGERFVSPELMFGPAVPLDLQLQMTRKVFLESIAAWQRLNDAGAPVPISVNCNPQTLCHPAFLDMVEALVRDAGLPPSMLLVELTEHASLEQAHQLARAASRLAMLGFRMALDDFGRGTTSYERLTRLPLAEIKIDKDIFWSACAGEISMSMLREVVTFCHANGMAATIEGIECELHRREALALGADFGQGFLWDKPGELLAQPEPAVRQAVGR
MEKMDSKIKVGKWTLSPHLCELFDGEKTIHLEPKSMELLLLLAHAKGDLVTRKQIMDVVWKDRVVTEYALNNLISGLRKHLNEKGTPEAYIATKPKLGYQLIAPVCEFIDESLSQEPSAKAHKSVESNSTSSTTNTLQSNSSEQVVAGNTTVKNTKKKSYLIPSIAIVLIVFATFTWWSNTEVSKETLSPSIVVLPFDVFDSDEDIGYFADGLAEEIIHQLTVLQNLKVISRTSSFYFRDKDLPLTDIAEKLDVSYVLEGSVRKAGDTMRVTLQLIKAKDDTHVWSKVFTASKRNRFSIQHEISTEVAHSIDASFIEIPLEKRIFAPNSSEAYLRVLKGRKLNQKGTPDAHIQARDEFLMATLLEPEYADAYVNLGVSYLLLIKQNRLKKEDAMEHATKAIARALELDPNLDSAYAAKGVMHQMNNQIDAAQSAFKKALEINPDLYLALINYANFFRNFSRYQDALAHYERAKEVAPLSGAAQWGLGSVLLRLGRLEDSVDQYKQCISLLTDHVNCHYGYAYTLRLSDKSKEADKILVKLSDLANPDDFWFQSITGFHNLWQGNFEAANTNYENMISQYGLNNDFVLSMPTLKLWLNEIDDWTKRLETRLANNTDNKANVSVHLSYAVSAYYNQQCKPAIETFEKVLKYRPHLFEELEALVTAYNYSAMLAYCYQQEGLANKHATSVALSEKVIGNIPETSQNIGGFLYTAAQTAMVKGDVEQAERLLAKLRASNWQLKWLIKEDPILSAINSSNIALNN
MRAKKGFAVEFINRIRTMDPSELNALIVREAGEDLAQFFLSYSASLISRDPEKVLENTSSLMLMGYLIRAAEDRRELRHEQAIALA
MTSKIPTSVVVALLFGSAIASGCDELDEIDLGRCGNEVLDAGEDCDGYPHPAGTACGSPGDARACRFTCEPECERSGVECEPEALCPVGWGCGFDGICRQFDGFVASFEDLGVVASPWMAVTDFDNDGREDLVFLDFAAVRVRYSRGAGRPGELFQFTTTPTGYAEVVDVDRDGFDDVVVPTREGLEILHGTAEPRLRAGVYPTLQVPSWDYRFARLELLSPVTSDISLSGVGDETLAMTDFGFIRFSFEELFVVGVDHPGLIKELVGPLGVGQIDETTYADEMVAAFAGRDAVHIYRFEPLAKPNPNRRDPPFAAQVTTIDIDQGTITGPDDLPAGLDDAFHGTPMGARLVHANAPVARLGGQSALCCNVGCTVRAPADEHLDVVVLTTDGLQTAYGLGDGSFHSDPCTLGATPPDQLFAKDVPSVSTSTDPPECSPLTVADLDGDGLLDVVTTTGVFLSTLTTPGVSFCDDAESAVRSPQSLWTRAETGDFNGDGERDVVTFNFARGDIDFLMGTGSSALGTITVPRVDLNAGAPQVGDFDGDGADDVAILAEQNVFEPYVQKLSVAWGGLGTGPSEIQLIGELPVVMAMAASSERATGAATTDGYDDLAVVTAEAVVEGNRVVEGESVIRYGNLLGRPDRRLTLPFKPTLAGENALAPTLAVYSPHAVAAGRFSFADEGAKALVTTVRFEAGFVSSTRPGVLGVGLSSSGTTAAPEGTSAPVVAGLVGELESEDVQQRYRAAAVDLGQGFQEPVIFEFVDNELTSRIHVPRFVDGSWEVPAPASLSAAPVGVNVATGPSDISLGGFSGVIPNTPLACDLGDPEGEHLLIMMVEDREHPFINVPDFDVPIPSPNRTVLYDLSPAALRAVREGAATIDPVVVVPDDGMVSGVACMDVTGDGKNEVVVSSVRMTVESPFDELPVTYDVGFRVRLDRTSLDPEGGLTPLEEIVTFAEDVIRETQGGGLAGVPTQGMVAGDLDGDGVQDLVFGGFDTTLVLYGKAANP
MKNKRAANKVLIFSVIFSLMASFAFNSVFAATEDDIANIENKIVNIQNEINQLSELSESKISNIKEEAQRQKDELLTKLNEIPNDGTAEQERNNLMAGIENLEKIISSADNVDFSDPSTYQRFINEVNELNILHTKTEELAKLREELDEAKKTLDTSQETSKETPKETPKETPKETEKETPKETPKETIKETPKETIKETPKETSKETPSETSKETIKESPKETIKETIKETKNDNRVETTKETEEDKPSSYPSQGNNGSNDNNNNNSKVETPINKQNTPIMVGSLIDSKIFNKIIDDKRNNIINNPNNKDNISTDSDKISDTSYIGAEAKVENPETSDNFDFTLMGVLLVTSVIGLVVIQKNKNKM
MREGWLKKIGGYVLPPKVEFARICSVHFSQESFHQGRARKDPVKKRHLLPSAVPTLFLNCSEAQNVNKNAVAEPNLKFNVLRREFPLVVNSERRIGSSDTISPSTSHVVVEDNIVNIRTPKGIRRRSDWSDANVDIEEGPPVAFGPERQVCNSTPRRSVARRHDNLKSTPHLTRLARNKHRRQSSSDPLSPAWKRRKRTQSKGNNVQTLSRNRKRIT
MVQWVTDECLAVRWLNRPQNSSILTLCYTHTGKCVAKHVMISDKWIDRQNEKPSFSKDGETFFVTLPLRSGGRGSFRHLTMMSEQPEGEEVSMQHLTSGTWEVTEILSYDQKWNTV
MKILHIHPNAKMASKFVSPLLGQEKLLGHQTKLIVFLADLNHSYDEYIDLRINNYRLILEIFKFIKFIKNYKPDIIFCHNATQATIPILISRFFNKNKIIYFNHGVTYLGYTGLLRFLFYLQEVINICFSDKIITVSEDMRKVLSKINPSVKIINNGSACGIDLRNLNYSKFQKDKNKTTILFVGRCKVRKGLNILLDIFSYFEKHENVNFLICGIEEDEFFRFSKRKFKNLKCLGFINNIDEIYQISNIILLPSLHEGLSYCILEAIKHNTLVIANDIPGISNLIINNYNGVLIKNNDANDFIAVINDYLINKVKYKKYLANGVSTVKKFDRKEFLKKYEIYISNLKN
MALSKKLVYRGATIPFALIGIAGLMEIIFPEIPTKMLSQLGYPSYVVYILGTAKFLGGLAIVFGNRFPKLKEWAYAGYAFDLGGAMISHLVLSNYAQAFSASILLLFTFYSRIIWLDFTSQSSAVEQKSNPT
MTANKTKTSLSVKIKMIAYWICTILIALETAAGAQWDLSRNEFVRGIFNHLGYPVYLLTIIGIWKIPAFIAILVPRMLLIKEWAYAGLFFVYSGAFASHLAVGDVPAAWIGPLVFMMLTAGSWYLRPASRKLANK
LLTYTATAAAVFGVYFRLQGIVFMPIFGLNNGMIPIVSYNYGARNKKRLIHAIKLSMTYAIVIMVIGFVMFQIFPAQLLSLYNATADMIAIGVPAFRIISISFLFAGFCIISGSVFQALGNGIPSMITSTSRQLIALIPLALLLSRIGGLNAIWWAFPLSEILAVVITAFFLKYFYNNKIKVLG
MAEDAVGEEAGDGVEQIVFSYADRGGKPGALTRVADACGISYDLLGLQLSPRIRC
VLATLKHANVVKVLGFVVHQGHGRIFMEWVPSGSVQSVLQETKKGLREPIVRRYIREALQGLAYLHSRGIVHRDVKPGNMLLNGDGSVKLTDFGTSRTHDGAGNTMQTGTVVGTVPYLAPECVRGTYSAASDVWAIGCTALHMITGKAPWANEARDNIGLIFILGNLSQQKQTQLPKLVKEHEMSEGLRSFITSAMTLDRHQRPTADMLLRDAFVTGEM
MSSYVTLQTVSVIYNLLIGNGASSMVFKAFDKRTGEQRAAKVIKDRSKYNLLDLNREVTTLKHLNHSNIVKLYGKETEIGTGRDVLILEYCQSSLYSFLQEPENLHGLRDQEFLTLFIDIASGFQYLHSNGIIHRDLKPGNILRKIKPDLSPIYVISDFGTSRRLENPEEEYFSLVGTEEFLHPAVYQKAFIDRQQVTPFNSGTDLWSFGCTLFQAISSRMPFIPFDGARNDRKIM
RLGRGTGGTVREGINLDTMEKVAIKEMVEHLNLHEETKLVYGLLQECQHGNIVKLLDVGSIGGKTYMVFEHMFGDLYKFKKRLGMLMKRTLNREEIKTIIEQILQALAYMHEHGIVHQDIKTANVLINKEGTKVKLCDFDHARRVTTPPRDPETIGTLAYNAPEIILGDTQELGSGVDVWGAGVILAELV
MARALVFIHSQGIVHCDLSPTNILLDTMGRMVLTDFGCAHRLRKDYQTDDPEDVDEIGTRPYKAPEHLFGHRVYTSATDVWSLGAIVGELLLGRRVFRGESDLEAIGSIVRTLGPPPKQVQDRVNS
MVPTIVKQISDEMGVLAMLDHPNIVSYYSIEHGRFEDETVIQVYTLQMLEGLAYLHQAGIIHHDIKPENVLLNHNSVVKYIDFGATKVIARQGQTIITAPDPSMDKSQPGIKTMIGTPMYMSPEVIRGEAPAQSKYAGAADIWSLGCVILEMATGRCPWASLDNEWAIMYNIAQGNPPQLPAPEQLSEPGIDFLRKCFERDPAKRATAPELLQHPWILEIRRMVIDDPEVQTPRSESATSTGSTPIL
RNYILHEKEKRVMIIDSWPDDSMLAGCGMTTLTAEEQLLEGDAAVEFAVREEVVGEVDPTATTGPPDSHNRAGPREATVEDCADCPPELGAPLAEREVDREVPDLTERQVPDLGRGTDGAVVGDRPVTHEVPPPPAGKLGPHPEGADSQRQGFRDTESPSPL
MMAVRACYQIYLYGGASAAPLCPRRKLAHSALSEILMSIFGRMEEASKKFVTCPIDKMQEKDEEYSKIDEGSSGNDDAFESSSVSDESDIIRCVIGELIDIVEKLSIEVAPESRIFNGSRSTKVSDLSINSSE
MKNRLQAGLVPEVSVEVWVTIDQDEKGKKIARELEIDKASLVDRGACGPDKGCGIGLKEEEKEEVEMGVVPKHPWRYGKDAEASWSKPSLGDFTDKSWGELGDEEKRSIAGHYAWAPENPPERFTDLKLPHHRPGDHAVVWNGVRAAMAALLGARGGVDIPAGDKRAVYNHLAAHYKEFDKVPPQVTFSDDGEVIEVMWMEEEDKLEFEAQPEPAEAEQAEQEAEAQEQAEEYYGAETVSDNELAHYEKLLAEKDEEIAVLKAKLEELEKTKAELEAKVKEYERAEREAIITELRKYNPDFDGEGKNLAELRELLEFVKNIKVPLSGRKSLVVSPEEEPVDPAKAYEAMLRKKMKEIRRD
MEKSNANHVPLKDQKVITMLRRIVPISQDQVRFGADDSDSAMKVDSGKIQPMWLAEDAYDDTGNWTDGLPINHND
MRAHVGEGIPDFLPNHPGISEDVDHAPKRRQILTVREKKLALKNALRYFPSHLHESLASEFAKELEEYGRIWMMRYRPIEYDMKAYPIQSYPTKSLQAASIMLMIHNNLDNAVAQFPHQLITYGGNGSVFQNWAQYRIVMKYLCEMEDDQTLVMYSGHPLGLFPSSTEAPRVVVTNGMVIPNYSSQDDYDRMNALGVSQYGQMTAGSYMYIGPQGIVHGTTITILNAARKFCGADNSLAGITFVTSGLGGMSGAQAKAAVIAGAACIVSEVNPHAAEKRHSQG
MTTKTKMVFNDYIKLSDDEKRELKSLIQIHEAKPATEQLQERGRFNEEIKRIMGPTSAGFCSACGRG
MYTTPDSTAEQSTKGSKAVLADEGGLAANEVKKEQQKAKNENSVKNTEKEFDKYDKKQGNKKDYEVVDEEGKFDSNHNVHIVKEDK
LPNGQEIEIHQIRITALDSETQVAPEGVHQDGFDHIAMVGVGRHNIIGGDIMVYSSHNQAPFFRKVLENGEVAMLADSKL
MKISTTYRFIAAVLSLSIMVGVSIPAGLHAMPKEECETMQSHQMEKMMLMEQHTHMPGMDMEKHESGIACQCHIEEAPVKTKASLLKKVQPPVLVFAEVVTQIAEDEPEQNHTPVPFTITYTQPPLFLLNAAFLN
MDQPPYATAGDLFERLASTLRQAEANLKGIETELFSTLADAGHLPPAFQGFDRELQRVQDVISICAEAALRGQGSARYPVDDITARCQLLDVKNTILGDEAQGEHCPVELF
MAEAAIALGDLPGALARLDAISPAELAGLAPAEQERFKELRAAYLAKRQRAVMKEVQAALAAGNMTALAGILRGLSRAEEASFPRNRDFIATLEDSRRALDVHAALLKAQRQGELAEVVREAGVLLGLAPRCAQAAQMRAQAAAALERDADTLAAAGNYEAALAGLATLHDAWSDRPGLADRIDRLRGEQAAEQRFAATMVQVEQSERDRAPENGLALLRAMPADPRTRARVEQARERLAKQLEQLDAAPPSVALAQGLKLEYKKGAQATIALRIRDDHRIRGARFFARVEGATEFVELPMRRGAGEDWSVEISPEFHHNHTVGFYVTASDYSGHAGNLGSSKEPLKLKRKRWSLFGR
MSLNESIISRLKDRATSVQPVASGDIVNVATSAQATKRLLVYSLSKVLSERHLFPEDCFKERELRVGLTAPVFKGPQPSPAAEDEAGKHAKRCLDAFNAIVPRLNESEDMADVVLQLFDVKDPQTIYEEWRFEVKYKPLPVSPITNKKRGSVSSKVLSTTQAVLCNAEQYFDVLEKAAADVKKKTDVLKLFDFADKHKDVRFRVGVSLSEAAVQQEPLPIKIFEEQASGKQKTHSAEPVLRLRVGSYDLPFHRFDIKIKSTLFKNPTQLDKALKENQQRKRY
MNCERVRDLLSAYLDDQLSAPERQSVTTHLASCADCRGILAEYHHFDALLSQLPRISPSPTLHTKIFTSPRYLKLEFELERGLQRPQVVAEITHNQDISFAILLLLIGFSTLLLLRHQRHHKHTQ
MGQIASILDCERVRGSVSASVDGELSEVEAAGLEAHLASCESCRLYAADSAETARLLRGAPLEQLGFTIALPSRFAVARQLQVAAAAAAIAATVGLSAVVGTLGSARTTSGDAQALAGASEPIALRNPEAELKLLQRATGSRSRLAIHARQAL
MLTIFAAMLLAAPPSAAQQAADSDPRATTGGAQTLEDILARQRGERIDDRFRREAVGDPARAAGIRMQLGTLGGASDSEVFRALRYGGADVTVSAKGPASEIIIQDGGMRWLEWRKGPLREWGGWVLAGMVGVLALFLLLRGPIRIDGGRTGETILRFNALERFGHWLLAGSFILLAISGLITLFGRPLLIPLLGKDAFAPIALASKWIHNNVAWAFMLAVIWVFVFWIVHNIPNRADLVWLAKGGGLFMRGSHPPARKFNAGQKIIFWVVVVLGISISASGLSLLFPFELPMFAKTFEILNAL
EHGTDLSDSFISAHELDFEADCNGSRVTTDAIEKMLEREEVESETAESEPDDDDSSYYLSCSPHDENPQHSSQLTFSSSGWLDAEASSASLSVHFDESRNVEYTNSTRTAEDCVESWYTEEDYEHFQNDARDIIHKFLSRYQEDRVATNQEPHDDHCFITMMEELYHVSLEADMLLHDANGTMDAQDKDFLYRLYKPFSSSCRLELIGLEHYVVESMAKDARCRRESLQDAVFERQFPEFRPQNDGRKGEESEILRESCRELTHPSTIL
MQHLNRMFYFAAPVPFHHLEVNFPVRPVTRPLNDLPEGEVRFRVGGVDRFRESLKLPPYACFSSDECHDCDPYSILCYFFMVRLLGPSIKQELLLKQEKFRSIQGTCIKPGIIAAFYPFTIPIFGSSLASNRLAHRSRGRMDDVRRPSRFARNQAANHA
MRASDDSIARVRAAIANIGRGHQVVLAGDHGKGEPNGHLMMAGSFASASSMAFMVRYGSGFVCASVTNSVCEVLGLVPMTGTIARPAGCYYTVSVDAVDCGTGISATDRAGTVRQLADPEASRAMFSRPGHVMPHRGHTDGVLGHRGVAEALTDLLKLANLGEVGVYTALESTTNSLQEADAAECIAFAEMHGLAWLTIDDIVVWRCMMECHVERSFGPKELDDAEQISAAGFTGTLSGIDYLVYLIGSAPVVDPLVRVHHETAVAAHLLAPDPALLAAVDAVRVHGGGVVVLERWASGRLAASTPLLGANLEWARAADIAQILEAVGVVQMSGPATVSADELSSLTTCSLEKC
MNYQQVTEKLTQLGLDWHNPRIKAFISDVSDRTGRQHTPATLPTKALTRIYEFLEIYDQININLRKTKCSWGDKWIQTFFSQHSTKDNNGNPTNRLSMDKWKLLEQYTNEDFIAF
MATMLPTIPDAPVSLADVLRSAVESLCGRVGAVPFTPARSTVVVLIDGLGARNLADRAGHARTLTHLVADSPIAEIPSGFPSTTVAQLTSLTTGVVPAEHGLVGYSVRPPGHTRVRNLISGWLPDMVPEQWQPIPTLFETLRDRGIPSFAYGPSAYAGSAFSRAFLRGAEYRGVDDLGRRMAEGMQLARRQQCVVYIYASEVDGAGHHHGWQSTQWSEALERVDAATAAMMAQRSEHVNVCITADHGMVDVESRVDIGSFPGFAELVAAVGGEPR
MPLSLNATPHAQRWFTSAAHWPDAVLPAYDGGSIGNLPASILNAFISPGQGNNSSLLSPLQPSVLHPGFLDGARVVVLIVVDGFGADVLGWAEAEGALRHLSQAQMATRMTSVFPSTTAAALTTLQTGLPPAQHGMAGYTLYMAAQQAVINMISWKPVGGMPVRLPLPQPKGFLGVPTLYDLLECHDTPSTVVSNLAFMDSPLTRVHSSGVPYSGHRTPAEFAGMLLREVEKPGRRFVFGYWDGYDALSHTHGPESAICLDELHLLDAALGRGFFDRLGNRSGDVAVLVTADHGHTPISIERTHSLKKILREHSPARLIPTGDRRAMGLAFDDLPGLHALREIAGNDGVVLPVHDAVKAGLYGPGELHPGLEARIGKTLLLAKDDASFVYPKSNNPTAGGHGSLTAREMLVPLLGWRF
MPPDKPEKSRVAPPTPPEEFKRPPSIPEGLPPLLDKRIKELTKAVRSSSSDKKTLFFTQEMNTALLDIHVLSRDLSSSLRSAVFSHLSSILPCSKDTLVKWASRLHLHKQGGRLPEPLQKMKEAVAKAMPEQINKYHIELKVHNEAKYAKMLVDDKEKEHRAGSDEDEEEKSNKKSAGPRKKFQWNEEIRQLLCQLVRLKVEVFESEGNSFLNLEDYLKAFLDVEVKPLWPRGWMQARTLFKETRRVYPQLPSILAKHRTLMPPKVKAKDFSNKPEKKVTSSRSEAQVTSTVPPSTPTKESPVPTPTVSPSQPGTSSLSTLTQDNSLDGDLIHNPPSLDAVSEHLTALTNRSSGIGFDFPTSRSGSSVKNTSLEERRKPCPPAPPASVHSNTQTPPPPPPSARAFSVDKPLVLGPEKKLIASGHNAKPHPEVHQGKIKPQPQHQGTAKGPVMVNPKFQPSVKLYPMSNPQGKGTFTQPTQAGGPKSSAPSPLQRPGTPQTKPLKPQVFPLTSPPRAPHNPILAPGFVGKYTAGVGISGQQVYRPSVPRPPAPPASNSGGAGGQNSSSNLNQSPPNLVRAATNGPLKKSPVPPQKLTLVAPQDPGGGGTQGVAKLLTSSMFGVGGNPTTPSNMSPAKCGKGPALLTPPASPSLTVLTQAYKPNGGKLAASLGIISPTYAIPLHVISFAAEPKAPASKDAIVTGPAPGTFNHVLPRNLLEGLHSNSGHRPSNLQHSTLSAPVQPSHTEGAHIHTKGPAAPPPPRKL
MMGLVSSRVPLPHNVQENEPIFVNGKQYHAILRRRKHRAKLEAQNKLIKCRKPYLHESRHLHALKRARGSGGRFLNTKKLQESSNSLCSSQMANVQNFSKSPRGGGSGIGSSSITPSSSLNRINTPCLSSHVRDMRYLRRILLRENSDKFRRLVIIYDGKSSLATYSYRFLYKYSSFKNFAFHILCNLTLYIEWLEELLNHCQTIFVN
MPKAPVNKTKPQVTIPGVKAGNIKAKKNGANRVKKPAGKPRVQVSFEDAGTASNLPESSTRKTDDVKGKGRAQGGSDNGDGDVDMYTANLKTDDEDEDQKPDISKLNEEARIQKGSSTRNEKFLVIAGSYEKNMYGLEIDVKDYQSSNPDTTPPIVKPIFIFPAHLSCIKTVAASPGGGKFLASGSDDEFVKVWDLRRRKEIGSLSQHVGSITSLVFPTRSHLLAASEDATISLFRTRDWALLRSLKGHSGRINSIDVHPSGKVALSVGKDKTLKMWDLMRGRGAASLALGVEAEVVKFSRNGKHFAVLTPQGIDVYTTRMTKIGSIPSFKRLHDIVFVETGETELLLTGTEEGKLLLYRLDLSSESVEKATACQLVGTLGGHSNRIKAISHMKMELADGPVDFISTVSSDGKINIHDLSRAAMGMKSVSVEDDETPPVATYDTKGSRLVCCCTAEVFRGKSQAQVKTEVKHETGVGKEEEGSIDGGDDFYSQSGEESEEEQEENAEDEQEVEGEYEMEDE
MKHEGGRRKAASWPLASSQSSPRLPHSTFRLRQGFTLLEMIMVLSILALISGMIFGVMRVSLRTAMDTRKLQREDDELNRFIRLCRHTFQNLPATAILTLKITEHGIPAQQELTISGVPETFAFGANPMSYKDSILGQRPDLEATNASETGQSLYYVGLSRQDLIPVDPTRSDQMASTNGEGLATPDDQGRFWMPLLANVTSLSWRFYKEENDEWVEEWDSTNLPQLVEMNLLLNGRTVPLRSIFAVPTTKLTSANPALAPRTTPTTGSGSTQTNTGGGGPGGGGNRGGGDGRGGDKGGDKGRGDRGGDKGGSKKGGDRGGEPKGPPAPPTRPSK
MKKIIVEGKKICQNCGKEFKSEKTLEKHIKEKKCKESNIKKYQEQIKNRNKKDLNDNKENIVTNTSPIENSDKKIPEDRDKRDKIVRIKNPNKIDPNDDQENIMMNHFDPIENSDEKNPVNGDKIVRNTKSNKKTKKSLGASLRNSVWVKYTGGTLMNSKCLCCGIANISSTNFHCGHIISENQGGDATIHNLRPICSLCNTSMGIENMEDFMSRNGYAKPYNWNGYKEIKEPEIKKMIKPKRNIVIEKINKFFDNIKGKNNGADIINLMNAIQIEIWEKHLEVEKELHDKKEYQRANNIANLKNIIPNSHYGNIINMLNRSEYKKNFDDWFIKIKQEFVNFLSNDDALIIINEVFSD
MIGSHKIKLKTIKLLKSSFPFGKYFNTKEEIWYSPHKQQLEANFEYMQDIISNVTCVNCNQPFKLNVYRDDSMKHCLKCGQYFHQNYDHYCVKLAYNFLDNLLFTNKYGMLDPYKNQFGGLQRLCVGPELFQDQKLLSQVVCYVITAEASLQRDEYWVAYVAYSDEEKENNEEED
MEAYLRQRPRAEIAAEAGVPLGTIRSRVFCGLKALRLTMDEMGCNRDAGRAPDHAGVAR
MNSTSGLMMPLSWKCLAAGFGLNTNLLDTNLFNLAVVIGVLVYFGRGVLTTLLDNRRDEILNTIQNAEKRYQETIEQLQQKRAFLQQAQAEAEEIRLNGFSQRERAKQELIEEAAEKSKRLDDYKNATLCLNEQRIIEELRQEASRSALKEALDALSTRLHGSVQTQMIDYHISLLKTMQSQAD
MFQNKIYLLLFIVLSLVTGCKKTIKETGNISGISNEIQYAKGFEMYDFENFKILKITQPWQGAAETSVYVLANDVNNIPDSLAHLTKVQIPVKSIVVTSTTHIPSLVTLNETTSLKAFPGLDFISSEEVRRLISEDKIKEISDGIGVNFELTIDVNPEVVIANGGNDSFEKYRQIQQAGIPVIYNGDWVEYTPLGKAEWIKLFGVLYDKEEEATAFFNQVVAEYEKAKQTVSKTTSKPTVFSGAMYEDIWYAPQGDSWTAQIIADAGGDYVWASHSGTGSLSMAFEQVLDDAQEVEYWIGPAQFVSYSEMQHTNIHYKEFTAFQTKKIYTYSARKGVTGGLIFYEEATNRPDILLKDYICVLHPEVFPEHELYFLTPLTD
MRFGTWRVGTMRFGTWGVGTRGRCWGRGWTGAGRGDPSAERYINNDNDDYNDDDNEDDDCDDDDDNGQYHDDEAVHDEHECHDVDDYTEDHEDEDYDNDDEDREDDSAEDHDHGCKDEHCDEERGHECDDDDDDSYEDIDDDDDDDDDDNYEDDGDDDDDDDDDGLVSASKEDFVATVPTHPLRKLTPDQVEQVERATRGQSDNAAWFAWRQGRITASVAHSIAHCRYVSGHSDRVPESYLRSLLPPPDGATARNLRTPALLWGRNMEATVVELYRQKKQRQMKGRYVVRVSPCGLYVDAERPWLAASPDGLVTLERVAPSAGDIDSCSLSPRSPPLAVEATQRLLLEVKCPYKHRAGSVREAWASDPKFCLDVVEQGGRTNYELKREHSYYTQVQCQLAVSRLLLADFVVYTEEDLAIAPVPFDADFWNQTLGRLSTFYDKAVRPLLQPTRPPVEE
MHATSRKICVTGRDIYLVVHMLALQVSSLVGGTDLESRISTVLTLLKPSNLDTAPAYFLNLKPSVIIRSLK
MDIAIAVSTVIFVGAVLIVSSIKATASVGEGVGMTRWMLAVFVAYALLLAVPILFGM
MGKVQILAVLTMDGCLSSELYDKTHQDLCLDRCGLDEIRKKALYRVTPDYSISMLHEWRKDGTNIRYLAEATPDTADYINGLLRMHAVDEIILYNVPFILGSGRHFFKSALPKQHWTLSSVKSYPNGVCRSIYTLDK
MIICSLTATKTFVKLTYNIQAPDPSFEGHNFIQNIYTTLHRKLGDYETKVTSMYMFKKTIPFIKTILESKSSKEPPKSSKLEDWVALSTNIDMNKKPTIMMCPDGSTVAVKSWTDLVFRMVVWLHKNNNLGLRHCPIKGGQRYILSGTAIHPNGKAFAKPRQTGPLYMETDYDSKRVVQYAANITKCVKKNLSNFWVRS
MLSFKKGVMSLLLLTVFTAQANIVGTEQVLLGEYADNFWGGGYYTIENNSSSAITEFGVTTGQLNALGSSPWIDDFYPYNGENVPAAYSDDGWDYYLGDAWSASFFDETSWNNNFLESHGSYASLYGEEEGIAGVNWFYFDEIKFEGLWVETNKNNFYIDSGDIVGNELGSFFRFGSELASNFAAFNSAKGVTLVGTGSANQPTTVPEPSVFVLFVFGLLLLLRKSNGLFKHN
MDVAAELLPGRGVVHEFVTDEGAGVSVHAARDGTFELYTRCEDDRDTYRWRLRLTGGEAQTVATIFTARR
MANNGSKRLLNLERLAANPDDVYELLDDLESDFEVESDNNDEFFAPPERVLSEDEESESSSSSSSSDEDAPRPSTSQKKSSAPTWVKQPFEGKEIPTMFDNHEPEPVLSPWGYFSRYFNDEFFEMGAALTSQYYLQQHGREITPKLTDEEIRQFFGMHAILWDVLSFLESTFTGVSNIDYLS
MGSEWLSGLFGWVSIGCWLGAQFPQVLENIKRQSCEGLAMPFLLNWLLGDITNLVGCILTHQLPFQTILASYFCSVDMMLFSQYFYYRSKTKAEHGVAPRRASQIRRHTTEHEHYRQLSAAAANVAAAAAMAASEEDVYSPQRVHSWRSVDDAELTKSEAAEAEDEVDEAALIALADSFHSESGRKHVSWSQERLVSGGSQLGRGGSRSRTRQGPALTMSPFPTRRDILHSPDQEQLFIDPEVSGGRGRPLQRQVIDSPIDALGLDLESQETIHIRPTRERKESRASRKSAALVFLGVWALFGIGSVARSRLGGREVGGYVMEHDTPLSLSIPSNANYIDGPNRMSTLLFGEEPSTERILGRLSAWMCTTLYLTSRLPQIWKNYVRKSVEGLSMFLFVFAFLGNTFYVLSILTSPKLDAPHSEAMAFLIESVPYLLGSGGTLLFDVTIVTQSFLYKPGRKPLHQRTRSQSHSHARGSSHHHPHGSSYSRGGSAARIPRSNSSTGTFGGDASDAVEVLSSSDAHARRRRDGTLESADT
MLKICKRCGECKPFSDFHKAPAGKFKLQSYCKQCKKEYTRDTGANILPSIRQRARKQGVPFSLTKENLPPIPEVCPVLGVPLRRTLGFADDNSPSLDRLIPELGYVPGNVEWMSYRANRIKNDSTYEELERVTAWVRERVSTTHPM
MKRENVRQARNTTVAYRRGKRVEVRKLLLTPRHMADADLRKVLLIRARVAAEAAEVPFDLKPEDLVIPHKCPVSGARLQRTIDTTWGNGMVVVPVKSEDGYVPGNVVILAQWTLAHLNWTTEQCSAWLEKAGNSRGMRWHHYLAVCFWTGALRDWLREGRDLEDARSDTLAET
MPIAISENMAVQAAVVGSFYSSPVQQPLDEQGLNSPPDGRVDSVVLRGAASEQSRGRRINMGRRQEDIPGNPPRAAKAVLFAYNRSGEIRVRFMDKSGQLVYQVPSIHMSKIQDEMGRNNSSVNVVT
AQPVVDHQHLGVDIDALEFCSFWPRFMMNSWNSDGVTTLPWMHPEVIDPIREAIRLRYRLMPYLYTRMWRAAEADEPVVRPLFYDFPDDPGAAATEDAFMLGPDLLVAPVLEPGADSRSVRLPEHPGGWYLFHDGTSHPGGAVATVAAPLGRAPLFVRSGALLPLAAAGQRIDPATDSERDLVLYGNAQSGTALLYDDDGDTAAWKDGAGLVTRITMERTGGIAMISATATGGYRPAWRSLRLRSVGGPAPQPGKVDGAAIRLEV
MADEAIDEVEAHNYVLLLKECREELSKAAGPNRKFYLTIACPAGPEKEKLKLEEMTPYLDFYNLMAYDFTGPWSAFAGHQANLFASASMPSATPWNTKDVVEYYRSAKVPANRIILGMPLYGRDFANTNGPGRPFSGSGPGSWQPGIWDYKALPRPDATEKYDKEAGATYSIDEKAKMMVSYDTPDMVMKKTDFITQNQLGGAMWWESSGDKGGKTASKEEGSLIGTYFEEIKKLDHTANNLNYPESKYDNLVNGFKPVS
MDRIGISFYSPRSPDQVREWLVRPLRKALEDARGGIFSNYLHQDQEGADQAAEHLLVFEVNDFEGSLRVLRTELEKLDAPREVLFHNLNPSDLPY
MQCSENLSKSDRFVMYKTGPVLWINHGMGTPSLSIMLVEALKLLHHAKAKDVK
MGRVEQVVSPDTADPRAGAESPYPLVWLRGGPEESRSLVQGLELVRHVAAGDVAEGWLCMIANGTAHYLSTFASDELLDRTYARDNQVFGVVAPVGVLRPDGDGTARIDGRWRFASGADRATYLAMGCVPVEGRRRSALLRRDLLRVDEPWAGPGLLATTSHTLRADDVHVDETDVVDLTDVPSDRPTGIYGDGELFLANMPGVPLGLAEGLLALLGPTVTGTSAWATTYSDAYSRCVLARHGVTDMLRDLDTLARNGRLKPFAPPLRAEFRALLSGAYHVALDAVLALAAACDTADPALRARLVTARRDGETMRPHGAMRSYLHGRSG
MATSAEHRELWQMFACQEIEALANVFRRFGLDDDANTIIEAHSEGDEGGDLHCTYQW
MDQAVRRPDVADLEALLAGAARRLPCAGHTETIGEARRPGYSGRFLKQVVSDPETFLREHWDRRVLRSPGVVPDGLFSSADGARLLSYGGLRIPEVRMVKDRGSVPNEAYMSDRALGYSRVSGVIDHHRVDRLVAQGATLLFQGVRRFWEPIDVFAAGLEGDLGAPVQATVFSTPANGSGIPKHHDLHSVFVMQLEGSRRWTYGATASGHRPSAHVDPDSILDADLTGETVLEQGDCLYIPRGTPHLAHVVPGRSSLHLTLSLRDAPTVADVTGALIAALVDDDPVWDRTVPAPADPADRELGDLLGQCLRQTLAKLAELSPADLADLGRRATQRVLSPGDEPR
MEEASNSIPRRSEKDRGWAWIISLGATLHVFILIGTAKSFGLFFVEFLWFYDTNSSILSGMLAAQTLTVSLSSLFVLNIGSKLLGNRKLIIIGGFISFSGYLLSAFSPNIGVLVLSQSVLYGVGCACTTGPVFVVLNYYFEKHLGFANSFAYVGGSVGKSCMACDYKETFR
MIATILSFPFAMALFAVSASGDQPAFAFPVDCRVGESCLIQKLVDHDPGPGRSDYRCGTLTTDGHDGIDIRLRTMADMRTGYAVLAARDGLVLRTRDGEPDISVTERTAPADKQAGNGVVIDHGDGWETQYSHLQRGSVAVRPGQRVAAGERIGLIGLSGNSEFPHLHFAIRHRGQPIDPFTGGAADASCAAAGASSGLWTPAAALQLGYRPTAVIAAGLASAVPAKAVTGRDPSPRLAGRDAPLILWVDAMGAKSGDRQTFAIAGPDRGIVHSQESVVAGGGLSWFAYSGKRAPPGGWPAGQYSGRYILRRGSDIVAEFDVEGVIE
MSVRSILAALSMFPVLAGAASALELRLPADCSLGKDCFLQQFPDMKKGEGAVDPYCGIATYDDHDGVDLRILSMQDIGRGVPVVAAADGVVLRGRDGMEDQLIVTAAERKAITPQACGNGVVMSHADGVETQYCHLRKGSIVVRRGQKLKAGDKIGEIGASGLVQFPHVHLSVRVNGKEIDPVTGNEVGTSCVVDPADAKPLFASSVVAAIKPDQPTVLGFGLSGSVIEYDDLVMKGPPETAKPGDRLKIALTGPDGEAVAENTTEPVDRSKAVYFSYAGKKGSPKPGTYTLDVAVIRKGAPLLSESKTITVE
MLRCLAFLTGAALAAPVFAEAPRLALPIDCTLGDTCYIQNYVDRDSAAETDADFMCQDLTYDGHKGTDFALPSLADMQKGVDVLASASGVVRGTRDEMPDTGLSSDVDGRECGNGVLLDHGDGWQTQYCHMKLGSVTVHKGDRVKAGGVLGQVGYSGKTEFPHVHLSLRHNGEVVDPFEPKASAECGSQSIPLWTTDIGYQAGGLIQLGFSSHLPSFEAVKSGTAHEPEMDSTASAVVIWGYVFGTRHGDVLELTINGPEGLNFTHKALFKKEQALAYRAAGKKLNAETWPAGVYKGQVRFIRQGKSIGEQSVTMTVAP
MTNPLPLRIFIALFYLALSVPAAAEDTPLLSMPLACTVGETCFIQTYVDADSSPAYRDYHCGALSYDDHRGTDFRLKSMADLNAGVPVLAAADGVVRAVHDGMEDISVQILGKQALQGREAGNSVVIVHSKDWESQYAHLKKGSVAVQPGQTVKRGTVLGRVGLSGNSELLHLHFEIRHKDKPVDPFVGLTAGDTCSLGKRPLWQTDTLKKLPYIATGLISAGFADHPSAPDEGLAVTLAADAPALVFAVTVFGVQTDDEQKLDFFTPDGAVLVHQDKRIEKNMAQYHSFIGKKRPPALWPKGNYRAVYQLLRKQQPIVNQAFSLRVGP
MKNLIKQISVMAEYCKDQDQGFWSAYQYARTAPVLPAGADRWFVILKSPFAENFWFYRELLKTQKNQELLIVPGSLERDAYKNGFPLGEWEKWCIAINNQGVIPTEAYAFLPNEEKQIFWSSM
MSELPTSAGIRALNLLKWDLALGFGSSILATLWFASNLKQIIALFAWNVIATPVLGPGAALTGAALWREASLQGVASVAKSKNTLGIVAEGREGQIITGVRS
MNSEHLQIVKELLHSKISLQNHSYPEYYRIYNNKLKVSYLVKILDNSTQQYNLLLQLQKEYQYLQELSNCEYIVKPIELIIDNNSTYLIMEDPGGLLLNHYIPAKGLQANDAFHIIQQILNALKFFNKAEIIHKLINPYNILIQPSDASIRIFNFQLSAKVNKKKPADNIEKIYPEYIQYFPPEQTGKTNITIDFRSDYYSFGMCILKLLCGHTIFSTPIKDRFDIVTYILSCEINPYFFTNLGIENKYANILNKLLTKDKEDRYPNFVEIEKDLHTAFHKKSRTSVNIEIPNFSPDLSILTEKYYNFGTVSVTYTKAVRTVGKQNIPFLLYVNGSPSYGKSSFIEELRKSSSYIKNELFSMYCYEDRFEYKPFIGISKLLHSLFSTLQHISKSKYNELVENIKNLFHINHLDYSYYEAQLFFPKAKKKNNSYLGKIRDNKSIYIEGYIKILELLGNLEYIVICTLDNIHWGDKETAEIIQNILLKSNSKILFILSFNPDQV
MEVRARDSLARQGVFHTPRGTVETPALMPVYNPNIPLIEPGEMRRLGAQMLITNAYIISRTPGLRERALREGLHALLGFEGPIMTDSGAFQSHVYGDARAENLEIVDFQVAVGSDIVTIFDVFVEPDFPREEVERAISENRRRVREAMERVPEDRILAAPVHGGLHHDLREECARSLAELGYLYHPVGGVVPLMEQYRFDQVIKALLATKRGLPPDRPVHLFGAGHPMFFPLAVLAGADFFDSASYAKFAKQGRILTPWGSQHINELKGEPPWSSVWDRYTLREVREMPEGERTRILALHNLEVSLREMREIRRAIREESIWEYVEMKVRAHPALLSAYRALLGEPETLMPYENSSRRRALFYTGPETLRRPSVRLFRERLRRLGRLGRGAIAVPHGPMPLSKFLPMPEGFPEVMPYSVTPLGPIPVVVEDCYPASQSLFPWPPDRTSAREVEEGIKALVELYGGNAEIADEYEGGEWDLDRLNEEKARAIAVFQFGKGAAEALLDGDLRVVYSRSTGKLRNVYVDGEHVLSLRAADGLYTLKLAGGRRLHRATDPPRFRVIVNEESAPFNAEGRNVFAPFVVDADPGIRPGDEVLVVDEGDNLLAVGRALLSGREMLHFRRGMAVNVKDHVSPRTTKGGR
MEDKSKEKLVVLIRKTRNGDITAKVNESEVEEFLKNGLYRRLTETEELKLYPKEEKSSETQKVTKVKND
FTDISEPQQGEAVWSLQPAAGRPILASSDGTYLVTPGQSEQQHPIPANEVPPFPEPSRPPGSPPQSPPPPLHVNSPVYPSEPPPPPAGPLLTPPCGGLKVPENTVASGAISPPHRRKETDSTPATTHNVGRESVAEKTPQCREPAKRPPPPFPLWFSQMDCDSHLAQCLSEMNVDIAW
MTFNNKQRSGFGVCFVFLMWLLTLSPCQLSAQTPPPQDLQPLSTETKSKYTKAVSQGQIPDELRPLYNQLLSRDSAVRIKAVQALSLIGGPTSALMLTQAIDDSLEGDAAVRIEAAKGLGDIGGRQALRVLGIALDDSDPTVRMRTVESLRWAGTVFSVPYIQEALRNDPLVGVRLEAVRMLRKIGTQFSIQPLEEALVTDREPGVRIAAADALGEVGKKEKDAARALGGAYTNERDIGVRLEIVGSLGLIRDRAGLKFLTTAMNDRDLTVRMKATQVYGRILGLQ
MEEDLRKAYGCLAGVAIGDAMGAPTTLMTPDEISERYGYVEDFIEPPPDHPIHAGLKAGQVTDDTELTILVAESIIMSNGVDLNNYVKLLVEWALKRKIFETNFAGPSTTRALQKILKGADPRDTGKLGTTNGGAMKISPIGILDRRKLDQAIMDTYSICLPTHGSNVAISAASAISCAIAEAFDESASLESIIGAAIYGARKGFKLGFKIPSASVDKRIELALKIVEGAESTEEAVKLLYDYIGMGIEANEAVPSVIGIVKASDGETMRAIKAAVNIGGDADTIASMVGALCGALNGIESFPENLVNKVQEVNNLNLREIAEKLLEIKR
MKSRASFDHVVVRCETSDHLMAQSRDVAFHLLRRPSRELAAGNRRGFHCAPAATKPPQALLEAQAAAAGLPLQRLRSSSHPTQSPTFAEKRAVPAQFDMLLLPPSPGRRTLFLTSTRSRSASTTRLHHNMLPRFLILGATAPTPQLQAQTYSLSLLLAKDSTKYAVPNTVTCTLEM
MQNFCFTDTATTLKNLKTTKDGLSSEEAAARLQTYGKNELEKGKKTGIVKL
MGAVLVFSWIDIHPTEYGSYVYPDWATAVGWTLSMFSVSAIPIVACIQVYKAEGPVGKRIKMLIQPTDDWGPKLQMHRMETRSPKHTDSQVPLALPNYDPDCFDFPDDLSKEGDGQQDDTSDSEGLKLNIPSYPNHETGL
MKNKIILMLMSFSLAVQAYGQDVLSGIVVDNLGKPVASAKVSLENSVAFTLTDEDGMFSITAPKGAGLFIETPTNDAKSIVVGDEKKIKVVMDYASKSVSVNPLIAGQTNEEATSAVATVDSEELMKSSALSIRNALFGKVLGLTALQGSGSTWEEKAALSIRGLQSLSGSGILVLVDGFERPIDDLTTEEVESVSILKDAAAVALYGHKGINGAILVKTKRGMYDAMNIDISYDHGFSKAVRLPKFVNAYTYAQAMNEAYRNDGKGVRYSQYELDAFRSGDLPYLYPDVDWMDETIGDMGHSNIYNISFQGGGKKMRYYTMLNLESSSGFFKNTDTNEGYSNQNEYSRGNIRANLDITISKTTNLQVNLLGILTEYNHSQPNKIMDNLYTLPAAAYPIKTEDGIWGGDLTWPGINPVANLQAKGYDRSHARTLMADMTLRQELDFITKGLGASLRLGYDNATTYWEVRSKSYKYASDVLNFENGLPANITRIGGGQDNELSFTKNMNGPMYRRFNFIAQLDYKKDFAKSKLYTTLMWHFNHSVQQNRHNTLNHVNFSSYTHYGILDRYFVDLALVGSASNRLPVNYKFTFSPTLSAAWVLSKESFLSDVSWINLLKLRASAGILHSDYVPEWNITSDAFGGGGNYFYGDNFNNYAGVAEGRLPTTNFKHERALKYNVGIDATFFGGLTFMGDVYFQRRDQIMVGQGGRTSSVLGLNPAYVPLGRVDSKGVELGLSYEKAHGDFLITGGARFTLAKNKVIEKLEQPVAEEYLRTTGLPMGQPFGLEAIGFFKDDADIASSPKQLFSEVAPGDIKYKDQNKDGFIDDNDKVAMGYNMGTPEVYYAFNAGIEYKGIGIDFLFQGATRYSAWLNAKSVYRPLAGNCTISEHYYANRWTPETPDALYPRLTSDSNPNNEQSSDLWLADASFLKLRHCEVYYKLPKAWINKVKMKSAKLYVRGMDLFSIDKMDIFDPEVIGVSYPADRSVHVGVRVGF
MFQVLYSPGSGRALQKMPKGAAIRIVDSLEAISKAEDPKRHIKKLKGSFGVP
MSSILSLIQNENMKIYRRIGTWFMIGLLALSALAGALITKATYKEPANWKAEVVSEIKEMEAQLSEEKVPKMYKNHLEQQLKINEYRLEHNIKPVASNTFWGYLVNSADIIALITLFTSFIIFFG
MIKELMHENEWLDAFPLMNELRTNLNQSTYLDLLRSMSEEGYGEKLLAHIHQYAKLNGCGTVALESGLSRVDAHKFYETKMGYGKLGYSFSKVL
MQLRNTAGGYGGLMIGLHWLVAIAVFGLFASGWWMVDLSYYSQWYNLAPHLHKSVGLLLIAVMVVRLVWRLVDRKPRALPNHSAVEVVGAKVAHWLLYLGTFVVLASGYLIPTSGGSSIQLFNWFEIPSVTGEVKALELVVGDIHYWSAWALVVLATGHALAGLKHHFIDRDATLSRIVTTRQAREARSR
MLSGVLLGIWTFVVVMQFQFQFQFNLFSRAWCIAELVQEENSAQLESLRVEDCSASRPEDKAKFTSELSVLFPSWLMDPVFSVRRHICGWDVGQIPPAETANQYETNKIPMPAPYGNS
MEIFFETVKVLTSSLSKYAASMVASATSRNHLTHRMVLGLGRARHRAAVKRKLSGKLFNFHFFRDKTDNKVEVIVKPIEDEAAIQLGADLIADLLLMSLMFVYMFYSISLRRKKFNDICNMQKEREQFILARLEYLEAELNKLQQLNTPVQ
MAEAKVSPDALLARLKEKDRARLRIYIGAAPGVGKTYAMLREAHALRSRDLDVVIGLIETYGRQDTDAQLQDLELIPRKVVDYRGATMEEMDVEAILARTPQIVVVDELAHTNVPGSRHEKRYEDVLDILNAGIHVMTAVNIQHLETLNDAVARVTGVRVRETVPDTFLDRADEVINVDATVEELRTRLRQGKIYKPEKVEQALTNFFRKGNLSTLRELALRAVADEVGEKAASYREREGLEPALIPERVMVCMSSNALAPRVLRTGARIAGRLGAKWYAVYVETPKERPGRIGPPDAEALQQNI
MKEWRETVLVLKYAKHHEQLNIKQIYISLYLSLYQMKEGRETVLVLKYAQDHEQLNIIPIYISLYLCKYPMKEGREIVLVLKYAQDHEQLNIKPIYISL
MSQRLNMAESHTQQQADELKRCQQLEFECNQLRNEMKASHNEVS
MVSIKSDQDIIWMASDYIYNFDGKVSFQRTIIFHTPRFQQSIHPLKSIQGPF
MNGANREWTRVRRRLAILMTGILLTAAVCSGTAFGENGVRISMTSSPAMPVYGSEFVLSSTVTDATPGGSTPAGTVTFTENGTLLGTAALQASLPVVETAAGDPPSIPAGDKVTCIADPNSLTPASCPVLKWGDHTYWAFSLSDNSDNFDIVAFDSSGSIVKQQKASGARYLYRITVDQAAQTVTFRGQGDYSATLAWSQLEGWNARASLSLASLPVGSHTIRAEYSGDANHTGGIAAMEVTVNPVPTSISLSSAEPLSVYGEKVGLTAAVTGGLAATGTVTLLDGDRPLGTGELQADGTAVFSLSGLGAGMHSITAVYSGDDRNAGSVSVAVNQMVAQRPTSLAVSTNAPASRYGDTVTWTAAVIGGSEPGGTVTFSDGAQDLGTVTVQTYGEASFSMAALPVGDHSIQAFYSGDANHTGSSADLIQVVDKAGTLTKANANVTQAVYGTAVTLSAAVAGGGGGSVPTGRIEFADGSAVFASVPMQADGTASWTVSGLLPGIHPITAAYSGDASYDGSKSGAYSVVINKIPTATTVGASVYQATYGGDITYTARVASQSAYIPTGTVMFQADGDKLASASLQPDGTATVTVPLLAVGSHQVAAVYLGDTIFAESASNGVPVIIDPSSQAGLSELSVQGAALSPAFRPDQTRYLALARQEADKVALTAVTSDPNAAVTVNGQPLAGMNPVPLQTGLNPFTVTVTAQDGYTSKSYVVFIIRAASSRLDIGQVVSMMQAEPDLNQNGVFDSQDVQVLLELIEPLSVIKP
DAHCASLAEAAGVAGKTWRAYLSTSDTDARDRIGRGPWSNAKGVKIADDVASLHSDANAITKQTALNEKGEMVNGRGDKPNRHDILTGSKPDGTKIADQTCGDWTVSG
MITPKWATVGIDPAPSKDTVACIGGDTFETIRAAHVPDFVAALVRDNARLVLAWDAPLSFDATNGYSDRPADRETRAWVKHQVQAGRIALGAVSVLPFAGCPHWAITCAALGLPFGATDAPLLLATTAQDGAQLVVEVHPAVTLARWWVAQPRTQPLPKYKGLRKDAAAAAIRAIRTELTNEVVIPEAAAISDDRLDAWIAWKMAMDFSTGDAVWTGSPQAGGYVVPPLAASDQPRKPVGS
MPKVGMQPIRRRQLIDATLETINDVGLHYATIAQIAKRAGVSTGIISHYFGTKTGCWKPRCVTLLHSFGPLSSAG
MAEEESNSKPSYPLKVFYCGNCSLPPEYCDFSSDTDRCREWLEKYLPDEFNRIMTCSGTAGGDDSDEKKRQKRGGKGMVKSKKKDDGPKQVCLSRAPRGKKKSVTVVTGLSTFNIDLKVAAKFFGTKFACGSSVTGEDEIVIQGDVKDDLFDIIPEKWPEIDEDYIEDLGDLKR
MSDLSDIESLDGGSAAHSDVEEQGAVDDGTAAVSYPLHVVYCGNCGLPPEYCEFDAKEFEARCKPWIASNCPWVYPDLFPKGSGGAVEENMKQLDIQDADGEEPKEKPGKKKKGDPEVLLALSTKKGRKSATVITGADLFGIKLDEAAKMFKKRFACGCAVTKNAQQQDTIEVQGDQRREAAELLRDQYNVPEYVIFIMEDKKSKVRAFP
MGRKNNRAQSPPPAPEPESSAPEGEPAPEARPPLQVLYCAVCTFPYEYCEFGSSFTRCKEHLRETNTTLFEKYYSDEALQAKLGSLSLEQQAKIEQDSAKKEAKAEAKADAALKKKLASQVIIRRIERTKRKHVTAIQGLEAFNIDLKKAAKQLASKFATGASVTKTPSGGEEIVVQGDVAHEVMEMLEEGVGLLKGVPEDNIEIVEEKKKKGGD
MTEALKVEYCPHCRLPPEYCQYGPCFSKCKVWLAEIHPEILESIKNIPKQTKKTEEKTEKKTKNTSNQETKQVENKKLIPNEEKEKEKGNTDGKIIISRSRRGGKKFITIIEGLSQFEVDLKKATKLFANKFSCGCNIEKDSDDTVCIQGDVHFSLPDVLLKEFKIPKTQIHLNEQITKAKKKSKKNKNNVPKHKQNRKKPTRKERLASNN
DDVMYQTLDDFDALLLETLAETPTSLNSLQTMLVEFMPSENAWQDALRQKWTEWLEQGILVAA
MSQYRNGGFISLLTRNGLACLGKKGRLHYVHVNPDGRQESDGDADDDDDVEESLPDATGSNNSPSPSSMIWRKGQKPHSLPASTSTNTSHNHQGERLGQSHRDSRHYSISSFNAVADSNETSPQSASYYAQTSAYECLSQPTDQPSNAFPAERDHYRLGPLKGVPETFSDASYTSDLQEACLIRYFVEKLAHWLTAHSSTPQTETDTSRSQSPAEQSGHMRQALKCRNNINGTVIFDGIPLPRLSEDSAIRYHNICISYLIEISKDPNEDYNEDVLTAATILRFYEQIDAPSIGTDTEAYLKAYTPKTTTPSTPPKQSTAQRTTPTSTPRPQSPSATPPASSPSGKKSGAHSCTNDPSGSPSPQRTTTTPSPQPATSSGPTASWSDTRRKHPGSKNGRVSRPSKHTGITINLFRINRFTMYHRNRRRGLISRLSGL
MNRAKASQYKGQTIVVDEGKMGSYFGILTNIDALPNKPWMASVRITGIQALPASADLHEPMYLEGEVVECLGTKVKEPENEYTGSYESSFIDALQKKGQWLQEKTAQYTSFLNAIEKKLQFYNASLTNVGEIDQKTEDESFVYYSIVKVNDILYLQEDTRDEQLELEGCPFTLEIEYKHQWIKCTPAGGLSFLSENGKLIL
MVATLAPSTLAVLSSSPLGVLVQPTCRRAFPLSTRPDVLAAVRGSSTAGTSWTRAFTGSRHSVGTFRRIGGTPVLLFSVSVGTVLGRQRASFSHLAVPVGMDLSTLPGSAL
MNMVMLPPRVRMWNRDGWYLYFDPHNFKWVRVNDSGHFILTRLQLYRTTEDIISEIVERFGMTGDVAHAAVHQFVDDLSSVGFLHHDEYNEGERPTFGPRAFAHTVYMHLTNNCNLKCPYCYNKSDREYKIKMEKQGRIASILTTDESKALITRLVENGVKHLVFTGGEPLMRPDALELFEHARSLSSTLKIEVLTNGILIKDAVAEKLCEFVNMVTISLDGHERHMHERYRGRNTFAPTIRGIRKLVEVRKRRGKPGPRISLVPALTDKNIGFMKEIYEFALDDLGVDGLAPILFQAGDHQELSIQQIPTLAEWGVAQNRTRDYLRGRSPQPVKTAPPSPVVPRNHCGVGEGEFSVDPAGFVYPCQTMHFDQFICGNVRELDIKEIYDTSPVMRRVRTAVVDNLDVCRHCDVKYFCNGGCRSTAFNVYGEFEKHNELYCRHLEKLAVDRMWANCELPLHATEGVCA
MYIYIMCAGAVPAQPAGPERQHDGGAHGPRQQPLLRQPLLRQPQGAPGHVHLRRHAAHQRPRRQHRRQAAGPRRLLRHLQELHQAHGADRRAHRRQRPDQEQVQRRQLMIEHVYTLTDI
MSTTPQAVSASNASQDAKGDAPPQGLSSSTSTTGAADKQGTFTLLLFASASTVANNTDSLTLEAPMTLKQLFEMLEEKFPGMKRKVLRSAAVTVNLEYVDFEVGEDGGVVELDDVQGDGKVWLRAGDEVAVVPPVSSG
MLSPAGSALQTSAHAQSRGGGRGRVFPPLICACSDGRPCGGRGKVCACAVWSVLWRRAEEAAEDKMVLSPVTVLYFAKSAELAGVRSETISVPQEIKSLQLWEEIESRHPRLADVRDQVIFAVRQEYVQLGDQVLLLQPGDEIAIIPPISGG
MLLTQTSRWEKKLRRRTWLLLSRTCIHLGVFVRTSGKNMQYGIVMPRYPRVGVFCIPPTWLGSCYSHGDGAPAALLETTSVLSSTYFSTPLKSRWLRRNFNSNLLLALLDLLKLPFDTEPPCVRPHTLPIL
MNQIVQLSPCLQLTSNDVLNPTNIYNLFNKLPNSEIQNSLLDLIPVKDFSAVPQDIRIVEDFDPALEYEFSHVWTRSMDRSFQSIIKCRDQVYFDIYLYVDQLRLNKCQLFLLYTCANTFSNNMTSSIQSDINTMLAECTKFCKLKYLDRPEYVRHLEQCQRLIYEAQRIYRTMKRSSNNWAEINDLFDLSSFKIETNLSNAFLHSQMSRDTHMWLVENIGSDTISTIITCHPKPAVLDFGVALPGIHQRMTQRIYIHNEVDRDLKVKIDRSTKPTDTPFDVTSDNLQLASGDICELEVILRPPTNICNLKEGWDLIVNDQTKL
MGTKGRDVIMWLIIINGAIALFTGSGILSCVEIVLCAAFFYSILEARRAIKYEYIRLVPVNRHWAKLLVRNRIVGCWDRAYEVHVKYRPGEDVRKQRDGVERDLQLIYETRPGLYLWETATSVPGSFKKLIREKAAEGKAFWEKGCFLPRPPFVYDVKFRKPLRHGAILHEGAVVILSKNIEKEIIISFASKGGLGVTKIAKINAGGKFVDLFPVLKVFNY
MKSNLQNISMLKCYSILLLLYCLKYEIGFIILKQRVIEIYLIMSKAQVKIAILNLQNEELPFNLLFIYVQYGLFEGEQ
MATIATRLQQGGPYTSRATFMGKVLDTPHMHHDSQGEFAIVMLHVHEHAADDMPTGCTAPCGGTVRRPDQRQAVPLLVRGEAARWCAGHLAAGNAVLAEARLVPLGTTESGVCLTALETEYLQIVTEEVAVPFPVQHGVPPASRGFRYPVYLSAVHSLRTN
MKLGYTCQPQFRVTQHERDLIVLKRIIDSMGCGTIVKPSEDRDRYTISVANILDLVNIVIPLFEKYPLYGAKLSDFLDFCKGVYIIKDKGHLTPEGLKALNDLAYAMNTYREGEKSNSIIYNSILKNGYSNFTLDILEYCTADICIHKEQYYINLLKPEYNLLTKADSWLGYKHTEETLAKMAASHLGKTHSLETRAKFGKAVGGKNHPMFGKPRAIGAGKPSQKILVIDLEKNTETIYISFSEAAAALALLFLGAGAVIHAVADNQDFRKYGGLRPYLPLTYSVMLIASLSLVAFPFMTGFYSKDFILESAYGQFYFSGTVVYFIATMGAMFTTLYSVKVLYLTFLGPASGPLTNYNNAHEGDLYLSVPLIILAVFSIFFGYITKDIFIGLGSGFFSDNSIFIHPMHEIMLDTEFAVPTLFKLLPLFFTLSLTILAIILSEFLPKSLIDFKFSRLGYSIFGFFNQRFFIEMFYNRYVTNLILNLGGISTKIIDKGSVEMVGPYGLEKGLVTLSSRINNLSTGLVTTYALYVLIALIFFILLPHVVTFDYSLVILILCGLLSLSSNYTRRRK
MEAWEVLCSKAFNGDEAANHLILFLEGCGKLTATGFTVTTSSLNNPDCALLEQLGFVAAQPQNNNNEYTLPGQQWSSFLEKKRNARVAWHEQRQRELRLELNDALKQIQVLDGVNDQQRLALVKEFARRF
MIKVEVADLAGLSREQAAAFVRRRTAAEPEEDQSRPKLPTIDALNRVLPGGGLDRGAVVSYAGSSSPLLGMLAAATAAGEYTALINTPDRHGAVRSLHAFYELGGALSRLFAVNCPTDDDRLITVLHNLMDGVSLVVSELHPRLLPPSRAKILFARAASRRCTLVLLGPEHRIGGVDLRLSASCVGLEGLGPGRGRITAQTFRIEATGRDGRTRSGDFRKGPRPGGRMDWEWVEPSAEVIGLSTRAG
MTESVPSQRSLSDLLRTSTVMVFQSLATIALFVASASATDYVGGWVPGTPKAQCVDICVNSKATPTCLTNAPECLAKRQRPGDFDYMVFEQIFVPQFCRDLLLGVDSTISHQNVNPYPAGVQCVEEVVKSELTIHGLWPNYNDGYAGCCNVTDTIINHPLNAVEFAAAQTPLLEKMSAKWVDPTQSNTYDTLCEIYNHEFQKHGLCYNAFEADYAKAAVNYFEAALAVAEKLDTATQQINTWAKQSKPQTTLEQIDALYGKKVQALCSKPDGTNKLSAIRTCWAKPEVANATGPFTQIDCAAATASSATVPCNTTEPISLKKYVSPKNNCT
MDINTAGVAGIAAAAPEKHRNLYGRENVYALWLDISRDSGVADRVLVLFQEDKINGETYGALPSDYMEPGALASLIKEGSRVEATGTVQTYKDKDTGRTQLFIWGLYLANVSEHSQQLNIAYVMGTIAKQPTYRETPKGKRITDITVRIPSAFTPGFYSYIPCITWEKLAEQAAGLQEGQEVYLEGRIQSRDYVKKNGEESSVLTTWEISANKLQAVEKDCSGCACPHCAADCMLAGCSGYPSDAPQENKAEELEKCYRESCQHYEMIEEGE
MPDSSTKRGATLCLALAIGPGSLLADDSLGHRDNISTGLNQRQKAEMKVPPGFVEGSTLNGFLRNYYFARDNHDTPSRRDQREWAQGLMLSFRSGYTDTPIGIGLDAHAFYGLRLDGGGGSGGAGVLPLDSAGRPADSFSAAGTALKLRGLDSLLKIGDQLLENPVIASGVSRMVPQSYRGVTLKNYHFRALELDAGFVEATRLRNQSGHSHLTSGYGNGTKGGIAADRESPHIAWLGASYSAPGGSPA
SLTLNDSGNKQTGLVEEINQVNDPNYSLVFDNKDARLERLQYKPAEEHKKKRRNDAIFLGRLKAMRTIVITCSLVGIIGLIAAAIFWYKVQKRAEAAVESEYPSYGVTGPSKDSRILPSSTAFDRKLAQSAQMFHYQHQKQQMIAHEKAHMDQKPVNSDESDDDIHGGDYTVYECPGLAPTGEMEVRNPLFSEPDSTTALTSSSHPSVTSHHPQPSSTTPPLSTSPIRDDKGMP
DDLALRPSLQVASEGLTVDRSSNTASQAAAPASPGQAEPERFPEASSETSQDGRSSRESDNRADAEPVAGALRASDPNEHTVTRRASFMADIYFVALVAGCSAVAVFGVVAAGYCFYKVQKNARAAADVDYPAYGVTGPNKEGASPTADRKLAQSAQMYHFQHQKQQMIAVEKNQANRHTSASDVDTEEEENEEGDYTVYECPGMASGGELEVKNPLFQDDRPVLPVPAEEEEEKRKRSPP
MDMILPPRLSQCHVSLRLPKIENKSILESLTEPDREFVVNGEVLKAVILLRPKGIPIERLRALISFLELSYSFEVSVEEKVGEHAEDRVPTTHGVYVFPTHRFAWSCPSLTDLPWQQIETDSYQFALISEYPVPVSLPRNANGKEVILQIHIRESTTISTDSVADSVQMVYQREKSTPLLNMTMKRKLQVIEGFQSRYWFTRTPCGSFLCFAVQNMLEEFCLQLDFPTLDIYSSHMVHPTMNSQDAQYPVSKFSTVDSISSMTTKDSDGILSYISVHIPGYSTTQTEPLILRPKEEYCFVFHFTWKTDQFPCADFMDPLMEVPLRFFWHLCDMEMTNHSSTFLSNEFLSECVQSVRWKLPSKSSAISISFSGPQKVVVNQVFSVDITIINKSQEDILQGLLLLQEKHWDENKSSSVVTITQGDNDYTLVNDSTPLHVQFPKSILALGRVPKQECITIRTDVTVTKQGLIRLPPVHLLDKSTGTRWRFEYAFEVFATALL
MIMRKFSKHAPEQIVVKLEKVEALGGEGMSAAQACRVLGIGEATLCRWRQRYGSMSRSEAKELRELREQNARLKQLLGQAELEKAALRELARDTSRPGAPARGCQLPGWSGVFSAPCTGALPGCPGQRIAAPARRAGRKRCVIMGLCASG
MSDFLKELELQLTAAAHRKAAERARPPRPRLWPTLSFVAAAAVAVVAMILLPGRPSTDDGRATKPKAVSLADTRIGVYNAAGTPGLSTTASRVLVPQNWATAETVPPGRERKYSTVYFSKGHAAQARRVAQLLDVDRVGSPPPSFGDGKSYDVVAVLGQDYATPARRLLDGFAFLREATNRTVETAAGPVRVLANRAGLCLQVHDGAGWGGTCVDIADALAGQAVISSRQENERLRSAVGLVPDGVAVIELHEADGTTRRLQVGRNVWAVGAEKVISVSFDGKTIEVP
MAELIRTGANPPDDRSGHPTRDQVIGRIADHLTAQDPGHPLRVAVDGITAAGKTTLARALVSAVAGRGRPALHLSMDGFHHPRAHRHRQGRDSAVG
MGIDSQRFQKCWNSAAFLLTILASSNVQAVSDLDQRLKRVVQSSPIAINDVTWCILDSEGAPLSQHNANQPMKPASTMKLLTTAAAFEHLPALYQTKIWASHTDEGVVLRWVGVGDPMMGDPGPLDNSRIPSTLLSQLATEISREGITEVLRLEYDEGYFQGPRYHPNWPEEQFSKSYRCESAALNFAGNLVRLELHPKSTKPDLEFFPSEATQIISVREQFRDLKKTTNKDAVGFDRYPGRNEFRAFGVCRNHHVLESPVHDPARWSTELLATTLRMEGISVLQVGAVRADDGPPKGKPIDSATINTPLFRVIKHCNTESRNLYAECLLKSLDRHLGGDGTWTVSGEDRKSAGERLLQCLSTLFPESTAPGDFIDDGSGLSHKNRLTARTLAHILRNAANRDWHQEWLETLAKGRVSGTLANRFKSKSFDHCLVRGKSGYIKGASTLAGRIDQKEGQHLTFAIMVKNVKSNADAKRLHESILAEAVRAMPRVSQATDHESLQAQSEDRFVSNRGRTVANSRQRLPM
MGGSFYLVAWLMIWLSMSDPQQIFGYGVLGSIFFAVMLISRWLHQLPADPDPPALQRWINQHWALIIITTGIWGLVNGLVLHQAIFQISHLVAIIGTIAFSTAFAFTFAMRLPRCVGVLFLLNLPGLLALARGTAEQQPAFICLAIYMVYLLLACRRSHIDYHNTLGNELLLLRQRNDLEELSRTDSLTQLGNRYQFNDLFQTMVATAQRQRSPLSLVLLDIDHFKRINDAYGHVAGDQCLQQFSELMRQVFRRDSDVPLRLGGEEFGVIMPGTTLDQAIQLAEQFRTTLAATQLRIEDQSVVITTSLGAGAYIPEVDRNGDGLYRRVDAALYQAKHDGRNCLRLAQTRG
MSVGNIRILVVEDNVSLNEILCKIMESEGYNPVPAFSGREAMEAMSNKGPFDLVLLDVMLPDAQAPQGAAMDGIEVCRMIKIDPRFADTLIFMVSVKDQPEDIMRGIDAGADDYITKPFNTTLLLAKSKAMLRIKNLQDELREKNRLLEEMAITDGLTGIPNYRYLIEKLEEEIKRSHRYHTPITMILLDLDDFKKINDTFGHRHGDFVLREIAARLQQGLRETDILARYGGDEFALLLTQTDKVGGQRVARQVLDCLSESIVINDREHMIHASLGLVSFPEGTAKSSDEVIISADTALYRAKELGGHQIYAAPSESDE
MSGRWPATAGPGCLLVLILVLLNLQASQLPGKGGFEADPAGPQPALEEATPDCEVPPPSPYPGHQREEILHQLGVQPWHAAGFRGAGLKVAILDTGFHGYRAFLGHALPAQVTARSFRPDGNLEARDSQHGILCCEVVHALAP
MESYLRSLLLVPTLKGSDSIFSFLTLVDNLHADNGSPVVPDLGLGRIIKNVPAKFRGEKGQCLEPFLINYTASIEPIKPRPSRLDVREANLEEHLPPRKDVKHSSFGNNAYEIRASWDLTDFMDWQLSLLLGVQTVLSDVIDKQLSFWISNRLEQLLAPEKVGCLITLIN
MRKTKTVKLKNFTPAPPTPKPERQRVALEEIREPLVELRKINEWLVDQLSKALNGRRKRLDPVKLWRNPEGALVLLDGAHRVEAYRVARITGDIPALVFTCSRDEAVLAAVADIDKIALPLTAVERSDLAWRLVRDGEVKLSKSKLAQATGVSARTVGAMRLRLRAMKKAGKDPTGFWLRDRLDVAEEPKPMMTDKEKEEMTEAMAKRVLEAIDMRKDSPFVREREIVFDAILRALGHHADAFVDYVGGACDPHGIGCKCEAAEEYDIPLI
MHMQSFTIQEKKLIGGISLLLAIRMMGTSLIIPVFSIFATGIDGASRPLAGLAVGIYGIAQMLFQIPMGRISDRIGRKETVLAGLAIYFLGTLICGLSNNIYVLIISRAIAGAGAVSGVTMAWLSEGVNINLRSTAMSYVGMSIGLSVIAGFSFSSLIAGHVGIPVLFFLISGMTLLAMYITVKYLNNVDEDKPVMDEELHVDRRGLMMVLKHRDLLRLNVIGFFSNLSLTGMFFIMPLLINENMEIKAMWKIYVPMAVVGTMFMYYFGRKADTQGTRKIAMVAIFFQITGIALPVLFSGLIPLFVSFAYFYIGHCILSPVLPSAVSRYPTTTDRGSIMSIFNSFQFLGSGLGGIISGFIGGYHPVFVFTFLEICVIVSFVLMSRFRNYSTDSFSS
MNGRFPVSIGVTIIIIIIIIIVIGYYYSQHKKNNIKNEKLMSIGKKQKGYALLHRFFLVIFCLFVYLSGCSFPEQR
MCLTTVASAQREITRVLQAELNRKEQIKSALVIYCKYVKRNMDKTNNDKTNNKIIYSFAEKHHKGEMRTILSKNEATGDSFISTSKKLANTKCTINPDNSDIIDLTTEETATSKPVIASKNFYIPNSYINKSEKTAKNLKNYKALTIQILKVAKTINQAEAINDKVWDHCHITAIFHNFHEYNSHLICKSVRKSVNMHQIKVIAETFEQYKSMKVGQFKYIDSMQFMNNSLANLAKNLEDNKPIIS
MSREVLVEKVVEAQRREDEGVRPKDVEKRGDMWRKGRRLYIPASIRENIMKEHHDSTLAGHLGATKMIQLIACRYWWPRMSADVQVYMXTLGKADLLSRRADYDKGERDNENVTFVKKEWLVRGTVQTSREVLIEKVVEVQRQEDEGLRPKDVEKRGDMWRKGRRLYIPASIRENIMKEHHDSTLAGHLGATKMIQLIACRYWWPRMSADVQVYMRGCDRCQRTKALRTMRAKVLHPNEVPEAPWQIVTVDLIRELPESNGFNAICVVVDCFSKQIHAIPTTMKLTAEGMARIYRDHVFRLHDLPRKIIYNQGVQFDAKMMRELYKLLHIEGNLSMAYHPQTDGQMERVNQELE
MQRRANVELRTAYNAFIESKRMSDPASGLTEIPALNQTLKPHQHDIVTWALRRGRAAVFAGTGLGKSFMQLEWARCVAEATDMPVLILAPLAVAAQTKREGEKFGIEVKHCREQSEVGDASIVVTNYDRLQKFDLEHFAGVVLDESSILKASDGKTRTAIIEAFKDYRFRLACTATPAPNDYMELGNHAEFLGVMTQEEMLAMFFIHDGGETQKWRLKGHAESEFWKWMCSWAVMLRKPSDLGYPNDGYDLPPLAIEQITVGVDHDRAQDTLFVMQAATLQDRIKERRETVEERCRAAVEIIARKPDTPWLVWCNLNSEAELMTKLIADAVEVRGSDKVEDKERKLLGFTDGQIRVMTTKPSVAGFGMNWQHCADMVFVGLNDSYEQLFQAIRRCWRFGQTKPVNVYLVAAETEGAVVANLHRKEADAERMAEQMVAHMQDITVATIRGTSRTKTEYNPSVEMTIPQFLEAA
CFCRYDGDPETCEGPNDYKQFLNTKKIVYGAYGKSVAKDSINPILFPFQKDLTYWSLKKGRCAIFADTGLGKTFMQLEWARLIGENTLIVAPLSVARQTANEGKKINVTVNYARSQEQVKPGITITNYEMIEHFDPSFFGAVVLDESSILKSIDGKTKSKLIEMFAATPFKLCCTATPAPNDIAELANHAEFLGIMNRTDMLATFFVHDEKGWRLRGHAKDPFYRWLASWGMSIKRPSDLGYDDTRYILPELTITPIFVQSDYSPSDQLFFTGLKGITDRCNVRKDTIQDRVNKAVSLINNGNQYLVWCGMNEEARAIHELVPGSVNVEGKIKTEEKAMSLESFQDGTIQTLITKPKIAGFGMNFQNCNNQIFVGLSDSYEAYYQCIRRSWRFGQTKPVNVYIVLSEYEREIYQNVIRKEKEAQEMSDRLVEHVRQYEKAEIENVLSDYVYETDTVKTDEYTMMLGDSVERMKEIPDNSIDMSVFSPPFLSLYTYSPTERDIGNSRTE
MKSTTETDYRTIRSRLTRLVVAPSVILLLMWLVFSSYEISDGFYVREVAVEVQDASIPAVQSLVAIQKERQLTMRTVMGSGADVAALDKQRGETDQAVAALTEKLSSLSASESTPLEVKAQVGILLGLLDDLPQERAQARLGPTAKDEIYRYYNSLLDAGAALFDTQARIVPDAEAGQAGITATEIFRAADQMSRVASLGDGALVSGQLSQDEYLDFVHLVGTYHGRLSTVMPFASADVQNRYGQVIGTSDWQRVTDFENSLLMRGALSRGSFPISEQDWRSSADRIASQLIDLAKAQAEHGAALGMANGNARFTAVVVGSLVALLAVLIGIGFALRSSRKLVDRALVTRLADLKDAVLRLAHERLPEIMSRLERGERVNVESELPQLDFGPDEIGQVADAFNVAQNAAVQAAVQESQAREGIKKVFAGIAGRHQALTRRALEAIDGVEREEKDPDRFAKLLLIDNLTTQQQRHAENMSILADRKPGRQYRNPVSLVDILYSAAGEVEDYPRIHIGPVPHVAVKGAAVTDTIHVLAELIDNATTFSPPHEQVWIRTHGTGNGVVVEIEDGGLGMSEEGLATANAMLVDPPDFTAFTMQGNARLGLFVIARLARRRGTAVTLRAAEKGGVVATVQLPQDIVLAGSDWKAAAPHGTRRRAVADPAPHEAATSRQRSASARPATPASESAVGEADAPSADVDAELPRRRRGKNLRGRTASPDPEVDNDQRPPQPSTRAYAFYRGARRGQGDRPESPNHEG
MQRFRYVVAMFLARLGVRTRILAIALIPSLTLVVVGVGTAGYLVDESNTAKAWATELQQAGPYTHEMVEAIQSERHLTLSLVAGDLTTTLALPAARTRTDAAFVKLNQIAQQMQAVDGADIGDDQSEFEVFKQHLKAMRGGADAGMLPAPDAYMFFNLVVDKFIQGTKIAILAAPDATVSSGVGESLRLLNIIEAMSRGSALGSALVTSDGDFGVKVDEFIRQSGFYRTELTNLTPELPEDERNAIIALTTSAPWQQLTQMDNAIITRFSAPAATTSTARAATPPALPMSAAEWQSASASVNRALLDVFTGHNAFIQTLAKDSAQRSEATAAAAGGGVIALSVLVFLVSVVLANRIIRRLRRLRTATLQLADEKLPATMRALRDGNEVDAAETSPSLDFGQDEIGQVAKAFEHAAASAIGAAVDEARTREGVRAVFLNIAHRSQIVVHRQLEILDEAERRQEDPALLETLFRLDHLATRERRNAENLIILGGGRPGRQWRQPVPVMDVVRSAIGETLDYARVRVTKLPEVSVVGTVVADLVHLLAELVDNATAFSPPQSRVEASGNVVGKGVVVEITDQGMGMSDDDLVRYNAMLADPPDFGVGTLSADSRLGLFVVARLAVAHGVSVRLSESDYGGIRAIVLIPQQLLAGEADLAGTPPTMTGPLRRGLPAPTQESSGAVAALESAPSPVATLVADPPTPRPMTPVAEAPAAQTPRYDTASDERPALPRRSRQTNLAPELAEPAAEPPRAPERPRSAEQARDIMSAIENGTRQGRKQQTTPNQDEQEG
MFGLLVILAASMQLLEADIIAYDCKDDSVELTKVSLLNVKPCLDPRKAEETKPWDIQLVQKRQYVGVHVYTCLITVQQTIQHCGMHSHSSTVAGGLGKYVLDLSDSECRKAQRFQHLDLRAIGAGMVSQLTKNGTTEISTTLQGQLDTEGRCEGVTFTVGEVVYKNVVVSGAITIKLSDYDTVANVELNTIHLRSGTICPFNDGACFDDLSGIALWESHYEDQCTNKGVDVLYEGNATLLTLRKDPQIQYVIVETDDTVFALKLTKPEDLCHQHAWQTEQNRLLVIFRDSIGFYFRKSTKIAQNTDMMAHVLSKLLYLEIAVKRYMADIVYDAIVKRCQLREKILQNRITMALQAPDLIGNLIKETTGYVGRVMGEVLYIAKCKPIVVEIRKSQKCYQELPVTYNNETWYRMPISHILVQHGKEVDCQPLLPANFQLEGTWIEISPALKRTSSVMELDANEEKDTITLTKISPISSHGLYTKEEMDTFQQALLFPNEREAVANEMARRLAGRKNTTNRYYMPGLFTREEFKNIAITAAEHVWGFLSRMGNIFSVCAFLYTMLCIASYVASVIANYFTLRNAARNHPRRRRYLWASLWQALAHRYLYHLHTQREGQFELQEINHQSNAETTTEVDVAIQVESVPTAPGYPQLRPCKDSTCLDPHAVAYQ
MTSNDIDASTVQEVLNNLNELHKMDTSAEESFKAAIAQHLTESSAISNAEPMEVDHPVAMEHTQREDLERIAEHVRQEVHEAPTLQDQHEVLKHIGADSSSTLATVPITPESEIAPAGKPVAGSEEWHKLRRDNHKEVERRRRETINQGITELATIITCTEKNKGQILKEAVKYIQGVQLAQAKLTEEVNAASALKIELTNLELEKQAAEGALESLSMNHAQLKREYEELLKQKQQYDQEDDEELAHKKPKTE
MDGKLKSGVYELVNDRFHNHVGHNGQNFVLACSTPTDDCLSDTESDTCALTRPCRWKVQALHNGNYFIYTRPEGDSVHLDQKLYAMCNPLPSKKDEVMVGRSPHQWTVKESRIKRRYVIHHTGDPYLCWALLDGELGTHVTLNATSSCHMNLWDFCEVLAPPDPAKELLPNVGTLNGDHLFTA
MKNFRSIFLKAYLISYIFIGYSSFAQIGIAPGPGVTPEDMVENIVGEGIEYSNVTFQGADASRGIFTNGGSTNLGIESGIFLTSGAGYIIPGPN
MVCVTIFNKPISKSKDNGDQTGLWAMHDYERAEPDDLYGVRVHSWVAVLPGKRDITETLFIEPPSGVKFDQSNANYLGIESMWNHTNFWINLQVCSQGCKSLKFDLSDLKCWEYLLPGEPWTSRKTDPSFQVDENSPELTLQEKHLDMPTSWVSKLNIPLSVYEKRYPYGHKTVHYKKARLELFAPYLQHDGLITCITSFTDYATLDPVCVYENYNHREDLLYFSLQNLKNESTVEEFLPGREDALKGTTTQYLAKTPILYTNKKLAFTTPVARTLSISCFYSVDEGSKMQGILEGCEGEELWMSGKNASSRAMTSLARKVTTRTEVTTPL
MGLFSWLFESSTEIIESDDITPSVNCDGTPMISGTTIDVEGKFYGHCEMDDSITSSCFDSSSDSFDSSMDSSFDDSFSSMNDDW
MADSDPFARSGGSGTAGHASRPTGPVDHHRHPRGVNWNLPLLLGRATVRRLLGAPPPAAAAAVQLEERHHGWGYSRPVVALDVVWNSAFVLVSVVVLLSTWKERPATPIRAWVFGYVLQSLLHVGFVCFEYRRRQRLRERRRSRWIEVEEDDDEESRAVKKLESLNAMISLLWWMLGFYWIVVGGQALLQDAPHLYWLTVVFLAFDVFFAMFCIMLACVIGIALCCCLPCIIAFLHAVAAQEGASDTDLSTLPKFRFCQGNQPDKLDLENEHQIAITINEQDSLVDLALPAEDSECCICLSQYEDGVELHSLPCNHHFHSDCIVKWLRISSGSKPRALSASTTYLKVVILCSLKKHGFKHQVSSLLYD
MNPIQILVAAVLAVAGGLGGAFAVDKLVDKPKPPSPPPKPETKTSGVLSPSQQRDLRRLGPPAAGKNYQRIEDTGADAFDRALDAAMFTPAAPYAAVVKVIRPHAEGAMQDLMAPPKSKKAAPPKSKKTAPPKSKKTAPPKPKKDDAPRKAEASDCPKGVVVLDQDKPQDETGFTSVGAVPPKPKPPDRFYKAWSSGQKRYYQAKKSDAQWKKEIDAYNAALKAWNEAVAFDAKITLEKKRIEEDIRRAKSQNEVTALKKRLEAVTKAGNLSKVHGANWRGRPALTAFFQATAQNGVPANLDANKLLEALNAFAAQLQTQPVPGLDPNAFMTPMAPAVSDFQNPWAAQPVAQPQPYAAPPGYAYVQTPMGPQLVPQQAAMQPVAPPGYAYMQTPMGPQLVPQQAAMQPVAPPGYAYMQTPVGPQLVPQQAAMQPVVDPYGQQFVADPYGQQFVADPYDPNALVEAELMNLAGHGGSTGTPESIGWASDSDVEGLEQLFGDQAGWADDSDELEGLDELLSDQAGWADDSDELEGLDELLSDQAGWADDSDELDVGRTDSACCTACAVGQPCEGGACGIGGGCSTC
LLIQWGFLSDYLSVFRPIIGQVVENFQPEAIILQCGADSLGCDRLGFDGHADCVRFVKSLGIPMIVVGGGGYTLRNVARCWANETGVLVDVDLPNEIPENAG
MTERAPRRSVPRRVTARPDPRHRRRTRCPRRVRREWTGGEGVFHLAGALRDDLRGITGRTLPVALGVGPGRAGDLRLAIDPALPAVLGDEGYRLEVAGTPTVRGRTPTGVARGAQTVEQLFALDPGRAAVPRGTADDWPETRQRGFMLDAGRKYYRPDCIEQQIRTAAWLKLNTVHPRVVDEAGAALLGRLGLVLVGAAPLPRRTRTSRSRRGWAGARSTTSTSGTRCSRPPATCST
MTSATREELAESRRARKELMTKLEELEGVKTEIEADRDRLAVEAGAQSRASEKMKAEVLKWRRSLEALQQQVATDAEVRRAETSKLSAEMQRWKEKSAKAESALEESERARVEAQGQVELVKADLNSTRSRVTDLEGQLSKQSRSFQEAVLDIRREHELIRTELETETQRLRRELDERNADMLEAAQQATQLKGTIEALREENAHVVDDNNRYRLRVDGLTRRVAGLTQLWQESREEQDQQLTAALRLADEKSHELEVVTAEVIALRSEKNSLVEELSRLHHSSSSSAAAQEGGWTSQAELALAGTELIPVTSLSWRSQDIT
MVPLRLRADKCSGCCDGNLPLASIALDALWQQRDIGRVIGQLLVSGGVE
MQPYNVPRPVYTKDLKDIPDRLWRHEWLRTIDFNKLPKYQLKVRMCCPKCEEKVLEEIWEVHGVVDVIAYRADKKVVVTGMPERPEAGFDSRFEGRDGFLNTDEVLRKAKKIDCRAKFVKLDVPVPVPVITTPKPPKPPAPPKPDQPTGTGHITHVVYPGSSTAPYTQGPPHIFIRDPAQDDNTPFYFLLFVILLLSLLIFRK
MQEQPSNSETDRRNGRDIVLTGATGFVGKVVLYELLRRREELGVEKVRLLIRAGRNSDATRRLQTEIASSRCFEDLDFDWQEYVEPIESDLSLPSVGVDEDTLAAMQESVTHVINCAASVQFDLPIEQAAKANVTTALEMLELARGCRNLERFLSVSTAYVTPHTSEHDPVHEVLAPLPRDARELYEAIQQGLIDENKLMAQSGHPNTYTLTKCIAEHLLVERQGDVPLAIVRPSIISASMERPSPGWIDSPAAFALFVVQIGAGRMRAVIARPESSLDVIPCDAVVDRVIDVAFGKGPPQEADAPLIRHAVAGPENSPMIRDCIRAITEFFAQNPDTRRGNKDQAASVRYLGPDGLLYRLNHWLYHERGSKSRRKAEGIAATNRLFSVFTQKTFQFESSMPFDPPDFDTSAYIATVCKGVAENLMGADQTAVPFAGSRFPRTRPDSRWVMSQPQGNLFVRFAVYVVIKTLRKSLEYVTFDRTAFEKAVRDVPEGAHIVLVPSHRSYLDFVLCSILMFARPELGIRIPHIAATSDFARIPLLNWLILRLHAFYIERGLGQEDKRLTNKVRRLVRSGRVIEFFIEGKRSRARRFLPPRRGLLRCLQSTGETFAVLPIAFSYERLPEEAPFTAELKGDPRPPMRLRDLIRWNRRARAGDVRLGRAHIGCGKPVVYGPDSDIYEVAKDIMAELQYETVATRYHLLAFLEREKDALVDIDMAWLAQAIERRGGSVLRTYLDDRGVSPLHERGMRYQYEHLFYAEAEQAFAGNPAIRSHIRRNRYGEFATPDNGTAQQDPRVLLFLRALFGDVVRNYRVVIDALGDPAVPLRLNTPLAVVRARLDDELHVPDVEAVFNDLASRHILDCDKEEKTYFWGTNAAELDGYRAALARF
MDAVAVRTPTHVFRDAQTAVKQLMELMHGNDGGEADTRVSCPVCQKNFSNTSYLKMHIRNHTGEQSVAFLKLH
MRTMESKKKNKKDNLTIKNTTIKSFFKFSKRKLLIFIILFYVLFFYLPVIKCIPDHDVKEKFCEKYGLCKVDIYSSISTVISGYDAETNTFLCPATRITSVKMILLILIFMLGTYIITCILDYYYARFRDK
MTLTLGLGILFFWTIWFALIFVPAYWVGRWLAPKNPAELHPVVYVALAAIFGLFSQLAVLIAVIAIMFGELFVFGPSPSLKVFMKDPQGFGGAFVNMLLFVPTFAALVAGYWKARRRLYGARGGLTPN
MSQNNKGTPRIVVVGAGIVGACCAAYLVREGYSVTMLEAEEPAAGASRGNAGALSPGSCIPLSMPGMLKDVPRWLMDRNGPLVIRPSYFLQSLPWLLRFLAAGQPERIPAIVDALHALHSPVFDSYKPILEAAGAQSLVRQSGCIVVYRSRKGLDSSMGGWKMRQDRGITFEILDRSGIRDLVPALADKFECAVLQPEHGYVTNPHSLVLRIVEYVTGSGGTLRNGRVVGIEQHDRAVSVRLENGERLEADRVIVAAGAWSKPLVKALGLSVPLETQRGYHLHLPSPGIELPLPVSFVDAKFYATPMEHGIRLAGTVEFARPSAEPDYARARQLGTLASDWLPGLQLTGATEWMGRRPCLPDSLPVIGPLPSDNRILLAFGHGHNGMTSAPTTGRLIADLIAGRKPFIDIAPYRPDRF
MDETADIVVVGGGMVGLACAIALKDRGLDVVLCDPGEARARTSYGNAGVVSRGSILPMSSPALWGKLPAYLRNADRGLRLRYAHLPRILPYTAHFLASARASAWRRAAAALLPLTSAAYPAHERLAARAGTSERLQRTGWIKAYRTEAAFEAAALEREILSGHGVGFDILDTAGIRDLEPALVRPYARAMLLTETGSVREPGRLIEACEALFAGLGGRRLRGSVESLSPEAEGWRVVHETGAVRARQVVLAAGAASGAIARSLGYRFAVAAERGYHRHYA
MRRAPGADTPGVRRFAVPRGVRRSREGCPHRLPAASVHRSRRCVCGVPGGVRAPSPGCALVETTDAWPVGGPSARKSVREGRTPPLTWELAREGG
MKKKRTAVKVAVLVFLIGIFVLLAIYFINVLNTETFKNQQNYMASRLMEMGAYEQGRMLAVQNNQMKKNNTAQELIVLAAGFQADYESGIRNAEAYLKSKSDDILQDMIQLYQKQLSEIKDLTFDDELMYQEERQKLDSHIFEELMALLFRIEETINAKVDNDTLQTMLDIMYSSDLVSYESLELLQKDHSALSMKVQVSGNLRNGNYGSAYETAKALYKKNHSFENKALLANITAIDGINLQSAGAEKAINFIETVTPVSERRTSAYNLERAYLYFYADKEKKSRDLLRTVLAASADHDETIAVLLRDFLYEYKRMNGFVEEQQYQTYQKNDLDIRWERIRQALYLIEKDNSFYEFVLSVMDELYNGLIIRNIDASFYPEVHLTVNVAVETENNLKKEDFLLKDMDAELKDFTVTSVSELDDLDAVSVALVVDHSGSMEGEPLENTKKAVVSFIKNIRSDIRVGLVAFDDTAQVITPMTDSSSTVLQGVRAIESGGGTSIWSGLQMAGDELMTETGRKIIILLSDGEDGDTSKIDGVLRQLHQSNIYVYTIGFGGADTEYLSYIANSCKGKFIQAKSSDMLDAVYAEIGSYMVNEYLIIFNAVTELDDFSRICSVSLERSHLLAEKNYHVGVDYDEIKTEETKKPAADYFREFGGSRKNAE
MQFTSMTSVSTRKTGSTAEEESSFLNEAEGRQGNVESGASNVEEVSERDDGATRTEQLSVGGSFSQSLRPSGRFSSRRGGFGQSMAVEAHCLSTTGPSHFDHWSVETAYSMSKGDHISGPGTRPPEFAPLARFPNRSVV
MSSLEKGPQFARYLDDALYTRLAAMHGELAGRPPMHDAALRFEAEAFLNHEARLLDTRDFSAWLGLFAEELIYWLPQDESSDPRGSVSLWLDDRRRLEDRLVRFGTGFVYNQIPHRRLRRCISNIEAWQDEQGEERRIVSNQIAFEHRKGHGVQQHVALVDHVLRREGGQWKIAIKRALLVNGLDGYDIPTLL
MVVLESVLLLLLRFQRWPFAVGCECVGGGSVVRKHHGAHVEEKGVVAAIAAVETTALVVHGGTWTVSVIKTRTTRSPRAIRAGIQHEHASIWVHLRQTESSRSADVIWLVSGFVN
MDRDSLIKYINATIASGDEQTSALDYDVEAIADELEQQAGPGTGEKVEAPVFWATIQKHKKNA
MTRFISRLRHHIVNFYCQNTAAAGLLASRAAEAPVLDEVLALFAAPGTRSAPNVSSPRPRSLTQRGVEGELARLERHAAVLDGWLAGRPDALRGYARDAEAAEARWSGLETLERQAGEAGRRLILDSGGSERVPLLCRLMIVHLATQVEMTHAVHGARRGSWAAADERLAATFRMYAEALREAADAAVTERLRSIAQDCDGNITDPWADDEYTADWTAWYEKVLVNEPNGSQLQHYLAHVTRDVTLELRDGIAGVVRCCATAVGVPPEGWYAESSPLQLGDRVMLCGGSAWPIGSGGLLGAAGTLHEDEGPAVWTLLGAHNQQPGDTLLTEDVFHLQSTADQRYLHDCTSEAGPILADCPQPGGSFFVRAMEIPRGEPATTRATYFLVGAHSGAYLQIGDDGALGLRPWTKTDHAGPVFPTWSFVEAPTPPPTLRAATAPSEKDLTVAFLNTLGHLSGIPGFQIVGTVIGLVWPKEPVPTWAQIRAEFTRMIGEALATFELGQLSNYIDGIERKMETYHHNAITFGPNANPLQLDNLADELKAINGDLLLNLSNVLYSANAGLLQTLPYFWKVARYKAVLMKELELYENTAFDTQFQINAFVDESRSPMVAVLDQLMSNRMGEISSSPERYNEGFGAYLLRYRDRRTGQVFNVSNSAFMTTSNCHASVDYPGYNNYARNQLKAYRRNIRDSFLQDVIETEVVPFNALVELTEDLADPDAPGRSAPITMVASGDDSWTVS
MPTVEATMPKRDTSNVYFNEPGRNDVYNRRFLLPGTRQEGFASLARGETNTRELSEAWSPTTW
MIYLNPDRYFGIEPEEWCLRQGIEAEVGSALISLKRPQFSFNADLNASQFNCQFDYIIAAGIFMHASKTQLKTCLTSVSRSLRTNGIFVAAFLAGDSDSISTDWSYPEIQYYKASTLHSLAEQCGLDLVLIDAPHPLGHQWIVLTHAKDQRHITVIITSDSMPSRGKNTFPSNY
MIRRRMLPALLALGATTAQAADWWKNITPLAFLFDEDKAQTQPSELMGEIDALFLNTGCLLPEGIRNKIRKANRSSFVPEDLEQLVTAKQLSGIAIQKIADTSIQGVLHTTAIDKPDEPGKISVIRLASADLYASADPSRLIDASKSSFAYTLDCTGYMNAALAAGANFGAELRSTADANLKLKRSIMVARARLFSPVAIALEPALAPASYVQEPRERIDLLYAVATQLLVHHPTIPDDYKVASWSQQDLLWTASGGASALQGEAAFSVSGNAGLGVATLQSALGAGMSLSREIRFSSYNTYILGSTQSGNMPPIVRTFGAIRATLTELIQNSSPYSSRQLVDPGSKRITSEYFFNGLPRKVCKLSWRVKADQGVSGRVATQWEAGTGVCSVQFTPDAGQTAANGVGLGVPTGLKPGGEHGLTLWLPGL
ITRVIEPFQAQTQAITRVIEPFQAQTQAITCVIGPFQAQTQAITRVIGPFSAQTQAITRVIISFQALTPMIDGPAFRHRKRINRYKDHNCDECNKKHQRKRSRLNPMG
MRYVVTGGTGFIGRRVISRLVDRSPDAQVWVLVRRQSLGRFERLAVDWGERVKPLVGELPALELSEEVVAELGQVDHVVHCAAIYDITAGDAEQRAANVEGTRAVAALASRLGATLHHVSSIAVAGNFRGEYTEDDFDVGQALPTPYHQTKFEAEALVRQTAGLRYRIYRPAVVVGDSRTGEMDKIDGPYYFFGVLRKLALLPRFTPIMLPDTGRTNIVPVDYVADALVALMHADGRDGQTFHLTAPRTIGLRGIFRGIAAAAGLPALRGSLPRSVATSVLQMHGRAKVVRNMAATQLGVPAQILDVVDLAPTFVSEATRNALQG
GPPPQGPTTSLPAYRTMWLQPADWTHADADSAAIRIRRFVTAITPTASTTLLVQVDGEAKAATPDDFDPLSVALIAGHEKGLRVHAGLSLTVAPGESPAAAMSRWKDIAGHVATHYGIDGLHLGGHRGLSGIGAAAEALLVKPYLVISGSTFSDVVGLQPVHSVLVSVATTPVSWHVDASQVVALDLSRWRPEGASGLPVQVDSAAHESTTDAAGRVGILLPTRPDTLRLVVDGDSLALETRFWKPPYRFVVSADGSVTRAAPWVELRAAPATSTTRDVFEFLGHTDATAQASVNGI
MPSLVVVPAQLLSAVLSTDSLQARLLAQVLTTGEGTNAVGGAATVASLVVVPAVVLSTVLPTDSLQAGWLVLVFIRREDPVVLTVRAVARRRPEAQLVPSQSCRRSRSTHGSPERVFVRHERQSGGRGVSAAAYAGSSASTRV
MPFGRKKAPLIYQRIIDNSVWGIVLRSPWLEKDVDPKVLEFINDIAYGARDWVALCIWL
MASPKIVRWPNHFDTLEAVLAFARDYWPQYPVYSNLASANTHLIAFGKLIDELRIDRQKVYASITTALAHVTFATSDLYHARIHNQDLQTELDSFKRHNQQLGEEHKLLLAKHETLRTEHAQLSNRLTKAQSRLYEQTDQSTDNPSTLRVKLDFMRIELQEKLDLIDHLERVTKTKPATYADLNGITADDPGVPLSECSEHKE
MQLKSPWEPRLAVGSEPIYQRLAAAIAEDLSSGKIPPGARLPAHRDLSYQLGVGLGTVTKAYGLLERQGLASSVHGRGMFATKKNLTITKAIDLSVNVPPNIMSDRLLSGTLASLASAIDAKTFGAYTPPQGIFQHRLALAGWLQRYCASVDAERMILCNSGQQALSIVLAALLAPDLCIATDDLPFPGLQMIAGLLSLQLFGIKTDFEGILPSAFDKIAHEIAAKGKRLILFTNPTAQNPTGKTMSRSRLKDIAEICRHRDVLIIEDDVYSAFSAREHLCFLDLVPERTYYINSLAKILTPGMRLGILIAPSDQLGNLLRVIHAQGSTVSPIASLVMYSGSWMESHPISRR
CMVSYKGDLYKLLLVQIMAASAIIISFDSSDESVGSPPSWVILFGDIPTVIPSTSVIAPETYAIAHVISSATHVVETTLVASITDLLGGVHHLVLQTIAHLLLVYLRILRQFILQVWMHEIRLILDLRLGLPSESSSGDSSERPLHSSSHYTGLSRKRCRSPTNYVPSSTPVIGS
MNKKIEIKFRRIDKLDRDFTQISNNVFYLLKGKATAYMVYSYLNHRYNTNMNCAFPSIKTIQKDLGIGSNKTVVKAIELLEEKKLVKVIRERTSANKNAVNKYVVYYPVIINALTEEEEKLAPDEFIEMIAV
MLVLLDQGHDLSFATIQACPQPWKHSMHDRQNEALRDNIQCAFLLYVLEKKAIPLKRITQIWYPDGMFDDTLHWSPIDRPRYLRLYQRTAIQTLFYKQLEAKNFYSAACVLTDKRLRPNQPRTMLRDLLAVVTRLTNANTLNKDPQESPRNALRKACAAYTTMRAPSVSTMTAVRMLQFLATSRLDQAARDWIDVMQRSRLRHLDNMHLGHITRWLCRNNASSEAYTFVMALPLEWRHRSMYPALLSHLSDVMFRKDGSLDPQDQSPSATVWRVATSLPNQANNTLSLWLARLGSHATYKRASHALRDEYIMRQLACFDPRAMRQISFLTVCTLVRAGRWSLASRYVKRYVRKHKAPPNAFRWLNVLLIGIVTQPKATSHVTAREMVDVLTNLLHESQGSNKLLPTQNVLQQLADQAHDTHPSPEYTKLPLVHRPSAAGVIQLAHVLKAEGNLRSQLLLGRWIIQSMDDLSTPALWEMIRNLLPLENLHELAPPYLEHTIALFQDLTKVFKRRHDRRSAHQAQYLGRQCLRRIYGEGNLAFVRLHDRPRSGSESRSASESALGTEKNDIALSLNNADESIVTDVDTTMDLDLDRRNTEPASDQPDPGSEEASASATPTAMSPAPDSKPARRSVKVRRSVMPNSISAVAASLTLEELDALPSAKRRKSLKTRGAPGPGRGWRKGLSKGQKPVYRLPEMDVSTADVRQDPDKLPVQAVTPTSFSSSGTSRKSKGNATSSKSENVPLVSATIRVLPNSADAVFKYPSMPNLKDAPSLLPLPRIPNFIPSITPLQKSDLKPRHWKQGRRELLNIAGRSWRAPTWLTEQESASSMKQAQDADKTMDADGASTPSKNETDSKT
MKKLSFALLLGLSLIACKEEDGTSTSTGSTSTSNRILNNDNFEGRIKYYYEAVPTQKRAGSSSSWNFIAEVSSPVVNGATLSATGVEFHNNKAYVSYHWNGQENDFAGALEIFDVTNPNVPVLISQLLFTDTDLNELTVDNGVVYTTGGRDIYSSGYDQNYTKGSIVESVQLDASGLLTTNTVQAPLPSYSGNAVKKIGNNLFVASGNSLGGAYQVDATTLSLISQDAYDNSKFVDEANGKIIYYRGGNDAKLYIHEGVMDSATKYTLDIAASASPVNGKGVLHMDGPLAYVCTGTNGMKAFNINNQSGTPAYSFISNGSGLANGVHTDDEFLFIANGHDGVYIFDKNTHIQDGLFYFDGSANYVKSNGNNVFIANGVGGLKILGRAQTNINAYCSNLTAFVEYSGNQSGVTLSPMGYYYENGYYGRRWRIRNGTASAKTIDWEITENGATGTYTIPANKEVHFTSHFTTATTGTYTMKIYEGTSLLSTQVHSGSIIDIATCSSTSNGYSIYFDGSTGYTGCDYVLSTDYTFTMSTWFKTDGTTGSSDRAIFTMGNNNNPNLQIGMYLKEEAGSSDDGKVVLRTSMYASSGSEAFSTDRFDDGQWHHAAAVFDGTNMFLYVDGVQQNSAPIMLSAQPQYCNRWDVGKWSDSTPSGYFKGWIDEITFWSTDLSTSQVQNLFNNTFTTTASGFQHYWTFNEGTGTINYDYVSGTSLANMAGGSSFSTETPF
MVAVSFGTALSAVAGSVSFDFESGLPPGTSVGGTGAIMPSGGVGDSGMLRLTDAANSQLGEFFIDEFGGGAPVTDFTASFQVRMADSTCCTGPGGVPRPADGFSFNFGDDFAPGDLISAGGAEDGVGSGIRVTFDAWDSGTTDTAPAIDLVIGGTIVETQSMDGVRDGDRPPETPLITDPATGQPMHLRTDPGWANVRIQLNPDNTMDVDFKDVKIFDDVPVPGYTPVAGNFVFGARTGGANQTHWIDDLSITTNATGDIPEPATMCALALAVGGLGGYIRKRRRA
MILEYRNQFNVFQINYCYLAKATAKGEPEFTEEEISNGFKLEWLPIDETIAIAEKDKPEKYLAKFMKYRDLIYLKEAKKLKEG
MKKLLTILTTVSLLTPTMDTIVSCNSKPKFIPDDDDSTQTTKDVEIMNNIKNKVLEQFKAFWNQKATIDINDYPDQVTKFQELVTNLKTNDGTVLIGEAIRQWRFLDQLLTAFQTEFNNLNREIANEYSNYYLNTTPLSIEPNDISFTLQYINFDNLAKLITVDNNSIMGITINFNIKYQVKFKDFEQPDKINGLVVIANDLEALSGIQKNLENYFISFIDELLKKQKYEIIDTVNRDFDSIYGGNTIWPIIMTELNARKIAFKNSPSWNSFYSSFGLARTVSKSDSVLSWAGEGYNKEKLTAENFLKYYKRKYFTASSQEDYYVKANITSFIPYSLTIENLPFNNKNSSKKLKTSIKVLIAKDFVDQKLNEFVETTLNLWQYYQIETYNDKLVFKMNQNDFDNLVKTTNSFSEKNNSYANLAPVFRAVFKIFNDKLDKKITRDTRIAFSNSLDKVKLIKQDSSFAFQLFRKQNENGYYPWLDINFSYNSFAYSFFFKPDNKETNTDKKDEFLQTIEFKVV
LLACAASGYRRGQGTTATDKFDKALNILQRIEYEMINQ
MSNESLNVIEKEISELRVKKAVEMVESPHHDQIQVFSNIFIRPKRDGGLRPIFNLKELNKYIQYEHFKMEGFHVVKNLLQKDDYMVKVDLKDAYLTVNIHENFRKFLRLRNSQGQILQFRALPFGLASGPRLFTKIMKPVVARTTETTRGASCNLFRRHTTDEQESHCSGEGQRLSNLAVTKSGIHSELGKVRIDTMSKNRVSGINDQFGVNGSIVISRENSKNFNEMQISSFSRESDHSGGSKPSGSSKFSKRRNCTKHIIRTTNADASNKTFANKQNLSSRDNTFPSLQRGDPLVDQPCSSLQWKANIDTQPRSDNRDRCIKSGLGWCLSGHENGGTLDNGRKITTHKFSGTKSSPFSTTINNKNKEKHISSLENGQYNCSSSHKPHGGNKVKGTKSNNSSNLGILLGEGDNSHSGIPSRSTEQSSGLGESPCKLLLPVETKYKHVQPVEQNMGPHRSRSVCRQTEQSSTQLLQPQTGPRSIGGGCISDGMEESQCICISSILSDNEGACQSNERGIRFSNNNTILANTCSIPNAPEHDSRLPNTTATIEGPTAVTGRGVSSPGCELDTQASGMESVRKQAKAKGLSKGASSLLVSSWRKGTQSSYNTCWKYWDSWCCERNLDSFQAPVEQVANYLADLYDKGYAYSSINTYRSAISACHVGVNSTPIGQHSVICRLMGGIFNERPTKPRYTCTWDVDTVLRFLNSNEDNEKLSLKDLTLKVTMLIALSTACRSSEVSRLDMNYMVIDDDSIMFSVPTLTKGRRCGEPPIEIKIGKFRAEPKLDVVTCILEYINRTQDIRGNETVLLISHIKPHKQVRPCTVAKWLKQIMQMAGIDISTFKAHSTRSASTSKANKQGLSAPQIMKMAKWRSECTFKRFYNRTIEGVGENPANRFSDAVFHI
MYKAAKDEASRLKSRIETQNVTIRTQQNEIKRLREALKAMVQGEENDIEMEDTNDKEETEETMSTSEHDVDSDDESDLDSNPLWDKDDGIFRCPSCQWEVIDGLCQSCNKCFDGYDDDAMSETEEEIQCRSVITDNKMVRSDRQLPLRGSTPLLDVDPSQLFPANIANGFIGREEEYAALLMRGATRSMCELFDLEFALESGIVAWADEYIFEEFSGPAMKQGDRWKIHLGRRVRLSKGDIDGSIFMEELLEEALYFPAGVNSRWKTVQERGGVWVTRPNTCVFNKEDFDPLDSERDHHIIDYKETNSTEDQAREVYGGEDNKGDDDRVTGDDDLDSRSDLASVYSICIAGQTTEAIRSNDYESDDSEYEYDSDSDVASIDVMSPTFEAGVRDGPYYTESSSESEDIESDADEDEEYW
MSSLPILEEEVSMESLQEDLDSIEDEEDEEEEEEEEEAEDEGETVSFWLTIEGNRTGDDATSENNLECIMIEERAPKTVNNISHPLIILKRNVRGVKTLRDMVGTMNVSLTRALIRDMLSVLDFMKYIGIHQNISLDTILYINNRFMLTGFDFFTFKHRLEEDIHWNSIIYQPYAFDSTNPYDSTIDLFAFGIVLLQCFQNKIINIDNEKEANRVLIKCLSNLDSRHAHLVGLFLRSRDLFNHCAMPAERISLRKLAGHPVMTNEINSYCYKSCYFLHSYLANPMEELMYYLPDDTVGELIKRTKEFYSSNNEKRYVYVNCADFSEKRKVHFIDDNEQLKNIDLNYSGQFAMIPIEVRHDPSSKYKHLLIVFIRTEFSSMPILIETSLPKKNMSGRITGPLVQYLQELCSRIICYYFISTVTIQFMSVADETFRIPSGYSRENTIVIHAAFKPRSIVKQRQRFEINTFLRIPRLTSDFEAAFKKDDMISFAPPPCATDVFKNLK
MIMRAGTFCFMILAFASMMFYHLHAYRFSMSIFENKYKFQFGVGLSLCANFLLLLAALYLMFPMTLLFLFVYLFILLEYMAFFRGRLVAFVFTSGTFLFHIMNVYMMFYGLFSLIYGSFSMNKSINSSLNASLIFIVLLVLNIFLEVFQKIVDKQVIQLLIKNISQLYFVTTSLMIINVYLFILSISYTLESVLNSVLPLFLLITSVLLFGAFYTAFNHAVRMSILAEYEIKSKTLESQLMKSNQNIDRLESFANSDPLTGAPNRRYGLQVLNYLLHEKQRFCICFIDLDHLKYVNDAFGHSEGDKYILCVIRALIQVFGEKKICRMGGDEFMILWKSNEREAEELLKRAVKQMEETAKRSGFNFKPSVSYGILEVDEENFMGASEILDIVDQRMYAQKQAKKHQRQ
MSDLFCLRGDALANLAVSRDQHGEWECGCSGKFRARCDDAEFPTRFANRALGDLDWDCIEPPDARTTLQEYCANIAAYLDESIGLILSGPVGCGKTHLAIGAAKLACAFGYTALFVNAPTWFQELRESYGTSNSTVEQERMEQMRTADVLILDDLGAEKPSDWARERLYIVINHRALARRVTFATTNRALEELEHVVGERVMSRLYGDALALALVGSDYRQVEREHRLRCIRDTARGVRELSAEKDRACKP
MLANQAMRSWAPATPVFAGKAGSYKGEARLKTENKKPPNLSIRGLLVECGGEEGI
MADTDGPIDVAAMMEEAVMGGTPKSEVESVDVDESGVKPDAAEFQERLKLAKHVLLWLALIFIFVVVGFVWVSTLKFGADAAKEVFDFVKISFPPIVTLILGAYFKGKND
MSATRLCLARVATSGFKSQHPWVVGRAWREAPCRKRDSG
MPDSNPTPHAETRERIEFSFSLPWHAVGPVFDAPASDAARIQVYAPMGGGDSYAGVAAAVAGEIAASFDAVALCDYTGGEPRVPALRERHGLNRRADVAVFLGFPTHVPDWFFDHPVTIGLFVCEADRILPEWVRLCNRFDLVVVPSTFCRTAFRASGVTAPLAVVPHGVHPVFRPGPRTRRDDRLVFHAAFRHHVAERKGYPQLRRAFSRAFAGRTDVALSIATTDRRWLPAAEPDPRVEFLPAWDVGVEEIAQRYRDADCVVCPSRGEGFGLVPLEAIACGTPVISPAHTGLADFLTEDNAIVLEPGALIRAPRIDHLCGLYYDVDESDLERCLRRAADRLDDERERARRASGDIRARYPWRVVLEPLVRLVG
MFNVLAIHTIKGGKATNTQTLQVEYHGAIWAGTGYAEAARNAVLALHKAGIKVKADPTGFSHSTVSVHPGKISVKRHVANPALDFPHSMKELITELRYAHVAENAPLIVHAPSTVYGNYIGGMRKVIGYTAWETARMPAHWVDGCNLVDEVWIPCRHNLEALRESGVTKPIYIVPHAIDTERFTPPNIRLNGTYTFISVFRWGLRKGWPELMAAYERAFTSSDPVILRILTNFRTEEHRAEAKQMAEHFNQPGKPRLEILPLEYVPYNFMPALYGNADAFVLPSRGEGFCMPCAEAMACGLPAIVTDCTAFMDYVDEDNGYLVMCRTESAEDSTDPDRDATTWNVAYVDDLACRMRQAYEDREGRLAKGKEARETIKRLFSMETVARTMQSRISKQ
MYAFITVLALQRKYVSILYMFKYRLSNQVLNQAGISAYAARVVSLTTAKNINARYAGKYGTMSSQTVGRGRCPKCGREGSLVIKEFSGRKYLYFKHGHRWCYIGPLEEYLHLLGPYHAFTTKKPLNIREWRGSTMETRPFMLLAVATGLLIIAYSATVTGAAGCDLIALTLTAVSTLIFAASVAAQEAKVGSSAHHVLKKMAAKGVTPYIATAAAILLAAMVATLQIASPVWIKLPSASLPVIGVVVDPAGGEVEKVMFMKVPVRLPLPLILVTSMVLAYLARPVLSDKARLAAVILASPTIAYCLLLFTALYIPGSLYSYPPLKILQIVAAMYSKPETWRAIAEFLSVSLFTTATVTAAFAYIFTLFIELMKHMVIVRKT
MTTEQDFAWREAALRFRFAGIATLVLAAVGWIAWLYPFDDLLDRSGTPLGGDYVMLYVAGQTIANGQSHTLYDDGRNQQRSSSLFPTMNPHESWPYRYPPTVAAVMAPLSHLPFLWSYVAFSAIQLSLLGACLMLWRNDFASLRRRPEWLWAIAGSPLVIEVLVGGQSSLLALLCVLGFAHYYRQENDWLAGGLLALTLYKPNVLALFILGCLLVRPRLLRGFVPTVAVGVALAIATCGLSGLLEYLRLGTQLASSAWSLETPYWKVHGLAPFFQQGLPQHGKLLCFVTGAVLSAVIAGYWRAGRVGPQAAMACLLCSNALFNPYVPIYDLVLLIAALLFACQAACNGECPSLKPGAFQLLAAALFLGPHLSQIAASVLGWQPFPVFLLAALVALATPARLYWLNSSPTPPQLHTF
MTIKSGTQLKAGSLNTAPRELEWLAKSEHEEVRKRVASNEACNIDTLMMLAADASKEVRRAVALNPSVNCTALVKLSFDRSPDVRYALAESARTPIAILRRLKAEDPNPYVRQRAVQTIQGIEDFLMEARESHHALCFQDHLGI
MDISELEDIEIEMQHGPESKQERNKRLNQELKDRFDSLNCVGEESDLHNAHAQQEQVIVDITKLLPLFEWYYHFRMVKTSAEQGQMRQLFCSFGLSEALSPSTAV
MAGKYKGFKLKQDKIKIPAGTFIYEGEIAGQGGIYLGGTKQVFISEPWKISGVGVLESYPLK
MSDESLKKGPGPLEATAGTKLTIRGEHLGVSENDLLGVLILGSDCLMTVEWKSPNKLIALCPAGVEGKGEIIVATVSGGSAPAPVRPPAQEVAFWVEEKRAFRRRRRRLHENSDLLCSEDALGLSFPEETLLELFPGGGSVPCGDVSQENFHPLYFLLETHHSTNFEDLKAGMSFLRRKVAFIKSNISSIVDQLDTLASIRRCYMLDAKTGEPTQKATQAIASAKKEADRMTGGCHAERPQRHEPLQVHMAKGDTDRVIDEFDRAMNLYGDSDNEIFKRYLEEVERATLNTCKEEHLEHHAPPTHPSSAFLAPNLISSAAFSSSNKYHLQFLTESESGVPPKNVVFVEDIADRLTREFPDLWKLGQSYFKGDLVVAPDASKSPLFKDMILGCIRYVSNLSKKKVAASSTDCSGSEEDSHEYGDWTGLDYDKSAHWLPYCLRYARNCYAVFIELDLPSQALDILKRLTTDLRIQCLQTVFHSVIVQVHLLHEKEDWRLEMSDKHGCITELPEMFVRVVSESVQLIKEAILSADEKEENILNIKNAQGDLEQLIQTS
MGMDSLHDLVEIQSWIHLFQHKSPILHKEEMMAALTPESETRVLDEDLLGEILEVLREGIRSVVGKTCTMKFVKECSKIPNTRRVGVLKKLMKGEYQLVFEFVNKVLLPRMEKRIVAFVHHGVIVQAKHELEGMTVRVSNKDAEIALLKAKLIKTQSEGPGTTKVNKLRKKVDMLTA
MKLCHVKEIWALALMQGLMFVLFLIQAILPFTNNIGAFCGVVFFEGSLGGLAYVNTFDLVLKTVRPTSTLYSSLRQMKHLVPRGAATSMPAHSQKR
MHVAFTVAKGWSTARLGLSPSNAASESTNRPCLSVVSLLFLVLRVSYTPGAVLLSSRSRLLHPFSTNNDMPLMAGCNLSRGKPAHLWAQVCPA
MSVFKKSCFECGKKVDKVKESLCLDCYKVEHPPVKDIKQMNLKYCNICGRIHYNNYFYDVEEFEENLPNLMRKRIEISDGYELNEIRIADFEVRGSKIGFDVVVDCDFTE
MAGVDNSNSTIRNQADQRKRKPSQNLDGPENETEMKSKNKRVKNDDPNNSDSAVLYAINTLTEKVNSVFDSLSSRMDNLERKFEDGLTKKIADAVRKVVHEEFGKEISNFKKEINQDIGNIKSDLDKLSKSYVEVAKPAENNKKYNIVIKKT
GLVTVLGLHITAARREVALDRETPPPSSRREEAHSETRNSQSLVTSAATEDDFVNACAVADIPENRARIVCLSGERVAIFKYDGKISAVSNVCQHQNGPLGEGKIVFGCITCPWHGYQYQPDTGASPPPFVEKVPTFNVRVKNGRVFVHPIPNPAGTRAEPAHTTE
MLHAAYKLSTLENDYDLSAAINTVTLNPASSANLDDRGQIAIGKRADLVQMKIVDELPVVGHVYAQGRRVF
MLQNLSNNESRGILPRLLLVAASPPPFHGTNMANLALIESGLAQEFETTLLDISDHRDTSNLGRMDFDNVRLALTHLVQLGWFAFTRRPRVVYILLSQNNLAFLRDGLFILAARVLGGARVVAHLHGGNFRSYHRGTNRFMRAFIDLCLRQVRLGVVLGDCLRGQLDPWVRDTVVVSNGTDCLLDEAPAKDPSAERIPVLWLGSLYRSKGIIDLAEAIALAQGQPGAENLHFEIAGEFGGNAASGMGAAETRAAVMAHLEGAHAQERVTFHGLVTGEKKLALLRRSAIFVLPSWNEGQPVSILEAMAAGTPCIATPVGAVAETIVHEETGLLVARQSPGELADALLRLARDPGLRARLGEAARRRYETHYTKAAFVDRMTRVFRQAMS
MSRILLLTPSLTGTPGGITRYARTLVQSEVLERRGFAVTVVATHTKGTATGKGLLAARAAARVIMERPRHAITHALIASHASGIRKLALLAESRALGASTIAHFQSSSVIEWLAALSPWWRRLVLATLGRLDLVVTLGDRLADYFRCSGVRAPVRVIPNGVPTVPPRPPRAPGAHRYVLAAGELGERKGTLDLLAAFAQVRTRWPDVSLVLAGDGQVDPARRRAHELGIADAVRILGWVDPSRLEALVAHAEIFILPSYREGMSFAVLEALMQGTPVVATPVGEQASVITDRETGLLVQPGDIGGIARALEDLLRDPSLAAALGRAGRLRAQTAYSLAANHEAVADMYDELLSRKKPSDAHST
MLVDLKDLEENGIVTTDGDMVKGALYCIAGDNLGSHGIGGFTENFSRSKYFCRYCEITQSQTPESTSSSVCLSGPGPSASLTSYSPRSSSSTSSNSCKSPDIDWMDSFVIPWDKFPEELMQTLERGKRPSPRMRREMYVEK
MVSCPSMNDTISCADGCCGNVSDQKCCDSEILTESSLVIICIIAGVLVIAVAAALIICITSKNKNRVVRISPRHRTTIHQEREISRRRAFPKPPPYSELPPQYEGPPSAESPVTPLPGSTLHRTESIRSTNGIRIHASGANDSRQNNIHCSTDPPPYLSVIAESRSNWSVPYTSGELGGPETESGSIYYGNLASGSQQREAYRNDNRISERTARRTNALENIRSYHVSL
MVAKGTRRSLHNGDKNHFKPGKKGLKSDLFKPPGFFKKKGTEIFVGNLPPNITEEEIVLLFKDFGLKAVRKKQTSAFKSFAFLDVASPEVVQLAVQTMNGNVVNGQRIVVSVSEERRSPEFKKNHMEMPDLEPVPNGLPVGNGAGERSSKEDKTDLIPKTGIQTAPPLKKNNLYAIPIEMRSSFLVLMLKDCFKDLGWLITICRISGEAGLLVTDTVPQTPFFWAIHLTEENHQNMHKLFSSLAEVESQLPFLAKQDIQRGTRCMAECILGEEGGAWNRCWVLDKVGNMVVVFFMDFGRSATVPLNSLRRLDKDDFWTIPPLAQPFMLHEDVLPPQLMVRQILEGRVIAQSHVETHILRFITKTN
VFDVKYFCIDPRTRKEKVSRKAILPKPEGWQDRPKRDNNKGGNRERNNKRDDRKPRNDKKD
MFVELFTENKFHVWVYKNSDKMGKMGVILYTFKDQKKVVLCCSDKREIHPVEMDISHHIPEKADKAVFYLERITEGCYLLESSLYPSMFLAFEPDPNNQTLNKVILRHKEYDDVDETCYVTMS
MRRTGVRARFADRADVASERCPRVALVFLRHPNRPDSPDVAAVSFGMLADCMATANVGAQRRFAGDALGRAGRRTARMTA
MGLNTNISTLGRHLRKAVLASLLLMPLPAMAQAEAPSESAMVNLVRALVKQGALDADVGTALIQQAEAEAAQARAANQRNVSSELPPAPNGAIRVPYIPETVRAQIKDELRNEVMKEARVERWASPDEAAPDWTRRITLHGDVRVRSQSELYARTNSNEIIDFATINALSPYGIDDPRLLLPILNARNDRWNNLRLRARLGIEAKITQGVTAGITIATGQNEGPISTNTSLGGGFFKRDLWLDKAWLKVAPAKWADITFGRFDNPFSSSDILYDKDLNLDGIAASLNSGTLLGEDFAITARGGAFPLDFGASSAPLFEFDKIDTPQKYLFAGEIEASAKFSAVSVKLGAGYHVFKNLQGQLSTPCRVETDSFCSTDFLQPLFLTKGNTLSPLRQIVTINPNADLPQLLGYTFKYNVLDINAAVNVPVTDRIEVALSGSYVKNLGFNEADICRNGLLGRPYNNNAAGGRTFCAAVDPAEFVGGDTGYRFEAVLGRKDIEKAGEWNVLAGYRYLESDAVLDALSDSEFHLGGTNSKGYFVGVNYGLYDGVFVGTRWMSANEISGPPLAIDVLQVDLVAKF
LLYHPQIKTGMTVKDIKILVNDILLKDNFQQLIENSEQLVQEHSLSRLLN
MRLKQGQFGSDNYILCHAGNGGWAMQHSNNVFYPLTADEVELVRPYFADCLPENEDFSRGFTLGSEETRAIGFLIDPPEGFETRGGEGARMRMTTIGTDGSKTLTDTVFL
MIVNIRSKQDIAKSISEHRQRLRRQLAGYSQLIEREIQLIDLLNEVDNRLECADLSPSDRSKLVDYRDEYRAHLDVVRKYIEQADAARAELIRLASQADRARLDELQDETERVYRKSLNPKRKDRIALEARSLELAAERAELLRKVMFED
MLKKLIIFLLMALVTSVNAESNETVEEESKISTIGGILQIKKDEVGLKSFFLNRQELLDDTYSLASFSHKETLNNADYLLLYGWGGGNKNPGEYYLLRVAKDKSWRIFSLPMPFLGRTDSPDGNSEEVRLFKDGEFIKVFVPAKDNDSYRWIDIVLTFDKYGNQLSEVRKLNPHSLYEDNLSRSDLEGKPPIAILYYPPIKKIFTKNKQISQLYKKLEEYWGSPISVSEDRISAVGVGVGACNFEKIFLTFFNDGRYFLIFPNYEYGHAIGIDIYTNFNIPTATALAKIIIHNQNEDVGWIYFDTEKFKTSDSKCSINGKSKPCSQFLINASAEEVRPPKTEEPQPPKNRFRDKQVEKDFSQIKQKFKESILVP
MAISEEEKVKLEEINAVMSNKSYQDIYQQLVDSIMNNDVPWQKPWDGNVGNELLGTPISGGSGRGYTGANKLFLTMLLNQLRDPEGNVDPRFYTYKQIEKHADKGYHLVKGAHGCCITMYFKNTLDKDGEPLPLEEQKWHKTYCTVFHPSQIRQSVYVLDKDGNKIPLLDKDGNQRIGKNGKFLYKMEDKPFPKYVPRFRPYTHEETNELIEILIKKSGAVISHDQGNRNFYRPGEDALHLTPPSTYKDINDYYRTALHELCHWTGHSSRLNRNLRGGFGSREYAREELRVEIAAAFICSNFNIPLSEQHGAYLKNWLEVLDKDPKEMEMAQREAHRIINYINNLVRDKVKELEAVRENPDSEYSDSEDKNKGRKNNPAKPDEAVKGGEGKSSKGSEEKSNQPEPVPETPQTADSLEKLMSVRLHHVDDGMKWAGKSFIETVKEKLPIDFKQYKSSSADIIPAVQEKMTEYLMQLDVKAGDVLQVEDRLFYLNKSGKLSNIKENNFFQEVSIRNFKGMQIFAPLEGKKREQIKAEQIAQIGQEAFDAYRAQFRDVFFAGEKASHENIKDNVEMQYKKFIYDHTFDYGLSGIRPCDQKAWQKADMDFCLKAFENSMGDAVAMFNAVNIVQQHSPYAVANPKLEYSDYLTDCIMKNPEYQKIKTGMGMSKENSSQR
MKVLTILSAVMFATFAVVGAVNVDDGQPEKRQAYPGVMHFESMDSFRTIWTFQQLFFPALV
MRYAHPMRADTGRTYICIDLKSFYASVECAERGLDPFTTNLVVADPERTEKTICLAITPAMKELGIRNRCRLFEIPAGIDYLVIKPRMRHYMEVSAQIYS
MKKLESVLISIKTPTVSPLNYLMLGDFYYHLLSDMHNNVHYVTGYDFEKFIKQIYDLYQSQKGLDISQAKNILDYTVLLSSEHLILSFILFEATALCLMLSSSDIETIKCFQRGELNCSLYVNLMHEMYPRFNRFSPADFPKYFHKLKSVIERSDWCKIDNIDTLMVSIANQVINILGSQVFVTQFSHIGHDLYMYTILDPTARRSLYTYTSQIITDYWKSKNIDVVYNGLISKGEFGITAAYHTNKVRFCRLEKDSADLLSVGQNITLTIVNPHDIT
MAPARFMLKSLNSKFRNDNFLTAAPLGLKICRYVRPAVVNKPTKSQLLLTLQQEDMAPARFMLKSLNSKFRNDNFLTAAPLGLKICRHRTGSHTIYHYHRLGIEEVRFMLVYVVTEDRNRGAWKCFKDMLEDEFEDMAWRKKRPRTENCRTYEVNFRTNFVDKVQRSRSVDENVAEYVRQIKRLRWQHLQIRGQEVEALTEGQYLDSCHGFPLVVKELSGSDV
MMKATILVLCAFVAVGFAESSLGLDEDSSRPILDVDDPSAPIQYRVQRSPEPQGSLSATYVKPLDGPERRPTYNFDYNHRLVNRKDGNIDLSVGGQKLPGRRVEPTVGLSGTFRFRRSPEPQGSLSGTFSKPFGGPERRPTYNFDYNHRLVNRKDGNVDLSVGGQKLPGRRVEPTVGLSSTFRFRRSPEPQGSLSGTFSKPFGGPERRPTYNFDYNHRLVNRKDGNVDLSVGGQKLPGRRVEPTVGLSGTFRFRRSPEPQGSLSATYVKPLDGPERRPTYNFDYNNRLVNRKDGNIDLSVGAQKLPGSRVEPTVGLSGTFRFRRSSDSEN
MMSAKLLVALAVVAIASAVPKPDGPPYGHPPPSYEPGMPFDFAYAVQDDYTGNSYAHDENSDGKVTSGSYRVNLPDGRTQLVKFTADSHNGYVAQVEYQGEAVYPAPAPSYPAPAPSYPPPPVYA
QRHILRFDGVDSAFELYLNGRYVGFSKGSRMAAEFDVTEFIGTGENLLAVRVYQWSDGSYLEDQDMWWLSGIFRDVTLLAEPSVSLWDLSVDPGLHDDWHDATLRVRATVMRAPGAQGSFRLALQLLDAHGAVVPGVAVNADVAVTPSALANVALAAEVRAPQQWSADDPYLYTLLVTLYNARNEVVAVVPQRVGFRRVVIDGTQLIVNGTAIKLRGVNRHEHHPDLGRAVPRASMLEDVRLMKQHNINTVRTSHYPPHPHFLDLCDTYGLYVIDEADLECHGLAYAEQPFFLNADPAWRAAFVDRMERMVERDKNHACVIMWSLGNESGFGSNHEAMAAWVREHHPGFLIHYEGDRFGKVSDVISQMYTHLVDVIGFGQGEAAVGTPTSWSEQVPLEAYAHKPFFLCEYAHAMGNGPGGLLEYWDAFWRYDRLLGGCVWEWLDHGIRTTTPDGRAYFAYGGDFGDQPNDDNFVCDGLLFPDRTPSPGLLEYKKILEPVRVEVIKVADGVAQLRVHNRYDFLSLNHLQLSWQLTEDGTGIGAGQIALPDTAPGAAAEIEIPWSVVAPVPGASYHLTLRFTLAQATRWAEVGHEVAFAQVELGVLALALAPQTQHGSAPLVASEEGSRLAIRGGEVEIIFDLARGVIDRWSVADHVLCTAGPRLTIWRAVIDNEARGGGERIVKDWHAHFLHMAQHRLDRFAWEQISDTTVRVTVHTCLAPPVYEAAIDCVYQYTIFGSGEIALDLHGTPRGNWPGVLPRIGLELTLPGALDRVVWLGHGPGESYADSKQAARFGLWQATVDDLFTPYVRPQENGNHTDTRWVALHDERGVGLMVVAYPTLDFSAHRFTTADLDQARHTYDLTPHPTITLHVDYRQNGLGSASCGPGVLPIYQLHAEAFDFRVSFRPLVLGGARPQELGRQTLGVPPHT
MKSNLLLLPLLALSATRVFAQAPLPPEIEDPEALSLNKEPWHAALMPYGNLPEALKARRADSSFARSLNGTWKFNWVKRPEGRPVDFYKPEYDVSKWKSISVPSNWQLKGYGTPYYKNMGYTFRGDWPRVMSEPPKDWNAYDERNPVGSYRRNFDLPATWNGRRTFITFDGVDAAFYIWVNGQKVGFNANSRNAADFDLTPYVKAGSNTLAVEVYRYCAGSYMEDQDMWRLSGIFRNVTLWSAPQVHIRDFKVVTDLDANYRNATVAVNAKIRNYTNKTVAARSFSVQLFDKAGKAVPGAKTRFDVPAIAAGQEVDATASIPVANPLKWTAETPNLYTAVLSLQSGAQTTELISNRVGFREILIDGRLFKVNGVPIKLKGANRHENWPDTGHYVSEARMIEDIKVLKQANCNHVRTSHYTNDPRWYELCDEYGLWLVAEANVECHGYYGTLDREPRFEKMIVDRNIANVETTKNSPSIIIWSMGNECGGGSNLRAAEAAVRKLDSTRPTHYEAFGIGTNNPAGIDSQMYTGFESLESIGQSTTLTKPMYMCEYAHAMFNSMGSLGDYNDIIDRYPSLLGGAIWEWEDQGIWNNRDPKRQYMAYGGGFGEVPNDHYFIHKGVVFSNRSPKPHYPEVKRVYQWIGFTPANLAANEIRIKNKFAFTNLEGFKGSWTVTEDGTIVQKGALPKIKLAPGKETLLKLPVKTIKAKPGARYFLNVSVTLPQATTWANAGYEIARQQIELPVAKAAPILNVAASKPVTIARSGSNATINGAGFSFVFNGDSGTLAQMTQGGVNVLLPNGGPKLHLWRARHQIDDNYAAPSWGNAGLQDLKAKVTSFDVKQVSPNVARVASTVEYTGKNGFSVTHAISSTVYGDGSITVDNAVMPHGPDLILARVGVRLLLDKRLSSLQYLARGPMENYSDRKRGSDIGLYSSTVAQQMTPYAKPMENG
SLKYWCERSKVDFLKSYSAVWNDDAVSHALESADFWVKVPSNWQMQGFDRPIYTNILYPFPLNPPKIAMENPTGCYRMNFSIPKEWEGRRILLHFEAVDSAFLYGQDSRLPAEFEITDCCHPCDSEKENTLAIQVMRWCDGSYLEDQDHWWLSGIHRDVLLIAKPKVFIVDYFFRSSLDENFCTADIQLEVQLEGLQKHPEETNLSDFTIEAQLFDNAAYSSCDVNGIIYLNSYKVIHMMLKSPVVDFNGFQGYILTGKLYEPKLWSSEQPNLYTLVVALKDPSGNLLDCESCQVGIRQISRAPKQMLINGLPVTIRGVNRHEHHPRTGKTNLEACMIKDLVLMKQYNINAVRNSHYPQHPRWYELCDIFGVYVIDEANIETHGFNDSSHFKHPTLEPVWAGSMLDRVVGMVERDKNHACIIAWSLGNESSYGPNHSALAGWVRGKDPLRFVHYEGGGSRTSSTDIVCPMYMRVWDMVKIAADPDEPRPLILCEYSHAMGNSNGNLHKYWKAIESTFGLQGGFIWDWVDQGLLKEGKDGTKHWAYGGDFGDIPNDLNFCLNGLTWPDRTPHPALHEVKYLYQPIKVSFSECKIKIDNAQFFETTNGLEFTWSLLGDGCNLGCGIFEVPMISPQSSYEIGIETSPWYSLWRSSLASEILLAITVKLEQSTRWVKEGHILASSQFHLPSFRKLGPHAINFSKKSSLLYEQVGDVIKICKEKVWHINFNTCTGSIESWEIEGCLLMDKGITPCFWRAPTDNDKGGGSDSYASRWKAALLDSMFLQPKECCIQKQTDDVMLVKASFLAIPKLSNHNNQMKCEDTENKSSYSTLTCEVDARYWIYASGDLIIEYHVNPNGDLPPLPRIGVEFHLDQSLDLVKWYGRGPFECYPDRKEAAHVGIYEAHVADLHVPYIVPSECAGRADVRWATFQNNNGLGLYVSVYGTSPPMQMSASYYSTAELDRATHNEDLVRGNDIEVHLDHKHMGLGGDDSWSLKCSSGILGASCSLFFLHQILPTLSIYIR
MAPIYVIGRASPSSAVPPPSEIIEFKCAFPDDYLYAVPGTLCRSYYKCQGGHGAQYQCPNSTVFNFFKQTCSSSSGVCYEAVCRGRKDGNYPDTTHACRRAFICRGGSLVGLFNCPSRHLFNGASCISEESGTCEPPESTAIAYPYAGDQRCRGLPDGYNPLPTDCCRFLICANGEVSEEKLCPNGQRFDSSTKKCVPPDQVPCIVHNPCLGKATGLHADELSSNCRDYVKCSENSIVARLNCGDQGVFNGKQCVPVGLYECPQDRLPNPQAAFNICRTKSNGFHLDLRRGCRYYVKCTSGRIINTYECPNGNFFDSELRSCIPENKQQCEDPTPSRDCEFSIGSFQKIII
WKKVFAFALANECRNSDHPVECARAVANANAGVEEKSVAIQRGLMDNVKDYPLEYVERRKFTAEKRQEMVKEGYAMKDGSFPIENCEDVTFALRSLGRTSKPHKNVIAHIKRQIKFLKCDLTPPLQRKMIVIDRYISRSEQREMANSLDMNLIKRLGDQPIVRPDNYSSEVWNRLSPFAKTVRAEATRRMIERNYAQAVEDAQMVGWKVFVNHYAPEEHIFSRRVNTDTGELLIRSILVRKGESIKWELRHMSQFASGTIAAALPAGVIRHVQDHGLNIPYIEKGE
MRYVPISCVRQGLILGEDLYNDIGELLLAQGSVLTSKNIQSITRLKYTGIYIDDEISKGITVESIVSERVRAKTLKQIKDIFIDYEQGGQNLNNNLDAIKLLIENIVDEIYSNQDLLVNLVDLQAYDDYTYNHCVSVAILSIALGVALAMSKQELYDLGFAALLHDIGKVFIDKNIVNKPSTLTADEFEQMKNHSLFGFEHIRNDYLVSNE
MHTNLASILHQANLISHAEQQQVVDMAQAEGMSVPSALLSLGIMTSGELVRQLELIFSIAQVDVHQYDYADCCKTLNLRDPILRHKVLPLHATDNTLFVGLSDPTLNDALDEFRFTTGKSVEPLLLDHKQLEAAIRRVYGSDIDGQGTSVNPVSATVT
MKLALNIWGLCGLFFIEVLGAADNSKCQFWNQLADKLSHADEKSGGALRFACNSSCSNITCNGHFKPSVFTATFEMDLCFGLRLNPCENPVAMDYYVDVPNEDASKAINMSGSIAHNSSFDIPGPTLAGIKTTIVVLVEMVRVNSTHVVYGINADVRATMGGLPTFSENRKVLPPMYIDVPPCTDDMKNLAPMKSPAVCTPLPTTATTPHTPKATKGMSTPKPVTVVNSKTYNQSCELGVGNCEKYEMCIATTDKNKLVRSGVCQCQPDTMFDGNTGFCKPASQTTQKTGSTAKPTNVPSVTIAQNSTDKGKKGGINIVIMDFGRHYCGEGKKRAKTAHGQSRVHEKVSHLCPFGRSHCAYGLEWTYSVWGEDSYSKRQRNKY
MALFPAFAGVAEAPDSGRKELDWLSNPSFHVGAITSLSRHTQETTAAPVSEGSPLTRSPLKSEPSGESDANRKPKETSRKKKKEKKKKK
CLCQCRIRCVLLLVDSRRSIALALRWPSFLFYFLIMITSIFRFN
MASLLMMWCLTSKTMGDARLRRNVLHEQPTYDNTIDVRHYSVLEENGHPLPFAYLLFQIVCIYFLN
MSVRAYIIRKFNVHFTDDEIIFEKVVDRTPLFNVWHDSMIFEVFQDYGCDLSNDDAIGEITLSKSGWRNFKKNFKKEGWEEKDLEILNKINEELEDEEDIWCECF
MKENAVITIISITIIVLNMMMIIVLDIKNAIMMATMAEVTTVTMAEITVVVMAVITVVVMAVITVVVKDVAAVGAGCFNLINN
MIIFIYTLLIVALGIGNKLILGLSGAYQPVDFIKDLPLIVLTYFGLYAIWGRARGKRYISQRFWQIYFVLLVISVLAMPLLDKDIASAAQEVSVVQALVGYLVVSALMIPYFWGLYKYAYSASSIWRSS
MSQSVSKGFVCRNCQLAGHYGFHEEQDGLAVPNCPSCASPRVVLHAELFSLTMAHIDCDAFYCSVEKRDNPXLXXKPVIVGGGERGVVAAACYVARXYGIXSAMPTWQAXKACADLVIIXPXMXHYQXXGKQIKXMMXSLTPXVQPLSIDEAFLDLSGTEKVHRKTPAEALVAFQAMVKAEIGVSVSVGLAPNKSMAKIASDQDKPDGFYVIGQAESKSWLNDKPNTILFGLGQVASKKLNAAGLQTCGDIAACSSAKLESLIGHDARRIKQLACGIDLRHVETSRDAKSISSETTFARNIDSLPDLLSIADGLLQTVSWRLKDSELKATHVHVKLKRPNHRILSRSARLDKPTQMAHRLFEVASSLIEKEAAPKKFWRLLGIGVSVAKVEKSANNLFAEEEVELFEEQRDAHKERKDKLEEAIDHVRAXLGAEAVKTGRRFSFEARKQXRATXNILQTDKNRQTDC
MSARRYRVTGRVQGVGFRWFVARNAEELGLTGWVRNDPD
MKKIYRIPAFFLLLGIANIFCTLSVPPTAMPTPTPMATPIEMPDGIYYVSPDGDDANNGTQSKPWRTIQNAANTVVGGGTVFVKEGNYAERVAIIHSGTADLPLRFEAEGRVVTQGFTIAANYVSIRGFEITDTPDHVRDGWGIWARSSYCIIENNYVHDATRGGILLFVLPGEETQVHDCVVRNNRLYRNAFAGIEVRGRSHLVEGNEVWGTIQLHPKWLDPPRWADADGIRFHGAGHVFRKNYIHDIVYGVPENPKPHIDCFQTFTDGSYHEAASDVIFEQNLCENMQAQTPLEAGKAFMIQNANNLIIRNNVLRSYRVLQAIDSNNLQIINNTFTNQLDLSVRNSPAMITFTNTLDSLVKNNIFFNPLLHVIYFNDNVSETGADIGHNNVFRSDGRNVRGTPYPNDLWNVDPLFVNYEQGDFHLQPNSPLIDVGASLAIVSTDYDDDPRPQGSGYDIGSDEWMSDNSLNPDDFLVIIFLKLPPFLQ
MAENSNALLQAASRTEVVTLTTVEGTLYGLSFALYLTCCRLLLLELSKDKGRRRQNLFTLSYMSLIMIFGLLTLAVDTEDLAFVDYMESLKISFLHHNDSQFDAPAVYRLALIVEMSDVVGHRIFTTSQDRVLDAVRQGLTMLTALIVTSLISGRIAFVRRTHVRTMGKSDISNQYLSIISMLVESFALEFIWTTITIGTSLSNGVGIIAYLLVVYRVSTGRGWKRDTEEKLTTLQWNRDGLQTTQLTTAQAQASILISGDAPDTQA
MSNITHRYDITETADLQSTNFLFFSEGKSGKEDVLKIVQFAYVRDFSNKPIFNLGFGDFDMDTGEINDESMTDNGDVYKIFNTVLSTIPLFFSRNPQAAILVRGSDGRAEFEYKCKQNCPRRCTASCHNFNRRMKLYCNYVSRKHSIFQADYQFLGGIVNSEHWLDLTEFNPGTLYDGIIVSKKMCKFEL
MINLESSSLAGIDIEDSRTAPPPGERHSASLMQHSSGPSLSDEPSKNNRNFAAGLPKQPNLSPSCTQSHRIRCGRKSGQTIPNHQQREVSLGFRAYLREIFR
MPLHSIFYSLTWADSVGVFQSSNLNWYGILSYTPSKCIIGLKYILSDPNLVLFKHRRLPYRKKGKFCHSIVYPTFYATMFLQLMQNIFFPTASTQYKNLRRQNYSSIIAYPSVTNSTPEPGLHPISKYCLQRSQEIL
MKFNKLNIHGSRGFPLRNTLFSPGSGKKGLALLFPGAGYTCDMPALYYSRSILLSQKYDVLSLEYSFQNQGEMFDPDRIQEVLEDGEKVMDSIEKLGYSEFILVGKSLGTRVLTHLLSQRKLLDRAKAVYLTPVFSRVFNDATAGVKQEVFMVIGTKDPFYSPELIQDLKSQKDFQLLVLEGTDHGLEIPGDCIGSILRMKTLCLEMVKFFNK
MKINKMIQISSALLSIASAPVVLALLPTDEASMTEVVGTADYSFTNDTGTLVFDADNSMVAFGDNVSIGQGQTLVVKSVTGDASWTGVIDDRSGVRSVHEGIFAPEMRVFFLNSAGVLTGSGFTVNFNALSGGGDSVLFSTHNINPELLRPATGDVQYQFSSPLNGNAIDIGGLQVNGDGANVLLLADSINIDGAVNVPDGRFEALSAGEADVVFSVNDMMMVRAPLATAGIAAEGIRVASTGVVEANQIYLAASVDDPLSLALNNSGVLRATGVTVNQQGQVTLVSQGARLLNTGTIDSRDTINGDGNVSLAANLVALGGEVQAGAAKLQIEVGDAQSGTGGEFRLLSNANVTAASAELAGVGDINTLSGLANYQVNGSNSGTAGWQGVGGNDWSNNDALTFSGFSRLLAREGLQHVLRIDVGGTLENASNTALLRGSRQDDQFDIAGSVQQVEGAGGDDLFVMQGGVVTGLLDGGGGIDRLENVFNATRSGPLAPDGEGINGQSDFVDWVNIQSISIRQVPPPVGLPDLTALQAQQLSFVNVSNVTAASLGAIGGAAEPSLPCGSSGGAAASIAGDFSASDDPCFDEQRLKQFQHLISSLIHFDNDSAVVKPEFATRLSEVSSFFLGSREFRRIQIAAHTDDNASEPYNLALSQRRARSTAMYMESLGVRSDAIETFHFGEALPAKPNNSAANRAYNRRARVELKR
MMSFAERYLNRSLLLEICPALVFFVVNFGWGLMAATAAVMLTSLVVVGTGVVLDRKVPVLAVVTLAMVLLLGGASLVFQEEIFIKIKPTVGNCLFAAALGVGLLFRPSFLVQTFGGHIQLTEEGWRVLTLSWIALALSLAGLNEVVWRTLETDHWVIFRTVLTPFAILGYILITRLLAEYYWLEDEDEEDED
MSQIRTLAALAASFVLIGLIAALVTTPPARPRAATTDTVQVAAGSAPATGGPVTRQEVIQRAQSWVERKVPYSTAGSSRGPDGTGRTWRTDCSGFVSMTWRLPASETTRTLPRLTKQISRSELRPGDILNSPEHVVLFGGWIDQAKGTFTYYQESNRSRPTNKSTGRLTSGELAGHPLASYTALRYTRIIDEPTTPSPTPSAPAPSTPAPTAAPVPSRTSAAPKPKPSSAPRARGSKAARPVPRKAPAGARTWVNAATQQCLEIRHDSLQTGATANQWTCNNSATQQWRTTNPGGWGTIVNANSGKCLEIRRDSLQAGATANQWDCNNSATQNWRRQARKGGGWSLINANSGKCLSIRVAGDGALADQQPCDATPAQTWH
MKKRNYVLKSFAWIGFLTVLFSSCSSDKLEESMKRANNVNQPAFVTNPKNLNIIYFIPKDQIPDANYKKRLSDLFIYFQKYIKSEMERYGFENTNLGLPVDSASGLVKFIEIRGKEDISAYPYSGGDKKIKNEIFEYKILNPNEFSSNYHTFVITLDNREKKDVPYYGPAGFAFGIDFNNLKVENIGNRNLVGSYVGGNLHEMIHGLTLLGENTIIHESGRISEQNTLGNTLMGTGNGTLGYSPTYISYPFAAILNRVDVFQKYAGNIKFYEKPNANITTTRVDFNRETQAIELEGIIDNTTEISNVFAFCRELPHGGGSYDVGFKGDLAPNGFRVSIPITDLQTQRVNRTGYTLVLKALFKNGAHIDQKVMEFKAENNNVTFDNDVYVYQNCTFGGYKVALKTGNYTKQDLINLGIKDNDISAIFIPELLGIKVTLYDDDNFSGESFSTTKSIDCKFNDRTTSIKIEKLTK
MNKLIFLSLVFCTFSLTVLSAQTKYKQVESCDNAPILCGLAQLDGQKDKSIKYLNQTGPHPTLCFGNGVAQNTVWWSFLGNGAEFEIEVEIDRKNCENPGSGCSGLQVGVVKGCIGEPIDCNATCDDSKKDAVVLSGTSNNCQNYFI
MGTLGVAARNPLFLAVLVVALITGLTAEIWLLPAGIVVYVLAVVLASRDTRLQARQQSQQRRQGLSSRTFLDKINQIEYAQREVEQAIDGATGVLKRTLQQSLGPQTRELTDQAHNLARKGQTIEAYLMQINPMQVQSQIDEITARIQRTTDQYTREQLEGTHQALVAQRESADVLRTYIGRITSQLDNILANLRAMPAQILRMRASDVDAQMVSEQVAAQLSDLNSDMQSFVSVLDTAIGQTTAAGPY
MNAQYPINSHGLSPVEFSAAVARAHAERGAILGRLVAFGLGAARAVVTAFKAWRERETLRHELSQMTDFELADIGLVRSDIEAVVTGTYHVEPESPKALQAANQHHPLPEKDSGRLAA
MSTGLALAASLAIALPAAVPGSCTRLPLSRKIRTGDLPWSAARVGSNSLAVAGYAVVGAPGPVLYSDVLGAQRHAALHRRRLVFDLLAVVLLVLLVLLVLLVLLVLLVLLVLLVLLVLLVLLVLLVLLVLLLLRPVLLLLLLLMLLLWPKLLAGHGGRAADNTSSPELWKSEEAAGASTEGLFISFTDCGLASADPADLRSWAEGFGGLTPAADCVLEGTSSALLAVPNKEFGGPPNKSAVDLVSFAMVDGGAPVGKGRQAKVVSGAAAAGKDDAELRGGNRMPRNYEQGAGVLARRRREAKTVGGREGTARARRVPRRDGEDGAATGRRRGGACATFFFFSRC
MGNLIKVLSRDIDNNAGNFFLDFENAEASWSEKDVWEKVDGVLTEARLILDELQAYEGAGEEIRTAIRSPSEEGVQERAWSAVLPLVAKLKRFYQFSHELEASLRCLLEIFSNPETPPTQQLETKQALARQFALILHFTLRFDELKMTIPAIQNDFSYYRRTISRMRINNLRGDADTEVDNELANRMSLFYASATPMLKTLSDATIKFVSDVESRSSLGEHHRLSEHHGQRVQSHAGDTGVQKSLRQRGDVAVLSARDGGRHHPLRPRAPGGRLRQDLQHRRERMHSGAPGAAGDQRGRSPGRAQVHHEAPERRGHAQTHQEHAEQQLNGSAASRFLSRRRLFLFRFSGLLLWCQSAPPPIFSHGGQANARLGQLQKYAYGPIISVTNT
MIERILKLFAMTCLMLFATGQAASADTGAQAPLKVVQTAVDQTLQALHTHTKAQLSSPKYVNQMVSSIILPAVDVEASARLVLGRYWRTATPAQRSAFVEQFKLLLIHTYAKSLTEYTDSKVRFLTNRDTVNAPFATIYTQVQRSSQQPLAVDYSLIKTEAGWKVYDITISGLSLVTNYRTTFSQEIAQTSLEALIKRLAKQNEANNQGSASS
MECPLPACLGMSAQGTTIAVPRGMNTVARSLTPIAAVLLFLAAAAPAPASAGSPTDQLRSRINRVASALEDPGLRGESNAARRRAEIRKIADDIFDFEEMAKRALGRHWEARTPAERDEFVRLFADLLRRTYYGKVERATFEKILFQGERQQGDDALVRTVVVLPHGDQLDLDYLLLHGVGGRWRVYDLRFEGVSLIANYRSQFSRIIRTSSYESLVARIKSNQAEFAGPEDAPRAAR
MTRAPTILACAALLLAGAPAIAQPGPSPHEMIESTAEDLLVVVRNRRSEMEANPEALYSVVDNILRPNFDIDYAGRLVMGRYWSRSTPEQRERFINALYGSLVRRYARGLLEYREDTVKVLPHGGLIKLDEDFVTVKTEVKTTSGTVVPVDYRTRWTDDSWKVFDVIIEGLSYVTHYRTLMGEDVRQKGMDTVIDELEAS
MKNRKQGHSFLVAAAIAVLGLLAPFCLLADESEAPVQVVERISAELYQLLAGDRAQRPLEPESLYRGVDEVISPHVDYDRVARLVLGKYWRRSSPDQRQRFIAEFRQLLVRSYATAFSDFGDWEIRYLPSRRSQDGKRAVVRAKVTRPGADPVEVAYAMHSREGRWLAYDVKVDGISLITNYRNSFSKEIRRIGVDGLIRKLAAMNGRQRGADHLAAN
MFSKWMTAACLLLCLAPAAAFSAEAGPLAQIEQTVEQVIDTLKDDAIRGEQQRQRLTELIKARFDFQAMSQWVLGPYWKKANVDEQETFMELFSELLEASYLGRIESYTDEEVRFVSEKINGPRAEVETLIVTRSADIPISYKLVDRQGEWLVYDVIVEKVSLVRNYRGTFTEIARKEGMDGLFEQMRSKIEDLKSRSKEA
MATQRFSVCEMVAEVAKDVYALVMECGIRQRQRLAGEVGTRLGQIAQESFAGYELDDAAEEAAAVLLHTLLEICMFPTPAGSQINPGEDYTRMVTLAGPGNRSRLKKSISLSFIADKTLPIKAKDQCDAFLARLSQDEPKKKTGLDQITAGSKLPEPDDFPQHVSKNLFEALHQHAYCHHAEMAQEKSWRRKSKKVKPHHHELAHPIRLHLDAKPQIQSKHAQFEVVISSDNMSYWQHLWLGIPMGGVKFENASSDEEGDGQISTLLVVVISLGA
NFIKLMKIEAEMATRRLYETGERGVSKGDFRDSTLLGFDFFSSNYRKLEESLRGRRQ
MEFFIAVIILTPFLLRKLFPFTNNFNIIDYTELLIEAFIIGLISFYQISTFKNRTGRTLTDTFGLGYKYANISL
MAHFSQQQRDFVASLAGKALEHPAPMANTVPRFDLAPLTPPPEDESEGLQPVLPAADRHKRTDEPGAAVQEKPFWLAIEALAAADELANQTEAPTNGSNRGDEDLQVREHRRTESGFGDSASKLTWFDETAYRQPVASNTRTSEDARVTLHHDTPLAPPQQPLPFAKAPLPTLPRYVDHILKKFRSSYNNPPYGFEFCPVCDCQFTPELIEQVGDYIPLTCSHWVHYRCWLHHVSRSYPQHDSCPVCNERLFQWEGLTANMICARENIDMETSQLAGAGYIDQATKQPVNDEHDQYANDCAFIEGVLARFFDSEALRLLNARPNAPPLSVAHSLPPQNVATIYLTTELTRQQRIENEHPNPTDSSPNLTKVLIDSINYILNQNCPRSRLLSYESDLGSVLFAHLVWIKFERWLFENTWDIVRNTEGWWKFKEHGLRMQSKMTKMIFGSD
MTAQVFAAVAALAEGDQTITLPSTNTLIMLGVVLSGIALVSGRLLVAAVLAVVTVGVAGLASIAATVSGPDDVTRSVTASVAMLAGLRLRRGTAALIPPGEKHRPGWRRFRRQRPDSVPAGQPAHPAEENSGRPRENSGHPEEEQQVVRKDA
MTKKNIPRPTESELEILQVLWQHGPSTVRFVNDELSKRRDVGYTTTLKLLQLMHEKGIVTRDDSSRTHVYRPALREQETQGQIIDRLLEAAFGGSALKLVQQALGNRRTTREELDQIRDLLDNIDPQTPPPPTTDASDELA
MTRRRHAEFRRTGLGGPPKREEVGALRKPQAVTDRELEILKILWAKGRANVREVQEELGRNVGPVAYSTVQTLLNIMEEKKGLVRHVVEGRTFVYIPKKTPEGTLREMTKRFIDRVFDGALDRVMVALLDARAPSPEELARLRAVLDRAATSTQTPSGAHGEAAGETGGLASRPSREVTRSGSDPSSSSNPRACGD
ERNLNLTLGLCLTEKVMIELVEKGIGRQDAHEMLRRLAMKCWNERRSLREVMLEDEKASGLVTEAELDDWLNPENYIGTAVEQVEKAVHTLRERYP
MRALKPINGMTAILPNSIFLLLISEAVCKPTLEYKASLQAMPPGVSIMPINEVLSSNKGNGKPLHYVPKREMVDRDSMVILSDDPAETVYQREVEISPGPRSSVMKERP
MELRVLDHRGDPRAFLRVEAAEGKREAAGRGEAEVGGCCRDDTQGPAVLGEEVPQGLEPLGFLLGPLGPAGLRARVRARATSGKRPPITEYQASHPAFSPLAASASPLTPIVTVAGRRCRRCSMRARAAAGA
MPGKYKADEIKKLIVEAKQNGETDRDVAERFHVEEWNKISIDTLRGLVESMPRRMKAVIKAKGYPTKY
MMIDLVWIVAAYAAAAYCVHWRISRMSKESAGGRHFVLVAGNHQMQIEGYIRKLRGFSRWTGEDIAITVAMKALSADETGKIASLLASREQGELAVVPYRPGEPADIEAWRTGTEPLRTGQGNRIVVWIDLDDLEHARLPQR
MEKQISDRELDTVNLDKAYMNELYSKLELLKNIKDGLKVCIEEEIKLADFWGSNGDKRKYIITIV
LYVPNESDHKDDNEFNMTAKQTRLGMDIIAPDIYDWKAWGRVEIDFYGDGARHENKAAVLLRHAFVNLQKGNFSLLAGQTSDIISPLYPNTLNYTVGWAAGNIGYRHPQIRATYVYPFDESTKIISALAIARTTGTINEDLDIDNQNDGADAGFPTVQARLALATKLLTEKHSVFGISGHYGEEEIDWKVHPINPGRRQVRLKSWSLNGDFDLPITDKISVKGEAFVGYNLDDYFGGIFQGVDPITRQAIKTAGGWMQLACKQNDKLKYHLGFGIDDPRNSDLSYGMRSRNSFYFGNVVYNLIPPVDIGLEFSHWETEYKNRSTGTDNRIQTSVIYKW
RDLTLVIAALGRTRSLAMVPDLAKEAEVRLASTPLHVREVVRIGSACSRAGIELPHLLPSTKGTLHEATPSELCQFMLFLVRIRPQGTEELLEDCVRLVAEKLNFLGPAELVNAAFACGQVLDAGYVIEMDFLP
MGKTQKFEQVAYLYIKDQILRDNWKTGHHIVEADISDRLSMSRSPIRAALSVLEEEGIVETRPYRGYFVKEAPNDESIIALRGRYALILWFRLLDRMVKNQTDGAVIKEECDQLLKGLKQAFNDHNRENFYNGLLEILRTLTSLGDHDFLEEECVEACRALIAAVNDSMGNEENDFFSTQIWILMYVENISYLMTHNRFDDTRVIAEMLVRSTLNWLPEDIQDDFESHSIYALR
MSLQIIKKALFILSIISLVLFFTFYYLEMWYEKLVCINLFLIFWGLNKVVLWYLGEKFGITATVAVPADAHKGLRLIGLFFVSFITIYGAQDLLQLIAT
MVNVKVSGVIQGDPKKVWELINDVERFPEWMPGVVDAKIISNSKRRKNGLGRRQLLKTEMKLGKGETLQEVIVWDPPHRVTWEHLKDVVDGKEFSHAKEIRTTLSITNVDGEVNFRMIGSWQPVGVSGQLMTRVMKRTVTRNFEQALKNLQKILSREKR
QSTKTAKTAKTHADTTSADTLKVNGRASNQDTAERKATAALNSHNEYQEQGQITVMGAVRLVAGNKVTLAGFGK
MSLDLYLKEEKNKIIKKTQEANYPKQHPFLDVKFEGDFYHLILSIFMKFTNSEVIVDDLIFKN
MDGLGFLVLVATFIIAVVALNKSSKLDARLAQLKVEFGKLKDELTALRCGPLPTAEAPPIETLAEEAAAAPAASEEAPAEAAAAAEAMAEPVLTEAPVAAPPA
MEVLEHRNSSDVRGGLMQSSLELEVSDRPNIGDTLSCGSQGRAGGLGLDMTPERLESERMEEGQAGPLEVFHPGESTDEQESAIVMAEALRCQVKITTRRSLHLRIANHTARDVYVRLVGHGGHIAGINTGLQKNTLEQSVVSVKDSVPLSPLKPSAAPADTEQQSDEGNSALGNNMQPPRVSMETSNLRPQTPKPKDEVEEDNEASSPLTISELVYSPCASMLPTPVDDSQRGVDLLFSSPVQSPARLLRELHADPDIQAQLEAERERDRAYERTRLAARSRMGGVLTSSLLRLSSNERVNACLLSVARFVAVVMGVLLVTVPTLLLLLESDIDVSFLHEIRQTPEFEQFHYEYYCPLRRWILCRISMAMENLWSD
MYLQAKGNKKGSKKGRKKGAPSGGFTVALFLALFALVPLLYLGKKKPGGKRKKWEKGRKKGVKKGGKGATVNDPIVQLWVASMQSSADPYFALPVGPGLDSS
MLSFSSLFIICHRFTCSVYEARCLRRQASQPVSQTDRQTCHAQLRLLNFVYWPVSRQANRFFKTATRRLAHHASVVPVGKLKGNASHSKRPTTSQSIGAL
MAVTSTISSYSFFFRACFFFHSVFTSLLVGFYLSIYLSIYLSIPLSLYIYLYFSHLFRAIQRGRYFSQSFVFYLISFYLSIYLFIYLSLYLSICFSVISISPTFYLSIYVIFFLSIYLSLFISIPGCTYLSIYLSIYLSIYLSIYLSISVACISLYINLSISVFSYLSVYPDLFLSFSVHVYLSIYLSIYLSIYLSIDLSISVGSSSIKLRLSIYLSIYLSIYLSQLVHQVSSSDYLSIYLSIYLSIYLSISVGSSSTISDYLSIYLSWFIKYQAQTIYISIYLSIYLSQLVHQVSSSDYLSIYLSIYLSWFIKYQAQTIYIYLSIYLSIYLSWFIKYQAQTIYLSIYLSISVGSSSTISDYLSIYLKTPRVFHLFSLSFIHLFVLHLFFLPPFLSSFSYIFTIFSLSSSEYHFFSTSFLLPFPIFLFLLRFHFFFISFLFLVSHLSHFAIFTSFFFFFLFFFIYFFSFLSFIHSWDFSLFISLAGTF
MPFYQFLFHIYLSIYLSIYLSIHLSIYLSVCLFVLEDSMPFYQFLFHIYFEKINAILSILISYLSSHLAILKNSMVFYQFIFHIYLSVFLCFSLFLCEYLITAPLYSVFRYVCAWFISIYLSIYLSQKVIMYHKLFLSLSLSLYIYIYIYVQFCYCLSIQNCFYLSIYLSIYPMCAYISILICSYLSVYLSIFFLYIYLCNYVSTYLSQSVYIYLPNRFLSIYLSNYSSRFLSFCLSLPDGFYLSIYLSIYLSQSVYINHFFRYMYPIFSVYTHLFLSIPICFFLLIYLSV
MVLEICSDRRSSRRSRSHNRDYLCGCQRRRPILAISPRQSLNAPESSLRSRRKAVTKKSNGRGGGGGGGGGGGKKSYRSSHRSRRHPRPPTQSRNQSPSTSQRSHLPDVGIYSSSTSSINPLESVSNASTDVSAKQRRSLFGVF
MLILLALVPFLIVSGVILFQGEHYYLTSMLMMMLSIVPFIWSFERRKPSTKELVIISVMVAIAVASRLIGFMLPQVKLMASVAIIASIAMGGNVGFVIGAVSAFVSNFFFGQGEWTPFQMFGLGIVCYLMGRLFHNKRNIKTIYIALTGGVAVFLIYGGIVDFSSVLLFAEGLTLENMKPVFLSGLAFNAIYGGVTVIGIFFLYPFILKKLERINIKYGLFKS
MAGPRKLRCDLNGCKDAAQRIVGDCAFCNKHFCGKHRLLEDHKCEGLEDCKKEAHEQNAAQLQAERTQVIRGV
MGGAALCPAQSTDPDTSVTLGALGITDGVTLNATLTPTAAPTEAAAPAAVKKSKVRCNHPGGCKDKVNKLIGHCQFCDTLFCSRHRIPESHACESIDSCRKASFERNSDKLLGQKCVAQKV
MTDGDAMWLQRAADGPWRMIFQRRYAASPERVWRAITDPNGGFWRPGGMRIDPRVGGEVAIDFGDCDGEDGWAVAQITAYEPQRLFAYRAAPGAPQTQWSLQPEGDRTMLTFRPAEQPLLVIVTGWHATLDNLARALAGQPLLSDAEFAAREAEVAGRYRSLPGVF
MLGPSELLKKVREAGIMKIAFLNTICMALSMGTISNLVIQKVCSPNQQPSINNFICTDPQLVAEATKVTITKSTIRSVCGSLSGTFFGSWRDSTGLNKPLLYIGLSAELFNALLYSFSSWQWSSSIWLPSMLDAVIAGLFGMSVFQLGLHCYVSANTKPEELTLKLQLMHTMTFVGFLIATPLRGIILTAVGYQTFFLITASLYILAICLINSSVHEEPKAVVKKKELTLMGRLKAVFRSRPNNVVIWAMMISKPLYFTFFQAEHTIHLYFMQQGFGFTVKEETIFGTYSLIITTVGSIASPLLFTKVLKWTDFRMGIICCSMTTLTSILTAFASTKTHLYLIALLDIVKYNLFSIPQAILNRCIKSDELGVYTGFSSALGVFLPFGINYLYSYVFSATSKTMPGAVYLISAVGNLIILALLCIAQASYIPQEKKEEEEPLNAEQKKTD
MRQWKPALLALASTLGYGTSLWPTTQQGQAVDPSILTNLGAWSFYVPNGDIGVGSGIATMISKIVNASNAEDSSWNFAICDGQSHPELQFLSGLKDSYKAVPSELLTAYGEQDAHASGYRFKKMYGCLLGHMDWYNAPVNQSLPVFVRTTDQSRVNVTSWTFSEGFMGPDWRNRLAAPLLTLPDSSKVYNDPLAVGTCPFSQNDTSSDDAFAIWNDVYLPKVVQRLQRALPNLNLTTSDVQAMQNAYRTSTNTTLDADKTTFPLGLPVYLDFTHNAQLASAVAVMDLLNDCRKLDLTKYPSKDRLWNTARIVPMGGRMVVERLTCRGKPQKYVRILLNDAVLPVSGLKECGVQAQ
GIPRRVGPDSLGRGFSLTDRVTVSSSAKQLQHQAEIYLDLARAIGLPIGTPRMHFEPTAEERQTVSPPQHIRVALFTGGGSNPGMELTAKRWPLEHFRELAQKLISKFGA
MQQERTPHDLTPKDVAPHIYKWSREELEQMQAMIAGQLQAIRETNRSETQRWQSMGEATNRGPVEWNPF
MPAVFYPLTQRACTTTLQDIGPQGQAVATPAGKVRTASVRVAYVGAGPADRYFDLYVVDTSVSANANDGYRVRNYPIEYLSKSGAPDMEWRVPLTAGQKLQHRGSTAGDLAISVAWVEDDA
MKKFLSNLLIGAALLAGVSSPAEATEIVRDGTFQNGIGVGSNPSPWSDWTNAGIVRASAPDGLPGNYAAIPVGGDLFQRFSPLTNGDYQLTFFAKNESATLAALVVAVQQAGGTAYSTIHSLGLGKELYLPIQDGFGKYQIDFTVNDPSFSINELYFSNSYNFPEPLLFDSVNKPGTVVDIANVSLQRLTGAVPEPSIWFMLIAGFFFVGYSLRRHPRRAVSYS
MTLLRRCIGGDSPIGISTQGARGCAARAQGVEAGAILPSGDLIGLRQALGPSVPAAGRPCLSVSTAQWPQAVSSRPVTAGPAPPTATRMRAAKRKEEIFRCRAEVQRRGGGCEGDENTLSGPSHASGSARVVECRADDLAKAAVFAAGDQGAGENMAPRFKMLRVVGKATRKPNTFLCRGSTRQQSRCANHRSHIPALRHFCGVWVRCEVGSEQTDGLSASPSIASPVVDIDVVCSTWPADSPIRHATIHQRMQVVGRLQISPPLQHVLPRCWR
MLTLAPLLAALAAAWPTPAPPAPAAHTAQAPAPESGRPSPARPKPTGRGPAAVPRPAITRRFIPLTAQRRRDTAAYAKRHYGRATTTVEPHAIVVHYTVNDSVDATWNTFAPNVADPELRERPGTCAQFVVARNGRIVQLTPVTFLCRHTVGLNWAAIGIEHVGRSDAEVLGNAAQLRASIRLTRWLRCRYGIAVRDVIGHAESLSSRFHRERVAALRRQTHGDFQRSAMRRYRALVRDAGC
MDRSIPMLLIGLIFGGGMGFVAAAANGITLDGHHHGAHDHGAPIDLPAGSATAAGLPRAVELTVPGRPVAFPAVAEGLPGGTLCAGP
MTSINRSFPDYDLDAAKIVTAPHELRAIADPFRGILLDLLLERAATVTELAQAVGRPKSTVAHHVKVLLDAGLVRVVRTRRVRAIEESFYGRTARNISVGKVRPQDVTPPPWPNELADAAEESMPAYHADQMRALRHHAHISAAQAGAFWERVVALLNEFDQLPREGDTSYSFVVSLYPAEHPTLPPAAP
MSCDKNHGIPVMNRKSFISKSSSSEYDVELKVGGPGSKFEFFRQSAWMIFATTASGLIMYSVHIIAKEMPRSEYGVFGAMLQLVNLLGIPAIGLQSVFAQEAASAMNEEHLKVLSGGMRWVLRWLFFIWVIVCLAALFFLPVIKANLKVENSMTLIFLLMATICILWIPVFQGVLQGQQNFFWFGLISILNAIARFVTVALAVLLFSAQSAGAMFGVFVGYLLAAFLGLWQTKRIWEVPPSSFDFRGWLYRVVPLTLGLGVCVFMLSVDMVIVQVFFDKNDTGAYMAAGMIGRGLVLFTIPVAAVMFPKVVWSHVRSVKTDATMLAVVSTLLLGTLAAVICTMMPWLPLWVVYQSKYLDITWLVPWFAWAMLPLAVANVMMNNLLARKQFGVVMPAVMLALGYLVVLLFYHTSFLVVVKVMGGFNLALLGITYGFSRLSNR
MPRTAEPRGRAGLGLSPLKPALAGLNLCAVTWGLALLAGAVWLGAGLMERPGERLGAAVLAELPMTLLVVLGALVALAPLGAAYRRR
MPDLKSKTLSSQSLGAAIPPEIVYQILTYQFRDLLRNDHPGTAEKFNENLTTFVKSNLTVNKTFSHICQVLIYRYCNLTTAKRFHGLLQTLKGNRCLCNKIEVADFQELTSIGLGRSSEMNKMIKNLTNETLLEFLMLTKANLREFLACENIQDDLDDNIIKYILSPGKVLSVVDFCGCSGTTFTESFIKALDKYYPNKSIDQYRLEPIQQNYQITCLGLNDCIDLPSHVLWKILKMLPELQKLDLSHTSIDDSTLYHGIPHWKNLTHLSLATCLQVTPRAVLEFFSHHPTITDPDNTSTLEWLNVSVIAHSSSWNEVHTMFLLKKLCQHGHNKTLQYLNIGGLPLHVAPSFGEDPISESTYYYQCRDSLQFIKWNFPKLKSLSIKGNSIPISTLVEFLTPIDQDHPNCAQKLKFLNISGNSYVNKWTIQDSLLYTCSPSLVALEVSFDSWQQIEKLNDRHEIIAYRYKNPNSVIKDISTAEQVKWKCYIDSSYGRRYWLYKTDPFLNRDDLDSKSNLTRYDFEGHKIIEIINQPDFLKFAQSKIMLGCGLVPQSGIRRKLCYRDLKPPVSQFLNRKGAISLGDTPLPIITPTLPRGGWRIIHNEDDNNSHVEDSQNHVNAIPRRNSLLSRPTLRSNNGSSSANPFAINVSPASQIRDGLYWDRSVHDLRELSLQEQRIQELADEQQELRTIANFEETDDEYLHDPDLQRRRSQLHLFESSRSRSGNKTRPSLTGEHSSSASFLSFSHFNHLHKRKNYYFTHPDEFVYDPKDPLTTQRYRLHFEIVNEYQVFGCIERGMYRYYSLKA
MARSAAVLAETIEREDAEVATRIAEIPGGLERINIVEYDYLRTMRAAELAWLPDLIDELTPR
MKWSKQIAILALGAVVWGSCAPDNAPSAPASQAKHLYLEALLDFERHADSIWRDVSGPDRPANAGYWGDGGSQGNGGIRGSCGVAVAIAVIVHARPDDPDNSNRIARVTRALNYAANTHVSGDKTCIDGRKWGHDWQTAEWAGSMGLACLLLEKHLPAETVSAVKRAIADESDYRAGVPPASGYVGDTKSEENGWNSNVLALSAAWMRDHPNATNWLEAAKRYLVNTYTIANTNGNPLAPWISTVTLYPSYALENHQFYHPTYAMVGGMSLGDSLIMARLGNPEVARELEPFAEHNVLPLWTNNLQYMLTDSSDFAYPSGLDWELHDYEHNSYLTWLATHFNDPVARWAQERIAVLVRERQKINGDGRFVGPSVRNGFFREAVEARRTAIAWLFLEYAKFPEGPQTPPGTAVVYFPDVKIIAHRSPAGFFGLSFGRRIMGFLEPAVPPDGFPTNVFLTSPRLPAMLGLGALGAPTAAELLSFSTNASGFDAQLKLEHDTNGTTDVRVRSAGGVVAMLEVPHPAPNPRLASMGSFSVGIENDPLTGGTRLLEWDGGSVVITNRSGAFQAISNSWVCVAGRYGMAAGPAGFFTYEAPTRYNRSGGAEDTLQFFPSERLGPRYAVWFLNRSTEEVAKLASRIRWNVSDSRATLEFPLPNGESSTLSASIP
LSRTIKVMNESENIQELNELIKQVFNQFLLHTSCTTTESLPRECLERYFQLLKQCLNDNINLSVKSTIESAIESIIRQYNHSSLITYEYQIRLIRFLCRHTAILNNNFNSNHQFLQELFQHEFIKKSSILRLLTLHIALSHAEKYPVEYDINLFRSIVQIYSPDQNVYVKNVLAQFLSIFIRQCCHDVAYHDNAAFRHLLNEIQTTSSDPCLIFEVVVILYDKYELDIDNSNMYEMIINSSEQNLYPTIRNFVMRTAHLTTEHYLILYLNKILILNINDYVHILLSIVQKRYEKKCLNTKLYACIKALLLYISEPNIMQIEEEEEDVEQSSIIQNGANMNEQNLTLLVNCLHFIIKFSFHEINNNNTINTNNLLNKVSQYCLLRDNSWSVYDSILKLILDNNLNEYIYSKTNIIKIMLEKLLFDNNEQHQQQWNAKECAIQFLIKFIDKYNEQKTFPSWLTNDFVNNMVRKLINDNNEYIQGSLIDFITKLLEHNLLNPNDTYIKENLIELIKHTLGDVVRCSLANAWYTLLERTHANVEKHQKEYYFQFMKSKNITDELTALFDNMKIISHYLSLLVGDGGQETELICCKLVELLLIYHNKYNNKKNEAIINEDEIINVLNYFINDGCTNEIKQRALNLSKQSSAVIEEFEKYKQTHNIDTDQQIKKIDNYIDEFKSILNWLQNPCEHMVLDCD
RTGPPSASGGGRRGPRGGTGFTTEIVEDVESARDIVLRLDGTCRLVPGLGYDWAVQPRQTQ
MWNQRRCSRAPGEDVTRALSFKVPQSRWNREADAEQLSQSGTLRFAASPAPSLLHEPVDLSRGSPASPTIPATLSQPQAAGLGWAVWMPARSSSSACLLHLSALGSCKSDSTRHPDLTPPQTLPLPRPAELWSFSETLDRRVMCGSYGGNEKPRKAEKEKKKKKRRTEDGLCAPMDPSQPIGRGSCCPDCMTTNLHTSHCVRLRICPWVTDGRLCHATQKGRQLKTLQIALRSVAPPPASRTRSERHSESRARSRGAASQ
MSKKISENPSYQRLRTEMQQAEEFRKAIQLLDPTGEKFPDLLNVLNKVPEFQKQLEQIANTPEEFNNYYSERGWIAYESMESKVIESAIELARVGRIDDGESVLVEYYHPEKLKFRINYIRGVKEFEPRMRLIDLALDDYIGERFHACVPVLLMMIDGTVNDVTKDFGLFAENVDISAWDSISGHENGLGKLSKLLSGSRKKTNADLIAIPYRNGILHGKELAYDNIAVAAKTWALLFAVRDWIVARRKQKPKEKAERTIEESIEDLRKIEQSNKLLDKWIPRKIVLGVELPKTGTIDEYEANTPERATMQFLFYWTKKNFGKMSEMIVRYENLGLTNKKIAGELREAFETLSVISYELLSIDDQAPAVTEVKTSVTFSKANVSVLKELNFRWIYMNRDATNIGRWERDGSWFLIDNFRLEVSMINLTEK
MRFLQDQLRKLVSVRLGLHVQVKVVVSPHVRIERALKRETGARSGALRDLHRDVCLREAGRVVINVHHLDFDPKQFQWVLQEHLHVELAAGALLDAVLQVYLQVRRARAGHHLEAARGQFGKVQSQVFGDIPHEGAMVRLLGHRVAYLREHSIRDAQDKHKHNHRRKNKCT
MNSAELVRSIADGLMSQGMVGRHASYYRRHRELIWVASPRTIFNGSRVAVDLGVSARLLFPGEWPTDAQCQLHTSFERLGGDVPRVAEPSRWPGRGPYFGVVLDPRDPRMSDGERYAAIGFMTREISTVTTQIVTIGQLAVMVRDPDVRRPLMSKELKRMLTEP
MNLNDKRIQAYTKTAQGAKDDTVGKVRTHMDELMKRDGLLKSAKPAGGAEKQTQNQAQNQARQNAQSGAASLAGSGSSGADSVYRRVAKFLLLIGVNEAAKILPHLTAEQTEKIIPELASIRSVDPDEASVIFAEFQSLLERSRQSGGVQTARTILEKAFGADKAQAMLEKTVSYPDGTPFDYLQEISAERLSQLLKDESAPVQALVLSRLKPALAAAFIKSLDRERQKDIIGRMAKLSSISSEVVRRVDRAMREKSQTLSTETGTALDGRGVLAEILKKMDPESEKSILSVLDENDAELGADVRKRLFTLDDIIRADDRFIQETLRSMSDGDLALLIAGKPEEFREKILTNVSKDRGDSVLEEEQLKKPIRKRDADEVTDAFFGTLRRAWEQGKLAIKGRDDDVFV
MHCRFRSVATPRAIGCLPIRRHLLCKSPTAKLLHSPNYTISTHSESQTYGEAGFRDLYARMKSPPTAESPQSREVVADSNHSGPANHTMPPPQRTQPMTCVPCAMPPMMPYQPLPAAHAETPADAHP
MPPNTTEPVTKENTHPRSLDDTEKTEILFKSIGRYDFYINTTNTKASLILAINGLLVGTTLIRVEALLGSDTPLGYIHDAGVYLFATFGILTILSLYFTFKSVIPFFSSGELSSSSYPSIFFFRSVASLRLDEFSMRIKNLGEKECFDDVINQAHELATGLDSKMKNLRRAFYTTFLNILVLIILVILRGYTLYGVGP
YHTLALSVLGLTGNVSEPVDIIILFKNQDGLGLGIAFSKSEKLALLTKLNQLSPLRLLRCTKPIGSV
MPLFITIPSSNNKKIGVPFKPSQTVQELIKEVIKRASLVLDDYTLEYNASELYEEDTLEDLGIKDGIALFLRSKNDSTSVIAPTPTVVPDPITPSTTSSTTTTTSTDPNNNNNNIGNLGNLQINDTTSAGSPPPPLSQVDDGIRQLDVIVLDLSGSMQQPAYIGSRVPGELEMTRIEAAQATFQTFIDRFVSYRYPVAVGLVCFGQKIEATFPISSNFDSFSNELGEVEAHQSQTRLWEAIKRAAEVIVEFKKSPTLKLAPNVRSRIFCLTDGEDNGSTPVFTVFDYLRTHGIILDSIPIGQQGRATLSAFSKATGGTCFVANSSIECVQLFEREALLMLEHRDHSPFAIDVPNSAAFHALAGSYTQDVTSKVSVKLAPTTKCSSTVTTAQKTQAATGGSHFKRIMQEYVKFKQDMDESQDPPYHLFVNPNDMQVWKVIMKGPAATPYEGGHFILSVEFPDDYPFRPPKVRFINKIYHCNVSNDGALCLDILKDQWSPALTIKNVFVSISALLSCPNPDDPLDAVKAGVYRDDKVVYNRNAREWTNTHAGQTLIELMQANSLA
MCGISGLINKNNESVDMLEIKTITDIISHRGPDGEGFLLENNFALGHRRLAIIDVSTVSDQPMWYENKYAIVFNGEIYNYLEIKKELEKLGYFFKTKSDTEVILIAYEHWGNECVKKFNGMWSFCIYDKIKNILFCSRDRFGIKPF
MCAINGFTWEDKEKISLMNSATKSRGPDHSGVFIDGISLGHNRLSIIDLDNRSDQPSRSPDGRYTLIYNGELYNFKDLKKSISYDFKTTSDTEVVLAAFL
MCGFLGIFAPPANPLSPDEAAADRLASAKVSHRGNTSRGENLSSSAALFHYRLAFRDLSEGGQPMHDPKGRASIIFNGELYGYQALRSRLSNAYEFSSRSDTEVILAAYLEYGEDLLRHLDGEYAFVIQDHRDGKLVAARDPFGVKPLFWSGTEGISSPSALREYRQVYNFSLSGRLHFASEMKALSVPLRWDARGLDRLQLSL
MHKIEVIKRNGSIVTFNKEKIEKVLEKIDKEVNFTDKVTIKHMMDDIVIKINGHTRMSVEAIQDIVFYTLCSNGLFEQAKAFQTYRTQRAEQRLKEANSVFRHMTDIVDVGDRENSNKNSMLPSVQRDLIAGEYFRYILEKNIDKELWAAHRKKTIHWHDSDVDTKLTNCCLFNIEDMLRNGTRITNADVCQPNSVGTAMNIAMQIMASISASQYGGVSLPNFNEVFAEYAKKNFKKNFMRAYNDKLSTEANISRMTEEDIEKEFGEISSGNKKLASEKSVEFQIAKERTAKDIYDACQLFEYQTNSILGSASQTPFSTITFNIPTSWESEHIILSYLKVRQTGLGEKHIPAIFPKLSYMVVDGYNFKKGDKYFYITEEVSKCIANTYYPDILFYSKDDYDAGKYYARMGCRSRVNHEYQVAGEYQHYGRFNYGVATLNVPQIALDVLRDERYGGAEGNRLERFLNILEKRKELMKKAIQTRFENVRHLQAKKAPILFQYGGIARLEPDDTVEELLKTNK
MPEFSRLRSILSTPRRISLLSALFAFLLLTPLLWFLVDRPAADRLEAAIRADVEKQLKAYSHNLGISLGQRLNLVHGLGAFVKGELDNQGDVPVSEFEIFAQALNDVSGGIRNIALAPGGVIVNVYPYEENKTVLGYEPATDERAFVRAEVLRAVETGEIIVSRPYELIQGGLGIVARQAIFVGDEYWGLVSIVLDVPPILEEAGLLSPPDDLRLALSDQAGNIFFGEAAVWEADPVKLTIPLPEGEWTLAVAPREGWANRFASQLAIFRLLEITGMAFATLIAYLIANRRARLESLVEKRSGQLLYAAEEWRATFDAMSDMVTIHDEGFQILRANKSAAEAFDFRLEEIAGQPCYSVFHHQDYPIEDCPLRRSQRSGKVEEIEIWEPVIGKWLHVLCNPIKDKGGQVIGVVHVMRDITERKRQNETLDLLYQAGQALSQTLDPSAVFTVLTGLVKRSMPCEGIIISSYDPGEQLIRCEYAVVEGNPLAPEKFPAIPLEPEGTGIQSEVIRTGKSQLFNDYQARLQETTTVYNVEADGKLHPRDAVPDDGQVTRSGIVVPIKLAGEVTGAIQVLSYQEAAYREEHLRLLESLAIPAAAAWNNARLYQRTLDDEVRYRTLFESAADAIFIMQGETFIDCNPATLALFACSREEIIGQTPYHFSPPQQPDGRDSMEKALEQISAALAGEPQSFEWTHSRLDGTPFDAHVYLNRFTLKGEDHILASVHDVSESKRIEKEITRLKDFNESIVQGIAEGIVVQDEGGVMTFVNPALVQMLGYTVEEMTGMHWTEIIPPDQQEIIKSADDRRVQGETDRYQVEILHKDGERIPVQVSGQPRFDHDHFIGTMAVIIDLRERVQAEAERAKMIRMLERRLNELGI
MQPIKVLFISRSDQGLASSRVRIFDLLPYLKQDGIEGRVLVNPRKKNVFKLLSFLSKFLWNAPRHDIVVLQKVIPNTLFARLVRLLSKNLVYDIDDAMYELHPSMKNDSWAKQRHAKGLPRLQYLLQNADAVFCGNDYLALYASRFNPQIEIIPSCIDIEEYKRHSRVNPSDTNSPIVFGWIGHAQNLVDFEPIKDILRETLCALQGRVFCALSLPSRLNLRESRRSSSLGALKGSTRQWLPSM
MSAGLAGRRSPPGGDGALRLQILHVAGCPNLDALRHRVERSLARLGLSATIEEVEARCSSPTLLVNGTDVTKRDVAQHPSCRLDLPTAEEIAAALLPVAGLWARMSKVPACQAHDSKRGM
MTSRSLIEDGLLTGITSFRIWLILYGGLLIFIDTVFCTYFPTTVLGTILLTGVLWKFRLPLASKDDVEGFFGVSFRPSLQLDDNLDPTSNTPIQDQPGLTVKSRLLLMRSPPSQLSTSSSNGQKNGQKNGGRIVSEAPLIVVGHRGAGLDAPENSLSAIRECKNRGCEAVEFDVNLTKDNIPVLFHDDNLIRIAGIDRNIKDMTLDELKKVDISIMHPLGDRFRKERVPTFEEAVNLCLSIGMKFIIDLKDDDETVIAAVVSLFQKHQCYSMGIVSSFYVKAVYDVRRRDPKIIGCMAWRPGYYRYTTWSSNWENLEPRQKSLYMDWAAIIMDAVNDWGINCLYWWFVGLSAILFQKDSITREETYRWMKRDVRIYAWTVNSPLEKLHFVQNVQLGYLTDSLDGGEVNTVNSLQSSPNQKTFSILRT
MPAGQTNIHTKNAPYAKHWSDLRVPTEPVPGNHCLRPSNSGRLAGNRTRDLPIIEQALQPLHHRRPTLHCPLKVTVLTFLFTSSFLSYIVLLGPIVLYGLVKYYSLPKPDASVVDEILGVNPLYDLRNKKENEVTSGNIKSWISEFDDHRNPSSSTVQSIGHRAYGLDAPENSLIAIKTCQVKGCKNIEIDVMLTKDNVPITYHDCDLSRITGKPQNVLDQTWNEIKDLDISVNHPLSEKFKDEKIPKFEDAVSLALSLNMTIYLDVKECKPEIVDAVIQLYRKFPELHTRSIVCGFNPLLTYLIRRQDPKIVCAITWRPFFYSCSSYDPYYRVTSFGEQRHKNFFRHLGAVISDHLYDWVLYNICPQLVGAVAILLAKDYVSPHEITQWERKGLRVIAWTVNLPVEKMHYARVLGVSYITDTVVGDAHP
MWLWEEQGGLMGPFSFLLLVLLLLTRSPFNACLFTGSLYLLLRLFSFEPVPSRRAMQVLKPRDRVSAIAHRGGSHDAPENTLAAIRQAAKNGAAGVELDLEFTADGIPVLMHDSTVDRTTDGTGRLCDLTFEQIRKLNPAANHRLRNDFPNEKIPTLREAVAECLNHNLTIFFDVKGHAYKATDALKKVYMEFPKLYNNSIVCSFLPEVIYKMRQTDQNVVTALIHRPWSLSHTGDGKPRFESFWKQSMFVALDILLDWSMHNILWYLCGVSAFLAQKDFISPDYVKKWSAKGIQVVAWTVNTFDEKSYYESHLGSSYITDSMLEDCTPEF
MANIKKFTDWIRKARVGAEVRDSLADGIEAINDEVESTTARQEHLERVHEQLIINAGTSNAEIVDARVDRTTGKSYDTVGKRMDETSAQLSKVATLSSFLSNPSTGFVAHRGSHILAPENTLKSIQLAGILGYEMIEIDVERTRDGVLILMHDSTVDRTTNGTGAVSNFTYDEIKKLKITEDYAGKDTNEIIRIPTLDEALAEARKHGLGVNLDCGKLTWTEETCHTAVGMLKKYGLYDVSFFVMTNQAQRNLLTSLYPDVNVTWLSSDTTSDWNINEAKKYKNAFITYNVANITDKLLYEYIHNGLAVFIYGCNTWESAYKYAKQGVRLIETDYILPKGVN
MSCASTSLVFSIGANKNGATAVEFDVDLTVDDQVVVIHDDTVDRTTDGTGFVNEMTLELIRKLDASANHPKRDKYPNEVVPTLEEVVRLCLDLKLKMYIDVKRASSVMAQKICEMYQMYPDLYKSAAVCSFYPRAIYMVKKMDSQIVTGLTHRRGILSQEQDGSYRNNSAAKNFILIIVDVLLEWAIYHFLWYFCGASVFLICNKDASVNIIQDLKKRDLYVILWTVNDPFEKKYLEEVLDVPYMTDTLE
MKVVFWSSRIEAELEATKKHAIGAYARIPPARLQPRSSNRSSRSSASTIAARSLVDPVMFDQVRATASGRARIMLVGPALGAKDEPGSPVCLPSPFSRE
MIHPVVLQQWKTDVGLATACANIITAQQELSRLALTLASSTRAASQLARLIAPPIVPLQLANLGLPRMPVGSLLEIHWHNLAGQIERPVLLTSRLQYLTAGSQKKR
MESTLGLEIIEVVEQAAIASARWMGKGEKDIADEVAVEAMRSRMA
MTRAFASGGPSKNSRPADQYVNPSGTAYSHDALKAFLQLETPSNDEVHVAREQIEKAAAQLRPDMLGPEATVLSLAASKIADPVLVLELYRAMRKAGVQPPPLVLEVSAKFAATTTDDPDAWKTALDIVDEMHDAVHLMPPSADIFELAISTCAQAGKWIVGLRLLEEMGRYGLVPSPDAFVVVGRSCLVAGEHTAMTKLLAAARALDDGDYDVEDVLRRLLDAAIDTHDPQTAHQLLLALHDYTQPNINIRAVQSFWRSLVAPPAFKEPPAALDLSPARRMAYVAAFAAGQQWIPLHQWLPVLGFDDYVRAPHPHATHQQYMKLAFAIVAFLRAAGEPIGPVLHDALLQGCGRHGLLADARQLLAAHPAPTMSSFYFGLTACQADAEAAESLFADYSRLAPLPVGSHPAHGHAHVDVCNALLVNLVKAGRHRDVVRFATSLPTETLHNARTMGSVMAAARALGDWPTVVDIFKQARVQGVECSPFMFADALVAYASDGQGATSLRLYQHIAHEDPSMSLHPVVVNAFFLCCLRSPTDALPAAMEAFRRMERDTDAGKTRLLYTGALDLLELIGRADSAAHSPEEKLACLHDVWEAVVRNPDVYTASDKQVPPHLLNRALFVAVNAHAVDVAEALVIDAEDMGATPNAVTCQLMMRLYSDATDLRPAELAKNPPEHPGRFEYWWDQFQELGEAPTTATIEPLLLSILRGMPLRPPVAVTDVVGLIEAYGVPMRARTVEILFRIYELDGEKNWPAAQDLMNTMHDVLLKHTVRSMEPFARCALASLPMRADRLNKLLSFIDAQDDEEYKFTLWHGLALGVDDVDTMLEVWQAYLDRTGETMPAGHGIRASLAEDSAEGLRRIWQWLHQHGGTTSVSLHVNDMNNVRKRLEDWGEDIQDPFWNELLAHVREHDNQKE
MQPLDVGVFQPYKHWHNKAIHNTTESLDFEYTMASFFRDLPDIREKTFKKSTIQHAFKKASMWPVDQEATFALMKKYMKSEPKKEVVIKPELPKPGTPATIQQVQYQLGDLKPKCKKEALRKKAIKQLPRGVVGEVVMYKEYTPPPFPSLNAPPPPPLPSSNAPPPPPLPSSNAPPPPPLPSSNAPPPPPLPSSNAPPPPPLPSLGGPPAPPPPPPNRDSGYASSAPSLPPPSGDRANLLAGIQKAGGINALKKVDRSQIRDRSAAIVPGTAQDTAPASSGGPPAGANGGGGGLADALQAALNKRKQKVSASDDEADDDEWD
MILALNNSTFYLGIAGGAALGGLALRAVVVSQLGWIGAACILLALLLFALSTRLSRRGNVGEASMEESVQREEVMVVPE
MKRSQKNQIMSVIGLMDKAQIGIKKMMGIGNSGAVLTLLEQCQESAIQMGEMIEECEGEEFVTVGLLENYCEKLYQTYKQVSQQQVVNVDKVYKNLRRELIRIENSVRNDIPSRTSVVFLPYKVSMWDSLESVWKAAAADSSCDAYVVPIPYFDKNPDGSFSRMHYEGEQYPDYVPIVSWETFDLETEHPDVIYIHNPYDECNHVTSVHPSFYARELRKYTEKIVYIPYFILNEINPDEDEKINSMKHFCFTPGTLYANQVIVQSENMRQIYISEYIKAAKEMGLNGEHVDRKFLENKFLGLGSPKIDKVLHTQEEGLEIPAEWLRILEKTDGSRKKIVFYNTGVSALLRHGEKMLWKMKSVFEIFKENRDEVALLWRPHPLIQATIESMRSL
MFGKGLLNGLRVTLGHLFEKNITQQYPEEHPKLPLRSRCSFRLDSEKCISCSICALSCPNRVINVESYKDENNKNV
MNHNSQNQPTSCMTTDTAGDETSEQFVGNATAPDHFRILDRDDFSLLVGGRNSVYNLSLYDLSENIDQRIEWQSTDAHRELCQLKGKSADECQNYPRVAARSHGRLLVCGTNAFKPLCRRYARHPPNHHLEEYDGSGRCPYDPQHNSTAIFAVYSRVARICLNDKGGPHTFSDRWTSFLKTRLNCSIPGEYPFYFDEIQATTDLINGIYGSGGSRNDIVYAVFTTPQNAIGGSAVCAFAMRDILDAFEGTFKGQESMNSNWLPLEKEKIPTDRPGSCVEDSRALSDSAVNFVKTHPLMDKSVSSFLARPILIRVSLQYRFSAIAVHPQVQAMNGNKYDVMYIGTDDGRVIKAVNVASNEGVFDASVDEYSRNPVRTAVISEVQVLPQGVPIKQMHVALTTEKLIVASGDIIKAVTLSHCGNVQSCRECVALQDPHCAWDSKQQQCSWVGNRQFPNPERFLQNVEYGKTEICNKLPALLPGDRHSNRNPGTKKPTLSESDRQKTDDIQNEILIEVVETNVLSDEKTTNHNKHETDSLTVEAAEGNIYSAQALMTAVVASCLVTLMVGFVIGYLFSRRFRHPFLQDTSPFNEQHNQLNRLGPLETPLNANSAYMPPRSKNVNMVANVCSKPDNLHLELGKERTLDLRNSTESLDKDLKCGTLQKVKKTYI
WQNLSSYYGETLLRWLTEEGFYNPNIEWIALELPPQLLPQGISEKLSVKAEAYWNGEFGQKHITVSEALVKMSGLLLGELRVVRNFVVKTHAPLNIFELEELRVKFSHVEGASKMVDEVAAELPPPMPKQPSEGWDAIEWIDWARTEYIPYKKWLLDHNRINETAIHQSIMYEERLYRNYPQFLQSFDLLIYGAFRDIQSLLGEGYIILWVLVDNLPLFWFQTLARALVENGFVLSEKPKNPLYLFSM
MLSPIHGSAVDRPNFLVFMADDMTYHDLGSVGNPDVHTPHLDKFAAEGTTFTHAYNSSPMCAPTRMSLYTGLHPVRHGGYPNHSKVYPAVRTLPHLLGDLGYDVALLGKRHEEPASQFPFNVLGGKHGDKGEGLDLDTGKVGDYLATHSDESWCLIVTSNQPHTPWNRGDASAYDPSLLKLPPYLADTQVTRKALAAYYAEITYLDEQFGHVMAQLEEHGEAENTLVIFLTEQGSNFPFCKWTCYETGLRATAMMRWPGQIPTDEARETLIQYVDITPTLVDLAGGLIEKNQFDGSSLAQVIGNRESPAPHQYVFGLQTTRGIYHGSEAYAIRSVRDDRYRLVWNLNHHEKFQNSVTRNFPTYQSWKSAAESGNHFATTRLLDYTTRPEFELYDLERDPWSMTNLAADPKHAEHVHLLHDVLQKWMMQQGDEGILTELAALDRIPPRGESRAQ
MLKKVNSAGVFVASTATSSFTVVGILADTKNWGLTEPTKPAIYIPYTLLAPPYRSFAVRTTGDPQALTNAVQRQVQSIDAEQPVAYTYELRELIGAELQQPRFNLAMFGFFAMLGLALATAGVYGVVSYFVTRRTQEIGVRVALGAQSGDVLRLVLMLSTRLVVLGLAAGLIVSFVLLRVIQTKIFATSTLDVGSALMLIGVLGSAAGLASYLPAHRAARLDPIEALRYE
MKGRFFTEADDAQHPPVIIVSATTARHLFGNDDPIGQTFAVPKFQYRRSVGNEATVIGIVSDVKYSGIDRTAGDQVYWSMAQTPWLSTFLTIRTTGDVNITSELRHVVASVDPTVSMSSIKPLEGIIATATAPARFRTMLIAAFALVGLAIAAIGLYGIVAYSVSQRTAEIGVRVAIGSSPRDVVSLVLREGLMMAMAGVAVGIPAAYAMTRTFAALLFGVKPTDLFTYVASASGLIALALAASYVPARRAASVDPIVALRTE
MAELVCQHLLSDRLQLPLRSVTPEYFDAMGIAFIDGRGFRPSDDDDTPRVAVINDALARRYFAVANPIGRRMRFADATDETIEIVGLVTNTRTEALSQHAEPEIYFPLWQMGAFSKHLILRARSDPHALGPLARRALHAVEPTASVEHIKTMADIRRESVAPRTFAVRLLAGFALVATALALVGIYGVLSLSVGSRTKEIAVRMAVGAQRQEILRLILGEGFRLILLGLVLGMGVAVLLGQALAALLFEATPTDPIGLAAAALLFGTLALLASLVPAYRAARVDPMRALRHT
MVGGSGAGVGDGVAIGRWSFNQLLALDLGFARENVLTVRIELQRSKYDKPVQTINFHHQLLDRVKTLPGVQSVGTINHKPLSGFGIIAFMGIENSGPPDREKDKPIGVGSVSSDYFRTMKIPLLSGRTYEDRDSVDSPKVAIVNQVFARRYFPNNDAIGKRVGFGCKEDLCRTIVGVVGNVRQESLIDEVAPEMFVPFGQMPMNGMTLLIRTTSDPLSLAGPIRNEVLAIDGNQPVHEVKTLEQRVAETVAVSRSLMFLFAAFAMLALVLASVGIYGIVSYSVGQRTREIGIRMALGARRSDVLKLILRNGVILTSTGIVIGIAGAYALTRFLTTLLFGVTPTDTVTFIVVSTGLFVVALVACLIPARRATKVDPLVALRYE
WKASRVDLNAVLKKEGRRTHLAAKYLVVAEVALSLVLLAGAALMIESLNRLISTPLGFQPAHLLTANIDLTSKTYSTSDQRLNFYNKLKSAVLAIPGVQGVAFAPLVSSGSNALSVEGKSGGYQGALGNDVSEAAVDEDYFRVMEIPLLKGREFGATDQQKTLPVAIINEALASKYLHGDPVGQHIRLGKSEDKNPWLTVVGVVGNVKDFVVFKEMGYVTDPCVYLPLTQSPDSTVAILARSAREPSAVIPAIRDKFSHLDDSLPPLDLSTMREWLSQFFNQPRFRAGLLSIFASLGLMLCGIGIFGVVSQSVAQRRHEIGVRMALGARQSHTLNLVLREGMGLVAAGIAIGVAGALALTRALSSLLYGVSATDPLTLAAVAILLLFVAIAACYVPARRAMRVDPMVALSYE
MSTKHPTDTYEAGLIAAMHALDALSDTYLAEAQALGMATVGEANYDADADTFATGRYSGAVRALGLIAGMVSDYRYRKASA
MPRHNLKGVRAIVTQDVAEYRAVIAKEIRSTDVVLEVGCAGGLTTARIGKACQLAIGIDPNVEPVCKDMQRKLARDNVRFETMDGFDIASVTRLEQEVGGKFDVIFLDISGNRALATMTPLLDTYEKVFGERCRLFCVKNYKLYRLLWNYEFPAGVIGAHDATRQQLLMLGACAGIPARPLLWSLLQTRLVVTGRQGETGVFYKKTRTTQDLGSHVSIVNTLST
MPSTLSTDLLTPHPNPYTPDYRPLLLLTSSSLTFILALLSAFPKTQQTVPILYSQGVWDREFVEFGWIWSFGWVCLEWVESKKLGGVRKYHETQTTGEGVGVT
INLEPGNYVVNYALMGNPDGPHETVLVGLDVNGLAIPETTIGASASGVGGANGIGGTRAYPQATGSYFLNVPTSSTLQLNARTILVYNQSIVNEILTANN
MWLRYLLATIVVSLLAFPSLSGPERLDPVLRFLTRTYGELGPSGTLRTGYPHLLGLRLERGALWVPVMVKVREAGDAWELPHFRPHSVEGRIVTGIAELRGLWELADDPRVVYVEASRPLRPSLDISGP
MLSSSTLSSTLFLFLFLFLISGVPIRCRSITTTLLSKESSSRLTPGNEVVSHLLQEDDEIAEEKNEISGMAEVKKKLGHSSLLKLPAYLDGAYLDGGFGRVGVFEREEEEEEENGRDYLGVVDDKEENSPLARVWLPVEIRAPSEIPGLSIIFQQTQPLGDFVTAEIVLTTRPATSDLPRPGNNNKIMKLAASVKALKKKKNYVVNNEETNDTLFSEVSAVAKSDKFYVLDITDAFKTAVLSCSEEERFLQHPHKFEVQLVGGAGVEFDRFDLQVERPFVIFSYRHDFDAMKGMKRRKRSISTSSGETNNSIPTLEEPRAPNSLCHREDWNVDTHADIEWYDMVQPKRLELGFCAGACPFPLQNDHFNYTLHTFFQDRHRLHKIFDIPKNFPKTCCVPVSYKPVKAWIEEEENSIVYRIFPDVAVDQCGCR
ILDLTDPHGPEIAKALYSKEFYSRLRSRLYDDGVIVTQSGSSFFFPNTYGRTVSNVRQVFNIVREYSVWVPSFGYACNFILASNSYDPVKLSMYEVNERLRVRNVSTSYYNGEVHEMFMRSPVIYNRFSVYVDL
MVILSLLKRPNVRTLLIAWVLLYLLASVVHEQYLRNIFGLPILLWIPYSFYANYRKAKQAHQDMIKAIRDMSQVTTESCIDICGDNAMAINYHTNTIFLIDGKIRKTVAASQLLRWEMFEPGGTQITGFMVGGSAGTPQGQAVLGAAIGAGIFHVLIKLFIKQKAIGYIKFWINDAERSIVSVYISNKAFLDQLQHFSLTIGHKVD
MVYTKYQTVVLKTGCSNHIDMANSNPFDRTNCTHIDRANCNHIDRANSSPIDRTNSSPIDRTNSSPIDRANSSPIDRANCPVNAKQNSFNLIVSDQKNNFANSSFLTSEDVKSELEKYGVFYGVEFLKKMTLKLKTD
MTIQDSNVFTEFAGGILDSYHAKVSQAEAEIDLHRSRADGFEYDYRSMTEDRDRFKVEAESQQKHAEKHEIISSRLRDQLADKATEIAKKEKVIEQYSAWRVAVREALSDIPARFMEIYGPDETAQDVAKVLERISQITAKDDGE
MPQSREDTSPVMARCAGFDADQARRQGRKECDYILAKQLPADNHCALRINAVHMKHVLGDIRLC
MSGLELSNGTNAAQEASVEKAEGDRDLGAQNGQDASPLFGTGPMPTLNSTIYVAEQPEGQAPAKGPIVSETLPQTDPSSVQEPPQTSDYSTQKSALESTESSLPVEHANGVSANALNSCEVTPQVDASLRNVPAHTETSDWHKGSDSAVLDLPYDSAPMLGSLAEDKALTFDDDSSAKVNDHQLVAETKSINPVANTAVHSLLVDVQPSPQVLSSAEVTAPASTQMQTSTTEISSEPWMPAPLVTSETQGTDKNAMLVDVATQAHERSAETTSQTVATSLEAEAPFVPVKTQEEPLKMSIDDVKDQQPIITNAQPISAEGTNPLPPKEATKNVSRMKQQPETIGMPNPFQKAPSPAGPSVDVPQSWKQSPLTKEQSKTAASIIRMLKKHRDAPPFLVPVDPVALGIPDYPNIIKNPMDLGTVEKKLSSNQYESVEAFVRDVRLIFDNCYKFNGRESYVGGLGENLEKAFDKALKRMTEKPASPAVKSPSIDPAHPPAKKLKVERPPSAALAGLDDGRPKREIHAPSKELPDSNGGRFGRGKKGDPQLKFAGVAIRELKKAKYKDLNFPFLLPVDPVALNIPDYPTIIKHPMDISTIEKKLATNQYDDADEFEADVRLMFNNCYTYNPPGTAVHDWGRQLERVFDSKWAEKPPREPTPDPYSHNLHIDDSSSDSDDPRDQQIEELQRSIEEFTKQLSAIKSSKLQKKEAKKKEKRMKASPEAMPKPLSHRPSVSKGVPKPLSNRPSKGTAEKRKRSSLSKKKPEVPQEFTFEQKKQLSEAINLLEGPQLEKVVRIIQSSVPAIQGGEEEIELDIDSLDRNTLQKLHQFVMIEAKKSSAAKKSTKPKPSHRKDDSSSGSGSGSSSDSDSDGSALRVQDKIGLTGSILQLINGLCLLASFLGARLFYGFYMSYQTYLDVLQVASKVPAPILVLYGAANIVLNSLNVIWFQQMINMIRKRFRKVNGESGGKPSRRTLEQTVGVLGHETAKVKAS
ARPRRDNRSNDRNDRHQPKENFEQKRARFLKDSDERLATLKRATESKRGGRGARRG
MTEIAERLDAYVRFWNTGTEHEQRACAEAAFTDGVEYRAQIGVLGGTQALIDFRNQFAAHMGPVALRLREQPQIHHGRARLRWEILTGDGAETSFATGTDVLHLEEDGRISSVTVFLDRAPEGFDPAAHD
MRLCIVFITSFLTGVHFQDCARRAKLYKRKPTSNNKFISIDQHPFIVGLLKTFEFALQFRCAAVIIHKKWAITTARCMASDEPSLIYRYWRVRGNTSRYEGYTDAYSDHRISSVYIHHNYVGENNSYDYDVALIKVHQLFIGLHEKPICFATGFYKYMNNSSLTLLIWKEKNINTYTSAKGELESFDVQLYNFQQCRKLYRSFGFAIPSRKLCALRLSNTECTSGWGGPLIRRGILIGLSSMGLECSADHIPWTYTKLSEFNEWILTTVYDLTIVETK
MSEADEREMEEELDKLDAYNALLEEEMGGSIPGKQSEEMIIGRSKWRARWTSTLITLVVLLLILPVAYMGTLVYYALHPSLNANKLIEVLDKTVYVTEPNMTLEEMQIETQVHPLSLTVDMELWKKVGNRDIRAGKQSASFILGRPNGFPKAEYLTDAPLPKVPTVANRMLVHPGFPSLPYLTGTEWRRLEKLPEGTVAEAYVSLNRVTGTDEALKALQSGTVEVVWYAVDTGFEQRGVDSGGRVIGPIGYPAQEDWDAWSPFNDTKENAEQFMDTLVFLKNYEKMAALFSRSQSLELDKRIRYLKDNGIKTYGAVVTGPVKDLAALRNNALVRGIKVGEVRLWN
MGFLSRISDQLASVLSRKKKLGLEPGDSEFLASCKNMILRGSLSPDHGLLTVTSDDNRVWRWLPGESFRKSVVQLSEGVGWLSWIPETGFALATDGQGLHLLTPSEKRATLLCKPFDNNPFWVCISPNLRYLAMANYCKVVILDISDADWKTLSRTSQKYADSTSGPFEVTLDFLADRTSAGAFSPDSKLLAVGGSNEFIIANAADEKLPSGPIREFSGRYPWISNLCFTGADSLAVVWSDNACGSSIAIVNPDTGVELKRIHRSSTHIHAVTYSDDGKTFITAGNNQKVQMWSAEDQRELKSSEKLPGLIKDIIEIPGEKSILALGQFTAPVKITGMAHGKDSTVSEKRWIKGNPVPFRETVMSIEPPPGTKPLQPIQPIQTVKNSTDSQQDSPENPEIPDLVNIEILHNTESQTTLEINIPLRREFSHLLKTLEEPLELDDGYDEPLGRKPRFHSELSAFLLSVALFADSERAGIFWGNKLLTLERTDKKHNPAMPGHRVFAKGLTGLVINLKPILNTFSSWSSTRIIPITLLKSGLMLCFVRGYDRSAEFTDRPLLINLNNGDDFLLCEQGIPAASTRRITIDSTGRKMIFHTSQDAGAMFHIDEYIMTDIAYTLKIPSISTTPTISTASAASTAARLTNLISGSEFTLSSSTENELRKITEPVYSTILTASLDGCDYISMMKMKTTMDIIEDPMNPGTHLLSLEPENRGSSFAVKWPHGKKLPGRHIDTVLSFNNGTVHNIDDPEQTRQIKIMIELISEKGFARFLVKIMKGTQ
MKAIIEVVEFGTIEVVLDKESAPISVDNFVTLARKGFYDGLPFHRVIKDFMIQGGCPKGNGTG
MDNGIYAKITTPKGTITIKLEHEKTPMTVANFVGLAEGTLENTAKDSGAPYYDGLTFHRVIADFMIQGGDPTGTGAGGPGYQFEDEIHP
MSFEDVFGKEVVRAYRETWDALPRQKQLLFALALLTGCATSQPSCSDNPRNMNCMTGDQLERALSE
MISVLTLAEQHYSASSPAFDYALSVVTVVDATRAVAERAAQLLAETSLDGHENLIDAFVVATASFAEVAAKVASSDGSHIPPLCKAATAITGRSVAVVRL
MRKLSFLFLLLFMGCHSIQVTHDYDRNIDFSKYKTFNYYPQMNSGLSELDENRLLDAIDAHLTNKGFTLSENAELLINVKTSDYHSMQQSTVGLGLGGGGGQVGGGVSVGIPLGQDKTNRVIQIDVLDQNGLIYQAIMDSPYFDKATPDERTKHMQNIVEKAFEKFPPPQEVR
MDPLDELQRYNQLLLRLYRMAQDLPITEFQDAALGLIKGVLPFDSSLWGTAAPSAGGPDIHTVHLHNKSPEMLPAYESVKHLDTAVAGMFAEPRATRGFHLETWFSDRASRRSATCCGASRSRTCWPPP
MALVAKVMRSRSLLTNRALGFGKYGNDGDNIERLEREKGERSFAVLGMLSE
MLRSTPYCNLIAQGRSQEGNDIAAVERIFIKGMKRDEIRFAWYKQVNGSERFQPRPLDLTEEELLKVLEDGVANGVFSTSFRENLKKIL
MPEPKRRHAVSPAHHPHAPHCQTYIIPKPPQPTRHQRQTTSKPAYSARFQVNPINSSPRSPTIYSARVYPRPPPPSPRHSKHHSTSRHRSRSRSRSRYSQSHRRRKQDIPEETAVYEVHCSTSQRSRRRRSDDADETLVYEVYIRDVDPKQEALESRRRDQGRCRCGVVCQCSATRVPSKTSISTKTSMPTKTTAAALESVAESVRADFKRNMGVAWERMRGLKVSDEDEDKANLKKKVRFGGVEVRIVDRPEDEEDDKSDRKNVVDAETEEWRRMGKHDADRL
MPEPGADNVYAGLAGLYFVRDPADERLGLQQGVFEVPLILQDRTFHKDGSLAYYEKWLDGGRRPEDRPVLANHLTGPPIPPDPDEARSYKDTVKSYPETVTRIITQEFSPPTGTIASIPDSGTELPATYIHHCHILEHEDDDLMRPWTIVGHDGGDHDGGDHDGHGK
MSSWGRSACYPQRTFYPLSDGPSIRTTGSLYPSFDPGRLVGLTVKQAYAIALPVRLPSVLSLPLKASVTFLEATTPVKLPTTQCPPHSGIRNRIQKGRYFKVDSTTPGEAASKSPAYPTHPVPNFNVKL
MMLFKRLRCIICVATVLFVGLTLTTTTPVVAAAGSVDEDGRNTNKVVNATDAQPLASCNATSDTGTTGCPLAVGAASGATQPLRGKLNNGTCVSAYCGSDESSSSSSSVEEMDDDVINATSVGKGGHSQQQHRSHLLPSTLLGADEDGAALMYGGKKVVLKAEVLKHTSVLYGLISVAERDELSERCFRELQRVYHGIQQKEIWAMKGGTAAPAWSVLCGVVRTPQRPRLRSSKQTRSPLLLNVLLLSIRATNAVIAVIAIIAIIAIIIIINGSEMLSTSSL
MAELINAQDLRELKITPLEGGAFFVSACCAVCDERVSFRLTAHDVETNSQVEQACSSGSDWPNSFQLSARYSPSETDDPSGVDVWGEIFGSPERERD
MNINTFFTMTHTRALILLAAVAAGVYANTLFNSFMWDDHDLITKDPVIQNDAFGAFFSPSYWKDIPQQMRGRLRPVRTLTFLTDHAIYGNRPWGFHATNLLLHTINVLLIYAVCLQLCGSWPAALIGALLFAVHPAHVESVAWIKNRSDMLGAIFILLALLSFLRLEGIKRQLAVTACFAAAVLSKEIAIMLPFILMAAAQGYLPADEKKKAMSWLASLAAAGAGFFLFKEHFWRPEISASSGMAMDAYIQMRAIVATLSEYSNILFTPLALSAERDIDFNNTVSRAVSAGLVFMVIAAIAIFAAAILKRKRLLPETYNTLVTNAVFGYIFMLLAIIPVSNIVFLHGRPLAEQRLYIPSIGYAIIFGNVAAFLISKAAAGKYRALPAAGVAVIIAVFAAQSFARNLVWRNDFTFWSEAVRINPAHYRANYNLGLIYVNRGDVDMAISHFSTAAVDCDRPEVFNYLARCYDARGDYDSSLKNYTTLLNLSPDLSADVYNNIGIVFEKKNDIKNAQIYYEAALAREAGNRPAQLNLARLYEKSGNKARAREIYRRYLVSNAGDGSCSIASANLAKMDGKK
MSTGQQQPAAHSPVNVGQTQQPPGQPAASTGSVLTQVSSVLAVIILLILACAWLAKRFGFAPKTGKRGQRDISISASCQVGQRERVVIVDVQDARLVLGVTAQQITHLHTLPPSPVQENPEEPQPAGDFRQVLELIKRAGKPK
MGDHMTFASLKERLKSQPRKRKVGELYRWLYRNHAELEADRLPGEMWLPYVEIAMEHGIPIGTDKRSIQRVRKTWRMVCLAKARRAQDEEEAERTRVERKEKNSIRPVAPSRLPADWKPEFVEPARSEPDSAPVIVHRTLSEARVTSMVTTDQNPRASYDRIRAARIDLGRAQWDVTALKEKIRLNETNGGRAIAQEQTARLPALEAIVAEKNDVLERLMRGEDVPDQPVGPTEAEN
MYTRKTIPLAGAVHAVFNFCIKISAFLCAGALGGVFLMPETINPEFTSWASELVLKIAMVISIAPPILTYLYLRPGRDSTNL
MKKKGIPIYEQFTWQGFIHLCKYSALYTLALIIPAISIIVDFEIHRKVGVLNLVFIIYGSVWMLVFFTDCLIPKLRGEK
MSLLTGPGLNKEISIIKSVKLEGASFPTNSLWPGLST
MRRCSSFTLLIGLVTVLHGQAAPPDTTQNPLLQLIRDFGEIGFHWGEGYWQDILFHRREFYEPVSIIPLELSYGLFSNAGGGRTGKNLRSDYITYETAVEPYQGGAWTTRLGHQLELDLLKTNLSQYLFHTSWLDLHTGLNFRYTTLFAAPTIPASWGAGSKHLNPRVLELGLSNSFQLQWFDRWFLTGRYTYGRAFAKLYQTGKNMDPTPSGSGPAVSYAFGFRFILDPGLTNRFTVGVDIKHGFTKLTSISDPQNLTPIAGMHIASYGLFVTLSAFYGGKATIGEAAKDYFYHKDYVTAREKFREFLAAHPDHANVKRAQWYIDQCNRLIPEQLTKEGLSFDDRGLTDQALTKYLQARALTSDSVLAASLDERLRQIGAARVVQAQQLAFDHQFEEALTLMQSTAQFYPPAREQLPAFRARVTLERAKFALNHGLYRQAIELMEQAVTQDTTLEPEAELVRYDIAVALVKQADAIRDPDALQLVITSLETARELTGTLGKRNEAILEELKQRLAWLEAQRIQANINARMEAERAEKLKRNRERLTIGMTIPQVQDLLGEPADIHHELTEKGEDAQLWFYPLKDGRTLQLSFLEFRLFRIEKVKPVPGEPSGRP
MPDTVNRETLTFLRVGVANYKNAARVGITPQGLYLTTWKIFFVGHPPLFIPWSAFGPMQEHTFLWSKTYSTHISNGGSSVAFSFSSDRLFAALSAAAVPVVGQQ
MAMVQTILIQLIMYALSKPWFRTFLIKLITSSIESTLDNQDSTQRKQTEVDRQIAEFVKEHRRAIITIINKEVDKDTSPVDERLREAIKSLKTK
TLTLYLLDVVSGAMIFSIVHKRVRGPVHVVHSENWIVYSYFNEKSRRTEISSLELYEGKVQSNTTVFSSLTTTRLPLVERSAFIFPASIESMVETITEKGITSKHIL
MAVSYKKLFHLMIERDMTNAQLQQEVGFSANIITRLKRNGYVSLETVEKICRVMDCGVDDILDFIEEEKVD
MIKIKLKQLLEEQNKTMYWLSKNTGIRPNTISQWVNNEELIEDKKVKEINVETLDKICKVLNCRVEDILEYVEDEKDHSK
LSHSNGVPVHKDYVVFVPNGPPRQDLWLALHPDTASKSNYYDAILNGVEIFKISDSKGNLAGTNPPPLPMQDLIDVSLARKSSHGKSKSHTGIIAGGVGGGVVLLLLIGLFAFGTSRRRRNGKDSSTSEGPSGWLPLSLYGNSHSAASGKTNTTGSYTSTLPSNLCRHFSFAEIKAATNNFDEALILGVGGFGKVYKGEIDGGSTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHR
MSIDYIGDLNGDGFEDIVVGTFTDDDGGLDTGVVYILFRDANSAVINATKISKIRGAFIRVLDNDDRFGGAVSFLGDLNDDGFTEIAVSADYDGDAGYSHGTVLVLSLNSDGTLNSHSKINDTQRGFNEGIVSDATFGTDIENIGDLNGDDWAVGFIRDSDWGARRGVVWILCMNTNLTVNSEQKISDTKVSFSAV
MYGGGGGGPPQKSNDTNLSISGRLAHCSIRPSHLATSGSTNHSMSTDIGLSSEFSKIQTNSDSIASVHRSTFKNPSEQSVPTRGQEKELKIPVTAYEMAKKGFCSSNSNSVGPYVGSDIHSAKHQTSYTPTAVGFETTVVSTPSATITHDNGHITNVTRHQLVVTPKDSHKRFAVQSTSTQCGPNYRCITYRIGSPSRPFRNTGPLVTQRIHYANQSPGTSSHTKCFTDLPSTSTGQKSHGLHRQSSCHVLHKQARRVRFVEPLQRNTPDSGMDNQTFHTFASYISPRSNQHQSRPSQQGVSPTRMGTPPRGSRHNLPEVGHSFRGPLRHRNQQEGTTVLLLKSQQTQTDTRCFPPIMDQTSHVRIPTDSTTEPNNTEMHTGPSRSHTDSPSMAETTMVLIPAQTLYRSSHSPWKQRRSSLSGRRHSSSPDARLLTPNGVLTERDLLTDMGLSTQVQDVLIASRKPSTRKNYYSKWHRYTNWCTTRQLNPRNCTPKFLMDYLYSLYDSGLATASIRVHLSAIAAFHLPVNGKSVSTHPLLSHFMIGLSHLRPPLSKPRIPWDLNVVLEQLMLPPFEPMEVAHMKYLTWKVVFLVAITSARRVSELQALVHYSPYLKLFQDRVVLRTHPSLLPKIVSAFHLNQDIVLPTFSLPPHQDERHQSLHTLDCKRALRTYLQRTSTITRPSQLFLTFNPNAPGLPVAKRTISAWIIHCIKFCYEKRNLSLASPPHAHQVRALSTSIAHLQQVTPLEICRAATWSSVHTFTEHYCIKQQLTDDTTFGRAVLNTIIH
MHAIIETTVGFDARGREGATVLSIGFRMPLDAADGTAELLATLHRNGRELVATTGAEHGDIRVDRRGADRDGLGHYRARVLGVRALAPGVYGLRVRRNGEVVARIPFEIAHSGALRAAGDAIIARS
MKSVRKNRRRPNIPAKTATFGLPTGYTVTLTGTENTGNYLSAQFAINCSRTKDRNWRNICNNIGKSRVHHVTLFVPNLLSIFPFNVLYRRRKRRRVSRNLYRSRRHLMATLYQRVQYLWNSLYL
STGTQSNGDQSTGIVSTGTQTTTRRRAGRPSGEPKAADSAPEPASEENRRPAEATDAPAEVRREERGTRRDRRERQQDRGAESRQGESRQPLDRQSTDRQSTDRQSEDRHVDDRQGKDWQGEDRQNRQAREAQQRDGRDRDSQGRDDRDRDSQGRDGQQRDNRDGSRDNGNRDN
MNPNEISRLNQNNAVFNMPTAPPTKRGFLAKPDPVATRLYEIEVEKAVEGFGIVMENKVEQLRTASRADDFDTMQRNTVDQYRYAYNESADDPELAAMIMDSVRVNHQLNQSLYVQKHMPAQRLWRT
MLLKGWLKALAISLLLHIILVFILHKRFVLPMPPKPQHTMKTYLVIEEPDSKAEPEQAEQAE
MLLGVGTHQGLGGPVSPRGYVPATNIGQIKELGLNAFRDDFPWSDFEHDGGRMGFPPQLGRLDAQIRSGVARPFLILAFGHHLVPNSMPPTTDEARGRFAAYASAAARSVAPERPIFELWNEWNLAAKKDLAFSVDNYLALAKAVRPSVKQAAPDAPFVVGAIGDDPGWTWTERMLRSGILQYADGASIHLYNFCMGPAKRTSAEVIDRLNAFHRLVSDASGNPTFPIYLTEAGWPTAANKCGVNEQAEADNMAQLVLWASTASRWLKGIWLYELKDSGTDASDLEHNFGLYRFDNSPKPAACAVRDAWAFVRSSTDAQERKLADGVVSIVASTPAGQRIALWSSDPNQRHVVRLRSDAPNATYGAPCDASTRPASGVWMPISSTPLLIRANSPAALDFEVRAER
MARLAPPDPVVRDGDGGLLKALRERWPDTPVQRCLFHVCLAITKTTGTKPSTDICQQLRDLAVQISEVHDDESAIRWLDGRQDWCHRWQEYLDETVTDAKGRKRPALPRIVAVRRMADRLIASGTLFAFLDQEGAPATNNRIESANGRIRQMPRDHRGMSKARMVKAICWWCHQHGEHPESDAWLAAHALTEQQVDDWYRDAWQHRPQDLRDPDSLPLRYGIGIDWNAFHDH
MDHICYQLAIPERSNSIRPFSVPINKFTPQSAAHRISELKRFLYFRISGVSLEASCDVGVTLTLTCDSRRDGPEPPAPKPNVKKLHEEFVNDIVDCGELLDPPLPLPPLISNFDGVEFTDELMAA
MAAAVVEAFKVARPLAEGAAAVGDPLQVLPAVYHALWCGRLTVPLDEPLQEQTLVAAASGNSEDVGGRPAEAEERGEG
MANVEFGKPRFQTPVMNEENISEVTAVYFAKRILTPVYENTEEIIVAKKGENKKKIKARFVTEHKIKKEDLIDYDPETAFEKAKGEEKVTITYKKKVRDSISFKKITKAKIKEKVWVVATCNGTTGKLSIEINENKLTNAETVYDNPVKFLIGEEEKTKIEFEIYKDIIVTPNTYAKEITLQPKSKEDVKALIDKFDKRTDKNAFLYFKAENADAAYELKIFEVNKEFINKDGERLEVKYCNCGEKYQETVECTRYGSIYGPVYWGELPLKDFPKWDDLIKNNSITTDEKSILIAMSENEGKLDSIQSYDSEILTAGAMQKTINPEGYGELPIQFWEFKKNYPEKYILYLENCNWKVEEEKKEKKDKKGIVISTNYKYKAKYKDLSGKELKDKIREGFEKNKFKTKVNCEPIEPIIRLMKDSDYQIIQIKDFIKRLNSSLDKKPTGYSLKISAFVSSNLGKALVLDNDVNRPGQVDDCFGEALDSFFKKNPKVSKIPSEWGTNFSTYEDEILEIYGPLRGEGSYTMTSATKRYNDLKSKL
MVSCCGSLCCSSGERPHLWVKSSVAQARRSRPSKNSQTLLCVPLAVSPKRGLVA
MNVLFTHGYFLSEDPKEQVIMKPYPPLGLLYLSAWLDRHGIANEVFDTTFSSKPALYEHLHSNPPKILALYTNLMTKLNVIGIIRSLRSQPVFRNTLIVLGGPDVTHNAQAYLDTGADLVVIGEGEQTMLEIALSGENWQYGANPEEVFGHICGIAYKQADGTLG
MAMADTLLRPVRQVQHWLEAHPRVRMWLRVFRLSLFQFGMGLSLAPLTGTLNRVLINELGIAAFLVGSLLALHYFISPARAIFGYRSDIHRAAGRWRTPYLVLGAMLTYGGLATAPFSLILLSGEGFLPFPLAVIVCFAIFFAYGLGVNIAETIYLAIVSDVTPPEERGRVLSVLWVALVLGTIVGSLLIGELLLDYSHTRLIQVMQGSALAFVFLTFMSMLDQERLKPNGELVDFTPVRVRETLGESLRMLAAQRPLRNLFIVLALATTGFAVHDVLLEPYGGQVLGMTVAETTRLTAVWGGGMLTAIVLTGWLLWRGHSALRLLGSSTLLGILGFATISLSTTPDHI
MPPSARAMRAAAAVFAVQLLQAAAAEVLGDTAVLEEEECAMVPAKGSQFIQMAYHSTQGPELAIDNSGTGNDASVYCYQGDNYTNCWSQKPSSSIPECTSDSGNTTCRCPTSECDFGELYGDSPAYTLCENRSTYIFRNTTKGSTTEGNTTEGSATSFVGAPFTREEVQSTHEEVYCRSMVKRDEWKARHLMKQPNDPPAQNNFSYWGCWSPNTWVDGGCHRRTRYLGEKCWNGWFGSGRCEGSDALNTEYKVVCYKNVCAPYIALRERQECNCSRTGWNFTGMCTDRADQCDGHACVRHIRDGKFYCDFRTPKHW
MPTASVSSASTFVSSSLAGIPFMSTSVSPASESFLSALLSFNLTSISTLETSADNFVRLVTDTSLPSHSSAFSEHTFGDSFSTSGAPTVFDSGAEDSVLQTSESSGSSSTFSDSFVSLSSTAIVLSSVL
YNSLIVIRINNFVVKSINNSYDCETYKLEKKPKAIKISKVNEDIWDEIIIENRGQISINYKWEKQEYSTTKYDCILKEQSMGCFYFDTRSGVLGPGQIKHLSVLFRPTSIGPYKEIWFCQLKMIGRLAHIAQIEVPLQGCALYRSDDNTITIKDVCLLLQNIIIVIFNYLISGLMIKKTYRKSLVKNLQLYYLYKIIQLTG
MGNKSKNKDLSRNDGNTVLAVVYRLSNSDKEKVVKIPKNAKNIIGNGIYLNYDLPVGKFGHMTHSEHLGYENADVVVLNYS
MSRADRILRTAFGDARSGSPPAADRRIVRPQAAMRRHRFFKMIPMPVGIEHAKQCKTGG
MGAFKSDEGFLAVLKGIVASWVEENRIECDASRQMVAVFKVRRTAASFWWGQSAGRGWQGAIWVAKGVLRDVVVILD
MSINDLDIHPRIIGILKKGHFQSFETILSLSSADIERATGLSAGDVSILKQAVAEAVPKPPVVTALDLLRGSGSAVPIRKLSTGCPIIDKHLKGGILSRGITEISGESASGKTQLCLQLCLTSQLPPEHGGLGGGAVYVCTEDVFPNKRLHQVIQHFTRKWSPPRLQQDLANLGDNIFVEHVAEREGLMLCVHKKIPILLAKGMVKLVVIDSVAALFRCEYGQGETVKRAKHMASFAAQLHKLGQQHNVPIVCVNQCE
MDLEQVDLPPRIYRALKRACFDTIDQVLHLSATELATRTSLEQRDVQDTLTILSEAVYSCVLCKSTALLERRQQYDRLSVGDPYIDAVLRGGVLTSSITEVHGKSAVGKTQLMLQLCIMAQLPVELGGLASGALYIATEQTFPINRFAQLEQHMKKRFPQLSNMNMSDNVHIVHIRDHETQQHILSYHLATAMLTHNIRLVIVDSIAANFRGDEDVASWDAAARAKAIFELGASLKKLAHEYNAAVVCVNQVTDIFNDTISHATVGGIQGGDPYLRVTACVQKDPNEDAVTPTLGLAWSNIVNTRIKMSRRDTLVPESDIVCANGSETAACNITRTLTVCFGPHLPLSNCKFEITASGIVGLSADK
MTSNSAQRLFTVTASSLLDQYTNSTQSIVTFCESLDAAIGGGVPLGQMTEFVGPSGMGKTQLCLQLAVDARIPEPLGGVDGEVVYIDTECTFRIGRLEQIARFAIDHCTSMAESEAQRSALEDFTLEKILSGIYVQRCTDLNTFRRCVHLLQEFVSANKKVKLIVVDSIATHFREQFASAIERNHVVQSVILQLLSVALRFNTAVRNHWLSKFVSLVLQIFVTNQVTTKWTKYGESYIAPCLGPILTSNCSHQLFVYKKSGSTRYIRLIKSTAGMPCTILMDIGEAGVRDAQ
MAATARISRLLPGDPDTVRLLELRNIYMAADLFKRTDTELLDLLDLHLPRVRAIRASVAAAMTPAPRTTLDLLDEAAQRSRFLRIGMPALEQAMRGGVPAGGITELVGPAGMGKTQLCLQAALLAAVPDSLGGLGGAVVYFDTERKFSSARLKQMALERFPGRFGPGRDAALEEVMQRILVANPANSRDLLRRIEDLQGTIIDRDVKLIILDSVAIFARTEYGRDSVQERQRNLGQQAAALKHLAESFRIPVLVTNQVTTAIGPSATHGVASGVVPDAAQAGGRLTAALGAQWAHAVNTRLVLEHTAGARWVRVAKSPMCAAVAVPYEIKGGGVVEYEGVEAQHVRQGDVLAMGIEHDLNYHQK
MDRDIGQVPLEPAIRTKLLKLGYGKIDSFTDLADAEILALSAKLQMSLDETKSIIEQIRSYRGSVLPDYVSVLRGMDLLLKSQSNLSITTGSTRLDDLLDGGIQIGQLTEISGAPGIGKTQLCFQLCVNVQIPKVLNGLEGGAVFIDTDGSFVEKRVTEMALAMLEELNRDQTENCAAPPEELPLERILDNITIFRCRDYREVIKTVNVLPAFLKYNQHVRLIVMDSVVSPFRYAFEDMAERSKVLNSVTQKLISIATTNQLAVVLANQVTTKFGPSGEDGHIVPALGASWGHSCGTRVILFLKDNIRTALLFKSPTKGETLVPFSVTPAGIKDYLPKNSSMVSSGPKKRLHEDEPMPEAGDIVASLADISHLAEDEDQITFEDFDAMEAVVDFEEQRDEV
MLDLPRPEAATVRRQPPAPPAADPAALEAERAARE
MSDFNLNASARIDSSTPFCAEAYFTALRAEGRDPVVRQGALHVLLKGSSKEDSLGKWAAHHDPQGKMQIEHARAAWAARTSEDEIIVLGVRQ
KNFEKSMTDMKIFCKEGYYRDIDFDLLMQINWSTMETIIKNLKLRNLNKPSESELKLIWDIMKKSS
MPTRSVVDVDDVAPDSSVVHFDPNDPKYLACCCCHAQSSAEIFNNLWKCVFIAKLSVDIPWAWVVRGGIGKRSSEPKMCQNRRAVASVVAFEAQPLIDTQPLSKQIKDHQLTLGLLCGVLFLGRGQVGDLLQKSAFFQRAGNSSAGECVPGKSGYRNTWRSLAAAAGGSSFLSAEMATGRLRFVVRLRPAQLRLGHGHRVPLRAGGRLQKPGFRSRFFCRVFSLGVSIGGSGCWVASGMSEALPEQNQDLIFDLQTENSVESRQLARAVPLLISTGTSPLPAPVAGTGCGSSSTLDDSSSAAVAAAV
MKAFRYISLLKETGQNKGFTLVELMVVAAILGILVSIAVPIYVSSTEASERAVVEANLRILDSSIMIYVTSEGVYPVNNYDPGAIEWIIQNPSWNDGNALEPYVSRFSSIKGERYAIYGAASAPGGVTIGSNRAFIVLSAGDAIGGHTATGDEYYHLQNLPWKQNKPSILSHILQWSDGSFTLNSAGHAGSTPSSAQTLGGTYEGTEKSIIIPKTINGTIIKQLNQELFRNKGLESVAFAVDTEINRIHGSAFRDNYLTEISFPDTLVQIDGNAFNNNLLQGVLLPDSIKTVQWDAFRNNKIEKIKVGSNVSIGNNAFIGNPLVEIEIGNNVQFGTNVFPEHNKFIAVYEQGGAGVYKLIDGQWVKQ
MKTYEILITVGNSLSLCGACVIFFLFFHFEKLRQGFFSHIIIYITIGSLIQIIGIQLSASFGLSICKLSVCILIYGSLIMIFWSTIMIWALKQSSQSILENYLMYILIKELYNNQKTCMNNSEKMN
MQDSECHPRHRKRSRRLSSFHMRKSNRDRGSSKMRQAYLSGRGRRRRKPDFAFAAGSWHRPAWGRVLFLCLLIGLLVPFSEMKAQRNEDSRRDRLSSSLEAQFRKGVQALRSDRLDDAQRIFEEIIHNGGDVAIVHNNLGIVYQMQHEHPKAITEFHRAIRLAPDYEAPYVLMGASLLSLGNISEAIHELDRATSIAPKEPMARLELGKAYMDADQPLKAVEQFQILRAVSPGVPDYAYELGMAYLKLAEWCTRNIQRINPKSVRMLQIMAEGYRAQGHLDRARALLESAVRIDPKLPGIHLEIAEIALAQGKTQDALSEARKELAIVPESAAALALMNRLGQGGK
MRPNRRQSQKLNRQPHSSQHPQQQPASCTPPHSNKPHRCKQHLQHSPKHRHPPQ
MVARGAPRMKSRAFTLVELLVSLGIIAALAGLTFGATVTAKRNAHLSSNAVRLRQIGVALELYAQDHGAMPLRSLQVIADEKYLKDDRLLKSPLETYPEGYANQFDKCIGVGTIVGGPRVQSDFHPFIARSGAPSMYEHMKEWNDSPGLAVDFSIGKRVGTGCFYEYSGKYHRLQGDLSVVVRERRIRHFPLNSYGTDPRTVFIDELPDDQWGPILR
MALTGTLQGLVILFFVGWYTIGVWKATDQLFKNQFQKYLDSQTTLRTDFSAEKTSGRFPRNEDDSTGAETGGPDDVTAKPDDVDTAPDPVDDDEGAVQTSLDSLQ
MKKLITGIFILGSLTAFAGQFRDGVYRGVFVSGQETQVEVQFKLTDDVISATKYRTLFYKGQDYLKNESLKDQKEKFEAALNSTQGKKIDEALETLYKPEDIPRAGASVRASKIRAAMQNAINNGVYTPDK
MKILVIAPHPDDEVLGMGGTIKKLSKKNKIILCVVSEGATAQYKDKKMIKVRRDSCKKTAKILGISQTIFLDYPDMRLNLSHLDINKKLEEIVEKYRPEIVYTAPKNDLNLDHQMVFNSTLVACRPKSGVKQILCYEIQGNTKVPFVPNVFENIEKEFSYKIKGFKMYKSEIEEFPNPRSIAAIENLAIQRGIESGTRKAEAFELIRVVNQ
MENVLVICAHPDDEVLGCGGTLLKHKSKKDKINILYVFEGSSGRNKIEKSLTDLNLQKRKKSALAVAKYLKVRSINFLNNENLNSNSLTKLKITNEITQHVDKIKPTIIYTHSSKDLNIDHRNCLEAVLIATRTSNSNKLKKILSFEIPSSTEWSFNLFGSFSGNYFVNIEKFIKVKLKLINMYKYELKKFPYPRSKENILSQSKFVGSFVGFKNAERFEVVKILD
MIDTVCCDEVLTLYGIDRMRNPPYYDLLAEDMDHHPGCIGKGTYAASASASLLTPAHLHVCVSVVSIPLSRLPENEEIEQWYSFTGNTTSQTFTRAAIRVSLKFTAVAPSSPLHHHPPRHPSFIGHPSSDPSTHVDEAMEDEAASTDLCLPTGLVDYVLLVGPPSPRCPDADKTPADNVILKRYPPHDRTDFPLPTKIEWFCFPGGYEATLSEEHCRRPPHPKQFTFVLSGGADGLSKCYGSCVWVYEPIAAASLPTRWHPQCLCMLSRVPNLLEFMQDAAIALMWQYMHGVDLAEAYCTHLTTTIPRPIPNLLDVSITLAGLTWRLSMPRRQQRNPTANKHPELPSSLSAADRGRSEPPSSVLLPPLPYSMSVFFDCFPVAVIVQLVILGLCEHRIVVHSTQLSLLCPVTETLKALMYPFRWQHPYVPMLPRILSEYLQAPLPYILGVHSSWIPSLFEGGRPDHLVLVDADRGTITSSFTTHSDTQPPVLPLGLTRDLYTRLKRFKAEAWSPVVEHNIRLSVATYITTMLTGYIYFPTTMHAQIRL
MRPVFSSAKSVILAQASHALRSPASDTVCCFRFVLPLQKLSLLTGPAESLRGALRHLGFGFSASLPGLGLHRHPTRLTSRSSRKV
VLDAALAEGARLADLTAAAPPGPAEPGDEELVTLLVRRSVLDLVLHRAASALPRVTISHRTTVAGVLSAPGPRVTGVVTDGGERIPAGLVVDACGRRAASRSWLASAGLPVAADLTGPSRLHAFGRFYRLLDPYGPPPGPLNRANAAGGVWDHYSAVLHPADNEVFAITFGALPGDRAMAALRTPAAFTAACRLSPYLTPWVDEGAARSLGPVRAIAMPPNVLRGAGPGRRRPVAGLLRTGDAACVTDPMFGRGLSLALAHAFGLADLIGEHPVADERLGLAAADLADRLLRPWYEQGVHDSRSRTERWRAAAGAPPLAESVPPGGPVP
MELHASFGREEPDEYTTATTTTAAAPNNIKVSSSATMRRKASPADDELSNLGLATLTGSMEKICTSCRTRLLLLCVLGSNLASGGCGLFAWTVLSSPPDNLKCSSGDKASLYKSENFKDAVRDCAYAACSQNVAPTFIEEVQSVCAATKC
VNQPLKKNEILKEVVESKDTFNLSNAKVFSTILAEGKVLKHDLKVITNDSIITKLIERETFTENTKNPLFLNVSPLLGFNSSLIGAEASIDYTIKNKFRIGAGVGYI
MKYRIWNPLQWAIIDAISSDSAHKVFFGDYEQAIFSFMGSKLKIFTAWKENARSIICRRISDLFVSA
MPASPASDYYDDTANATMAANSVQVTTEDSGGNQPHAIMQPFITVNFIIALQGTYPPRN
MTIRAVVFDLDGTLVEFKLDYKTLRADVINLLASRGLPRSLFSLKESVFNMLRKAEVSMRNNGKGEDEIREIRDAILSIADRYELEAARRTNLISGVIPTLKALKGMSLKMGLFTINGVKATEYILQRFRLKGFFDVIVPRDFVPSTKPDPAHLREAL
MIEDPFKLRTYGVKKPLDLKEDKIQKLLDDNKRYYPINRNGQVDEWSAVIKHQAEQYNLEIQQKAQFDAQQKKKYFDELLKAEEYKKQQKSIQEVTLRRELEIQQQYTLQNQNFTKQINDQRIDLKRKIGQLNQRQLQDIQQQTQLQQENKIELESQIIEKAKRDQSNYESLMQMKKQMYQQVQLEDLENKRQKQYPLSQISTNSLIDILAKNNSQSQEWRKLNLHTTRDSNRNQLIVKDKHKEFQQKQLIEDQKDAQHYLKFVSSTELQMRDQKKQAQNSYRQILDKQLKISEIMHKNSGTMTNQEKKMNKRDLGAYKIYENNQYALIPGVKNQINNNQASVNRYATEAGSVVQNKMDLNNSKEYFTQNNEAKSVIPTGMNSRNLMSGRQNDSNPLGRSLSALNYQLKKWRRQSIKQLIQSLLENVVKQQQQEWLVQF
MGIMKITTSLALLLAAFLLFGCIKEKTEEGNAAQAMNASAANTSAANTSAENAATPNATGSATPAPEQNTGGGAAGATAQNTIAGNGTLENKTAENETAAVSPAPLVYFYSALCPFCANSSVYLSKLQLKYPDISIEKREIFFNETNFALYENYSRAYGAKEEDFVVPMVFLNKSYLAGPVIYDSLEQKILECLQSNCSTHP
MRADVVRARVARVAGPLLGVVVGTLAVCGAYPAAAASPAAPSVTTDDWQTLEDLGRPAPTADQLERSVREYASTLDSWLAVLPYSTEGAVKSLETTEKVDAGTVVTLTSDILFTPDSAEIAAPAQARIAELVVGIAAGTQVDIGGHTDSVDTPEHNLDLSTRRAQSVAAVIAAARPDLVLNAQGFGETQLKESESGENPGLARAANRRVELHYAG
MFPLQFFSLFYIAVLADFEEKKKRQTLQYDLSKYKRGDLLEVPRTLFTHFGIYLGNNRVAHLIPDILPVWTTDQKAIQKMVTNNRLILGVIAKKASVRVDSVEDFAYGAEILVNHMDKVCSRAAFEGEEVARRAEKLCGSVVYSLLWYNCEHYVMYCRYGTSMSFQTYQFCKAVRKIVCSKMSSLISLLLGLFIMFYLQSVTLFGILPTIIIPFTIWMAS
MRGLALMVVAGVALGGCEQVCGPVARPAAPPAQACAKPFERTVSFTSAQSGDRLIVEALGPDCSNPSMVGRVFDAKGRLVYTEVTSGQWMMNPEIFPPGGGTAQGAIDTLYDIGGGNSMNLPPWTGGPEPQKGSYGAYEVLVPQIAYERLRQLNAPTLIKRGGGESGTIYIYDPDATMAIAVAQYAV
MGSASYWTSENNKEKASYNVVSFGRENISYPYRPTRHEVHTARNGLEPKQILSKLQELMKRDRMLAEWKGEQPSKISLLKYAGPFELTDDDKVRDYKKLDNNYVPFLDKTGRYIALGKQSGWTKAENLLEIFIWDVLYCKEASKLRCTVPRELGLLETGIVNFGIILVFLRNGIVRIKQGGAIPEALHRSSWEQLGISISIKECTRFSLFMSGVSQWGAEPHNDPFQGVRDVYYI
MSKSDKQTKSNLKIRIVCPKCAKSEFITIPLEDIKGSGKGLTTILIPAGTSCEHSYQIFVDKNGAVRGYETPDYELTFTPTETEEVEELGEKSMLQVIRTIFGEEILLKAFRTAFSNGNLYCITENQYIKDHFKKLFENLFGSYCPDVHINNLEEYNKTVRKVVYSSKHKNALVFNADLMVIIKERFKEKLLRSQKFDFEQELLSQIDLETLSDKEIAKSLQKTIEEVIATVESIKKAIEKKKIKNKKESVNYFMDKAKLKPTFEFIDEVLKHRFDFYAEKIFFNVNAKVKKLNSLF
MAEKKKKLFPKLKKKIANFLADESGEITKKDALGISAGAVLLASAEVVDADTKQSKSASISYSGGTWGQTTTAYGTCNVEVVAKHISGVVNGHVSSNPKVEIKLDGSISHASHSSHSSGGWC
MKKLFITITLILCFSTTISARELTLGEPVKMGLVESMETLLAQPETYIGKEITVKGKIGKVCKKRGCWADFVVGDKKLRVKVEDGEIEIPLSAIGSDAYATGVLSSIEFTKEQTIAYLEHMAEDAGEKFDPNTVEQGMTLYQLQSGSVKIL
MTQKLTVILFIALTLLSLFLTARSAVAAQMVFGSGAKQQNVIDVTTLMENPQQYLSQKITIRGKIEKVCKKRGCWMELVTEPTSTPLTIKVKDGEMVFPMSKLGHVALATGTLSIVKLDIGQSRDYLAHRAEEQEHDFNPEAVTEALQLYRFSPEGVTILD
MKCRFAVVFVLMVVGLVGCRNGEDKKAHSEAEAVPEAVQAALQKADPRGVYGAGVTGGTAVAIADILQHPEQYEGREVVIRGTVSDVCTMQGCWIDVADPASGEAIRVKVKDGEIVFPLSAKGHPVAARGVVERLELTEKQARGYFKHLAEERG
NDDFCNCNELLSRDGCHPPVSWWGITTSCLQPFICFVRWVYRAVRMRNGFYKCQMLSCSIGILMFLTNGSVMILASWPVQAAIKEQCSQQEIKVEWGNSLAQEQEAKEART
MKFNFNSSLSVFNSRPTRSVNVVRFLFLINGLLLFAAATTALPHVPRNVNDIDSSSQPVHWQPLTALVSRAWRPPAERTLHATVKFDRSNPVKSNRKDAQRIAESYVEDLLDIAASVLDRTCPYTDFLVDKTLGYPTEETDRESFTVSFTIQGPRGPEYRVYQAWFSWKDEDGEIRSSGARALVAKIEKGELTYPKP
MNKDDPVYFVQKADHIDESTQQSLLVTDECNFWSSLSCSGNKRRQTNISILPNKSFFYQKRPRLYFDIHRLPYQSLLKLGGSKLTRRFVPSVKRAHGPGAIFPLTSAEQHLFSVDYHHEGGAHHWYIIPTSERDVLQRIIDHQNSSVCLDHGQLFIDPSVLDKNHIRYHRIIQHPNEFIVLSAGTLAQSFTEDASWSESIAFALPSWIEEGHVNVSVSSCQCNIPQDSLPETIDITLFKHELIQTYITSHLNIITNDTSLALKGS
MTKHFEQYCKGATSLPPQEQKHCLEKFVASECFKLQLDQVRAQSLERLVINSFWSTTTNIG
MQTWSVCTSENAATNRDMHAAEPPKLQDILEYLSAFFHGMDVKLFTNPFQWRKWDKYTGTVLKTPDTERRIGLMTPGQELFGIRCRASPDGVSPMQVNLDDILDALADNIPPDAHSVMILLDMDMYEGDGDIFTAGRAYGGSRIAAVSLFRDHPLCAPRDDGHAWPASHCAAYIDQLCHQASHPSTKQTKRQPPPSQRRDSGGPLHVAIEAATHGECKMPSSEAPTAQWLGRVVVTMAHELCHCLGLDHCTYFACAMQGCGSVDEAQRQPPYVCPVCLEKLCTAIGEGVVDGWEDEGVRDGFVRERYEALRRVCGRWDASVSRMFAGYKAWLDAVMERSYEQVVIVIDG
MPRTAPPVLSSTQCSHNKLQLLPSSHANEAGYRRPRIQARKAECLKPKKNPRNVLGSTSRGKKELGVENGAGITTFPSPLVLPGDDISLDPGYPPQSFESWIRDEDRNDITSRKNVVFVAAPPNIDNEVSFIGRWDTPRTRCNTSATTPDIDDVLNYLKAFYHGLPVKLFPKPLSFTSWESGGTRGSKSKNKPEEPHYVGFNIGTECVRIRTRASPDEIFPRQLNLDDLLDAVISMLPKDAYALILLVKQDLYESADDIFVCGRAYGGSRVAVVSTSRYNPILDGKHNVDREHSWPASHCQDYVKSCVDASRPKKRTKFSNSGQNIVSDKDTSSPLPAALLAHKSLPPLKLFPTTTQLSGLWLGRVCRTASHELGHCFGIDHCMYYACSMQGSASLTEDARQPPYLCLVDLEKMLHATGTTAKDRYLALLSFCKRHDETHLFAAFGAWINSRLDNM
MPRKRPAENQDSTCNCLHSIIWAAQSPIAGVVGFRPKTKKQLGSAAAFPSLNRSDTLDSDIGCFPFPLLLPDDDLALDPTHPAQPVHEWLKDEDRNKVTPMRRTIYVAAPPTTHSHLTNFSAAPIAAASTQVDAPSAALLTEYLAAFYHGMPVRALPVQMEFRTWDEKPINVKARSRTKRSSAAGSSWIGLAAGTTLTRIRTRPAPDGMFKRQLNSDDMLDAVLAALPDDAYAVVMLVHHDLWEGEEDEESHFICGRAYGASRIAIVSSARYNPALDEAQNLNDAHGWPASHCAAFVHSSCEGTASKRKKRKEPSPEPPTGTKSDALLETSPMRAAINAYVTAMNDEPSPASTWLARVARTAAHELGHCFGLDHCVYFACSMQGTAGIAEDARQPPYLCPICVRKLSWATGFEGHNDPTLFAAVPSHPGATRPRRNVAKPSVSKGGQGFKQPDEAVLLVHIRDRYVALRQFCAAQSNGPLFVAHAAWLDSVL
MFNDDIYLILLKSAAVGLILGAVADRMKQRSFFGWAAIGAAAAAVFPGLSLVALMVLALLPKGERKKD
MKERSEMNKASPAFDDSTIADYDYGPKQRLRDKAFLEGKTVDLKKKSMCAVDVSTGHGASDERLGKKFEEGKTKRKKTNRKRAKLRKSRRRRAKATLTSEEINGRAESMVVPRRELYTQ
MKRAQRSPSQALLLSLVLLLCLSGAALAAGTGGCSDPQQARSTLLTKLEAMRWQQLSDEHELSSLGVEWQRGYTLILTGDKDNASANRTREYIVSQGGRIALMAPPHVMLGWIPPGLAGELVGKHNIEFITYQPVDSSRLRYQDQASASACAFFNAVATGELAQETVTASRTRGEPLAGDGLIAPPLDLKAYRENLERAGVRNL
LLLDEIGKKNISAKTLSSMYLEFIIETTVLNDGMLDLLKDLKSKAKLSIITNGFHETQIRKLEKVKILNYFSYIFSSDKYGYSKPNPKLFQTALNKHQHTNKDSVLIVGDSISADIKGGKIFGIDTCWYNKKNDTNVSEIIPTYNINSVQEIYQFL
MDIEGFGDLRRNNTNQARTRHGLYGAMQNAFDAACIPWTSCRREDRGDGVLILAPASVPKTLFADRLPGTLLDALVRHNRTHPTEEQIRLRLALHAGEITYDDHGVTASSIILTYRLLDAPVLKNALALSSGVLAVVGSAWFFDEVIRHSELSGAASYRPAVVTHKETTARAWIRLLGPGPPDGVGTAERSAVAAPGPDAPQAAWGRD
MRGSLKKKKINRRDKIQNYLLKVIKPYDWTLSFRFIVIPAILLLLVALTTSLFFARSIHMSSQEHLTERLHLGHSLLNSQFKENFRLIDFSVARIAENSAVIQSFAEQDLPLINNLIKHATDPIRQMSGFNSLNFCTYLSLDHESPSSGWECSVRDETIAPFIEQSWRSHDQGSELYVGSMGLSRITIVPVVQDMKTVGVFAVRISLNSILSNLDLPRGMTMVPVVSDDFVGNLNPAMTSMDYAGWTAYDSSQAFTLLPSESIDSTSRLSLERNNSFLLYPLMNSSGYEIGGVILGIDHSLITSHNQAYWRYITIITLSFGLAIILILFINLLKIKQFFQKLKRMIIASHSNDFSDRFETDPVHCLEALSCHNEECPVYENPALVCYLETGSEAISPKWRDTCIFLNKYEDCTNCPVYAMRRGDELDEMRNVINTMMRLWSIFLDKSGRLLSKVLRTESTTYHIPSLDDVANRMEQMANLTSFSHDIRGVYQKEEVYEQLKIAFSKTFKIDHFLLFEVNSSQNRMSPVLDSHPDDALCKKDIILNPEICRAKRMSEEVSSAGNEALCPYFNCEHSKYIRYCIPLVMGGQVGTVFSFMVPRSEWPMKREQVVILRKYMEETAPILTTLRLLEATREQSMRDPLTHCQNRRFLDEYMQQYEPLCIRENKTIGFLMADLDYFKQVNDHHGHQAGDMMLKQVVSIIKEGIRSSDLLIRYGGEEFLVLLPQVEPGMSEEVAEKIRARIEQYQFDIGDGKKIKKTISLGVAEFPHDANTMYKAIKFSDVALYEAKAKGRNRVVRFEQEMWADEDY
MWSFEIKQLRWSAMFLGISNLFLMIISLVLIIVAYPECEFRDVFPLAVILFVSCLRLASMIPIAIAQRATALTIINTPTESRAAGSDVLRWRSFYTNENKAWKHHYREVFDHGIREALCCLGRAKYLNVMEEDEVFSVAQLLGDLVSYRASGKGHLELLAGLALLRQESLMPKFEEETLEAPEELIQGALDFHPFAEAAYTGPLLDVGRNPILFLCVWLYRQGIMTPWNRNRLPKLKGDNWWRGHARAFLKYVKLPADSLRQGRVCQTRCEAAYFVVVLHDIKSVVISVRGTETPEDLLTDGLSRECLLAPQDIDGLMYDNLIPPGSTHYGHSGIVECARDLYEQIDGHLENKESQEGGFLTSLLGAGCECEGYSLRVVGHSLGGAIAAMLGIKLYERYPRLHVYSYGPLPCVDSVLANACSGFVTSIVYDNEFSSRLSVASIMRLQKAAMLALSNDADADSAIIHKLARRFLSVTTDLWNKHEEEPSSSGSSSLPMRGETNHYNQRESINVRIQEPDEDFNLWHDMNMYDRPDDYHDLCDSSNRPSNPFCESPDAEASRDSVVSQFMEAMPSRNEESSENFRELFLPGTVIHIIPENKSFHTPIYKRWGTPTAQYGYEAYVANRKAFMDMIVSPSMFIDHLPWRLLPKLSKSSFRKTASLASKGLLLTDCFVTSKLQKDTLSF
MTSKIALRAYVLLLVANTAIVSDALACRPVTPERPIVEIAPKSLLAVYRAAYHQAGFQYVNENRFRNHIEMHFKFALPGFAERNDGKLDIAFDLGASRTCNPCGVHLMHVNVGDDYGLEQYGAAQKLIRQKLDIAESELVRQLGDKIKPTPRMASCVDATLPREIPTSAAMGKQDQNSVD
MRYWDDWICDICAREFASEDNLLNHEITHREADIECYGCNQFFTTYGGMIIHLESGACASRIDAQDLNRSAAKCFQWKKWTNKAFRWRLLNYGTIEKGEYPYKCPCCESAMPKLSSLFMHVASQACEETLDEGTIGKLQRYLFNRHWGGENSR
MKPTRHVAPVFSFLCFFSLLANAFRGGSVNERFRKLKQAEANRHLAGHFAGKLHGGKPSANLGKIFAVKQHSIEIPMDHRQIKGTNGTFMNRYWVYDRYYQVGGPIFFYDAGEQNLAPYAADWLKESFLTSFLEEFKGMGIAWEHRYYGESWVLPRTNITTPLSELQYLSVGQALEDINYFANRFKSERFPDYDKTPKGTPWVYFGTSYAGARASFMRQKFPDTVFAAYSSSAPVQARADLSGFFEKIAQGISKRNPACFNNLHSAIIYIDSQLDNNKTKGAIKDLFLGPGGRTNSNGDFAQALSSHFWWFQNGGLDHSSEDASFNLSSLCTHINSDEDNQASPVEGWEKSKGSMWIAKRWASYPHIPDIMQYYFGARCGGYHADPKVAYSCQLNKIYPDSDNIAWAWQYCTELGWFQTGAEGQYQIVSKYNNLETWRKGCRKQFELSPGALGGDDLLSTGPSTGSINFLYGGWYRPQPRTFYTIPELDPWGVVGFHPNDTNAPLYNISNEIPSCEAAPPKDRIFGHLMQGGLHSQDLMEDKLVGKHGFDLFKKALHTWLPCFRKARNLTKPTNGTYIKGGEPIKPAQREGNRSNKFKEKNDDKLHSSGVSFIGAGASKTEQWNKFKPGNNVPARLPSPKPGAPNGALNNTDRQLERQRQGGPEPLSPSIFVLMNGTRAGPEMG
MTDLPASDPNASFPPTLLAASIAVWVATLALAWPLVAHFGLAGDDPGDAARAPLEHVPPFSPQALEALLEADQAGRRVAEIDWDTLRVADAERRQVVSAWLERGWIREGRGLWCAAMIFQHGNQVAHYARARELAERAVAAGCAEAKWLKAAAEDRWLLAQDRPQRYGTQFQEVDGVWRLAPVDPSVSDDERARWDVPPLAEARRHARDMNGPE
MSRGMPVTFAQLPREIRDLIWSEAAAVQYQHIAESMPEPCEIPIDDRMRLAYFGPIDERLRQAFVGYDSLPNYVERQPLRLYVYSSRGRLRVGVNEFQTLVNRLPMSTVNYEARSGAVDFCRVQIKHIHLFYALDEHSHLSESNRDDLLEPIFGQATTVRVSVTWDEDWGNPAIFESAENFVEILSRIFGSRVERIEMSSWFENYHKFEDMYWPHTVKTVEQEDEYIDRIPTAIHDLDHDTFDFIMTPERIVYAKEEIRNTYSYDKLRSLGQHLFKLHELLDVSNLKLPRLQGVNQEMQTAVNGDWRPTRIKANIMESGIWVNWNDVVVGCRHSFQERYGDRWGKFLDNLFEQKRLRYMRMKDKYLE
MKRFTGMCFILAVAVILASCAQKAILPWTVLFPSGTDARFVPDERTVVFRSSDVEVRFSPLPEIPRKGEYYEGTIAIFNGTDQDLIVRWEKVLLSDSYGFTKRFRFGQKERIPVLFPEKDALVTPGSRISFVYRVKPADLVRASEYRDLASYRFSLPLKVGGEEKVFEVDYQVLPAEK
MASATALVVRKRIEDLVEKDMNMEASWEEGGTVIPANTVPLYALQVRSTL
MSSGACRVCGSTSFEEDEGFSFCQECGTQVELVQREEATDDMIMGGGRWASERRSRAGHSDASQMLTLGGASQELVTATPTRTSKRFSARRTAQLEDMQMLRAGHLMRTTGSDDSWDLNALLLYADSHVGDDAVADADNDSRIPTESDTDDSGDETCTAGKSSTSSASAAATTASTSTSSKDGETPQKAAEPKRTWTLVESLQVVLQAQVDALVCAGFPTELEDVVGQLWFLYLRYAGLAFTDNPAEEEAAHAAFQQEGVKRRKRFKKAVRTPKAKRLKRSEPSHTDPTPASPTAASPAQLQPPAEVSGEVQAASVPNESSSDPVTSSQSTLAPPTKSGLRNQGSVTSHRQVRFSEVPQVHSDDDSNHDDSDDSGTMSDYHEQKVQEGLETVEWTEDDDSADAADSSDDEFHQFNPGLYDLPAWSNNKLATKQPRLIHLLAINYLALLSLAEPLLASDMCNMTKEGWFPYFNVDYLLEERMVLWNHQYLLFRTTKAPCPKSLYMLAHDIAKAIDLPLPPIDLGAIATRFLQTLLLPARLAQCFNQFLVLAPLPQHPVVSGQTYQRAWRDTNLDLCCMASLIVVIKLIYGLDDILEWFQAEHSQPIQWNVSTQTPVKPEHRDMIPIWQDWLAMFSERSRGIFSTPSAHQQRLNIRTLMNSDRVVEEQRGQFLMRPPSLHSSKDEYNLRKDICKTHRHTFLKAATGNDRSSYAPLAPQHLNVNADAPVIPERPRKVHAFPLKFPLGPSEDRTRTADDSHLNMSTCLSSGANYVRLKPAAQLHYHQSFGYLLDICAGIIDCNVTTLKRQVFLVESRALRTASADNLHLHFE
MPVAHFRVSERTPLAYSGSRPETYATHKPTLILAASRSGIMSFTGIGTPAGGAPPVGASLAAKQEFAASMIAEEQSFGQSKLFSEGTFGDLPCTDYTRRFAAGDILRGMLIRMDWYHRATGDNCNAWILRMLAVFGCFRRDWNSIQEELGTMIGRSFTSLNNVYDIICIKGQLGRINSSSLQELINQPRSDWKADMNMDRPKEYYGSGTFKCWLPENVSSSITNQGFDDAAEKLSEMFFRLELTERHYCKFFDISEDDFNSDIQRGERGVHDWVRDS
GLPVPAGRRGVRRPRRRRGRLPGGGGAPPPVHAPRAPRAGQRDRPGGAGGGCAGERVHGPRAPRAEQRHRPGRGGQAAPPAFREHGPHGRHPAGIPRGQRQAARVRAGPGQRHQQHGRELRAGQEQADPGLDRAVRPRPLRHRPLLHGADRHRHHQGHHSRRPHGLGQHRLLRRRRNVPLHEPGHQLPGHARRLPGRRLRHRRVRRGPRGAAAEVLLRRLGAGQEGQSGGAVELPGRARSARAGRAEHRG
MSNIEGTSDFNDPNEFSNINSKLDEEMGTNNQSIIKLINILEKKDNELKSLADENNDLKKELKSFNSQLSALTIELYQLAGNEDGLSHIKGHNEIAKSHSFKKNGYTSKIFECFSNIAERYEKKLEEKDDFIRTIENENLRYKVKIIEFTKKLQRICQINKATTELVTPIKTRQQVKSQLYNLLSEVNITATSRSSLLNQSSSIETEEDGISRDMLGDDSLSDYSFECQMCSKRFLMIDSLQKHYLQCNGVIGQSQRLDYSQYNSLDTT
MKKYLSKIIFLQVLFTYMISCSDEQSENQDIPLTAEFETFDNICSEGNDGRISISVIGGEPPYSYSWSNGEITEDISQLKAGSYSVTILDSAGETEVLGEIQIFDINDEISIEENITHIDENENAATINISISGGSPPYSYLWSNGSTSKDLSDILEDGIYEIMVTDDNGCKKSKSMRVYKTILDIDGNTYRVATFGSQTWMVDNLKVTRFNDGTMIPLVTIENEWVNAGDTAPFTEAYTWYEYNYETYGAFYGALYNFYTVNKNNLCPSGWRIPNTSDWFNLIDFAGDSQSQAENLLEESFGFLPVKGGYLLNYDGSATFSNINNRGYWWSSESYSGGEAWSMHIPGVSDGLVWINGKGDGLCVRCIKE
MQDCAPPQSTRSWRQRFFERFPHRGSSDLRISNHVAGAQMASQARPPWPGAFTEYIAEKPDNLSVGMALWWEMKLPGTPAEPTPDAKFVLVYGGSTASSTFAIRLLKIAFLRSGYRVATTYSPKSFELVKECGTEKAFDYHSPMCGDDIRAYTKNTLGYALDIITEARTIRQCYAAIGRGGGRYIAFELLPKDSMAAMRKAVKPTWVMGLEVAGLELELPGGYYRKANPELHAWLQGWIRRFAALLESRKLKPHPIQVNRGGRAKAFDGIGTLQRKEVSAQKLVYPLHDY
MEYGAVGTASYTSKDCVKEVQALAKKPIRRVLDCITDAESVEICYNALARTGGRYACLEECPEAWRTRRAVKVKEVMGFQVLGIDMELPMGNSVYTRPADMKLMEIGMQWVREMHLLMESGRIKTHPLRELENGWDSIIEGLTMLRKGEVHGQKLVIRIPQN
MNGIEIEADGSRGGLCLAWKEDISVTLKSFSKSHIDVLALLRRLGEEANLPWLVMGDFNEILYSFEKEEGLPKDERRMEIFCKTLEDCQLEDIGYSGAWFTWERGKFVENNVRERLDRGVANEKWKPLFPKCSILHLSQSLSDHCPLFLNTTDDKFIARSSQFKFEARWIMESSLEGVIKASWNSNTGTILEKLERLQDKLKEWAASIRKTREGRKRKLTKELEMLETKERDDDTIAKIIETRVNLNMEIDKDEMYWEQRERANWLKVGDKNLAFFYKFASTRRKQNTISRLQLEDGGESSDDSQMAEAATSYFQELFMSNGIGDLSHIMQDEVLAALKGMGPIKAPGPDGFPALFFQRFWHIVGNDVTKFCLGFLNDNQELGQINFTDIILIPKTQSPTSLANFRPISLCSVLYKTVAKIAFVPRRLISDNILLAYEILHTFRQKRTGKKGYMAVKLDMSKAYDRVEWRFIEEVMLRMGFENSEGLSALMRLARKEVFYSSNTSEESKRQVLAILGVRSSADMEKYVGLPNTVGRRKKESFQNLKDNIQARIKGWSSRFLSQGGKEVFIKSVLQAIPTYAISCFLFPNSLCGELEGTMAKFWWQKAHGKRGIHWCQWKYLCLPKDEGGLGFRSLAKFNVALLAKQGWRILMMPNSLVAKVLKAKYFPNTDFLNSRLGNNCSFTWKSIWAAKGVLSDGLCWKVGCGSDISVLNDSWIPDFNKVRLLPCVNNLHDFRVAELIDENSRKWKEELIRSTFSPGVANKILRIPLTEEAHDNILAWSGAPSSEFTVRSAYKLLQCNEADPRAYALQTDYKKFYKKL
MKILSWNVRGLGQPRTVGRLSQKIRDENPSIIFLIETKLSAGRMEKVRKRCGFNNGIDVEAIGRSGGLSMGWKSTCDVTLRSYSVRHIDVEIKDDDTGSTWRCTDFYGNPETSRRMESWNLLRSLDDSPDMPWLVIGDFNEILFAGEKQGGLLQNQRQMDLFRSALEDCALDDLGYSGSWFTWEKGRSEQSNMRIRLDRWVANNIWWSLFPNFTLQHLQHSFSDHCPMLLNTTPNGNGGNRVWHFKFEASWLLEDSCETTVADIWHDSGDDLLEKLSMVSKGLDTWFRKIRREKNLSVNDLNKKLDDLNNLQPTDDILGDIVETKLALNLELDRKELYWEQRARSNWLKHGDRNTSYFHRSATQRRKKNKVLKLFTTNNVEVTNEEEMGQIAREYFISLFTSQGGGDNAKILEGISKVITDEMNGFLRREYTQEEVFSALKSMSPLKAAGEDGLGALFYRRFWHIVGNDVSAFCIRALKGEIPLDSINHTRIVLIPKVNEPTIMAHFRPISLCNVLYKLISKVLVNRLQSVMPLCIDEAQSAFVPGWLITDNIMAAFEIIHSLRSKRHGKKSFLALKLDMSKAYDRVEWGFLESLLLKMGFDSDWVSVIINCISSVSYSVVLNGSVGNRFSPSRGLRQGDPLSPFLFLVYSEGLSSLLRQASCGVGVRIARGAPNISHLFFADDSLIFGEASSRGASTIREILGIYERCSGQFVNLEKSGVFFSGNTTDREKDEVKRILGINQGFSPEKYLGLPILVGRNRKQVFLDLRDKMLAWISNWATRLLSQGGKEVLIKAILQAIPVYTMSCFLLPKTLCKELENILASFWWRNSKEKRGIHWCTWAELCNLKDLGGLGFRDLAKFNIALLAKQG
MVYAHFATTLVVDWRRIDLGCFLRNRFTPDDRLFNLEYADDFVLVSEDLDLIDPGSQQMMIQTEDGQANNMLLYSSAMEGQHHQMDEAVIVGQEEEKENHQYSLS
MLFDIFIQIPSLVMAEFAGCIRCMFIAVMIGGLIVIVVGAVGLYVTHLERRRPARWSKLAEHLIEVHP
MVDERRPHGDVGTVDELCTACLAGCTIPYGALCHGDTYSKRIYRRGSRCCTSHTLRPEWRDQ
MSNYGLSTRIRAKVIFYTVLLSIVLTYILNVTLLSLSLMNLGIVDQLVKMIMPLFNGGVLSNSVSVGLIYGALSKVFNDYLWKFSLFKRLTNVCDLNGTWKGELESNFKDKFGNPVKVDMTLVIKQSWTEMTCKCYFPKSSSKSDLVWLKNEGKDNFSLQFSYSNQTREKEINTQPYNGFNILDYEKKDDLEYLNGIYFTDRYPQTRGNITLTKDKTVKSDAEESV
MFSRWRLPFMLSATLSAACFSGLSAARAGTPGATSIPTSNPPATKPAAIEQSQIDAAMDRLRDRQQQRAENPEDKTNGPKPVAMQANPNRPLQAALEQIAFDLYPRDLVLSRKIELVKSYDKDIVLARQALRRREIRPSDVRELAEEQAALQSLSVEQFRQLLIDTDRRNEQRLGRYREAFGELNHAALTVVTILMKAGLVDSAQPLPDDPLSEELEMARGVRRVYYHFNLPSNDGPARRHNGYVEFQLAAAQGLWLPTWAELENGPIPLFDAEAFVPLQPYKIVYDGEHFVVAQVGPQKPLPLFSLLSPRNGVFVITSARPQRLASRDRP
MAEDEQFNKDLARALELSKITAAEDDNRRQRLGIKTTTRNGVNHLLPKSASGSSLSSKKYLQQEIAQSPIPSPTRGLSANKEGPTVRPRPRPTSGRLSPASLSPRGEKDDLFRTSPTLASPWDLPGDRLPASGSGNAGPQAKRTHTTLWEQFEKSDNSTGVAGYNPFDSSTGGNLFGSSTGGNPFMSGTGSNPLMSGTGSNPLMSGGIPSTNPFETAQTATTSADTKVSTVNPFDVEFSLPQVSDNHLFVTHRNL
MFDGYTDIESAKKYIEEKNVQPLFQEIIEQLVNKRLESVERESTRYFATKTVSRISRFVASLVVGSAGIFQANIKVYVPSLIGCGDEELAADVIAPLTDAPLVDLQPTITLSAPTQVAEIQDKKSKPKAKATKTKGKEDESKDPRPNTPQNATQQGNIEGQESINAPIATYIEPKYASASQVVEEVNKIIAPKLVGQIVTELLEMDNIIAQLIAKEHITAPTPGQSNTNTDLPFINLVSIPSASISSLAVASAGSWRSRIPLHQFLSQKFHSQRSLPKPQCLFFYNQDVIPGSSLSQTGQIGDNKQDQKKETKLKEGKGKEQQIVSENKSNLKAMLLSFGDSINPAEQLPIPSLPGAIVLPINPDTNAQQQLQTQIVSGDRPTSGKDKKGQKKKDKIDPKDGVTAQPQLKDTPIKKQTTVQPVIQEEGIIQGEQLYVVDGEKDIQIEKPKETLKLATPPEESTIIQQKLSTSLFQSFLNGISRVLDVKGIPGSTSSSIVARVPGFPFSQLPFEEIFSVIEDGGRESF
MTRAVALSFPALAAAVFAQMGDAGIQAHLRSMLAPVERHLNIDPAEGEYLRGLVRKTEVRRAVEVGTSTGYSGIWIALGLRDSGGTLISIEIDPGRHGTARRNFRITGLERHADLRLGDALELLPTIEGPIDFAFLDAVKQDYLKYYEILLPKMRSGGVIAAHNVISHPSLMTDFLERIRTDSRVRSEIVNPGTQGISVSVVR
MGTDGGSSPSYEPSQDLLDPTGTQEELPHRPEAFNSMDAATALYSAGGMLHSQTTDSQLFGYSTRKRDREPEVCDQCEDSQLTIVAQEKEISLRDEEIARQEAELAWRDEELARLYESVASLTAQLRQYQETTGCSEQKSDVQIARDVQNLPEPQSARATSVPLDSHSARSHTPVSSECWDEYEGYSQAEGAEREERARERQHLKNLQLVKSDQTHQEHPDTHQDTPDIEYYRSQYRQDPAERALAGHVAISFPKSSRTTVSPNNHGADESKRELPF
MSLVNFEDSSLQFLNQTLYDAHLIINVSGYEQKEFLLIFSRLGVYVDAQGRRCRSQELMFPAEASSSGFVCIMPHLCVYSANEIDVFNVNSAEWVQTINLNKAFPLTSNGLLTMCMVNDMPYVVLLSDILSGMAAKRRRKFSIRTSRDDDRHGRIADRRSQLPISGPSDFVHIVHMGPGAGLELQNLMDLKQLGSATHSQSSSSVGTGAADKVRQLINPIMRSGSTTATNLHGTQTLSSISRRDFELMQSKTRPLSSHSKSSDGKLCSYHREKLH
MLQGRVKFLYLGTVSLTVVLLLTCLIEFADDLFPDLEEKTIHYAAFTLILSFTYICQLVFWGTFSRMFNVREGKRIIGSVDMGMDLSAILGYFSIPVLLNAGFEPRALYTVGLGSIFVYLALFILMARRYFRKEETHVEEAAIKKLPLKQFFSNRFILTMAVFVIVSLVTMNFVGFSFYNVSVTQFDQQSLPYFLSFFEGTIVVFAFIFTTFATDRIIKEYGLRXFDVTSSGKENVVYFFVAVAMCRLMVDSMTNAVDQPTFKCYYVPLDKNTSIDVKTKLEGTTMAIGSLLAGGLIVLINQFPFFNLFSMTIFIVPLAIIWIVAIRFMYRGYRHSLASSLTKNKMTVLSRASFREYSLEKILTGALQKKRDHCVIYNLKMMEKMEPSLFETAVLQLAESPVAAVREYARKKIESLQLDGSR
MSQATTFSVPTTGPATPSLMAARMDDSLRALLSGNSGASRPAYAVAGTVWVSTATAGQLKLYLYDGADDILLMVVDTATNAVTFSGLGAAINAATAKTAPIGADKLGIWDSAAGDTKSLTLTVLSTFLAPLLGPDFVQGGIVLPNGSTPLTHLDIAAFTVKALSKFASSASTLTKNINGTWVAGNGGGLDTGTKAANATYFVYALRKQSDGSGEVVLSTSATVGGVNLSLLTGYDVLAPIGVALTDGSSNIREFIMNSRDEYTYTTPIREVTNAAISTTSALLAITVPNGVKVKANLRFMFSSGATTNSALFHDPAQGTLVAGGNDAGGNVGTIQVASGFAVGSDEIWTNTSMQIRRVSGASGSIWIWTDGFTFPCKRTA
MIFSRKTPPQPTPYGIAQLKEIPLGGVKQWVLIRGENVRKPVLLILHGGPGMSLISISSAFFRQLEKDFVVVNWDQRGSGLSYDPDLDEKTMNIRQFVSDICELSEYLRRRFHKEKIFLLGHSWGSLIGTLAVKQAPELFYAYVGAGQVVDMARNEEIAYQELLDFAQKENRPGLLSKLEEMGPPPYQKPVDTMILRFGLTMTHRDAWNGDVSMRKKIFRAAFASKEYRAADLARYLKATSFSMKNLWWQAVEVDLKREAPDLSIPCIYILGRNDQIVLPQLSVEYYDLLKAPYKKLIWFEGCGHSMMYEQPEKFQQVVAEELMPFVPKLPKPKG
MTHVKSALIIWSVLAAGLVIYYVCLRQHVLHIHDARGEVYIAVALVAVTLGGLVTALFIRIITALLHRSAAAAVTSLQVSLLTGTLLILMAALSQVTAFTPAIEGPNPIAELRPITVNGRAEWLSIRGQDRSKPVLLFLSGGPGGSHLVTARHCFTDLERDYVVVTWEQPGAAKSYNAISPGNITLDTYLSDGATVTDLLRSEFGQDRIYLMGESWGSALGLMMAREHPEHYRAFAGTGQMIDFLETERIDYQVTLEDAQATGNQQLVDKLEKQGPPPYESDIALKTFAYLSPLYGIPARTGQIHDAVCSTMDGPYGVEYGLLDKVYFFWGLYRTFDSFYGKLYPIDLRKSAAEQQIPIHIFHGRYDYNAPTSLVDDYYARLQAPHKSLTYFEHSSHNPWQTENELFIAHVRQVFAEPT
MLLLLVPVVLLAALVAYSQWAAHTPAIAGPDGKPLPNSIAALEKVRLGGAEQWLIIRGQDVDKPVLLFLSGGPGASEAARVLRFNRELEKQFVVVIWEQRGCGKSYPARGDLSLERYTADVIELSEMLRQRFDEQKIYLVGHSWGTIIGLLAAQARPDLFHAYVGTAQMVDVLETDRMIYDLVLAHSRQSGDAAFVETLETQGPPPYLGKNPIGPYARLFGREYQLFEVPNIRDETYRREGDAIMLMLKQPEYGWLDRVYYLLGLMTTFNTVYPQLQELDFRADAARLDLPVYIVLGRYDMNNPSPIPEEYFNLLEAPAKQLVFFENSGHGMIWEEAGLFHRLMTDTVLAETYPH
MPKINFITILDMFLLLLFILLLFRWILANSIRRKHRIHSQHGIDQEVIMEIGGIQQYLYIRGQNSSNPIILFLHGGPGTPMTPVLHKYQYGWEYDYTVVNWDQRNTGRTYFLNKKKADTIIASLTADQVVEDIHEIVLYLSKRFNQDRIIIMGHSWGTTIGSLFVQRYPELTKAYIGISQIVQLNDGAALMAAEIRKKALVQGANKDAEILERLQKGLHDSLKATEAAVVKMYKIAKNYISYMSDSFAFLKAGAVSPYFSLRHLSYFLRKEKLQAPLSEYAIKHDIREQSSSYSVPVIYIVGQYDLHFKYLFEQYYPLIKAPYKNMISIANAGHNVMMDKPDQFSQALQKGLREMNKVTLMEH
MWDERPAASWAAVAVNVLVGITWIVTFARFLRALDDLQRKIMQDALAVTLGVGWVVGFAYAVADAAGLVTRDLDLALFPAMLGVVYVISFVIGRLRYR
MGLVRRLRRALVYTKLVEGFPLLDAFRTIDWGKIKERLRDLEPLMGIYLTPLAGRR
MPKHQCWKLFTYLLICLLIPIASFAEEQKKPQGPPPMLVEVAAVSEGTAEPMVELVGSIRYGRVSRVASEVGGIVEMLNFKEGGRVKAGQPLVKLRSDLLQTALAGTEANYEQVLVQLEKARKDLNRISALYQEKSIAESVYDDSYYGVQALEKQSAALKASLDRQQLELQKTSIPAPFSGLVQTKLVEKGEWVSTGGQVAVIADDSDLEAHIDVPQNLLGYLQAGKQLQVRAAGKTYSASFINFIPQGDVATRTFTVKLKLKNAKGLIEGMEAQAQLPNGPRLQGLLVPRDAVIKQFGMDVVFLAVEGAAKMVPVQINGYQGMKVAVAGEGLEAGAQVVVKGNERIRDGQGIRF
MTMTRINREYAVNPNQSKVLFQAGISTQHRCLKNGQSSKERLIQPGRTTFFHQSLKSPI
DSDARMATRSDELFLWAATAEFAHFDRQTWHHERPSYRLNLCAGAFALRNDPRSFEFLGHVWGERHNPNWPAEQGAMWTVLLRYPEMLSRTCVLPESVFVYESLERPQAALAKRPFAIHATGYKGSDAEYKRNVLSRAACDHVFAASGGCPEPVLLQA
MITRRTFPRHARTKAAATVFTSALAADITVAYITNGNTNEGWTLINGDAQKAGQAKGVKFIELAADKGELSRQPAIVEDMITRKVNAIGNAPMDSAVIAHAINEALTADIAVVAVDTGTTCTKFISCEHGQPVQHPGAGLGDRLFRG
MHQVASFFSREEAEATWRLTGCSTTEAGVELIEQIFGAWEDSQDPIGVFCDLLKAFKCVHHDTNGERSSGPAVSMGVPQKMEKRPRVSPSQMEILVDFLEANVDIAKGYTRSAQARQVSYRKWEEIAGILNSQGDGAVKNGTATEPALVMLEADNINIANLELEIIPAAPATSTITSDFDNTQFQSDHTYTQSQPNSYSSSEPQPPLGSVPSTQPLLDRGVLVSEIEERRVAATERIAEALSSGINLLSQHPSLHEVQPAQPRDGISTRHTLPDPPSQQ
MYQGIFNAINNTPDGVQAGDTISCNQLLPEGNVIDSDIGCAIPDSDGLRNVFIPTTLWLFGQA
MTSITLDDIIEVARLESAKMQHFYIGVEHLFIALTKLEGGITPTLLEQAEHSARYLRYATRELSGRGDDRRYWSGYRQSPRANIVLDRARSTLDLANGNLSPEYALLVAILEEGDNIPVRAMQEAGVDTSYLLELLNDWSGIGMVEVQAPAAQLIGAETLSAERQHILKLMFRKYESARIEHIFTEGFSGSMVMLVRPVHGDGRTDAPVVVKIDDRQSIQWEKKRYDTFVKDTLPPRTARIEGEPILPDEYSIGGLKYTFLRARDEEAPVNLRDFLDTHPPNQIARFLREGLYDSFRETWWGQGQSYSFQTWQEYELVLPPALVIEALPITTLPPNGYVLRPFGEWSHSQPRQIGDVVELEGFTALKTKREKGVVQIVAGAESAAINWSGRIDVYGLDLSSKSYFRGEPIRKIIGKVRQTRSSLLLARAEALEPDFSLADDWLPRLSSFADRLPNPIRQYPALLERRLAGTLSTIHGDLHTGNILIGSNNDAWLIDFEWTRDGHTLFDWAVLEVSLLIDYVTRFIDESWDAARHVITLLDVLGREGTLTDESSLAASLQPIAEVRRIVRELLFVEPQSGRSSWVEYYTALALCALRVINWDNRSLGARRLAFMISALAMGYTQNTDGTRNITSADLTTDQGMLGGSMMG
MQKSMDKMVSKIKSLEKKVSGSSSKKKKSKAPTFPRSRSLLTTQGGSLPKSLTEPLLSSQGKEKTTRREGGRLPRPDAPARPPDSIESKQRKLNSIELRVELTWRSPIEDPGFEYDQPVPGLLQSNGPLQVELANAPPRPRSHTLSTMKKRRRGAASSIE
MDKIKQLVITFLMLIVYCYLTPKNGSITTLYGGIPVGGNLYFFLLNYFLFAIFSFFIFESTQKYINGYGIFQLVRHQSRKKIFQLLFSELIKYILIIESLKIFCYLLLNFIQRKQLPMYHLDVLVLFFGTIFCMIVFLLIQMLLEAKYSSKISLLYTQVIYILILFGSDICLKYFPNSVINYLFLNNYMMMRHYTLLNTSFLVNTLIVTLLFVLLTSTLYLTGKHIFEEKDIL
MAKKNFKRDNPAMDFITQHTEYTQGTHTEQAQPTQYEYTAQAETKSKRLNLLIQPSLHENLVRISKINQVSLNELINQVLKDHEQKNQAAIAKYKKIWE
MSNKKDFSNIGTMATSRFLSVDNETKPKKEIKEPVKETPKEAIKSKRVNLLIYPDLFDQAKKLAFMNQISFNEYLNRLIALDVEKKKNIIKKYDEIFKE
MHDLLRAYAHEQATATMDRGRTPRRRYDQAHDRLIHHYIQHAQAASTHLDPPGSPVPARFTDREQALEWFDAERENLIATAHTTPQAALDLSSALGSYLKWRRHLQDHVVVNALALDACTRLNDTRNKATVWNNLGGALLEFRRFEDAVHALETARDLYQQTGNTQREATAWNNLGGALQELRRFDDALHALETARDLYQQTGDTNGVADAWNNLGTALQELRRFDDALHALETARDLYQQTGDTHGEATAWNNLGNAYGDLRRFDDALHAHQTARDLHQQ
MGLDGDDLPCCDRLCRGLRACGKHECTNRCCPAKNKGKGKKGGLAAMEAHICPLVCGKKLQCGVHNCEMLCHKGHCNPCLNASFEELSCACGRTVLHPPIPCGTPIPRCKYSCTRPRECGHTSFSNHPCHPDSEPCPPCIMLVAKQCMCRKAKMPNVPCYKSNPSCGKICGKRLDCNQHNCNKSCHSGECSLPPLDICTQPCPKARKSCGHRCGVTCHGDTPCPEDQPCRVTVPSSCKCGNLTMESACNASTENVWDGKPRIIKCNDYCLIAERNKRVALALEIEEGSGQPGPRIPDYDSYVLDYALANMEFTLKIEKQLAEWVVDTTKPMLNFPPMKGHRRKFIHELAAHYDVTSESVDVEPYRSVTIRRQLNTSIPDLLASQACRQKRSTGASTSGAATAVEQLRKPMIKDPVNAIYLHDLAFGLTRSELAAKLAPIFGNIKYGIRWLTDDDAVLVPHPGSMQMDELEAVLVRLRTGIKAVAAKVNICERVELCWVNKEGEVVSHTNVGSGSQTKRFFNATQGNQWVKKTAPPTVANTFALLDDDERIAAAKREEEERILKAREAAGTLSSDAWEEEAGTSSSSAAARAHYSMTSGLSQPSAGGVLGPDVPEVTEDLTKFVVVEAGEFTDEVVDDWQELLEDDDEGEADTKAMAGAEVETSGDVSEGGDKEESKAKSQEPVSDENIGGQTEEKKELSSRGGSDDEVVLISQSDLSQAGVEQK
MRHRQVKHKSKKTPKVKAGKVQRKNFRDVRNSPDKQFFIHVESPRLGYEHFVSQQDIKTFLNILPQKETIMSGLQSICLVDHEHCDGWYEDGNIHICAWEQEMHRVFDALHYDEHKEILAELSIPCEKISGELMHQFDIVDVTDLYEGEIPYHMEKVLCDDWREEFTFDLIHASDFQWQINRGEIELYTAMISEQTIDIYSVDYLCKFTRETIRDYQLLHILLHELGHHQDCTTTPGKGYLIRGEEYAEIYARKYEKSIWDTYFAVFYPN
MAEYERTREEQVGRVRATDIEPYTALRWVGTLFKAAAVFLFVAVIGQFVADLRYHGAVQLPAILGELARTLVLAVVLWGGGDLVRLMVQLGNDVRAERILLARLVHRTPPIERESAAEEDAAVSESVLAPSQERREPA
MITDAVIDCTVSDASGGDDILFKDINWQNIWFQRHGNDLIVLVDRRIGITTEQSEFESIGSVTFSNYFAGERANIVVSIEGSTSKMILQDEALDSLVDMMSKHDITESGTDFMHQMDTSLRNNITAAWGNVLAA
MKKRISVREGNSGILHISHEDATEERYIPSYDLDEARHLLLRFQELRDGEGSYLKDNYWCEGTNWLPTVVNYLHGRVFGNYVRYRPLLKQIINGE
MRRGFTLVELNIAVLFVALLVLAVAITVMNSTRLYEKGLALKTVNQTGREVMDVLQRDITTARADRIKYFAPVGGVGRLCLGTASYIFNTADALNGTGTLVRDTSIASSPPIRLARVDDRDSLWCDRSGGVFTKTQLSTGDTYTELLQKDSETISLAVHSMDIALIAGNTADRVSQGLVKVMLQIGTNEVNTTSGGACKPPSDHESHFDGCAVREFNTVVHNKGTTE
MRGLFGAKARPATVTVLEAIASQRWSRERDLGDAVERLAVAVVAAQAEKDGLRGLESLESELLAFRRAVLSSHEVQRALTDSQAPAESKQKLAARLSPEAGAEARLLIDRAVSTPRGLRPEALVERFAERIAARQQRWIAQVTVAKELDAAHRQRLSASLDRYFGRELRLDVVVDPSVVGGIRVQVGDEVVDSTVATRLNDLHRRLAG
MHNVELLSLGETISCAATGRGPQLKVSLVSPDGREAGLPRGVYNVILSGTVDGGVVRRPCLYLDYGDGFKEAGGYRVPLGQIGEGRWGCRVSLPRDVHRLRLDPSDEVVPLSNVEVLVEVVSTARTRFAVDAARAVYHSLPGGVRRALIHNGIPHWFFSRLTGQSKATGPLGEPDGLGEEIAASDPSNYVQQGDQNWADGLVGDYTARMFTAKGGRSGDYAAVASEPVPTVEKSVKALAYYLPQMHPIPENDKWWGRGFTEWTNVSKAVAQFDGHYQPKIPGELGFYDLRLPDVMARQIELAKLYGLGGFCFYYYWFHGKRLLERPLDMFVENKTPAFDFDFCLCWANENWTRRWDGADSEVLMEQEHSPEDHAAVFEDLARYFDEKRYIRVDGCPVILIYRPSIIPDVEDMLKIWRRAAVERGMKGLYIVATNSFGFDDAKSLGFDAICGFPPHGVEAPSATHLYPAINSGYSGYVFRYADVVSSEKERLANQLSTAKSAGKRAGTRFPGVMVAWDNEARKPGRGNVFHGSTPKLYREWLEAAVDYTTEANASDRQFVFVNAWNEWAEGAYLEPDRKFGYGYLAATANVIREARSQKMRLNELAEAYNGQQAKSSENAICAHLFYPGMIDEFANWFQRAKERMPLDVIITVPDTWTVADFERAIEAIAPTCILPTPNLGRDVASFLRALRVGLEMGYRSGCKVHSKKSPQRTDGDGWRQRLFSGLLDPEAIDQVEDGFLELDGVAIAAPRREFMTTSDMFRIRDNLATASSILARLHVEKYALDEFIAGTMFWFKFEALRSLAESTFDSGDFGTELGQIDGTLAHAFERIFVPLVKAKGYDVLRYETDVVRRVADNDVEMET
XLIIGGFEAYLGLLELAAAREKHEAFCVPMVMVPATVSNNVPGSDFSIGADTALNTITDDLEARLSGWLLQSLLLLLDVRPH
MIHIQDNLLTQINNVVVYNAQGNIFTALHESFTGPRKGSDREFFIYHKENKTQQVFIGEPVVSRTNGKWVITLSRRLETQSGAFNGVVVVTLGIENFLALYGQINIGHSGVIGLTTQSGVLLVRYPFKNTYIGTIVSDSPLFRKYLKVQNTGIASSISRFDKIERIYAYEKNRRYGLVTTVAVSIDEALAPWRKQAFQLAVLIFVFTAILIVASYFLYSDLSRKTRDNKALKIIASEDALTGLYNRRVFDEKILSEIATCAAHDAPISVLIVDVDYFKKYNDNYGHPEGDRCLAMLGNSLRESLTRDNQLVARYGGEEFALILPDADIQEALRLAQTIIRNVFSLQIAHAFSPFGRVTVSVGISTARAVDIAGSQQNIIIAADQALYQAKRAGRNRYAFVGV
MEMKDNRREQLMIERIILATILVVLGFHALGTTRRLAQERYYKLYFSIAVCSLTIVSIGIITANWWLLAIAIFVGFISIEGISLIGRKVSIKDFLTGLYRKEFFFRELLPIELAGAKRMNFPISFVMIDIDNFKEYNDKYGHVQGDKLLQKMGKILKESIRESDFAIRYGGDEFLLILICDEEEAEEVIKRITNAAKREGIFISYGISQWKPGEDIEKTIERADRRMYKMKYGTKATSNLFDDYQIT
MSSPAVPANLTHYQQPETLLHQLISCINKISQDKSFQQLQIILKDHGIVQEKLRATDSAYRKNLEELAQLTADKKAEKERLTKKILEQNSETNKALEDKMTVHRKLEAQKTINADLESTIKSLEQELNRVVAHSKKHEESVDKHKTILEKQKEQIEAAKQEVATATNKLKMASDQLGVKSDALKETERKLGLF
FAPKLWAMFHDLDFGAAAWMDLLQFWRLGELSAGVVAAATALAWAAYAARRRIPLRPVLDTYMPVVLAGLAIQRAGCFLAGCCYGIPTVMPWGVRFPPGSPAGDHYPGQALHPVQLYYATVYLLIAAGLWGLAVWSRRSGRFMPPGRSAGVGLLLAGAAHAGVGAFRAEAAAAPVIWAAGLVAAAGGAALLGWSSRSAPPEPPPAAVRGPAGHRRPKGFAGNPKRKSSLEG
FESFQAVKQFVNEYLEDIAATTSVSRNLTYNIRMICEMTEAQRHQEPVWQNWVAAVNWITNPNVAPRKESPFLKAGQSLPNPKKKGFRKNQFPVAQVLPAPRPEIPLASNLEKIDLDQSFHALMNFLNHYQVTDDNSELKDYLQKNSVISEDNQEYLYWKGKFSRVSHKEELLDILKAFLMVRDYFEMAFPDNALHTHSVRKLSYEIWKASDLPHNQRVQTEVWQNWLVAVHQAMQ
MACENSQPGILKSRRKGYGGQSKRWSESAISKAVTGASSTQKKSSNLFNPSTAIACAAKFPSLAINSNLHEEKFLVRAFASQPVSTLILTQFGGGSTHLFKYLSLGCYKIDDIHRNSLPKPLIKVTTPSMLCIFCS
MKLHIKSYNGDPTTLPYKEHVPGAIPFIEFDVKEMRKFILTRGSILIILLCPYIVIHAKNLGLDMELGLLYSILAIFPRELIRALCFKEDVTIYINKKKLSLFVHGTESMSKSRFIMMNLLPIFILGVIPFILFVFLPQYTFFGLFGLVYIASGVGGYYNVINAIKQMPKGAKTYYHLMQSYWYLPI
MKIILNSLGMLLVCMFSLPTEAEEKEVVSSLIDDLRVKAVEVYAEKGLVYVFFENNSALKTAKNTKNPTINSDRVVCELESVGCQHMLSLALVAQTTHNKAAIAVHLVDTIGSIDKLERFRVMND
MMFDKLTFTTTSNGATVHHDLTHHHELHHHQDPLHHHDLHTAAELHHAAAHVDLHPVTTTTSHHHHVDLHPITATAHHVDVHHEPHLLDFHTHATTTHAHPVHLQTELHHQDVVQEHGDHVHHAHRDVVVASPHASGFWKKSYVWKPRWVKSWQEKKVYVAVWKHVWGPVQVSEWVPIPKPPPGWTKH
MPNPASVKIAQIKQCFKLSSPLLRISRGMGHFQSNGSGFPFGFGINVQISSTGY
MTSGESLGAAGGKRPRTVYCRTPYRRPIPSSGRQLVEMMMMDTLGVDLKPVSIIISSTAYDVPLDDRALPMRVIRIFRVQKTCXLTNHIQWQQMCIQ
MTVFTAGILMMSISYGQIPRQSEEPPGIQKTKNASVGKFIGFVDKNNDGINDLFLDADGDGKNDLDGKKYPHKFEFQDLNKDKINDIWIDRDGDGVNDLGSRFTEMERQERHRNVLDVDEDGQNDITGDKYDPANYHWRGESRGFWDENNGKLQGAFIDADGDGIDDRLKDFDNFIKSRHGGEKRLDMFIDMDGDGICDDRTDFLNSMGKHGRKGKSNSGNDKHGGHH
MARRPWRRTPLLSFLVLLLNLNRPLSPLFPPPVLSHFLLSSPSAAPFLTASGPPPTSGFSEPGILGAYSAPALDFASRGSPPSLLSSDSAPKSLGLSPLQIPHLEKSANFRLPSFQEVPCPPELASPLPVSNAIAGPPLAGGSPLAATVPDCEDAKLVLKTRSSWLDIV
MSAKAHLSKFSPFESYNVIDQCSHIHQTHYRAIACPFCLGPHICAGIIAALIPSSPVC
MMILIQSRGKMKCKELSEELEVSERQIKSYKMYLEQAGIFINSTPGIYGGYEIDKCNSISLIKLLDSEVSILDMINSQLEYNNDIYKNEFNNIVEKIKAVLNTGEKSDTYMDYFTVQAQRNCDYKSEKNKCNEIIRAYTTKHKFWIEYYSLNSGNSERIVHPYGLFNYKSDTYMVAFCEKRFKFIDFKLCRIKDYKVLEEKYNVDKSFSWDEYSKNSIGIYKGEEINVVIKISHPFSIIIKEKVWVNNQQIIEYDDKSIMFKAKMRGYEEIKSWILSMGAYVEVVEPDRLRNDILSEIEKMKKIY
MPMPTGDMPIGSAPMSDGVPDLFYSQVIVASPTLIEADFKIAHPANSNHFLQHLSPSQKQPEQCAMRQSTLSRLEIHHPLSTTRPRPIVLANLVVVLLLCFYRRDTADVSKWEDVGYRSSFIAICQLPLTFLLAGRQNTIGFLADMSYAQQNYSHRWMTRTWWLTTTIHMGFWFRNWGRYDHITYQLKNDLLIKRGFAWCILTLMMLASLTRIRRLSYEVFVLQHLVTFIGLISRSTARIQDATFNFTILALTARSRTVFNKGPYGISVACAINSILGREILGEKETINSMRRLTATTRIRFVWVIKPRSQRMIDVAKQIEDEDVISTSACTCSGPAPAPPP
MATHQPHAGGAPSAHGHPGPSPTDEGSPNPPESSKESTTSAYRRASRKGAVKKFSCSFPGCDKLYSRAEHLHRHQLNHAPKEIFRCEEPGCDQKFVRADLLARHKKRHFTSSYIPRNRTFSFKSSHPELAATSSAPAPNTTSTAGAAPRTQFPSHPSSGPHDAAILLTPDSEPAPRLVQPSSWPPPQPTMHGLDMNMGSKTAYYGREAVTMAAESSTMLPFDNGFQADGHLSENFAMWLFDAQAGWNELNAVANMPFLEGGLESTFNNNINYDYESLTSRSQPDPTPPRQENDDLLTEHRREEILSWFRLFRSKQPKYEASITRIVQESGGDVPGLSLDMMRHCLHTYWVDVSPRLPIVHQPTFSCNRCPIFLVMVMLALGAASLRARDTTSTYVEYGGFADVIISSVRWEIVTAEDANPPVALWVAQALLLLEYYETLFSFRKFHERAHIYHSATLTLLRRGSPLIGKAGSESPPEEAPPSGENDGSSDSRTWWVRWAETQSMHRVVFGAFMMDIIHATMFGHLADMAPDEIRLPLPCDDSLWAAPNPDSFRSVDSTWRMYGIKQISFLEGLKSALHCNPVKTHSFGRMIIMCGLLSVGWHLSHRDSQIKWLDRPTRTSEHDTWRRTILKAFDIWKESYDADIGLSETNLGESHGSANGPVQSPSVLYHFAHIALQVDIVTCQVFAGAKRLVNRKISTRDYSNAVARTRRGSGYPPLQDAWYSCRNEADPHRPWSLYYAALGIWSLVRALNGEL
MGNLRVAFREGEKKIYRADLEDVTTDKQQGFEYKEVIISSDPTSVSNHVAKLTSTEPLGMLRNLEVSKGDVVKVKGYYAGGSVTHNNAVNWGLTLGQVNGHAKSGEISTENTPFLLNLGLSITPSNGGNNPNATVPSGYLKLVFYKKDGTPVTASLQIAHLSPGAGQWQDLELTYTATERGYLQAFVANESDQEVFFDDMVVEHTPQLIVQENHYYPFGLELEGLSKHGKPEHRWKFQGQEEQKEFGLNWSSFKFRNADVALGRFFSVDPLSESFYYNSTYAFSENRVINGVELEGLEYVSAAKADKLGLKSKRNIINDSKGYIKYGGKNYYETRQHVYRDGNGQIYEKGGSGRTRISEWLHTKVSNPIKKSDFVEYQYGVRDCYPSAKLQMKTSGTETLGGFENAYFMHLTHPSGYKATEESKQTAIDIIHNAIEKGNFVVAGVEVTDEKGVRNDTQFGMITNNDNNTQHFINITGRGEDKKGKYFTFIDNSGDKGYEAQKLYVQPDGTLFGLSTLGGTGSNAVEYYYRVINVSPNKNQPQYHKK
MKRIQYKKPLVWLLWHPHQGFWNWDLFRIIKKIFTLKLIYKLVCGMIILIDTIILFVRVLYRRVSKVGLLKTLFFTQTVPNGISILYFDLGTHKDAKELSLMVNKILPRICESYEAYGFEAIQEYFLQAQKKFVNKKNVTIIQAALCYVIPNNGKVKLYKGTGDGLGSSIYRTDYIEYEEVEAIRFSDWLREKNFIFKNRICLLRMNIEGSEYDVIMDLVNNGFAKHIDGYYGMWDDLSKIDIQRYEEFRDFLAKNQIFPFTFNGRDFLFPLRLRCIEYDIRTSVQATLRKIKKFE
PLPCLALRAGGHHSAVPRSGGGAHPRLVAMASRVSLSGSLSSGSGFSSSAPPANLALPSPLQPPPRPDLAPSSLMRPRPLIRSIIVPPVLGTAFGPIVQGETGGPSRVPVPRRAEDAWHTKVRRRPRAPPCRDRLPRRRLPPSRPRVLSAPRPPPFSRLPVELHGCCYNCGSDGHISADCSNPPFCVRCRGVGHISRGCTRPRSPSPVDQPVQPPALRRRVEDAAPPSPRHSATSQSLPPPPPGPPPLGVVRLWSDVVREPAQGSLQAAGATAGPEFSVPFGQHAPVRPAAVRAQAEQEDLVEACFLEASEDIWQMEAELARAVLVTVTGNRPTVDLASATEALHAAFDIGPADMSIRSYFPDDFLVLCRDGAIRNRMVRAGHAHSSWFELNLRPWNRQAHATAASLPFLVPIALRGV
VFTRALPHVGRPPTHLPFPLTSLPPSFPPSLELAVQLLHLKPERRRRLERELRERWAAFTRGREEGREGGGERGGDGPGRQAMGEWLWAELFRDPAEGARRLR
MESFEPTPSFLRSSSSVLLFERRVHARKRHAAISMEGYLIGTCTAFCPLAEAEERRASRFLSACECPPLRPLVKRYTRPTTAASIAAADVRPLPVLRRVVSYLLDDVWTWSDVSFHERCAFISDRLRAVRQDMSVQALRCDESLLLLCRMARYHAASGAAAQHMASSDASAAASSQLGDSGAKHAAERCAQVLAQAADIWMCNDALLRSCAPERCTLVEELVVEAYSASCALVLASGSEHDPALPKLLRDIVTRFGGTLRHPLAAATLACIRAWHARHTHAFLRQLAAVEELTACAGAATVAYLLQPLAVEARARLIASFAAAVAARRTAPALAVARCLLLPPCAATYSVPSRITATHVDAAAQPAAALHAALWCEAAGMTVRFPEQGAADACVPPADLRARWHAAEGGASEFEPLVQFKPGAAATPTHAAALAAGRVHAA
MNDFYAPNLKAIEHMFPELNIQPYKEALQKIDDKLEPCLLGVNKSLWLISRNAFFAILPHAKKAEVWVKREVEQTQLVQMPPHGKAFVTFKPHDVRLTIDGIMEGDLVKFLEQIQPVSKS
XISKISSVERLSKLAVQQIEIAQHEKHLDQYFELLRVNRMDENTSVENIDRATVYFQKVCSIHLSADKFDTNEYVLNIVAEFQAGFRWILKNTHRLELALNESEYNAEENEFCAILAQVSSVIKDTDVLAIRANNCIPKDKDFLXXXXHLSADEFDTNEYVLNIIAEFQAGFRWILKNTRRLELALNESESNAEENEFCAILTQVSSLIKDTDVLAIRANNCIPKDKDVILTTELSDMLLSVISDTDKITKTLHFACSNVASQLSVMTEADGLTTQQMFEILHYAVEKVFGSMQASESSRPILSCAKNIHYFFKEFSSKLDKGELEKPKPEKKAVFPPVITRAQARKENAAKAENLQSDLKKKENEVLELNKLLKSRANELGAMRVRLDIAEKKLSDSSGESNDDRLENKYRELQQDYEKMKEQYEEIIKSRNDAITELETEAETFKTEGKQMSMQRIMDFVSPSHNQAKHDGPEASEKVNQVNKRMAALLLQFNKLKVKQDEEDILGLHEXXXXNPEMMQLLNLKRKPKLSKQKENKCRCKELWM
MASPEIATLAYRDLMRDMAGEVAEATAELPAGYERLCAGLESFWDSVRERRSELRHVAESNEAQELFGRIGRPFQHLLYSELLNSGCEDPAPHLSPLIEDVRSIAHTELRSGRRARQKRRQLLERVGEHCRA
ARALALRFTRCSYLSSKLSLWLEARRRNLFNDSGSIATQQTVVWPDNAPPLDGIGCATEDTGARLLSAEGCHSCAIFTGLPTLRVVVRPLMFSDHSAVPVWWAHGKLA
MKRLFVVLAAVSVSMAACSDRAGRPAVAENEGGTPLMVSFAELKWTELPEVKGTQFAVLSGDPKRGEYTQMRKIPARTDNPLHTHSSQIKMVIISGVLYVGSDLASARDFGPGSVIVLPANWVHVSGCRAGSDCVFYQEGNGKFDYKPAAEASPNR
MMSSSTCCLLTLLLLIISSASAIATAPFSYISPLRIVSGSLKQQQQKHEVNLHTFFFNQTLDHFSFRPQNYTTFKQKFVINFKH
MATLRSLAPLDVPCFLHPGLALPRDDHTLQSLIDALWPLTQGPTNDLHNFRAYFAFLEWECRNCISTAHAATTFADLVEILNVVKANIGVPMNSLCQMVRGSSPKFGNITDDRKISASIEMAVRLCFMVNVRNIMMDSSTLRTALPWPDSSSLQTVIQNWQRQVSPTQQTTYPNFPSIPDLERIAGLQVRLTDDLMNHLEVDGHTLYIFHHVSVLRRIRESNPGGLFSPNFIDETLDTINLVLPISSPDCNAWVGRATRNSSVDPNLSVRKALNLGNFFCWGHRLSRLSSIFKQSSPSTVAQFWFDRRDMSKWWGFWLVAITVFLTVLFGLIQSVAGIMQVVVTMRPAHR
MRTPRLRDPRSFMDIFPVLMFTVAVVFLVLTFTDSDLIRLEMLLFFGVVIIWVLWAVNNILQHSRRY
ALGNGENVAACGFRNGSPFLWKNGKRIPAPEGWRGLLTHLVWKGAEIVAVGRGAPGAQLPVQALLFEAGAWETLNLPSAGNFPSFVGVTPGNKIEVLGCEAILPEGRLQVWRWESGKLYKWQVKEKVLTLGPVDFSQGLVATGSFDGPEGSREVQIWDGKKTKKIENWPVDGTPSAITTVAQEKSLPEQSQKLTP
MHPQLIIHKHEGCEGVIEALDQCHKANSFNKFLGFCNDAKREVDQCLKEEFLAQRAENRGKNAEKRAKMKKVWQDIDEPSPEFIAKHTKTDE
MVQLKRAAVNAPTIALSGVRSLAHDPVMFGMQVTRRFAGLRSVLDDLTGRGESRAATLLHLWSAGEQDALTEQLQRDAASVEGLGVVGAEIATAAGHPDLVVDDVRLRPIARARAAWMLGDVETAFAMVPYRSRYAKTLVAERAMMTPGMRLSVGIGDASACGDTVLHVLTNSLPHTQSGYTIRSHNVLLAQRQAGLGVEAVTRPGYPLVTGSIDAQAADVVEGISYARVLPAILASQPDRRLQQHARAIVRIGAGARLLHTTTNYANAIAVQAAAGVLGIPWAYEVRGMQEQTWIATLHSAEARERAVRSERYRLIRAREAQLASASDVVFTLSEGMRQDLISRGVDGESVHVMPNGIPAARLEQPCVLPSDARADLGLPRDGFWVGAVSSLVGYEGFDTLIDAVKRIRASGTDVRLLLVGDGVERPALVQQAADLGEAAVLPGRVDAAQAQRYLSALDVVVVPRRDLEVTRSVAPLKPIEALAAGKPLLVSDLPPLTETIGDELVTAGCAVAPSDAGALADALTRLSGDESLRARLGELGRARAAKRTWEHAGERYAEHYARLTGG
MHEKGLGAWYHDRKREGEESLQRLHHLAPLSLSLSLLRLLSLSSFFASSQQRRKEKRKGKGREGKGGRGGKLPARARSDLLRPGSSSSGRGFCRWGESSGTSSRSDGLRSFCRCCCRCRCRCGPSEVAPRSAKMLVANSFDLWRKDAFFSAAEEVQESADVMESTYRAWAREMREASSPEYLNDLSRDLQIALGTAKWQLEEFERAVRLSDGPHGDKTTTARHGQFIVAIRDQIAHVEAALQEFLSEGGKQPLQWVNLDKEERDDLALFLSGTSELSQIVKDEFTEHGTSLKGFILENYDKKEDVGSNTNAACSSGLIDEGKSLKDGQPNEDVHCVIDLHTKDQADKTSGSRRTWSSPSMGDWRIAVADDEERNNLNSTVVDTPKEKVPRTLFGKNRCGECTQAKSLTRMFNQVSFYLIAVRTILKIRLNGPVRDSHKKAFGHCHVLQRQPYSPRSLRFSCSLQFMLALLLTIFLIVPFVFYSS
MKERTLMHKDTRSRGTKEVVTRAVAEMDENISHFWKTVQRRFPRAKHIIVEDGFQWFYRSDEMISSPPDIFRRVAQLCPLDVEVFISLLRGDGIIPSTRYRIKREKWRLVTRQLHIKGTAAWEECPMHPEPSVMVPHKVFRGPVGTFQDIWSRQSHLAGELGWAVRVHRIAAIERHHFYGRYEPFGCSTPDCDAWFDQPEQFTSHAIVTKHDSTYVLPESLEALFTENDKKWKEARKSITEEERVSWSGGESVALRQERSLRKNIFFSWKRIYCMCKINLRSSINCGI
MDNKIQVQCIQCDKRLAVPATAAGKRIRCPSCQGVVTVPQSSQSSATSFGSPAESRRATSPSRSVGAAPSAAAKPRRAAKPRSAEPEAVSDDDFIDDFAIPDPYAHPGQYGAPTRTVQNFRSVAAPPPVKPAHGPGKTMLIGAAMMGGAIVWFVGGLFADIIFFYPPVMFVIGLVTFIKGMLGKSS
MVLRAIIHQRSVAIDHAWFMMVASQLFLALPACVYLISKKPPRQAHRSFTSKMFSKTFAALLVTALAQGISASPLEALEARACTSTYTVVSGDTCNAIASKTGVSVATIEADNPSINSGCTNLQIGQVLCLSGGSTSSGGINGIATYYDPNGGYGACGNILQNSDYVVALGPNDWAGGSHCGQTVTVQYAGRSVNVVVQDLCPGCQGDHGIDLTEPAMGTLDGNYINDGHINVVWNFD
MPTPVADFTLDRNNVSTRYNVLHLESVGRVQLWIDLGPEAAQRVRDLGIPTKLIGNFMFGHGKDVPAGMSATDAAEIMRRYIFAEIDHAEYSALLP
MTSKPTVAPTATPTPTRSQSQRPDYVRTVPYTMPGTHEFNGRLWFTACEDYSRTQRCRTNIWASQVVLKDGTFEVKTGWAFNNLTYLPFMAREAWAGNPLGHTAAWTAADGRKWRTECDTAATGRGGCRSYTMTTVYRATPKASGGYSFSQSNEWVFNNIVMFTS
MNVGRSLRTRATVVVLGGLVLGAAVWGSAHGAPGVTVTPTSGPPDTVIHYSGEGCVSVLGTTADRVRVGFTANYGQSRYPVLVDTATIAGDGTFSGSFVLPHEFLADLHPEGPQQVALQPGPITLQFDCSDRQWDSWRHLVYTVTEAPDPSPSPSPSPSPSPSPSPSPSPAPSFTRTAPYTLAGTHEVGGRDWRTTCEPYSQTERCRAEIWASVVKRTGSTYTLERGWAFNNLTYLPFMKQSQWANNPLGRPGTFVGGDGAQWRTECNTAATGGNGCRAYRLTTVYFAKPTSSGGYTFGQQNQWALNHIVMFGGYSR
WLMDDRYLKNFASEISQCPCTLNQALVDKGRFMPDFDCDMDRNPKCLYNKGAIHCVKTGSPSLEGSEQQCCYDKNRYLMLSYDQQWGSRPRRSHNLGYLPWNEANKVPTLSQWFHDMSPFYFCCLWQEEQAVGCETYRFERRPSQDCVAYQPPYVATVFGDPHIITFDELEYTFNGKGEYVLVHVNSSKAKFDVQGRFEQLPNNFYGPVNATQLTSVAARDNTSAVIEVRLRPTIAQWRYRLDVFADKRRVYFDRPSLRVQHFPGVTIYQPSYILNQSQIVIMFQSGAGVEVVENKGYMAARVYLPWTFIGQTSGLFGVWNFNAADDLTDSNNMSYPVTWGPGFTNKQPLNSFQSVYQFANSWRLEDKEVYSVGSSLFIHEYTRTASYYADPSFVPDMNSVLTNMYTTNTQNQNYDPRAADAQKAKDLCGDSFQCQYDYFLSLNRDLAFYTLIYQGNFLQIRSQVKQRVITCGILETPRFGRKSNFFFTPGTKVTFECNQDFVLVGDQRRTCTAQGQWDVPVYGYTECLRMVEYDIRNILKTAAIILLILLPLLIALCCILNVLVRKWRGDGSAASWRYLNSLDKPRRPSVSKAESTPLRSAATLVDADSGLGVSNPPRRTQATNLMATAPPVRPKEDPDHALDGVYYTNEPLPGKPNVDFEDKIWDFDDDDVFKSKSNSSLSSPLSGRDKETPTESRPSQYAPIIKPANPPAPILRTPSPPELPKTLPPSPSQIPKDFPPVRSLTFANPPPPPTVPPPQSPTRRLPPPLIPTPTRNPSQSSTASSAKTPSPVSSNASASVNGVRVNKNSISTAI
MILQALKKILISCFLIIISTFFLLTLNAQGVPPIMEQLSGAEVLMDPGVGTVCFNAKRTDLVAGQLSSKADTIETVEITGHCPFSSGCDLLRVHGGGALNYVLTGAPETPTEGDFHLLEEYSPLPGGPGPEGDVNISITEEGLDSHKLYFYYGRGTPNPTSIPTEESGADGITGFANSQQLGTINQFSFEATPPPGGTQQSCTFIAWDPYGRVFDSASLEPIPNVKVTLIDDSTKKPAIMKFEKNNDTTMADGLFNILVENEGIYSLNLDPISTHQFIKNPSLNQNYSKIFYDLYYPGGTFVEKQGIPTHHDIALQPIGSPYIAPKVEIMKIEEAMNMKETSLFKGRVSHPFAKVCLVGEVTNKEYGCTPNSDKFGIYQIVLRNSEIPSDERLIPVGHKVDYGTVNITQKIQQTIKIDSLTDSKTIEEKKSPGYEPVFSHVEGYSYNDKGIVIPKAKIDVILKSNNKIVYTSYADDSGFFTIYSKNLPIFEYYLQIVPPGATSAIHMTTTEFAKSNKTYIASEKLNLLTAKKNNQSIINPVTGSLNNSVKDNNPSGNVDSKQIMPIAKNNFNLNFLLILIFIVMLLFITLGVVFYIKKSR
MISHSGIGGKSSNDPHSYFLTATLNTVFPITKRALSITAQMSSSTITTSQTLSLFSISLSETIIQAIGRSLPYVYTSTIINVSIPFFTSLALSLILLTSTIPHTQHQYLISRQLPHSIINKIHAAAKLAPKFGLAEFVIVRSLITFIVVSDDSPPHRSDLALCISDAESSVMTDQAAREATQSSGIAYPSAYQKDYDFSTPFLTPFFHDPPSSPYV
MRLFPTSTAALLPLFLTPSILSTQLHAQKSLRILQVGSAQLDAKQQLKNLSFVLRAGKIQEFGRQANPSQGSRLSAEKRWSFPKGFATAGFVDIHSSLGAKNELAEQVVSFFPDFDAADAYDPADPAWKLLARCGVTSLVLAPSNTSLVGGLAAFLRPGQSERPAASYMKFSFTRSMLNREREPTSLLGASDLLKRKIERLQAPSVGAPRKLSPGMARFAQVLSGKQSVGIACQTRAEILQAIRLIKTHRLNAFLLHVDEAEFVLDQLAKSQLSVVLRPLSLQSSARKRKLPQLLAAKGIPFAFAGEESTRMDLPQLQCTLALLVQAGIDPMRAIASVTSVPARLAGLDKQVGSLLRGRDADIVVWSHPPTELRARPLLVLRRGEVLYEASPTPKTSKKGAKL
MFELRVERMRPKCRSVEEPEDDFDGAGDLPECEPSMETLFDRDLKDDIILMFDDAVLLQLPDCNGKPCEKKGKQKEGETQEAKKGEKSEEKKE
MTPRSVGARPGEAHADGSRADRARAVRVRCAIAAVVVLLAGLALQLPDRTVAIDLAGSALYASLVGLIVAIASPRLSGPAVALIGFGVSTAVELLQLTGIPAALVAAVPPLRLVFGSSFDALDLVGYAVGAVLLLFVHGAALRSARSSTRRATGRSVGRAAERSDQRTDQHAARSAEPAPGPARSDERDPGPAGEREP
MPLATLIRRSSLPCPEVSVDQALQLLAQHYGLSGTLKALGSQQDRNFLLETDTRRYVLKICHGAYSSTELNAQHAALQHLSNHSAVGVPGVVGANDGGQLLSIRIDGQAVHVRLLEFIDGQSLGHAGHLGHEVVVGLGELCACVDLALAGFEHPGLERILQWDPRHAHALIKHLLPVIKDADARACVIEAGEQAHRRLLPLIAALPIQAVHLDITEHNVVWLRDAQRQWQLQGLIDFGDLVSTWRVADLSVTCAALLHHADGDPLYILPAIRAYHALNPLKTEELQALWPLIVARSAVLVLSGEQQASIEPDNAYIQANLAGEWNIFDVATSVPMALMEAAILQAVGVEFPPAGQPAYQPMLPALQGLKPTVVDLGVLSEHFVAGNWEQSGIDEYLLSQAAGDDGLAASRFGEYWLSRTLPDSAKEPETFALHVELHVPAETALHAPFNGTLRLTADAALLLVGEGISLKLWGVLPDASLADQVSAGALIGQGGGSLLLQLCTTADLSPPLFTTPAWAAAWRTVCPSPSTLLGFDCDAPALADAAQLLARRDASFARSQKHYYQAPPQIERGWRNHLIDMQGRSYLDMLNNVAVLGHGHPRMAHEAARQWSLLNTNSRFHYAAIAEFSERLLELAPEGMDRVFLVNSGTEANDLAIRLAWAYSGGRDMLSVLEAYCRLPK
MSDTVFDFFAQPELPTPTLESDEVRRLMDENFGLACTLTELGSQQDQNFVVRDIDSGNPVGVLKLSNPVFSESEIELQDLAASIVAEREPTLRTPKVVVGPRGPMSAWWNSSQGRIHGRVIEFISGTTLMGSNYLSPATAARMGELAGKVSVALSDVDHPASGRVLQWDLRHADRVIATLLPDEPDQDVSEFITDVTASAMRQLHSVSADLPRQLGHFDITDDNVLAPTAPGSLPDAVIDFGDVSRSWAVNEIAVTLSSLLHHDGFAPVDALPAIRAFHAARPLSENEIEALWPLVTLRGVVLVLSGRQQVRLDAGNSYADSALDREFRILTQAASVPCAVMTETIRRALGLDARPRPTWQGEPLVAGMSDAVTIDAGTTSSVNDEGRWLDRHTLTAAALAALDAGAPAAVVPAWSARLTGGPSRTPTEPATISTALTIWLAQDTTLDVPADCYTTHTDDGITVTFGDDAVHFVGVQNSPNTPVLPARTPIDVQLTRADSGPIPLRVEPSYSHGWSVLAGDPAPALGLPPTVVAPEDDTLERRERLLAEVQEHYYAEPPRIERGWREYLADVDGRVYLDMVNNVASVGHAHPKVVAAAHRQMQLLNTNSRFNYRAITEFAERITATLPDELDTVFFVNSGSEATDLAIRIAMAATGRTDIVAMKEAYHGWTFASDAVSTSIADNPNALATRPDWVHT
MVENKENKENNIQEQPKNEKPHGIDDIKEMRRLMTHIMNNQGSLDAAIKEINRKVNTKEQNQATRYFVTFVMLGIVIILSFFFYFRSQAANYANQSRIREEHNKYLEKEVAELKDKIFSMENNDIKAYNLYLALKEGDPDNAFKLYGEFNLSALSRLERTIIDNETSMIKQKAALKKYEEGETLFKRKSYEAAIDRFKDSLDISSTGDHIGNLFYLTALSYYRMKDYNKAAIAFERFLFIYTKKDLPKDRSELLLGVCYEKMNQLERAKNFYEQSMRDNRHNRFFPTIRDRVKNIEKKMEKMKDSNVD
SRRPTGSHLHKPDSYLIFHIMVCLCSTRLPARVCSTRLPARVCSRRLPARVCSPRLPARVCSTRLPARVCSRRLPARVCSPRLPARVCSTRLPARVCSRRLPARVCSTRLPARVCSTRLPARVCSTRLPARVCSTRLPARVCSTRLPARVCSTRLPARVCSTRLPARVCSTRLPARVCSTRLPARVCSTRLPARVCSPRLPARVCSTRLPARVCSTRLPARVCSTRLPARVCSTRSHPLPGRGSRSGTCDHRGTGRTVGRSGFRRRAFPPAPGTPAETPPCTWRTETGGSYGWPPPSSEPESASWGPVVFPSGSSPASRRRSKPCRPRFRGWRADRKSSRGC
MVLSFLFQLLKYVPAFWGLSSFPLFLPCGCFLHLHWQKQRGHQFNVGFWWALIAGSVALTGMAISEDQANLKHGAAIEEANWQADRVIEIADSKGFLPAGAVTLLRQDPKSGPPSFRLPLCKLSQV
MAAALREADLDPAGSVVVGDRYYDLDAARENAVRSIGVRWGFAQGAELEAADHVVESS
MLLSDDPLRKYLVSVPHHTQAFAWLLELALRLTQARDMSGIARITSSLKNDRRLSSITHEQMMLEVGTLAYSLGASTRFEVGTPGHANPIDLVVEADSLYMPIEARVLFTDIQFRAAQADLDRLTEAWRHLQVTQNLNIDVDIDDCQQGVDRTLALLEGAAKEASASAREVEKSWSSGRIAVRPNKDGPEGQFKGPALVTDGWRRTRQTVLEKAAKDYGPRPPWLRIDVLDGLWQFTPWASYSLADKVQTVATQLRHDLEGHPTIAGLVLTSGAVLAQGTFLNETHTNQTSTDAGIRRLLPAGRVRETMIVPLRVGATSDVDFWLRMYDTEPRWLNAQLLHLGLPDISALFPGSVSPPAEE
VLNKSRNYQDINILEESVLRSALSVEESLINKGIKPTQGIIYERFASSILFNNFSYTKRINNLINLSRSQRNLWAYGISGDLPIVLLTINKEKESDILRQLINCHGYLEEKGLKFDIVVINEEEISYEKPLDNALKRIILNSSLNGRENTSGGIFIINNEKMSKEDLNLLYSIAAIIVDGSEGPLLKQIEKAMKNEDYPYDSRKYIWEMSELKEANLSYSDSNIPKVETSELSFFNGYGGFNNEGEYTIILKDGMSTPAPWINVIANNNRFGFNISESGSSYTWYKNSREFKITPWNNDFIEDTPSEVLYLEEGDKLWSITPEPIRDKGEYVITHGFGYSKFTHCKSGILGEITVFPSKERSAKLIKVSLNNLVDKERNIGITYIARLCLGDLRENNYKKIYTVIDKENKYIYGINPYSSDFSSSHVYLKISGGKNESFSGDERDFIVSKEYMDSLSEQNTISLSGRCGSGLEPVLSEKVYLRLGANEEKSLVIVLGAEDSIEGIQNSLEEFSDITRVEKELEMVKGYWERLLTKIKIKTEDKALDFMINGWLPYETISCRLFSRTAFYQCGGAYGFRDQLQDSIPMIYIQPEIARNQILYSATRQFEEGDVQHWWHPVVNSGIRTRFSDDLLWLPYVTCIYVKTTGDYKILDEEIGYLKDEPLREGEDERYNVSSKSDLKESLYNHCIRAINRSLKFGYHNIPLMGSGDWNDGMSTVGNKGKGESIWLGWFLYAILKDFKDLCIYKRDEDNYEKYIKTMDFIKENLEIHGWDGSWYKRAYFDDGTPLGSHENQECKIDALSQCWSVISEAGDKERANIAMSSLEKYLVKPKEKIILLLSPPFDKSDLKPGYIKGYVPGVRENGGQYTHGVAWVALALAKLGRGEEAYNIYSMLNPINHSLNIEEANVFKTEPYVMAADIYSLEPHEGRGGWSWYTGSSGWMYTIALEHILGFRLIEGKGFKIKPCVPKDFKEYSIDYVYGNAVYHIKVIRSGNSTITLDGNAIEGDIIPVTSDGEHEVKVNI
MLLVWLFFIIELLIVFIFKDVFSQSNIVGLILVILNLPFLIFTLGLFRGKIRLILLLGLFSRMSLMFIDIFAKGVITLPHSGNDTEGFYHHGIAISQNISLLQESIYGGIYSKFLGVLFFIVGDQRIVAQYFNVLVSLSTIMIVYKILKEFNVSDKVKTVCLILITFFPQALIFSSILLREAIITFLVVTSFYYFFKWYKVALNRYFFLSLIILLIGASFHSGVIGIIIGYFFVFLFIRRQSLELAFTPKSIFLFIILLFFVLSLSVIPLDSIPFMNKFSNRLTDSSDIYGIAEGSNRGGAAYLNEITINNPFQLILYAPIKMFYFIGSPFPWNWRNIFDVLSFVQDSLIYLMLFLYPILNFKKLLRRDPLIMGTFIMLLSVIFIFGIGVSNAGTALRHRYKIFHLLILFFAFVITTKKNKGSKGMVRDQ
MTGLLERAQRAYSDARHADDVGHFADALDRYRQVAALLDREDADADDDGVAALRVRALLGVARCLYETTTDLDAAMGMVGRAEQVVDAASLESERTAVHGQRGLLWARSGDYRAALAELDLVTPGDDDEPTHDAAVVLLNRSALHLDVGDVAAATQDLRTAIRFAAAVGSTAIEAMATHNLGYLHFLLGDLPRALRSMDAAAALGDTPEPIGLLDRARVLLDAGLVTDALADLDQAAGLLEGGSVLARAEVELTRAGCLVDLRQYDRAVAAAQAAAAAFTSAGNAPWAARARTVELEALLADDRDVATRSAPPILRERAAQAAEHAVRDDVGGVFGRMLVTYPSLLAAAEWSALAGDLDAAREQVARVPAELGTAPLSLRLNRAAVLARIAFAEGRRAVAVRAVRRGQTMLAEHSGLGSVEAVAARGLRALQLNLVDTEAALDTGDPAALFDALERGRATAAGTARLVPPDDDVLADLLERARAEHQSALALGTSVEPDALRRKRAHLVRARRLQAQARQRSWQIEGDRRPVEPTVARALRAALRGLPADRGAAVVVSYAVLGGRTIAVRVDARGQTLHELAPRREVTELARRARADLAVVANALIPAPLRQAATGALVRTLDRLDALLLAPLAVDGDLHVVARGQLLTLPWTALPSRAGRRTWVGDRVDLRPGLVEAGATRPGGVVVVAGPGTDGGTSEAAAVAAVWPRARLLTGAGATTAAATAALRDAAVVHLAAHGVHAADNALFSMLRLADGPLFAHELDGVDLTGAVVVLSACELGQSTSDVGGEALGFASVLLRHGARAVIAAVAPLRDDVAVRVMPRLHGGLRDGLPPGAALAAATAGETTPVPLVCFGPLAV
MLPNDERAAAAEGLHARANDCSDRGRPEEARALLREALELLGEPEDPRDGGAADAPGIAARILVSLAAQGDELAPDVALSERRLARALEIADATGAHDVALTVHGQRGLRALRAGDAERALAHLDAGVALLEHASPRDAGILLLNRGTLHLERGDLVAAVEDLGMCARGAQAVGDAMLVFKARHNLGYAEFLAGRLPEALDAMENAAEHLLPVLGEDVSTMPIALLDRAQVLFEVGLLTEADTLLEQAAESFEARGLAQDLGEVEVLRARCARLLGRLEDARRLAGDARERFGARGSATWVERARLVELRARLDLVQDEGDVGAAELREVRDAALALAGPARGRRQAHVAALVVGAEAHARLGEHAQARALVARAVRSRWGGAIDVRTSVAVVRARCAFAEGDLTAGVRAVVAGQAALAEHRAQFGSVEALVASGIFGERLADLDVAAAVGTGDPGRVLDAAERARTLLAGLASVRPADGAQHLAVELRRASEDLRLAEPTADPALVTRLHARTVELRERLRAKGWRTSGAGTAPEATRATEVVRRLRGGAGTLAEVVAVDGRLFATVVDDDGARLVLLGDVRPVVEASRRLRADLRVLARPGLPVVMRSVVEASVARQAGVVDEMLVVPLGVSGPLHVVGPAWCVTLPWATLPSRRGVATSAGPRIDLAEDRPGPVAGSGVVVVAGPDLVDGEREAAEVAERWPGAQLLVGADATCAAVTDALRSADVVHLAAHGTHVADNPLFSSVRLADGPLLAHEIASAPVSARTVVLAACEVGAASERAGGLPLGLASVLLGLGARHVVASVATVGDGDARATMTRLHAGLVVPGAGVPAALAHATEPAPCSPFVALTTSVVG
MTLKMKEQKEQKDPDICRADVFLSLLKSDLTKMDIERNIHLNELTQYDLTGDKACFL
MGLRFRKSVKIAPGVRLNVGSKSVGISVGTKGCRYSLNSSGRRTTTVGIPGTGAYYSHSSSGTSRSYNSPAYSQRSAIQQQRQQAKLEALQANQLEVQEYENYIELIQNVHRECEPPMRWTNIANSAEPFSKDGIGPEESQATLAYKNFKPTFMEKIFKAKGEKRKSELETQIIEARNRDAETYKTWEESVDFAKRILSGDVDAYYEAITTSNPFEDLVEFGSGFEFGTDIPDAIEIEFTVKSEKVIPEKSKSLTKTGKVSEKKLTKTAYYDMTQDYVCSCSIRLARELFALLPVNTVLVHATDRILNTATGHYEEPTILSVRFTRDRFMTTDFNNIDASNFTESFDHNMKFKKTGGFNPIERLIL
MSTDASRDQSDFESPDAGFKWSNLGFWIGAIIVCAILLLPLYVLFKVSVSTVAEATAPRPSYLIESISWANWDRLLNWDTIGEPLRHSVIVATGTAILSIIIAAPAAYVISRLPRNTRYIVVLSILFTRMFPEVIIATPIASNFFAWGLNDSDLGLILAHLIRTLP
MLAADSSIYIRDKSLLIRYKPMTQKTYIYKRKSPNISAGASS
MKKQLLNIECGFFLVLLLTIGLLAWMVKLIVEDMHNTKRHLLTCTACVITDSCLAYDALIIKEGLNKDVKAIVPEGLSNEDYNLQNLQLNDSRIFPHESE
MNKVIVITGGAGGLGRAIIEKLCGQNTIIALDSSQEKLNELQAQTSCQTLLCDITDPEQVKKTIEKILQDNDKIDVLINNAGVWIQGKLNENDDQKISNVFAVNCLGTIYTTKYVLPAMQENNAGLIFNIVSMAGLSAKGERSVYNASKWAMTGFTKCLQEDLKGTQIRAMGIYPSLVNTKLFENAGISRNDLDRGLNPDQIAKTIEFAMSLEPTVNLDEIVIKDIRY
MAQKMIKTFDLTNKVIVITGGYGYLGSGIVNHLVHYNATVIIAARSKEKFEKVFSDNVNVAFQEMDISIEQSIIDGLTQVQKQYGKIDGLINNAVYLKGNSPELMSSEDFNYGIDGTLNSVFRCIKSIIPFLRSRIMVK
MQDFSRTRRSCCVAWPPTPPCRGPGRPGSGDRFLHLAPDSSKGSRSTTSVRPASGPGPPGPTFHVDTEHIAPIRISVADAVTALVDEIPRQGTVTFRHLTRDLFDRIEIVVRFLAVLELYKQGVVEIDHFGELRRNPRGLASGRRAG
MSASLRHVVPRRSTTRVFITYKSRLECMRRYYSTRRFGKSETIETDECAIPLQPTWSVNDLLSSYPKPSISPSQLKHLHELSALLPPEEDSTELASLTAEMENLVKLVEAVRIPDLQGEEKEAVPDGRVWADGMGIELTESVGEVSAEEIRGRDLLAWPYRTSNGMYVVDTDRRRK
MTTDRKVREEIKRRSTNILPSDVYVIAQQMSIADQIQISDQARRLLFPPNELDNKEIAHSLKLWLHKVYGPIWHVVVIRGDYGASYTHSENRSFQFRLRNKCFLIWNTPDQ
QSKRLAALNSFKAGTHPLLIATDVAARGLDIPSVDLVVNFEIPRSSKDYIHRVGRTARAGRSGQSVTFVTQYDVELFQRIEELTGKKMDLYPTEEDIVLQLADRVGEAQRVATQSLKEMEMRKNGKRS
YVGQQLVYELQIWERTSGNLSISGAPTFKDFWSEDLDVPPNNRRNLPRKAIGNVPYRVHQTMRRALFPQKAGTLVIGGAKVQLQEPVNLFFGPRQPGRPAQSYAGRNLAVEVKPLPAEGQPPDFPANNVGSFTLEAAVDRETLRQGEAVRLTVTIAGIGNVALVSLGKAFDRGPTVPGARTYEPKPEAPVLETGGPRLTGSRRYTMLVVAEQSGTLHVPAFELHYFDPEAAAYQVAKTEPITLQVEANPNLPATASDGTDGAKGVAQADAAGESKGDQELLAAPLAGSTLDRVTPRERWLSSGRWWAGTLTAPVLLGLGWLGSRLADRLGPTEAARARAQRLTDRRRLLAEAEAAVSGGEGFHIKLADALQAAAVGRAGSEGVGLTRNRLMDLLAGQGVATGELETLQELLDACDAARFGAGAGDVEARRSQLDRARSLLAGKGWRDA
MASRLARRWHTPAAVVALALIVLHVFGAFLYGIELDFSNVSGLLALCVLLPVPISGLLRYRKLDKKWHLRTGLAFAVLFLLHAFL
MLCFDSPDEAWLDFVAENRQGTYQGKQHDLIYGAVANDDVYRTITLYMTEVLDKQQTLAALKIRKLFNQLVFATEKSLQYLHFEGRELV
MADEDRIDRMMADVRGQMSSTQESTTTTTTRTTTTSTDGVERTETSTSTSSGTGGGGGTDGVERTETSTWTSSGTGGGGWGDAVQVEEVDEEQDGDDGFVDPGAVAAEAVAAATSVILSGAAETTVADADAEADADAEVDSTEQDAEEDTGAEADAEADAEADAEAVVDAATATAEAEAEEEDAEAGYEITTPAVFQLIDGRGDMSPDMEAFATQHVVGKEDGDLITVAILGARGTGKSTLLNRLFDTDFEVSRPFAGRGTTGAVLAASPAAPAILLLDSQGADGRDGDASADLVGRVATFSLAFADVLLFNVWAADLGRFEAAGYGLLRTIFCEFIKVFQPDEGRRTLLLFVVRDHDDGSSVESLRTLLRTDLDGLWAGIEDKPAAAATAPLDAFFDLDVVALPHIRHRAEEFNEGVAALRAHFLASVDGGGDGGGDAAAAATEPLLKAEYSKAVPADALGTYAEVVWSELAKDRAAALPSKTELVAAYRCDLASDAAMHVAAPTIGRWTTDVDRGRGVPGFGTKAAMLLSSAMDKFDSATLAHAGSPARTKKRTELHDTLAGRLRALFHKQILSLQNAALTKYKELLLSAVSSGGGGVTEEVQQGALRRVDEWFSRKAEELLVPSLRMSFRPSRLEVHNVLSDYTVKFKDSPTVQLQAMRRLERQASRPPSKPRNLSVGLGLTGAVRPRGYGNVQLVTGYSRGPHVVNMTVCNDADAAEQEGQGKVPFFRLQPTLNFDIDL
MSTDSGLLQFLDVGAGTSVSASVGKVTGGEWRIDPNVVHRESIGAQDTVTGGPLEPGGSAEFMVSASTVIDWAIRSSFTNPSLTALCFIGGFNADARKQTGAVINTMNLKCAVGEPLSASIEWMCLTDVPDSSSAQSYLTTTVYEWFTGTATIGGNTLQCQSFDIALNNNCSYVYSLDATSSGTRRMPDAIHVGSQEVSVSVDYLTRPTSTVIADHTEDTLDTNNSCVFTFVGGTTGTQTMTITASNLSVSGISIPFSVGGEIISYSRSFEAKKDAASLSVAVA
MSPKAFWFLVITFYVGSANPGADAPTNRRVDVNQPIQCSTYRTVEAGPQQVAARPEKDQQLILQRRSSASIKRKLIVNDDVELDMKCFWEAEIYRCEWSEYYFVEFYVDEVWTPMSKHGKSKWLLFRANWSKGPIESTKEMIHCGQRV
MKGWEDDLLDHLVWLTLMHAGCGITRDEAVAELKREFPPLADEALARSRSRRPGRAVDTTVPTAR
MNKDSITKKLFEDKEVFTSFINGVIYQGKKILSSHHLKEINLSTVSDSFKERIRDIVQVYQTGDEIFSLYHIESQSVVDFSMVFRMMEYQAELYLKKFKENHRHREKLPPIISVVFYTGKEEWKQYRSLYECVQLSREIEP
MQMDDNGLPQYHYGWCLQLSGLALMLAEVAAVLTMSGYMARFSTVEEMVKVMVPGAERKLREQRGFSSEYLVRAHQKSPGPPQARPFGQSTKTPQKIAEEGTSLLCKSAPDICASNPQAISYVDKADLSHVLPVYPDSKNIDPRYNNFDKSEGNVVDSRLSGFTDKEGFIDSRILSDSRQNMIAFTDNKESDQEQRYTEFSTRNTDQNIVDSRLSGFVDKEGLLDSRLSGYVDKNESLLDTPFGFDTRFNSLAGQTVPITLKNQNASVSAIQSQYIYQNFGTIHSGILKVSEPGTSSSSNSSQRSMTLQNPKRKSQIAQNTFSTMECEKRKRASGLTGKASFYTGSAV
MPVEIANGTARIDVTAPHERVAEFGRMLRGVDANFHVEYVQQRLNPDELLTDRQREILLEAVDLGYYEVPRTCTLTEVADHVGIPKSTCSEVLQRVERTVVREFVDGLPRTPISFDAETARDS
MSRLVADPGGTYAFLRQEEYEFPAELLDAITEARVIFLPPVVLRESGPVEFEAVGDPPALSAFHERLSEVGDPTIEQVREFDRSPSAARLTDRQQAAVDVAASVGYYDVPRTGSITDVAAELDCSTSTAGELVRKAESRIVRDAVET
MSEMVYGKMFHRNIGFLTQNEQEKISTLSIGIAGAGGDGGLLSERLVRFGIKRIVLXIGSSLPRPRVSVSTRRSR
MDYGLHSDGGVNDLREEPKATIDNTPDALSSAVVSELKNALLDGLRDMVKGIGINPSSTQETVHGEHTNDQVATVCDDDTDMTHLVSEFPDAKSWRDYVKEYGLPNAASHQYRTSVDMLSHERKVQRSRLSRMKIIAEFIRAEFGDNQDLFERTFAGRIRGELTVNKILAEIRKRNDENLRRP
MIGRTPRSTPPPTEYNYVFDFLNITGIVTDFENSRSASDGGASAAFYENGTTSIPDSDNYTYVTDNIATNGTIINWANMQSNESAYATLAEEANTVNTTYWWGFNTTTESWTYAWAGSGGTVTAGWINDGNAAGGIFSKLTTTSYSPRTRTSTWTSPAFTWNGGIPSSAGLYFDWRVRTYTGALPGNYYVQLVKPDASIVQIYPTTPFSATASWSPKSTSLSESDFSQSGNYRIKLLATLNTQGTGGTQTVEIRWDNPSITLGTTTYSLNITTDTALVPGADIQYLEMNYSKTADDNYAVYIFDGYNWNNRGTLDSTTWTVFNYTLTSDEYNGGAPRVRYIDQNPSGSTQGNLHVDYQRIHGITTGIPSVYHLNITTNTSDIPRTSNHILQIRYNISGDNFTLQLWNGTSWNNRTILNDTSLSYRNVTLLSDELIFYSNAGGINKYYTLVRYLDMNSATQQGTLYLDYQRIYNN
MSNVVLFPARRSVPASAISRHEFEKLADLALDIVERVISLLDEVEGEHSESYCFAWASA
PAFVVVVVHAPQHVGGVVQHGGTGGRQQAVDHLAAVPGVPGGKAGDVIVVVVADRQGGIEILGVTDDLVVGPGGTAVVLGGGIDEGFQGGGVYGGIAIVPQPGHDHTAQSV
MDKNEISDTLMKAAAKALRKHCKCVKGWYLGQEAECLNGKVRYITIGITEPQEYDFKLY
MGVVLAGLAILVFTTVSFVSCKKSSPKPEKKPQQHLFSITPGDGEIIRHLSQPIFVSFRQPVQPSDFIFKIEPDPGGWEVIWDKSQKRVRLDHKNPFIPQQQYHIKLSIKDGSLESQFKTLSPDPCNLLASDLALGVITPDEAAQYHIMRIFNPKSVPKKYRYAKAPRSGTWDILQAANLRDKLKPETVKTLEPYMVRPDNPKSFFYKRLYGLGQSSSRALPWSIAMAHASGAKEPFKEVYQTDTGYTIEIFGEPGLGKMVQKARRLVEEHKMYERFEKLLNRKTADFGDKTLRIYIFSGLSEMEDEAGYKSEPKGYCEPLTWDPALNTGAGTATTDIVISARQVSPDPDLAATLAHEMFHSFQFAFSRFEQKWLMEGSAVWAEDFIGHDWNTEQKWLAGYTFDASYAMHNQIIEKASIKAYGMYLFFYYLTRLRSGGDAIMRQIWENCAAKKSKTFESVRAALSGDLREVFKEYALFTLDVEQYKGKFPDSIGGYGGENPLNVNEYHQFNDNSKINADGTIEVDKPFFLTGMSIVYLKVENKATGITAPAIKFNLKEFLKNEAIAIQAVIKYRNGRTDKEDWTGYEERVFCLANESQNFETLYLVASWAEETSEMKAFFLEIEPALKEECAGAHLRISLADFGQRHENTTSHLYVSAATKQTSSWDRKVVFDLDLTLIPPISPVSMPVPFGDIKKMLPKETPEEVVEFLKYGTHYDAGTHCPFAAYRIKNCRVTTVTGEKKTHEDHICHDNLGRLCEQYTSIYSETWSFSGLDKEWIHMFKKAEPLLGAINVHLDPKTKQIKWVYLDIPIYANCRIDWNYKKEGTRKENSGYREIKESRSGIKEEYITPQAVSANSRELPMNPDWKAKQSTPTTASGGGRKEIPLDRETYNNETKTRVSTTGKHVKTIDWNLNLDVPPDIK
MLSSTHGESLSQIREHDILASNGKQRVKMRTSMMRLMTVRWMNLTMKRTMPLLNQLVRKL
MLVDSHCHLELDTFGDELAAVIGRAREAGVGAFVAVGASRVHRGASEALALAERHADVFAAVGVHPHEAQGAADDAAALPHIDSLLHHPKVVALGEVGLDYFYKLSPPAVQQQIFA
MAMEKEKWFSIGGLDESVHSFFEEIEIGAKCMDNEWHCLAIPRPIIAHLGSATWGTGGLKNKEREQRYNESSNLLQFKYFGKDWSLHEKFELFMMKHQPPENKPHGLFTFNSYYNEATDTKLTLRVPKLIFKNYFEEAGIEHTLLDTKGES
MMRSMRRFCLKNEWARNGVILFGAALFMATIWVSIDYQRMILEWIAENTVMNLAILGAVSVLNLTLIFGSLFLGFTECVVEDHHKRHIYHGRRSTNPFVAGPVGWIDRLGSKRPRR
MFIDFVYLYSISIVLTIVVSLFVIGLLLRYYSKFEILINSNEIVFSKKVGKITFLDWKIEVDNISQINPKMFLINEHQTSETEIELLESFDDDCLLIKGQERELRLGNEKSSKKIFESLQVVLEELFPEFMSN
MDNLFKNWNFVRLLRLAMGIFLVVEATQSGMWILVAVGMVFVVMPLFNIGCCAAGNCSVPTRNSKKNGDKVEYEEIK
MIKNYLKQWNVMRLIRLLLGLAIIVQGIWTKENAFIMLGIFFTALPLLNIGCCSTGGCSVNTQKTQKPASDVTFEEIK
MPPPGAPLGSALKRKNIPQPTNALKSFNWSKLPENKLEGTVWTDIDDAKVFKVIDLEDLERTFSAYQRQQVTAW
MDSCRQYGDDDLSMREMCSEGFEILSPHIYRHELGSDIRLSMEAVLNHNHSSVTHEGWNDYPPEEYKSTITCGNVDEAEHLLIRDAKALSSAPADQPDLQRNQFNRSSPVDQLHVQSTRFPTITHASVKQTNIDLHKLKLIVDHPPT
MNNMRTFLRLMLLVTAPLAGWGQDYHFANSIQMPQLLNPGATGSGSVHRTRIASLYRGQWDNLINQHSYQGAAVLADMRFCLQNSKKNFYALGIGLQHDFSPLGYFYNSNGMLSAAYHQHLGEETFAAVGGSLGGLAMGIHPEKLKFDEQFQNGAYAPSNSNGENFLRDNILQPDLSAGFVLYNNKQGWSAGMSWRHLNKPIYSLQGDENRLGVGMVLYGTCSLWKTKAFGQNLHGRVLYRRQSVTGSNSKQWQTMAGVFYQTGFSGQTGMKMTAGAYLRGGSLPGSSFSVNTIVPAIQLGNDRFSTIISYDVDVARTRSRFAGGLEIVFQTSFGKSDRCIVCSGY
MFSLMARHARRHPSVSSGSRGPGAAGPRAPCGLSPPLVCCYGALWAGGRSLRPLTCPSLVSQLLPLFLFIGSGAVGAGLYLLRLAVFSPDVCWDKKNNPEPWNKLGPTDQYKFLAVNLDYSKLKKERPDF
MCLCDSASVLCACVFVRVCVWLSLSLTGSVTGGEAGTHCDAHTHTHTLSLSPSTASLPVQPRLTLIPQFFFISLGMTGATLYLIRLARGPHVTLWDKKNNPEPWNRLDPTYQYKLVAINTEYKNLKKEGPDF
MNYQQIEVSINTQTKAPFFTGSMLRGAMGYALKKVTCINPSYQCQGCFAQSSCLYYNFYEQQNNFHNYRFDIELGSGKFDFGLYLFNDATESLAYVLSALEMMLTQVGLGKENQTFKDIGIKLNGEEIYDGKEFRSFDAIKQNALQIDSFCPNVKIKLCTPLRIKKHNKFLRDDVALEDILRSIYQKEQEFTTDQKAFKLAYEPSYATAVKALRFQSLVRKSNRQKQKLNMDGMMGEIAVMGLDQESHRLLRLGEIIGVGKQTVMGLGRIEVVNL
MRVAANVFGGLNQAEIETIHRNVLRILAEVGMLVENDDLLEALAAIGGQVTRATQTVRFLPAFVERFLAESIPYDWATAVPHLSASAGVYLGYYHIPGTDRLEPWNQEHLLDYFHVARHLEHIGSAGLLGCPLGVPAHLEPLYERLYAWKYGVHEHGTIQVTACCPFILEMTSAYADARGLPLADLFRGAVYLASPLRLARNEAEQVAYFWRRGLRVHIGNMLSAGGTAPVTLAGAVTLNLAEQLLIAILERALWGERRLHLGSSVSVLDLHTLIYPYGRPEMAITNLMTAQLARFYRASFSGHCGLADAKLPSVEAGAQKALTAIPTLLLGGGALGLLLAANGQLPLRFGLPGLVNGGGRYTQNGGQSEGGGGDGEQMAPGVSHNDVSHARLAGGDRKAVEESAEVGRELAGGVIARPGLRIGRLQEDGVKVWGKRRMGGQFEIVGVAEDFADGAAAPLACADAGKGFVEDDANGENVGGGGDGLSAKLLGAGVISAESAAKGFGLSSWIVLEKAGDSEVEQLGDSSGRAEDVAGLEIAMDDELGVGVLKGVQELAGKADPGGETEVEAGAMFRQGQAVDELHGEVGHFPGSEASVE
MFAASISNVRLRPVVERSKVPPPTPVSLPPLPPIPSGSSGGTREHLVRQIQSIYRPQDIKPDLLSSIGLETVYDVSPEELLPDASYLPDFAAWDKLSFEDARELDKTIRKKLPNGHLGPGAISYLDRKKELGYPNTVAFRNVRRLPPAPGTSQPRLGNSYDFFRHLEHTTLYWDDPTQPESQGSLENQTVESADTSAKTPGGDVDFYRIARGQDMPNEARANLVNAFVKLIAYDFGGNTTAPRMEARLYMCSPVDPGSKLPPVRSQVSSACSYIFRSPTDRVSARAGIVEGPVAAVSARTTVSFKTEAEVCQDISREIIAAIIIAQHRDREGKQEKRFGEGAWWTTKPRWGGGTGGPIGREIDPDDVPGDKESAFQKPKKEEEPRKPSVNIPGMPSVKRVKKTPVNIYDQYRQIRPPSTTWDPKTRYQAIGRIPGAGYDDIFLVSGLFHHISIVRIRVPLALLRILEGATEQIDYSKLKMWRSKWYDLFVFEDRMEALRLTWGMMAYQVRDPSENVPMSDVA
MTANVSTILVSAFNASPVSVVLINWFQSDSQIVACGAVGRQHMVYIIQGNSFTTMMFSVYRYYIK
MKRHKMTYTGEKPFRCQRCGRYFSQLVNLKKHKLGHLNAKPYQCNFCEKGFTQLSNFKRHLQSHIKEGVDVDVPASIQAAAALARERLESEQKPSFFECMVCRAIFDTFADYEKHEAKCHEDHERAQLEVNQMSHMHPDDYLPMKFAVPDLDTHEIIIETTH
MSAEATGIVLTLFTLNYMIWHAHDAGYEHICDMLITQQEKLKLYADQHAEAGLIYRAID
MKHCEFMLILRGKLQSLYSIDPQPQQWISKTCTLIKQRVRVVGLHQ
MKYNNEKKKGGSFKRGGKKGSNERDPFFEEEPKKRRKVSYDDDDIESVDSDAEENGFTGGDEDGRRVDGEVEDEDEFADETAGEKRKRLAEEMLNRRREAMRREREEADNDDDDDEDDDETIKKSLMQKQQEDSGRIRRLIASRVQEPLSTDGFSVIVKHRRSVVSVALSDDDSRGFSASKDGTIMHWDVSSGKTDKYIWPSDEILKSHGMKLREPRNKNHSRESLALAVSSDGRYLATGGVDRHVHIWDVRTREHVQAFPGHRNTVSCLCFRYGTSELYSGSFDRTVKVWNVEDKAFITENHGHQGEILAIDALRKERALTVGRDRTMLYHKVPESTRMIYRAPASSLESCCFISDNEYLSGSDNGTVALWGMLKKKPVFVFKNAHQDIPDGITTNGILENGDHEPVNNNCSANSWVNAVATSRGSDLAASGAGNGFVRLWAVETNAIRPLYELPLTGFVNSLAFAKSGKFLIAGVGQETRFGRWGCLKSAQNGVAIHPLRLA
MPRPPSDYLRRFTCDTIAHSPEILRWAISQIGIDRVVLGSDDTFDMRHARPLEILEQILRLPAKIPAETIAR
MKAVEHLLPNLEGYEIIEKLGCGAQGVSYKLKSNGDERLFVLKMIECRDVTHGELIFEELLRLRDVKHAYVPTILEAFMSMDNGNSAVYLSVIRPYITALSMKEVLQKHFQKKSIERSLVYHAFGCVLDVLIHLKSCGYSHMNLHPNNVFISNNKAFVTDAWCPTLVSYARGMDFCDLCKCKLAFGV
MATGSHITGVSLTLPENWFDVDLESADSSEWIAGLDIEYTDSADVDRVSSALDSIRSKFIADGVDVAAIMLPEPNGGFIAAAMVLRVLELDPDDNPETYLEFAESHRTLSSTEFAVSRFTSWRGTHPNGELIGFSHLALLTQEGQDHGELEERAVFAIFPPGAEEMVQITFRTARPDAFTDMAAETALIVDAVELELAP
MMADGRPFLSTRSIPIIVFALLTAAFAVAATMQLGVLVRYGHMSALAERLEKSEKISEPLIHSYALQAADIVTDGICTSDVVMAGATVVLADLDRLDPVADYDRWAAALEAADRYFRHAVSCSPTNGNFWLRLAMVRQAVAERPEEIARLMEQSVRWAPAEADILVGRLVLWNKVGPATLLASKALVQHDLDTLLRYGDSSELAPVIRTVRKNLLPYVHAIVLLLPSDRVELLAKAGVSLSTLQ
MVRITLRNKIEVANLPKNLKEVLTATLTLPNPVYESNIKQGYSVWNVKPHILNFNVMPNGNLVVPRGFRPSLIQLLNDNNLDFDVKDERSKFDYHHFDVNIELRPYQETALRGLISKGVTEGLIIAPAGSGKTVFGIAMMGVFGQPTLWLTHTGQLATQAVERITSFMSIDKKEIGMLGSGKWEVGEHITVAMVQTLVRRLDTIHKIMDNFGLIILDEAHHCPAKTFTDVITRLNPYYLFGLTATPYRRDGLENLMFQVMGPEVIKMEQSDVQNHGGIMTPTVYYKTIDSPYVSGNKIHSIVKKHVVENDKRNRIIVSDVLAEAHAGNFCIVVSDRRKHCEMLHNLIRPGWPKTGIATGRYSRKYVEEQVQRFLDGEITVLVTTFALLGEGFDIDFLNRAFVTMPFRSEAKAEQLVGRIQRVAEGKTDAVIYDYVDHNIGVLRNQFYTGKESKPCRYNVYKRLGLEVIPL
MKLIIDSSLKLLDAPKDVTDWFIEQLTFTNPKFEEAMKYGRYTNNIRQYIKLYRRLPNGLVLPRGFLQIIEDSMIGQGLNISIQDNRILTPPISISSNIKLRPYQREAKFNLLSHPNGMLVAPAGSGKTIMGLDIFASVRQSMLWLTHTNRLFNQIIERILEVFPDIDKEKIGLIGAGKFSIGDRITIGMVPTLVRREADLPSIGREFGLVILDECHHLAASTFLKVLRHFSSYYIYGLTATIRRKDHLENIVAATIGSVNSVVKRTDVTKAKSIITPELIVREIPSPQAYEGNDFHYVIGELVIPNRARLSII
MQNGPVPTVDPVSPDKEMPAPFKPPSHQVEPAVKSPTTARQRTPEQPEDPKPAPLERTSQPEPTVSRAPSFLPVSEPETPHVPTRADIDLFRRPSGGIRTPRSAAAYRLEVDSLNMLMNQVLEQMEKGPVSLNLVARIKAHPMYDSRPMVKELLESIMDSLVAATQPEQVQRETTKLVQQQSQEVARKNQQKIGAFEPKPVATKPPEKATRQILEERPWHSVEVGFDPDEESEVEAGHQQSVASAATEVTVQTTSFEDSDDQAAHPQVVLAPVALDEEEGADSEDEEDYTDSEMEDLAEEAKHRKHTAHKHRNDDRKNVSKKTQQNSCLFHPMENRIYTILLKRKRAKVEETGENVSPLEKLARKKNQHSRVDMYGRGVSMECESPTIPRKPLGGPQPTNEQSPFLFDKAKKIIMQYPGRPDLSEIEDGMIKKKKDWLKTQDPDQHAASDASITPTPSCVGSVPPRTPPPMEQGGAEAAHTESEEEEVRVDFRELG
MAKGRLAYHSRCLQDYTKEQVTTKNLYAVLLGDRSAIEGGSGKVIDSKPDDRIFIYYSDHGGPGVKMRTSNHDTYNTGSHVMEYGDKSVKSDMLSLYQGFEPAIANVTENALRQRMPMGVINQRDADLLFMWKM
MTLRLYVLHYKKNYSRKAYLRENKPESYDYTFIEKGDKGEFAQDRYYKYERSRNIEAIRKIYSVLSCNIRLARGEVIERWPYREGRGSDLNMERMTPGVISLTNLSLNIKHRYAWAELLRSGEDYAIIVEDDIVFTEETVEALSTIITSEAYSQYDYIDIAGGAGLSVNGSKIFRQDPGVPNLFEVETRSTRTTCGYIMNKRLAAQLLLTTVPIIFPIDFQLTYLFSIIKPRVAWCHSPPFVHGSEAGFYTSTNER
MQMVALLIPVSAKPILVGNNDSIRFAHALMMAGTNYRHIGSQLAQLPDLIRDPIPATHNRVTMKISVLGCKGGAGASTVAHQLFKAAGMLTSIPMLLVQGCSGSRDLDLLLAKALPKTTAALPILPLISR
MIKRKLDISSKFHAIQHPEQSNVSVDRTASQATSSPSQIITAVLKKDSVEKTDTSLHVKSGDKKLDTNLHHKKEPLGTSEYDEDNGKRGKFGLFHFWRSKDKESNSKKFNSSVADMNSSNEEPKNHTTNLDSFHDKTNIRLTIKSKKKPITSTTDVKTNELAPSINMKEESLNRTSPSDQSTANAGMKKTAFIHLKRDSELANDLPLDSNSNAGIVTPTGISSYTLSRTNEGSKPSSTEKSQLVDKENNIRSCESTDESNENSLKSMRNVIMLDI
MMQSIGRRLGGSDKDLPPWVQRQLLTYSFAKKRTLMFSIIASSTIAFAAIVMTQALWAYAWLALELFFGCFRWINVARYDAHEKRGQRGDAETPLVFSLIWIACLSCALVVGVTTGDVPLILLLGTAASALVGALSSRNAGTPRYTIAAIIITTVPYSLALAISPLPYALILPLPLMLTTVGMISVMRDNYNGLVTLFTAQYENARLAQSDPLTGLSNRAQEREQLNAILKEAENAVGVAAEVQVLYLDLDGFKQVNDHHGHAAGDSALQLVAKRLRENVRAVDVVARIGGDEFVIILPQTSVDVATQISRRIIARISEPYEIGIGKPVRLGVSIGGASYPIDGRSPDDLLASADTALYAAKRQGAGRHVWANIHPVASPTLAPGGNGVLGAGERSVADPIH
MQSIITGQDAKARPVGRDPMCPSLSQGMSDAFRSEDMADSAAVQRLLEAVDLPIGRWDRQARLTFCNPPYLRWAKRSAQDLLGHTLAELFGQDAWARARDAFAQAFNGQAADYERLLTHLHPPRWGRVQVFPERTAQGEIVAVYTIAFDIHNDVVLAEALRRSNWMLEQHMRNAPWGVVETDGDLRVTRWSPAAESLLGYSSEQSLGLACLERGVSPDELPCWQGVLQSIRAGELQSTVVQRPHQRPDGQNIWTEWTLSALRDPAGPMVSLLCFVRDVSDQVRRQARLLREAEYDALTGVLSRRAIMQRLDAALERRQPGELLAVCFVDLDGFKPVNDRLGHHEGDRLLKHVAQALAASVRGDDVVGRLGGDEFVVVGLLRSERDAERLAEKLVHAVRKASENTHAQVLVTASVGVAMVPQHASNNFDLLQRADDAMYVAKRGGKNRWAICQNPELQATPLLATPAWE
MLAAPAVILPGTAAATVCYLAALAVIAAGLIAAARSLPVDQRRIWMPWIAGVGCAITGSAVWQVRMDVALVCWLVFYLPITVAVVRMIEARALPRPVRHAIAKDVAVATVAMTVFAWYVLIQPTLAKSAGGGGWSTVAAFCFPLGDVVIVGLALTVLLAPGRRTASEHLIVTGLTLSLLADLGNALLPPTARADIWYTPTYLLVNGMLTAAVLHRSRATPAEPAVRAATAPGMRGWRMALLGTALCAVGLSTIALPNRGWDRAPATAAMITMIAVILSRLRRAVTDLENAERMLRHQATHDQLTGLANRARLLHEMRDAVRASPTLFFVDLDGFKAVNDTHGHHCGDIVLKVVAKRLTGIVRRSDTVARLGGDEFVVCCTGLTGADVTALTARIEGALAEPIDVGAGPVTIGASIGVLTLPPADPLTEQSAEDLISNLLSTADAAMYEAKRAGGGTRVVDYVLTA
MHFLDRLRSAVLDLRGVALLGLLVLGACYLGIWARPTGFFSAFWLTNAMLLGLMVRNPAWAHWPGWLAAAIGYMLADLTTGGAFLTTLWLTASNMAGVLVGVVMYKRVEDKFWRMQRQLSPLYLFCVSISSALASALVGSGAGPVMFHADWYETFWVWVSTEFMNYVLLMPVIFTAPAPARMWRAVAAQRPCWQGWQGWVHMAPVFSVLLAVLAGTLIGGPGAIAFVVPALLWCALTYSLFTTCLLGMLVGYFQTFEIAAGMSTFTPTHMEMVLSVRAGVALLFLGPLATACSHAARSEMLRRLDHAVNFDHLTGAMARGAFMGTGAKLLARMAADAQSAAVLMLDIDHFKQVNDRHGHAAGDAVLQGFARMLAGELRPGDLCGRLGGEEFGVVLPRITRGDALAVAERLCLETRRCEIRGPDGQCLNISVSIGLVHQEPVAADTRLDALLCIADAALYEAKRTGRDRVAVVAGADGTAPLVSAA
MSDYLDALEGRAEWDPVAAALAEADRQRFLPVPVERLAAPAPVVVHQPEPLPAPQRRGLDPWVVRPLALGGCVALCGLGVDLAGMGIRAAGPYLWGLAAVLGALAALVALIKSKSAPVSGGTNVTIQDSRHVKVRL
MSVPNRPESEYNAALKMWGGKWGAAIPLLLLICGLLWLSLHGPATPKNFWSIGFAAICIGLFLSKTPKEYCATVLRGFNNKSVGVLCASWIFASVFGQIMQAGGIIEGLLWFGLNVGAQGSVFTVITFLAAMLFSLGTGTANGTILALTPVMFPAGVFLGADPAFLAVAILSGGALGDSYSPISSSNITVAFTQDADIQKITRTRAPLVFTAAAISAIVFACFGGGGTVSTPPQFAASMSPTCLLLLLAFGIVIVASLLGRHIIESLTWGIAAAIVIGLAIGRLSLSDLLHPVTTGGMSSGLLENGVSGVTGGIIFILFILGVIQLVMESGIMEDIVN
MPHSSLTERAEHPRTLEAHHLFGAAFYFADDFERARQRLSQTGKTPHEYPWGAFPSPARAFKAARNDVGLR
MKKAFPAVAILLAAAAHAAEDFAGTYSGTLPCAVCDGLQTELTIGDGAYTLVSTRLGLGEESEEISGVYRTTTDKQYLQLDNQTDKLTFYIGDGYLELREPDGEKIDGERPDEDFRLVRR
MTEKFRTESDSMGEFQVPIEVKFGASTARAIDNFPISNLRFSRVFIRSLGEIKKACAVVNEKNNLIDSKLSQAIVDSAQEVIDGNHDKDFVVDIFQTGSGTSTNMNINEVIANLASEKIDTEVHPNDHVNMSQSSNDVIPTATNLASLLSIKEDGGXLSYLNNMNETFNSKAKDWAEVYKNGRTHLMDATPVTLGQEFEGYANLIESRIKDIENSLQGVSELPIGGTAVGSGINAPNGFGSDVASELQKALNINLQEADNHFSRQGSRDEIVQLSGTLKTLASSLFKIANDIRWMGSGPISGLNELKIPALQPGSSIMPGKVNPVIPEMMMQVCAQVIGNDVAVSFSGTNGNFELNTMLPVMAHNVLESIELLTNAIKVFNKKLLIGLEPNTEKMESNTQKNPILVTALVPKLGYDTAAEIAKESISTNKTIKEVLLEKELLSESEIDDLLNLKKLI
MRGTISTKPSPIRLKQVSAITVMAADATSSCTCNCGNSCSSAQADRREAGDQCQCHAQAMAEQHRAGRELLAQAHAHLAQRVRVAVTQAGIDVVEAGLGAFGVEQAALDAAQVVHQQVQRTEQALPLWPLLVTQVGQCIGQVAPGLLVVLAIHERAAQAERLAQPRARLALQIEQALAAVQHVAVEEGIDQRAIRVMRRTGAFVEILRHVVQAQVQAGVHAGPAGTAEARQDRRLRLVQRADYAPVLLGQVELASLQVGLAHRFEQGGFQLQVAAQFLDRQQAVPDRTGQQVADGVQCAVQVAGTMMLQADHGVDRQRHRGLGNGRIALAERTEQGQAEGGQRQRADEDPRPREQPDHRRRGDAEAQQ
MCFAAHKNQFIILISWWLTLKYKCLRSNLVDYLSGKIYTNQIPRRCLIEIRIKKFLNLLKETTNFSINISSGHIIVHWLYISTTNHNTMIHCNIVKPVERQTSSVLLLTEYPPTLHSSAHFIYWNHVVMIGSNIIKLLNVAKAMMPYFLVHLPRLCTLLAGDGLGPWNPSTATIPWAGDGVRRMFCHGKIRNID
MRVRTLALALVLALAATVPAGALERVRGVLHVHSDLTTGDFSLEALVGLADQHGIGVLLLAENHRLRVTYGLPPFRALTRVSRQAPSMADAPERYLARVAEVRQRLPHVLLIPGVEVMPHYYWTGSPLALDMTVHNLQKNILVFGVTDPVALATLPVAGHAPAGHYT
TFADLRRPSPTFADLRRLSPTFAHLRPPSPPHTSPQALLGFNATISHLDSHSVKVSRTGVTKPNQLIQIPGEGMPKGFSDGDISARGNLHVTVKVRFPETLSDEIRSWAEQALPPDAE
MTSVSLALMWHQHQPYYPDDVAGENPMPWVRLHATKDYLGMALHLEEVPEFRCTINLVPSLLVQLDAYVHGATDRHLRVSRMP
MTSDSDLIERKAIDVGGRLGSLYDVSTDNLINDCSIQSSKTQPLKLSECAVFAGDESFDMIDYLKKMDIDNALQLSILFGMVKTSGVSSLITYKQTIDKNIRFLYYSYKSKEEILNIERGKLHRLISTPSVLTNATHMITNIIWGFEILCVIPIPEHLSSDTVNRLLSNISKWLKNDVQDIILTDKDKQHIEELINVNIYGSETCIDNDVKMPFSTVLTAIQQWQTHENFHHPLKYRMHSLRYLYNNKDFPELSYRFDEINNDIKKMSSIFIYLDSFEKYYQQSLENLPEKFLNPTLEQTLKDIQQHLHLWSSTYKKLREKFQELLKNIRRGHNNTVVIANVLSDKEYVSLSKDEIEKFNGKLQQLRKKAALIKQLNDDDIQYINILDLRYHNKVPINLKHIEKILRRHFLNKNNDVILLYSNDRLKDINRKIWKQMYQKLILDGQQATQKPILVYVDFTECQYDLEDFITITIPIDSSSQTPRNNSSDLDKSISSSSSTTEINVLLLGETGVGKSTFINAFVNYLIFDSLEQAEQNEPIVLIPVSFVTTVGDQFDEVTVKFGDIDANENYEQQGQSVTQQCKSYLFQLNDKVHLRFIDTPGMGDTRGIEQDDKNIDHILTYVNNLSHLNAICLLLKPNTSRLNIFFRSCIHQLLTYLTPIGYNNIIFCFTNARSTFFAPGNTSSLLRKMLKQENVTDVPFQKQNTFCFDSESFRYLAARKCAVDFDEFQTQECIKSWSASVVESKRLLTFIQTRELYFLHSWQSPRKCALEILMIARPLMETLRLMLYNWKLREAAIVTEQMVLKSKADTNEKCTNCAKSKVVQVGLFWTVYYEPLNDGTNERCLCPADRKHFLIEYIVQYVSVVEKINDSIDNLKNRFYDLLYRCDRLSHFLQQKGLSNFIDPFACILERFLEEERQILNTGHRKSNLNKEVNKVLREIPSIRQKNNKKLIDSNEQLPLNQIDQLIHELESMPDVREQIECIKTSRQSKMKTHESVVKISSIKNKLLTEFTSTVL
MTVRSLGPLGIAARQGSHVVPWTVRALPPFHSRRHLPPLLERLRELDGRRASLIRGAGTEFDSLRAYVIGDDVRSIDWRASARSSDVVVRTWRPERDRQVTIVLDTARTSASRVEGALGGLPRLDTSMDAALLLSALASQARDQVDFLAFSTKVRASVPRATLPVLVQAMGPLEAELVEFDARAAVAEVLRRAGRRSLVVLLTSLEPSIEHGLLPLLPTLASRHHLLIASVSDPAVHAMAAGRGDLEAVYTAAAAERTLAERRRLTALLARHGVDVVDALPDDLPRALADRYLSLKAAGKL
MGRRPALVNGFPEWPPHVRAAELRWLDHVRRVVGSHGFCPVATPSLEPLEEGEDPREGGRFRESTWCALGVVDAGRLSPRFDAELPRVAHEVLSGLDLPAWTIGVGNRKVLAGFCEGLGVDDPLTVLRAVARLGEIGVDGVAEALRWSLTGEQVHGLLQPARTSARAAGAGVSRVRPPGGTCPVSAWPSTWAGSSPRRSTAGCRWSGGARRPTCWWSCP
MLTSTSPMASPLPSHPRSRATLPSHSSQPRPRSLFTTEALNSLSSSLPRTSTLRLNQRNAPPGTNENLARRSAHGRGQSPPLPPLPLSAPGVSLSLGRSEGRLARISLEEEDIELDPPPAYTARPHSSEASVSFGPLRPWGTPWQPPQLSSSAPSSAVDLPPPPSFRDGGARCTSLGGELGELHSPPGVGRASHQQTVSDPLVHSRARGRASTTDDSFSLRREEEHPLPPVPPLPVTNPHQHSPLVQASYTLPWTNEDYDSDGSFHSVGSQPSLSPVVATEYRSPFLPPPSPSFPSATSSVSPSSARPAPSPSSAVQESTGSASSGGSGSWLPFERGRERARAIGLGLGLGWASSPSVLSSLPTAASESSSSTGTGSSSTITPRSAVPRTAAGKAKRPEVRVPPSPAWLDEVDYTVSPSTSSPHPAGHPHPHIHTQAPTAHAAGRHGSRSHTTHREHSPATSSPTSPRFALPPGAAPPSPMTAFPDVGWPSTNGSAAAPSERSVSADRRERDDREGSGWVWEEVGWGWVERERERQRAASGSSALGRERTQSESERVRERTVSGPGRDVGVREEHPRGRTMSGRGRDGREPTVRRPPASADPGRENLERRTWFLLELDDLEMEHERERERFERQERDDTPSSEPVVGRPLMLKGHVLFYPEGFSCPKCYNTGYKEFDPSHPCRKCWQKYGRPFRPPLTSAIRASTFQRPLPVQRPPSIKSSSAAPAGLRLGAWPESGPRGRFAGASGAGFIFPKAAPGKGSGGTPVVFPTPAPGSAAAREREQARERERERERAREREQERERERAREREEREQEGKCPGCDGAGTISVLFFDGQVCPTCRGTGMVFLEDGVQ
MGDFNNDGYDDLAVGSPYEDINSITDGGSVNIIYGSVFGLTTTGNQFWSQDVSRVNDIAEEYDNFGASLGVQDFNGDGYDDLAIGVPGEDLGGILDSGATQILYGSVSGLVVESSLLI
MVSSVLNLSSLNGSNGFRINGINASDSSGGSVSSAGDVNGDGIDDLLIGADRADPNGIDGSGQSYVVFGSTSGFSSTLSLSSLNGSNGFALNGIAAYDLSGYSVGSAGDVNGDGIDDLMIGAWGADL
MQPVSGVRPLFSPTLSAEPVWFSYSHGDVNGDGLSDVIVSSELAYTMERDGVPFNVGRVYVFPGPIVVPTPIIVERSSTEPEDIRRQIFGTDPMSPGDVNGDGIDDLVVGDTLHSRLCVRAGRVGFASGSPDACMNDVRPLVTEWL
IDGLSYLHNSAKILHGNLTPASIFVTSSRLWKIAGFSFAVAAKEPDTYPCFPWTKKLPPILQPDLDFLAPEYLAPNQQTVTSAADVFSLGVLICWIYAGGKRLIDAKNNLETHAIICGQLNEALNCISEELGANLRESMGKVLSLDVEVRPTVQLLSLIKHFDDPALSALRQLDDISQVFDPSQKAHFLGQTLLAALPVIPEVRIVPLGVLFDFLLDF
MSAKGYPATRIAMVLRDAARHGIEPAAERHGVSLASVYAWQRRFGPLAPEAIERLKALEAENRRLHNRVAALELEQARLRQALRPGHDANTLSTDGPGPAGPR
MDGSDAEDPAAVAVCRTTPGTYSYTARALDETFVVLSGTALCQVGAGEEKEIGPGAIVTLRRAI
MTPHRDLVIQVSMHTTPVSADSSSKKLRQCQTILVPDTRRHEIALGRKFQPENVLAIRLVNIEFWRSLALATTFATP
MTRRRQIITAVTTGTLTQAQAARAYGVSPSMVSKLLRQWAREGTAAYYTKPSTPHGHPAATPPATIRVITALRTELTTQGLDAGPATIAATLATTRPELPIPSRATIARILQREGLITAQPRKRPKSSLHRFEADLPNSCWQSDFTHTALTPPAPPAPAVPRQVVGRISLVL
MKILHILSFSLLASLLSTAAPSPLTPLPREQPQWWRKQYEQQVEQIKNNPCGVLFLGDSITDYWKREGKPIWEKAFSPYHPCNFGISGDQTTNLIYRITDSGIPAQTDPKLCIVMIGTNNTGYFKGGEAPEKNGHGNSGYR
MNKFSLFLLVSVVSVGAAFEYKAAVVKLGRAAQELQTNFVEKGLHYNEHDFTRVLQGQSEFSQFLSPQCSLIINDFIDAVNTTAGPSQTQVQQTVNCFYGIIVAVFESCMVNYPAIWEPFSCLFQNFLTDISEEADGECVAESDDEAASDDVSDNFGEFPDLGNETLNATQCEDFFGSQEDGFEETICIALIEPTTFINDGQNFLNDVATNFAGIQSCATLVALGFADQFNFTDIAADGGDISDSDGEIEDNPVDGINAQLDDCNLGHEDFIDQFRIKSSANSHFQVPSVLLGLIIAGFASMLN
MKNINNINTLTNESLAAMMSDFEIKKAIELFSDLDSFLNKYKYCSCFVDNDEDFVSFLEYLEIEENLRMGYLI
MRTFYRDWRTGSARNQQMLNFRDEYWTGYGSVLELIDSHCHFDFDAFDADRASVWVRSRARGVTGMIIPGVSIEQWDTLFELVNGEADWYGAVGLHPWWIKDLAVADDELSQRLADNAGRKRCVAIGECGLDKGIEVPLPRQESVFRLQLSVACEFDLPLIIHVHRYHAEVLRILKEVQPARGGVIHAFSGSEEIASEYWRLGFYLGVGGTITYERAAKTRRAVAAAPMESLLLESDAPDMPLCGRQGLRNSPELLPDIAAALAELRCMRVEDVARQTRQNTQRLFALSFAP
VCIYWYGQIFYDFSCFIAVFLAGVRCCCVVMPLKFKTVFTKSRTGKILLGLFVAAVCLRAPQLYSHRIVWVSNPDTNNTYLFCSNVKSIKTLDKVNDIVNRNIISSIAYTTVVVCVVTMIVKLREASKFRHSATTTLAPTETRLEKLQQKTHEKMSTKEMQLIQSVILLSAIFLFSQLPFQIYSTIRLFVPEFDTDGSQVFLFAIANHISTTFSFLNCSVNIFVYLTYNRKYRDEVCSLYCLKREENRK
MLLDGGKITLKPLHVEICDLIDNSPELTYASVAEAIGVSPQYMSKFKKWDY
MTDVEWAVLEPLLPSTSNRCGRWRDHRQVINWIFHRFSTGAQWRELPERFGPGKTVHKRHLPWSADGTWERLLQHVQAQADPRATSQLVRVDGGRVHGGVGAEGAPGLPPGKVRSLDPSAPPHAPSGPAER
MHWKRIEPLLPDRAPRRGGRWRDHRQVIDAIAFNYRTGCPWMDLPEEFGSWKGVHNRLRKWAADGSFSAGSLMSYPEPAWWQVHHSACNPSPDATTYAIEVHRCRSWADLVWWTVHLMGETWLRHTDWEDLFQEPAEAAETRISPTAAPKSHR
MSDEQWALIEDLLPARTHRQGRPFDDARSMVEGIIYRYRCGIARRDVPAVFGPWQTIRGLALSAVGHRHVGHGADPAAGGGRGGGNRCRRRWS
MAFERLNENEWQLLEKLVRSGKSLRGRPPKQHRQIFEAVFWIASCDVPWRSLPERFGKWNTVYRQFKRWSEADLWNRVLDDLENNPDVAEVSQNLKHNILATIRLARQRQMQRSPVTATGSSTTRHSLAPGAPSKSLEAGPVNL
MKKILYIFRHGETDANIQKRMQGWLDVPLNANGVAQAQALAQKLSGIKFDVVYSSSLSRALDTARAVAGDQQIIQNGDLREWNMGDFCGHIVKLTDSPADTPIDMSGDVIYAPFALLSNDDYVPPNGESYNMFIARVRNAILDIVKNSDGKTIAIATHTGVAKNIIKQFTDIKWPRGGMPNAEYFKLEYDGEKFTLIDMPDWLSQAV
MLKAREILRLKHEVGLSLREIGQACNCGKTTVSEILKRAEKANITWPIQLSDKQLMSMLYPPTNNRKIVPEPDMEYVFYEMKKKNVTLMLLWEEYKEKHPDGIMYTQFCERYRNFKKLNKISLHKHHKAGEEMEVDWAGDTLSYVDINTGKSKPAYIFVSVLPASHYPFVHAYGDTKIESWIDAHVRAYEYYGGVPKITIPDNTYRIIQRLRLSNQTVLIL
MQNYNTIIGVIQMRQDNCPSRSIMERYRIGSGTVSLIINRYASSGLSLQQLQQMSPKDVENTFYPQKNLQRKEVPQPDFQAYYDRIHAPNSRVNISFCWLEYKEAHPEGYEKTQFYDLYSRFVKENYGGNQISMAVNRKPGEKMYIDWVGDQPELLTDVETGEMQKVHVFTTTLGISSLIYAEAFPNEKLSCFIEGCTHAVSFYGAVAKHFVPDNLKTAVTKHTKDELILQSTFSDLEDFYGTVVLPPPSRKPKGKPTVENHVRYLETHLIEKLKEKIFTSYEELNAEIKKIVAALNTRPFQNRSFSRRDAFEKYGSIMNVGVC
MSLSACTGHNNSDDVRPRVHSRRAVLAFHHRVGRIYSGLPETRIALSPVDKLSSRSLGQSLMGDGRRRGLAANGELCPGVKQTLNPPYLSQLAFGTHKHSLSLPTFTAFSMGLVREVTPGQTQQGRTASPARRSPSTTTTLQLPISWLSGNKSSFWSQFSSLLTIVPLASTRGPVTGTTPFNLSAVASLPRPSHEESLKCV
MSTPTPKPIYIFSHARVSSNLFVRLISQHPQLTIKTYAFDEAFMWGPERLVCRQTPQLAAARDSQSEENKAATYQMGFDNTMDFINETQAKGKTPVLKDHTYFLLDPNVAADEFQFEAQRPLALRPVIKTQLEKGTYKENPAAVCPVVLPPEFLITLNPIFLIRDPIRFVPSYYKVGVDSIGVRIGDADWPVNSSLRATRLLFDWYRDQGISPTVIDALDLVHDTDDTMKALSKKLNIDYEGIITNWSAKKPEHDSGQGDGWLASLSNSTGIDPSFEPKTSDLEEEYGKWVKKWGEEVGQGLKRSVEAAQEDYLYLRQNSILSGSS
MNSRDRPRGLPSNVSPTRERRPMAGVRNGSNTKPAPTGMSRAERFDDEKRRLIQCCFGKQDVDGSVLESYITHIRITEDAAYPSTPAPPSSPPENKKPRLIIVSVRKSGRVRMHKARENNDGTFSIGKTWLLDDLSHIQIYEHMPASSNSEQQQKMWASNVGFVVTVSKPYYWQAATPKERDFFIGSLVKIYKKYTGGRVPELLGFDSRDRDTITGTVAPGAQNGPSPGSRALPLDRPDGAGPQARPAQNQSNTPQSLYPTNHFPSRDGAREPRIQTSREQFLHAPPGQDEGQSQHSEFASSKTPQQEGFRGDLSSNPTSSSTLNSHTPTPFQTAQSPRARPPGISPAPNPLSSSTGNQARSQFVNENGIRPARTPAPSDKVRSIENSKMSSSESGSQRDSEARKSKPTPLGAFEHSAPATLQRPPTSKGSSEEQGIKSKTEGRFIAPFIPENHRNLDARSLSRGSEKSLGTSESASAQRQVPMLRRSGSGDFSINTKSDTRTVNEPEEVVKKSSPDARESPIVREISDIPRKLSSSTTPISLAKSLEKPLTEEKGETHRGETHRPGLGPMVKKKPAELASTLRKAATAYSAFKPRPGGAADRLLASKEKSGP
MRLIADGEEQKEAFLKTLDLLTHGLHAQVSIVRSYLEVCKEIPHQVQVAAEMSMQHLDKAIADSRCVLFSAVVGDGVVPGISAQEPQMTESDDRSTDLLTAARFMAEIPPAFRVSHDYAPSELPPIDILNSDIDVADKVTDDILSVSPKRRKASRINSPAPGKFKKPSSRSPRYQRESSKSSSPTENTGGHVELVAPIGGMNTPEGANALQSSGHVHVPTSKRMSMTEQLYLYEEIFDAGVPKRKLVDGVLNPNWWGRLLWDLFVIGLVVSDSMVLPFQLAYKDDTDMFDVAWLWTTTVFFAADIAMSFLTAYVAGGDEHGARQGALITNKRRIAHNYFLTWFVIDVISTIPWGVFFAEIFGVGASNAGQVAKSTKLMKFLRFLRLVRMARLAKLSAMWERVEASLGSFVLKQSVSFTRVIVIMFCICHWNACIWWLVGQPGCYFCDEGNSESHWTTTEFEGPDGPWTWADRSRIEQYVFCVYWTLGVMRTMPAEVTPVNLLERLYVMFFMFFAFSAFAICVASITHAFFKFSERSRGFEDEMSAVRMYLRKIKADPPIQMAVKNFLQFVYDQHSTHAKEVTPLKNLPNSLKSLLKFARLKQHLLKLSVFATLPDKAVFYISDIAEEHFVATGTCLSKKGHIAEAAWVVMCGVLSVQDESSNCVNLRLDVVDELCLISSSHCVSPNTVIVSACSELLRVNQDQFFKCLQQHDNFLCARQETVFGCGCLDENSVVTHGRGTNSMQDVSAAVVAVIS
MDQLAALHWVQENIVHFGGDPGQVTVMGHGTGAACLNFLIVSPTATGAGLFKRAIIMSGSALSPWAFVQEPLQHALQTATQTGXPVPKDLYRQYESLLQCLRQVPVDKILKVQLQTSPFLATVGPSVDGVTIKEDWKDQLSKMGREGRTPVDVLMGTSSMNLFEIFSEDEMGRGFDDERRSLLLQTFIKNNYRFHLPEITLAIKAAYTDWTKAIEDGNVVRDLTGEALHDASIVSPLTMLACQIHSTFRATYFYVLEHKIEDHYPEKRSNGVLMNELPYVFGGPLGSRSPATSSFNFTKEDVVLSESIIAQWTNFMKFGHPRNLVSVETFGDQTNNRTQSSPEWPRFDPIHQGYYELGTPGRSRDHYRAGNVALWSWLVPELERVGSRYGKNSSFEEPTKVFPLDHLTGSAQRELLPINLLPSYNTTTGSPSTKTSFSGTAGTAVAEVHKKKKSGPSETLDAREARNDLPYTTAFSVTVAIACSLLILNMV
IQENIQEFFGDTNNVTIIGHGYGAACAHLLMLSPMARGLFTRVVLMSGSALSPWAIARNAETYSIQLAKELNCPTYDNILMVECVRHKPVEDILGVDLQAPQYLTVFGPIIDGILVPSEPKQILESGLSDFNYYFHHNVTPPAAPPPVYNSQSVHYINNYDLLFGVTRVEAPYVFSAHEERHGLDPPKRDRILRTLVRNLFDFQQQSIFLTLMNEYTDWNRPVEHPINLLDSTVDILGDALRVSSLIESGDLHSKWISSQTTSTPLRSPDHPLIQSSQPYVSAPGGAGSEGMGGAGGPGTTRDARIYFYVFAYQV
MSLLQRQSEDCLYLNLYVPDGAKGLPVTVLLHGESFDWGAGSLYDGSYLASHGHVLVATLNYRVGVLGESKPVVGVLGESKPLVGVWGESKPAVGVLGESKPAVGVLGESKPAVGVMGESKPAVGVLGESKPGVGVLGESKPAVGVLGESKPPVGVLGESKSAVGVLGESKPPVGVLGKSKPAVGVLGESKPPVGVLGESKSAIGVLGESKSAVGVLGESKSEVGVLGEPKPAVVVLGKSKPAVGVLGFFNAMQPGSRPIVANYGLMDQLASLHWLQENIGRFGGDPAQVTVMAHSYGAACLSLLILSPAASASGELTQVTVMALSYGTACLSLLIISPAASGSGLFRRVVLMSGTALSPWALVRDPAHYAHQVATQLACPFPQQPATHAAYEKLLHCIRNRSVEQILKVQLSSPQFLSAIGPSEDGVTVKPDWRQRLANLGSDGKTQVEVLLGVSEVMRDKIFTEEQEEKGIDANTRDKLVRTFVANNYHYHLQELVLAITAEYTDWARPSQHPLALRDMTAEALHDASLVAPALTAANSFSSAKRNTFFYVLDNRPRDQSKPAVGVLGESKPAVGVLGKSKPAVGLLGESKPAVGVLGESNPAVGVLRESKPAVGVLGKSKPAVGVLGESKSAVGVLGES
LAYIEWFMPFRHPDPSSRLQQVSRSTHQLRRNAAVIHVDEIVQPCHLIPKMGQSVDTTLRSENAYEAVNHFYFNQFIDGEMFGVSVINSL
MNVYTQTPGTDTLPLISIITINYNGDKYLEECIRSIIYQDYPNIEYIVIDGGSTDNSINIINKYRDNINVFVSEKDEGISDAFNKGILRANGEIVGILNSDDLFADSAIKAVANAYLANKKTGGVYFGDIRYFNDEKTMELVANSADLWKYMSIFHPSTFVTKNVYDEFGVYSKEYRYAMDCELIHRFLINKVPFIHISQTLANFRLGGTSDKNYINSYREFYKSVKKYNPSYTAKLYFYWNITKKRVLHSGIGGFLDRNRRIFSFLLAGKAK
MDTKVLPKLSIITVSFNSEAFIETTIKSVVSQTYSNIEYILIDGSSTDKTVEIIKRYEDNIFSWVSEQDKSMYDAINKGLSKCTGEYIWILNSDDYLPHIHTVTDIMKIITKQPNKLGFYGNTFISDSKKIKLRRTFQVNRKELLLSKHCSFIPHPALIVSSDSLKNLPKYDIGFKYASDYDYILNLLKIGELKFINLPFSVFRRHPDSITSSGKIDSERLEILHKHLYFRYSKLERYFYFVSRWFWYKIINLF
MVSIVTVVYNGASTLERTIQSVLAQDWPRIEYIIVDGGSSDGSLDVIRKYGDRLARWVSEKDGGIYDAMNKGVAMCTGEWVGLINADDAYAPGAVRRAMEAVAARPAVNVVHGDIRMVYPDGTSKVKRARRSSFLLKYWEMVLNHPSFFVRRSYYRGRPFDPSFRVSGDHLWTLQAWRESSKQFHYIPEVQADFAVGGASMSVSLGKVLRESDRMSDVLGYGLAERWLARLVRAALYGPVMAKLRINRMVAHLQSE
MTLITVTFRDRSGLIDTCQSIFKQQIRADDFEHIVVDGGSNDGSREWYLDNRPKAGNFAIISESDGGIYDAMNKGIGLASGDFVCFLNSGDVFHDDLALGFVASKLEEGDADWSYGRANIVDARGEKVRPAVGVLPYSLRRHLLGRAVICHQAVWMRRALLEELGGFDERFGAAADYHLLLRAAAVSMPHAWDRILVDYLAGGVSDTDVYRQLLRRHRARVDAMRYGNLAASLDWCWTAGQVPYIALRKSAKRLVGGARTS
MRKISVITVTKNCQKTIERTIKSVLAQENEEYEYIIIDGASTDGTVDIIKKYSDKLSFWVSEPDLGIYDAMNKGIRKSTGDLAFFLNGDDYLLNKNTLEHVRREYEGDNTILIGRVRYGNKVSEIYSDVTPKSMYYDIFYPHQATFIPKYVFDKIGLYSLEYHISGDFEWICRAISSGFRLKWIDYEISEFSLGGLSSSLQCIIDEYNISSKYMVLTNDMYLKDMKNKSIEKGKTYFFRYVLRGLEYVKPAREILLDIGIEAGNTIQIWGAGFWADLFISFFRNCDIFVDNVFDSNSDKRKILGVPVTKYSKNKTNMIVVSTELYDEEISQKLIDEGFMEGRDFVSFHKLRDKMIQIFDTKNEDYQHFVSSTGLELLKK
MSTLPSITLVTPSYNQAQFLEQTIDSVLSQRYPGLQYMVVDGGSADGSADIIRKYAKHLDWWVSEPDQGQSDAILKGFARARGQLMNWLNSDDLLQPDALFAVAQAHAESGAEMVVGGDDHFVRSPAEPVSRFTPAGYAFPGCLRFWNGAFRYHQPCTFFTRGLYARCGGLDARLHYAMDYDLYCRMLAAGADVLTLQRPLSAFRLHPDAKTSRAKAGFVREMRAISRRHWPPAWGAAEQQAMDRYSAECSVHIAAEAARGGDWRKAAEGVVSSLAYAPVHAAQFALGRVAQKFQGPHG
MPEQPTLPHSCTPAPPGSLPRLTIVTPCYEREAYLEEAIRSVLDQGYPDLEYMVVDGGSKNPRVVETIRRYEGRLAWWTSEPDGGHAEAIQRGFNRATGEIMTWLCSDDTYTPGALRLVGEAFQRHPWADVVFGNVNLIDGAGRFLKELRGVPVSRLPIPPGVYHQSSVFFRKALYERVGGMDLEYNRYATDHELFYRFGRARARFLFLRRVLSNTRLHESQTTAVADDQVSAYRRRALRQHYPWIAHPCAHPFYEGFRVSRHAALLLLQGDGPYLARVALRRARRLLSHASR
MRCPTLAELPPPPSGKQGWPWTEETPPLSAAGLGSGRQKGRVGFWPQISIVTPSYNQGAFLEETIRSVLLQGYPHLEYIIIDGGSDDQSLDVIRRYAPWLAYWVSEPDRGQSHAINKGLACSTGEILAWLNSDDFYLPGTLGRVAEEFHTHPELSLLYGDALFVDAESTPLWPYRGRETTLLEKLEYWKGWHVPQPTTFFRRDLYLRIGPLEETFHYGLDYEYFLRAALVYPFHYLPATLATYRRHEMSKTGEGDTNRAVFHAECRRAVTRHVRPTSLLYWQWRIERILLTVRQRLHPVTRMVRRLRKAVA
MNNEPLVSIITPSFNQGMFIANTIKSVVSQTYKNVEYIVVDAQSSDNTSEVLDSFSNSIDRLVCEPDNGQSDAIIKGFKLASGDLVGWINSDDILYPDAVEKIVDAYNLSHDSTLFYNSNINIIDKYGKVIREASVKVSTREQLLRENNTLIQPGSFYSRKALVDVGYFSETLRYSMDLDLWLRLLVKSNPVDLNTKPIAGYREWEETKTLNGGTSLLTERKKMLLEHGAEWHDKSVLNIYYSIFKINAKLSLNKARYLLSACTYYGAVRYLPSSNTKLTKWVRPLRRNIASYMFDKAGDNINIERNAYFGSGKKVRIGDNSGIGVNCKLFGTVVLGNNIMMGQNVQFITTGHEFSDINVPMIKQGLTKEKSIVVSDDVWIGSNSIILPGVNVGKGVVIGAGSIVTKDIPDYVVVAGNPASIVKNRK
MKNLVTIVTVVYNDVTHIEETILSAINQSYLNREYIVLDGGSVDGTIDVIKKYGKEISFWLSENDQGIYDAMNKAVRLAHGEWIIFMNSGDVFYDENVLKNIFESSLTPSAQFIYSDFYVKTKNGLKMYQASYDKGILLHQSVIYKKALHDELGYYLVTNKYMVSDYIFFMLIPYDAILKVPYIISINEEAGVSSANWCGYQKICCDYIFSRISFSQLLFGLMNRVLRNFIKEIGKQ
MATFGAKSIDNMIIVGNSNLENKPPLFTIITVVRNGEQTLERCIKSVKHQTFQDFEYLIIDGASSDKTHKIIKQNSDIVDYSISEKDEGLYFAMNKGLKLARGSYVGILNADDIYLSNTLELVQNAIKKNPNCDVIYGAMSYFDKPNQIYFIHSDELSKRMIFHPTCFISINAYKKLGYFNTKYQVAADYDFILRCRDAQKNFLGLQNVLATFSGDGISAKLRFRSIFETSKIQAKYNFEPRYLQFSKLMRILIITYFRAFINKIFVS
MNEGFANHKIITEANSLPTITVIIATYNAETYLAGCIQSVLEQSYPYYELFIIDGGSTDRTLSIIEQYKHHIAYWTSEPDQGIYDAWNKGIRKATGSWIAFIGSDDLLYPNALQTFVDHILAHPKQNQLEFISSTIELVDENLSPIRVVGDAWSWDKFSRFMNTWHVGAFHSSRLFSTYGLFNTSYKISGDYELLLRANDRLVTSFVPVNTVRMRTGGVSNVNLIRASEETYRAKVANKVISPIKGYVLKYIDRFRLEVRKRTNGKFLL
MRISIITVCKNAERFIERAIKSVVSQTYEDIEYIIIDGNSQDKTKEIAHKYSDQISRFISEPDGGIYEAMNKGIRYSSGEFIGFINSDDYYLDDQVIEDTVNFLLANPSCDFVYGDLEVREQSGSTIVVKPPMAEDVADELICGCLPHQASFSRANLFFS
MPRAGERIATRRLPRPPHDQVELMPTRSNQRANKAQGRRLRSVVLLVALAPALPTKGEIRAFRGEVRATVQGLSTAPDGSFILDEEAYDRTISPTRIDALAELATSDADGRPLAAGRAVSILSDPRASMSGNPGEFALETGSFSNDARIAYQLNASAIEQRDTRFTPADFAFAGPGRIPPDVVSTVFVSAAIVFWSTTAESDLSQTQAELSVVIRDNRAVEPVLSFRRLYVGQADGGVLQIEAEDTGVVGASATARILDLDALRASDADEAAAIAEQADRLGLTTFVVVLIPLQSLAYEYDVELNRDFVLEAEFNLAVTSAPTGTGVAGVMGRPFAGLSDLFEASAAEADGSRIQKSVNSLLDASGGDAAGRPPATPIGCGLLGVETLLAAALTARLMWRSRSDRH
AASPAPVMPPAPAPVMPPAPAPAAQPVPAPEPPVPAPAAVPADVPVVPAGVRAILVLDTGERVEVRGTTLFGRSPGAAAGEGEALLVPVVDDTRSVSKTHIAVMPARRGVFIVDRASTNGSAIVRDGVETALAAGHPAELRTGDTVRFGDRFLQVEWV
RDLVEEKIIPEIKEDGDSDLTIEEIDLIGSHLDKEIEDCAQIRRQTRKKELKDETVDAHLFFRTFLRLRMYITFYTHLICKKCFDLYKSYLEK
MLIQTGSQTVGPFFHDGLFFGDENVLVNEKTQGQRILLEGTVYDGDGQPVPDALLEIWQPDAQGYFNHVADPNQAKADTAFRGFGRADTVNNGQFLFRTVKPGALSPGVAPYINVRLFAR
MIMPIESGWATVGPFFHAVLPWKDGGAMARPETKGEPITIIGCILDAEEQPINDAMIELWQANAAGRYNHPEDTQPGELDPSFQGFGRVTSDAQGCFTVNTIKPGPVRGLGNSWQAPHIEVSVFARGVLKRLVTRLYFEGEPANEHDPVLSLVEETQRRETLMAKPDPKERGRWHFTLRLGGPQETVFFEV
MKSDNPVYGRRTILKASAALFAAGILPPAGSAFAQMVLNRTQDQILGPFYPIMSKPNRSGDLTRVPGGSGRAKGQLLIVKGRVIDPAGKPVAGADVEIWQANAVGRYAHPDDTNPAPLDPNFEGFGAVITGTDGRYQFKTIKPSPYPVTPTRMRPAHIHFDVRGRHDELITQMYFEGDPYHKTDAFLQSATNPEALIVKLDPPAPGEPDFMVAEFDIVLRG
MLPSSPPEGGLYDVDDPDHAVPRVHRSQLTATTFFQRYQKPGIPVIITGLLDDMPIWNLSFLNQKLGELELPVRYYGRDRYQQDKRQWTSSGSGVEAHLMRFSHYAEMLRNGEAYQKDAYLARCSLSNTPLADASSLHQSEAALGLNAPATSLNLWV
MNRLTWDFFLETFKNKFMGEQYMKAHKREFINLVQGELSVIEYEAKFVRLSRYATDMISQERDHSKAYDMTSFKELVDNAKAIEEIRVEALQTKTGPRKQVSGQSRKTSKRDHD
MAKMPNIGTYQANDFDCYGIVIEHRGLDTIFQSFVSYKGNVHHGNQFHTRSEAEEEAEKWAASLEGQ
MIAIYLKGMWPSLSHMMSAPLCLSVILGSAKPFFAFYLISFFLLFISLILKFFLFLLLLLIFNRSPLIFVVLPTLLLLLLLLLLLLLLLLLLLLLNILSFFPSIYFSSFIVSFLSFFFFISFNTFAIFLSLIYVSLHSFSLLLSFFILFVISFFLLCLLLFLSSTTFFYFLINFFPLPRLKVKALLVDNPSLAISPNTHALSLSLSLSLSLSLSLSSTFACRDPAL
MSTSPYLFPAAEHPLAQASPTLKLREAVSGFGVALRYQERAPSPPGTPEGAWCETGHSVFILSGRIRYRFDDHEVVAGPGDMLHIPDGPAHRHKPSVVGDEVVRYVLTEFPA
MKKHQTGEVMLAVMIVMLAVVWLGRGHMGHGDNHAEHPAHQDRQMTKESSEHRGNKHD
MRAVECGERHNFARARKGYLSLLSGPKARSGDTADMVAARRSFLDSGLYSQLAAEVARCAVEEAQSGSALAAPADEVPAAEEPAAEEPADSPAPLTVLDAGCGTGYYCAAVLSACAEAGVAARAYAFDSAPAAAAAAAKAHPRITAFTWDVYRPLPLEDSSVDVILSVFSPRVPAEFHRVLKPGGALITARPTQKHLAALLQSHDGTVSIDEHKESRLQRSLEPFFAEGERRLVNHPLHLSARQARELIGMTPSAWHIDPHVFTDDVTAEFSVVVSPWHRRPGGQADR
MYEKDYLKRIIQQAAHLAGTKIAQILGLIEEKEYLNAIEQLDGAFMSFYGMDSSMLKILSADTVIDTLTSENEEPDPARLLVLAELLKLEGDAYLGEDNQAEASRSFHASLDLYLSVAERTGITENRLENSHVAELATFLKKSSFPNALQLRLMKYYQSDLQYGKAEDELHEMLDQDDVSEEVIREGKSFYEDLLKLGDEELEKGEIYRAELLEGLEEIRGIELGE
MILTTTGRLGLGTTSPSAPLHVPGSNSFVFGAGGTTVYRLRTDSGATESALGPITYSVAGIFGGYIACTAMAMTSDRRLKKNIQSCPIDRVKRLYDSCEVKLYDWIESENKPGQEIALNQLSNSSTLITVEYRLII
MAFTVNTLIGNNVEVYKNTTIRVLFRGSVAETDPALEEPYVQIDTLNLINIDSKDMAQFENVKVPLSPDPDNKGQWIGYVYGLAPEDAKTISLTGSANSDTGPGNLITSDVPVRASNELQDVPILPLTPTMETAPKEHMKPSDDLLGKYTKILKILINYTAADGSVQPYENYVVEWRATIHSDFNDRFNVYGSANATEPLEPKHLGKIIYYRTATNKDGIAELYLVSSTHMASDTIACYTTGTRYRKFGSIASINLDENEATHELSAPDLTWPFGVIDLNATKTKNFRVLVEYGSTTGDTVYLYLNGFLNSMTEVNSSDEVISDTISRYNLKSTTYPTRDDTAENKLLYAVAQQGLVRTSAQNWFKATGNPGPVEPEDVERNLPAPFLINHSKTINEDLIKGGLLIFAKKIDDNGKLIAEVGDVIIVRIYVDAYEDGTNSPRSGVFSSSDYTVTPDMLEDLSKDFSISISEGYLKGFDSDRNGNSGLARIEYFVSKPDGRKEYSRLDSCRIDTVPPHAKTLLK
MQCELCEREMECLTVHHLVPRQNTKRKKTDPGSTVNICSACHRQIHAFFDNKTTCKRT
MARRDPYYAEMADFRRRFAERFAPLRRARFPSQEAFSEHARLHRTTIGGLEQGKTDPRLSTLLILADSLDVSLADLVRDLPVPRERKPPPPPRRRRRS
MIVSENHGDILFTKQFQSYVLERQFIVHVCRAADPESKGKVEAVIKFVKYNFARGRRFRTIKSWQEQCEAWLERTGNYKVHEKTKKRPIDVFTLEKPHLISISKKRILSNNESITKTILKDNTLQFQSNYYSVPIGTYQPRKTTKVRITTSDTHLYAYDMKSDRLIAQHPLTKGKGKLIKDSNHGREEDKRQKLEQHICEVLRNFEEKEKAAVFIDQLKVRYPRHIRDQLRVIESIVDKNSDVLDQALNECLALKLFSAVDLRDVAIEIARRHVQTDTHSEKSDGLSENYQTITARERSFESYLEVLGGERQ
MRTKKRLYMWYEVQKLTESGLNKSQIKRETGLDRATIRKYQQISEDEFHKWVQEKRRMPKKLQNYHNYVKKELELKPYLSASQIEDRLKEHYDNLPKVHSKTVYNFVETIRKKYNIAKPKTDKVRIFEKLLEVAYGSEAQVDFGETWMQTQAGKRRKVYFYSIVLSRSRYKYFHLTTKPFTTKTTIKAQENAFEYFAGVPKKIIYDQDSVFISDENLGSYKLTKEFSSYCDSSDFEEIFCRKSDPQSKGKIENVVKYIKQNFLRGRDFKNIETLNKQALAWLDRTGNGKKHQTTQLVPKHEFIEEQKHLLPLRQNRSKQSESYKSYKVRKDNTICYKSNYYSLPLGTYKNQGTRVLIQLENHKILIFDEEKKQICTHILNNERGKIIRNTDHKREKSKTLEGLAKSTLEYFGNNEIAENYLNLLRKNMSRYYRDNLQYLIKNAGNFSDEIKKDTLIFCIENKVYNAKELIEILKKKQSENEPKNIEIKQVEAKSKHKYNFDVEKSKTSNYEKILS
MNTLYKIVSMYNEIKELFEEGKTKSAIARELECDRKTVRKYLRLDIDSLEAAIERLKHRIRKLHCYEDFVRQRIELCPECSAAQVEDWLKEHYEDFPPISSRTVFSFVQYVRKKYHLFKPAVSIRQCEAVEELPYGEQAQVDFGVSWMRDHYGNRVKVFFMVMVLSRSRQKFVIFTNHTVTSGFLIYAMEKAFAYFKGLPITIVFDQDTTVLKDENYGDLIYSHEFMLYQAQRKVRVFMCRKADPQTKGKVESSVKYVKNNFLRGRLFSDIETLNQSGLAWLERTANTKIHGTTHLVPHMEWLTELEYLQPFVPIPVGEVPGITYGVRKDNTVLYKGNRYTVPTGTYQGPDTKVFLKIDQEFIHISDLNENQLACFAMEQGKGKLIINNDHRRDKTAKIDTLEKELVLSFSNQELAASFTDRIRKRFPRYARDQFLQIRKTIQTQ
MSKAQYDIYKRKVLDLARRLVVKSGPVADAINTELRALGLQINENDPTSWKYYLHLAGEYHSTDTVMTVKSLDTLETIVFNKANLNIHLATSREYREFGSYYRALVAQYPDQEDLIRGIINPVDINTAIAAEDGQILYYDADLVEENEENLIAKLEVWCKVFSRRWMVRAYAFVDDLYVAAHRGLMYQLIPAVIMNIRLGNCHTNYAHSYHIREYLASHQKLDEAVDYMTKKQMLWLYREIRYIERNAGKQSTFNSLLKHIMTDRGLPLSQWDMRHNLAAMPDAITPNVEFVRSALNLNLTSGGAETRNVTEMLEAEADLTLGNTRVMDDVAPIIREQMEYSLNDKLETKVLESSVLDMTDAAIFTKADTLLNHWLFLAFKDRYTAVITVDNPRTGAPLVLSAKEAFIVYVYVFGKALGLNITHLPVLPANNVRRLNIPARSVLQGMIAKEHQDPEVLDAIYAAIEPTGTYISTEAFNRAAVKIHEGLMQHRWIWATRENRDERGQVEAAAMHLYFDYPCDLGNSQTYTAWFAERGLDMPQFTELEAGLLADSLVSYATGANLTASISLRDIQAAMLRIMATLSSYSIQFLQSINTQPILVNDWPEPRIGKIHATAADTIGVNNINLYVQDVRERGRGKDHLPDIGWGGELDDAAHGRIYDRIDFDLISSPSGETTFRYRIELPRPNNVEWNVTAPELEDLEDLDTDSYKPINSTALAQAFATLESDHYALDAEDLQTLTERWNNRPVEAPYVLYGDGLYAPNPFEANTYVPLFEMPIYVMDAFLTELEYPTWSFHCVLDDLEYPKLVATAVLDDLVYPTAPVEMTLNRLRYSDVEREAFLNDLQYPSLTTTVMLDELNYPEIGQVVLDDLEYPVVEQTLTLNRLNYTPVIMLDDLDYPDVDYALESDGLPYPS
MASKLAQSENVSDNHGKAKWTDTNTETFLKICVEEIEAGNRPHTHFNKDGWNNLMNKFNSRIGKNYDKKQLKNKWDSLKQEFGLWAKLVEKETGLIWDPVKNTVVASAEWWETKGKEGEKDTSEHVVDHNEGPSLRINDSKKRQRGMKGGKRQGIAEKLQNSLDRILENIDQISHTFEPRSNDPFSMGRCLSLLKDVPELEFIYDVELVLGFVFHIEPVLVLGFLMSSTSDSDNDNELSEYDENANRALLQKKKMLMDAAEYIKKDERYWPYFKDCIGAIDGTHIVIHVPPDKKIPFTGRKGITTTNVLVVCDLNMCFTFALVGPSFRSQNEAFNYYHSSLRSIIERTFGVCKARWRILQNMTNYELKTQFAIIWSAFTLHNYIRRNDSCDIDLLTIFENIDDFEANEDDLGEDEWEGQVQSQLDQ
MPDVMEITGFARATINKRLKDDPTFPRPVALSDSKSRGAPIGFVLAEVQEWVRKRIALRNEVAA
MLSHSNKPAVPSRPHEPAVPGRTDVSSVLAVTQIAGTFAEVCGSTPPGDRNALIRRVYRRVHCRLDGDDDWSDRDRVAEQLKRATAALAGHRVAHRGFGSGGAAPDGRAVLVDAAGIRILAPRGVRVEEGSVVRVPAVGLAQEGRWLIWSGTLAPAEPGLARIYVNVKAEHTFDGWLALVRTVADAGLVAHVKCTTSTRSNARADCVIAYARPADLPRLLSLVRAALPDELRDPRVAGFAWNVERGIGAYVPDAAHSAATSSGYGWATRLVDAHRTGGIAAEFEALGRALSHTARAMGGAP
MESYKELTCEFLASMKHHEFDELDRAELDQGWGYITFLAKGEKKMVTFRQLEILFGFTYGEAYHLTHKDGKKIRGDRAHAGNLMPLLEQLQAYKVTAYNTRHQRGRKLSVGGVITPILCAAGVQVDKRRSTPPGWMDIKFCKTNLLIEHKELDGRFQFKFTIHWLDPPSFFCPTLSSPRS
MHERIIDEAGIEVSDVEAAHYQALKAIQELRQEGEADEVDWSGWQLEVVDESGRVLLSIPLNVAQH
MTTLKKLGQFFSQKALRSLSAGVAVSSIMLGLGAFAKPASAVNIAGYDFNDEDFANTLVNFAGNFTTPGGSIQTVLTDQDLTTYAFSFTPGAFVQLGFNTPVINLSGNDLAFFDLGIPDTFQVSIDGNNYLPYDSLFTGFSTSNPTFNVNVATIDLNDFGVALNDSISEIYIKLDTLSGDDTVPSLALVAAIRTTPEPSAMFGLLATVGFLACQRKFKMLKKA
MVNYTSENLYVRLGVSKDASSAEIKKVYRKLALESHPDKVQEDERQHAEIKFKAYSEAYEILIDDDKRAAYDYEQANPYGSSYGYGGAQYDEFDAQAFESFFNNMGNPRPNAAKPMRATQTDSLHVDFSLTLEELYKGKVLKMGSSRKILCTTCSGVGARAGAKPRPCGVCSGEGYVKKIQRAGRGYATQSWTECDTCKTSGKTYKKADKCKSCAGSGTEEESKVLEFYVFPGTKDGETLVQYGETDILPGMKPGDIIAHIKQEKHAVFTREGQDLHAECSISLGEALSGFSKIMFTQLDGRGLRITSPPGNVIKPGDILKIPNEGMPSKSGKFGSLYVKVNIEFPDSGWTRERTELRKVLDIFPGAPEKEQDPRQIVDDVSFKQVRETPKYEEEEKESTMPGVPDCQTQ
MSEVRAQAMFSDLFFDVALGLDADAREELAELLDDTAGRMLERAPRTPGQTGDWYFVFRGDHAYEVGPVAELGQALALLLRGKLPAPPPGTLWLYGAPGGRTTISPR
MTEWWLQWWIGLFLVVSGFSMGRMGPAFSRSKIGYPLALFGLGLVFFSTEEGLISNEQIASEAMRQTLYWAVPGLLGCYIVAKGSPIYNVTRPTTLAIGWAILLFSWYVLLTHYDLPGSDVESSVITIAGLIIVFIAHIFTIRLVETLPRSDETIPPLSEIEKTYVANVLNRHLGDRGD
MGQMINRGKEMIRISPKQPNKIEYSTNGGRSWNTRSSSSSYGDFSDLTENGKEILGTTSKGLYYSTNDGRSWNKRS
MKSYMNPEFYMSSMKNIHSVDLASITNTMQRAMRIFF
MTRLAAILAGGQSRRFGSDKALAVWEGQPLIVHAAAVLARHSDEVIVCGRSHSPLTLPHLRDRPAPGLGPLGGLCAALHYAAEAGHDAVLSLGCDMPRLDARLLDRLCRPGPGRYLVEAPIIGCWPAALAGPLADYLLEGRDRAVWRWAAQAGMEGIEAGVPLANLNTPADLSALR
MHEQTICAALFAGGASRRMGTDKALLPWRGSTLVRYLARELGFFSEKLLSAQQASLLPGADWRLVPDLRPGCGPLGALESVLTAMRSDAALCVACDLPFFTRELGQAMLRALREEADCLVCRDETGRVHPVCAIYRRQALPVVTGQLQAGDFRMMHLLANLKTDHFSVTAAQMQNANTPEIWSEITENDHA
MIPKEPVGLILAGGMSQRMGEDKARICFHGIPMLARARKLLELAGIHDVIVLGPPSDRLHQDAYPGPARAICNWLQAQVNTQDILVIPVDMPALKPETLALLRSVPGGAYFQNQYLPFYAPCAQLPAHEAPPARMLHLVEALRLKALDIPSHLRQQLTNVNTPGALEQLEAVTKPNNCG
MCAVSGIQDLIEQPPHHCLGVILAGGRSSRMGKDKATVQIGQRTMLDITRSLLDAVPLNGHIVVGGDFADWTEQYRGNGPGRAICEVIANIAIPASSATAYVVFVPVDMPLLTPERLQQLIQLAKITRRAVYFNEHFLPLVVPVTPVICDSLGKLTQHYASPSVRRLLSIINAFAVTFTGAKQELSNINSPADLALLT
MPLTESDHSFKKLQHNTTRVMLDEMSYFVGLLSPCGGVLDLNIAAQELMGMTYEEALGKKLWELPL
MPLSMLINQLGTIVVYGKLATGFIPSVLISVLLVIFLVYSLRKNTKTSVSNTSLT
VPRAPPRACESECARARPTVLPWLPALLCWRAPMAAQAQAPSLAVDQQYSSIGPQQLEPSVTLKRLRTADQFYWYVKCDQCGLWTCVLDTGMSLKAMQAAAGFLCHACCQPPPAQPELDQKPTMFDADEATLDAYEERSDDGARTSV
TALFISGSNLVAGQDLLTAVQKKFFANFRVSVMLDSNGSNTTAAAMVAQITKTTDVRGKRCVVLAGTGPVGQRAGVMMAREGAEVILTSRSLERAQAACDAMKERFGVEMKPAVAADDETIAKALEGAQIVLACGAAGVELVKEEIWAGNPTIEIMSDANATPPLGIGGIDMMDKAKLRHGKIIYGGIGVGVLKLELHRACVGQLFTANDLVLDAEEIYAKAKEMVAEDDRW
MDVSASLKDDLAKLQTLGAKIATEIKEITTNYRFAFGSFCDKTVIPYIQPLYHDSLFPCSHDTLRNTNCRPTFGYIHFFDFSNDTKAFENVVAAQNITGDLDSPEGGFDGLMQTAVCGEVLKWQEKEKARRIVIFVTDHFPKIAGDAKLAGVITPNDGLCHMKSVSVNSTEKTYSASETMDYPSLYHLKKMMQENNVVPILAIGKRAITVYEAIANEWKDLGAAIGELTSDSSNIVELVKENYAKISSTARLVDTSPDYFKVTYQVNGSSCPSADNLKPNECSDVKIGQQVDFNVNVELLSCPPDVSKAPKSFSIRIAGFGEVVVPVKYLCDCDCSINNVKNSPQCNGAGTFECGICKCNEGNYGPTCQCDITTEQQVNNTNACIGNDNTTTCSDQGNCVCGKCECFKQTNPTNVISGPFCECKNFGCPKFLGQECGGVRGNCQCGTCVCNEGYKGDNCNEIDCVGITRSKCTNDGKVCNNQGRCDCPLQGCVCNSTHEGKYCQTCVSGKCQCDQIKDCVLCQAFDQKNDKCATCEKLTIEKVDFINETKIGKDNVCGTSLANCVVNFHFAGNAKNKTIVVQKTPVCTAKSSSDDDPDILVIILAIIGAILLLGILALLLWKLITSIHDRRDYEKFVKSKSKGVWEKGDNPLYREPNQQFVNPAFGGQ
MTQEVKVVSKTVQIESGRNVWLELIDTPGLNEGATKDLSHMIDIIKRLNELEEIKACILVVKFNAKIDAQYKATMEYYSKLLPGLFEKNVIIVMTEFKTDDNSEDTILELRKCSKQISYSPQIFTIDCCLTSDSPEKETSLIMRKVILDYILQLPPIKVNNQMVAKTDYIKHKDAAKYEKLQGEIKGYKERLQEVHTESKKALDDTRSKKKEINEKESKIKDLEEKLKEKDTTEDVVAEHWSINEVWKWFQRFTRGFNIKSTHEITHYTTWSNEKCEFKEIVQTSHSVKGKVQGQFMRGIYASVTAYTEKRIKYADEIQKLKKEIETKNKNLTECKDEWEDYRKEHEEKLEEIELLEKYIAQRHVAAEKCLLDLMTMEDAVKRLEELKGLD
MSKVYNFGSTHEAQTDSTRQRVVRENRYTAQDAIRDLVRWQSEGREFYISLFKVRQQRRLLYHINHPGTESIITEFSTKRPVVGVIDMILDWIREGIDFEIRMLERKRSDRLPTPTTPLRTPDRCA
MTIISSVLRLPGGVVQDKYDRKKKLMIAFGLIGFALFGICAALGWAGLLIYPVLMVLAICLGMGMIETIAAPVITFLSGIAMQHWGYSVTSVALGLCIVAAAIPAVTMRELVTLPKPDGWEDHIRKSGLTKF
MPQDSRPPPPRPSRQHHPPTLPPGSFRPFVLLRRPSAQDPERVGEHRRWRRRCNPSLDPGVGSAPAPSKFQPKARPGPKKGPLAPKPAAVPVKEKEVAPTPSESFRNADSSLSVPADLSSGFLNIEKPTEPSTVGEVLHFKDPGHEHENVECVARWQHEDVVATSTSNIGESQTFGNLTAGIDSRGFDDDENLGDLLPAPSELVGRAGVKFQPKAKARNQVRASGSSAPLPGITDEVPGGNEDWRSDFGKSTEENEDILSQLESLDDLLTKSVNREEQTPCYSHEGNEPLTSRSNNVEREGTVLSSEEPITLSSMEIDAEKDSGLPIQNAPDSSHFTEAEVFPGLEAVEVFPDITNSSRGKFKPKPMTRSGAKKPDSGTSQATLTDEDHHQDPLINYRSEYIDDGTVPGSIPEEIFQYSSIGLGESNIRDSTSQPIDDQMWNDHLEPSCFNESVSEEGQRPTISSMDNVDNEGGKKSLRQLRKRTAAHLVDESDGDAQDESFYPNPYSSMDGEIEEGATRDENTLEKKKATGKSKVSKSKNGKPSRKRKGAEEATGQSKEVPKKKFAHTTRRSRRCVDKVLLQTPEEEIDYQKVKIRDLILLAEHKERLAKKSAKASKNPPTGQRTPSTRWSKQDTELFYEGIRQFGTDLSMIKELFPNLTRRQVKLKFKKEERQNPMRLFEALGSRSKDHKHFEFVIERLQSAAQAEKESKLENSEAMTVEEGSEDSNPETEGVDEVAKPEKDEEEKGNGDTEGNNGELQSSFKSGEKGEWGEEEDDDDGDDFFSSYKSEL
MRSFKALGDFDQQAREFVITDPHTPRPWINYISNGEYAGVVSQTGGGFSWYLDPNQHRINRWAPANYLNDRPGRYIYIRDPETKEVSSGTYAPVNLGERYECRHGLGYSKIKNRYNGIQTTTTFFVPEKERMEIWAVEVKNTTRSPRTVEVYPFLEWHLGVWETELVVRNLTVLMNEGYFNDRLQAIWVSKFPLGNKPWPFHAYFASSLPVEGYDVDYESFIGPAGDYAHPAALAGKGCTNSRVRGGNMVGALKHTLTIPAGETKYFTVLMGLAEQEDEALATIAKYREPAAAEEAFRQTKRMWRSLMDRVMIDTPDADLNNFVNASLKYQVAMNNHWGRSATYYHEGHGEFGFRNTAQDAWGFLPLDSDYARERMILLAKHQRNTGQPMAGWSYVTGTNEGKAPADFPVWLPLLVSAYVKETGDFKILEKVIPYYDGGSDTLYQHVCKAMQFLQDRAKSERGLPLMGTQDWNDAFDRTGIGGKGESVWLGMGLCLGLKMLEELAQQLGDQPTVEECRQRYDAMRSLINQYAWAGDRYVYAFNDKGEPVGSPVNVEGNCQLNSQTWAILAGIPDAEQLPKVLHHIDHTLATPYGPVLFAPPYTKYNADLGRITAFAPGTKENAAIFIHGGAFKIMMDYSLGRAEEAYKTMRQIIPNASDKDIDIYKAEPYVFPEYVVGPGNPRYGEGAFTWLTGSADWFFIAVIQKMLGVQPSFDGLRIDPCIPAAWPEARISRRFRGARYDIRILNPDHVSRGVREIKVDGELLKGDVLPIFRDHSVHSIEVILGVG
MNNSSSLFWPLLIIAVGMGYLLHNLGFVAVTPWQILHTYWPALIILHGLRKIADFSRPKGHHPRDGGELVTAVLLVLFGAYLLAPRIGLPVIPISWNVVWPIIIILIGISLLFDKERVVKIQVNGDHRDGAAPRAASSLVGEIRRGSTSWVLDDTFIRHGIGSVTLDLTQAIIPEREVIIDISGLLGESVIYLPPDLPVKADCQIKAGDITVLDQSDSGIQRRISYISPGYHEAVRRLDIRVRWKAGDIKIRRIG
MAISLLEESPTLNKPWIPVANIINSTNKEGRIRTPRRGVMGAGATLTKFGTIEVS
MYKEYLFELIREEKVVFWAGSGFSLYAGFPSGKELGKIFYDKLTTIEKLYIDENLALPDLTEEILRLRGSRNSLNQLLNDVFKNKMPVSSEYHELLAGIPHFKTFITTNYDSLIEDSLKQNSQVIYSVNQIPYIDKNKIQVFKIHGDLSDPDSIILTKSDFNNFFKLNAESDTFWTVIKERIATNNVLFVGYNLEDPNISVIFEKISDELGTHRKECFLVAPDLPQTKINFLISQNIHYINSTGEQLITDLVQNINDHIISDFEKGLTSVETYRKFLANIKLESDIKSNKDGFEIKSLKHSTGKGKGKFELTLRNDPAFIKKFKEHFSEGRLEEFKIPAEQLINTDFRIEGLKLPLPDKQTTIRFEPKPIKTTTIDIRFEDGFEMTDIPIKVFTSKSHVEIHIKIKSVLMYLKLFPGNYPEFQFNFKYIHNELCTTTKEEIEVFTLLKKLVAGDLFTVYPKEGKTFTNVFPKTENFKEELDFYLELFTSLRKIEQYYKIRFSEVKMSLIDDGMVEKINLLMSIVNNTKIEIDALEEFEISEFEVSEENLKRLQAVNQNNAPVFNIYEDPQIIELFGHSFQAGYLKVEYLDPYISNLGEIINKKDQIPILISKQKKALVSFVKDYKK
MQEIMRRKASLKTGNVKTSLVKADDVTRCMVCEVIFSVMKRKHNCWACGIVCSKCSNQKLLFEDNKNMRVCRLCHAALTQPLAKSPSSPSGPVPSLLQVSACAPSVLFDYLLLKTQASKPWTRQDGGSRCTILENAIIFKHLLKRTKRSGCTRWS
AVDDILNELPSLVYIKLTLGREERRILRQNPDALEDIARKAVEQSLLYQFGTRITGAAMRRLGEPLEISVPLHTQEDIPAFLEALETQVEAAFEQRIQRLVGTPQAPGAVRKDLQTALKGAAFPLSDASLYRLLLDLQRGSRIAFDRKTHRRITLTTLRFSYVYLAAHQLEGYEPDEITTHVLQHLDEAMDALQTFWGQREFQRLASTPPASWDVKTQKGLQAAIGEAAFQQIREQPLSQISPDMQEAVTSELGRQIITNIYRELLLRIISNEWIEYLTQMEGLRVSIGLEAYAQRDPLVQYKSKASEMFSELLRNIRRGVITRMFTFRPSKDSTLSAASAEARQKEAPEQSQQAGTSTAEPGKKQGKRRRRRRK
MVIWYARLRGGSFDGMNPRVISKIAEEFRSLNYPVPRYQPLVGENAFTTAAGIHVDAQLKNPMTYLSMDPTVIGREANILVGPYSGRSSIEYWLKKRGIEPTPQLIDAVYKRIMHLYDNGLRREPLGDEELNNILNDIMMSLTGQT
MAYNSYGYSGYNPYYQNQPQDQNHTQSPATHTQAYQNSSNPYSQYSQYNTNQYAHQSSDRTHQQYQTKSSIENPGFQSSYGYPQQQYTSTTRNDTSTGYPTYATTSQTSNAAYPYNTQSTLEQNNKAFQDNSALGNLAYASTLGARSASTINNVSTSSQLYQQQQQQQQQQPPQPQPQPQQVQQPQQPQQPQSSSSILPNPTHQQPYSQTNSQKTTHATPQMSQQPSYRPNVANQQRVAPAATQIQRSPSLPINKQSISQQGSAKVMSPHSSIMGTSSSRPSVQSSNAHQISTIMNQSQDHATTKVQQAPPSQIHTHIQNHVHVDERSPANALQSPAITEIQQPTTIDPNAVFDHAEWARRRKAQEDAEAAKRLKEHKIPAPLQPPPPVAQPTQATQAPVPQQSTPKTSTPDQSKSMKQKEPIPEDEIRAEMRAFAEKLRTWKSRDSSGNVDEMWRQTLQGTNNSFLLGTRISSQTPQLSRISVPGKSTPKLDTSGVSPSFDDSVALGSPFQVPGGDDLPDLGKFPAMRRRTRADKGVSRSAKAGQVAAARAITQSSPPPASLHLGKSTPQSAAATPLDAGAESMRRAMQAFHQTPTPATPDPSTPTTQPKAKIQSTVWPEEQKPQLSETAKIFLESQPMNKGKQIAAEEILNMLNQNPSYDQLCIMLANRGFAVPRAAFAQELLDIVPAGGSKNSTTAANADDTPAKKPRGRPKKTSIATPIESPVVAQSTNGASMPWGGNLAQSTMHASDGKASELANGDGAEYAPFYEKMRLMSGQSPAQSQSTPPLLPPGKKRNIGELGKGVEVNGASIGKKQQFDRPGVVKNQRE
GLPNGTSSSQLSTPKSKQSPISTPTSPGSLRNDSQSGHYRPLVGAAVIQGGGDGHRCIWGQ
MFGGFGRPGPAGSGTFALQDQQAALRWARRDAAAFGGDPGNVTVAGSSSGAAAISGHFTSPGARGLFHRAVLACGEGMMDVPADARAKARR
IDKYPGRVSPEEPTIYTSTIVFSNTIVAGHAIGFHATPDNSLTVDGVLWWETPTHFQTSGAQLAVHDEFSGDPLFQADGYHISAYSAARYRVDGGLDHDVDGQLRDWATQDLGADEFVPVAVVTPETGGSVIYTNTQAGVTMTVGLPPGAISFTTGLMFSPFPPLPPGVMDSPFGRFVAVGPPFRLDPFTLVPGKPVTNPHNPPLGDPAAPIQLTKPATVTVNYDLDLLKRLRDAMEQLELQLLQIIGGEKSSPPQDPACGAPAHDLTARTLAVPICDTGIMTGTQAAGVTLRLYAVDAPGAPGLFVFGVEVAGDTLYLPLVQR
MSKYYLKVPSSVTLKDAIKVMHDSQQNCVLVVDEDDFLEGILTYGDIRRCRSEKSNNETSMSDSDVVDVNTLLVSSVCTRGMSYRGRARGLLTCYPNTTLAMARELMEAKGIKQLPVVKRSRDHNRERKRRVVGLLHYDELWHCL
MMKSKMKLMPLLVSVTLISGCTVLPGSNMSTMGKDVIKQQDADFDLDKMVNVYPLTPRLIDQLRPRPNVARPNMTLESEIANYQYRVGPGDVLNVTVWDHPELTTPAGQYRSSSDTGNWVQPDGTMFYPYIGKVHVVGKTLAEIRSDITGRLATYIADPQVDVNIAAFRSQKPISPAR
MNRSQEYELDDVMNEAWEFACSKWKKGDVFNIDDESDWKYLYGVIDKS
MGLKPDFLEAFANTVMEHEKLQITDATMLLKEHESSVFNIGSPRIVHKTAQQSDVKLNGLPSKEPVTDVFEGSSDLQRPLHVQVNGFGKAPHQSFSSSSGSAAHFPSSPATSRDGLSTNNSLGSVKTLVSPPPVQSPSYVTKPQQPLQNPPGITGSSGPLLLPSATTRREVEVTRRKTVVDKINKGREHNAFTFFVSGFESQTPTQLAMAARRMKSAAEERMPRHMVTRVYGEEEAKVVKKRSAQTQLPRPRPIVPSQEYDDSTDLSDVEVKEKVETVTVKYGQMKATMSFKMAGNSVQVENISRQVEDLDGFLCVDGQVPSCSTELSPQSCARIRPIDVRYTLTRRTRYSHQFRPGSTDASLNQANPFGSTGLCSLNMAQVTCAPLRYAEAFARMASMNPAFAIRSRIDVPFWLNREAIVMERRRCAPVQFDDTEEIERGQSVSKSKRMRLSARSSVSSTDSSSMEDSEEERKDKSYTAGGYSEKRRHEIRRARNKKKQRRRARRLLGVESDSDSDSSIDSYFKVRVEMPKYSDIEVPRWRKLSSKELTEITSHPEPCRCCDSTRLETLVARKHLRLANEERLYFEGKRREMPSVDTDDDSEVEGADLAPLVKCALTESERALYDRSAHVPTGREPLRPR
MEKIWDIVVRNWMSSLAIGFDITSSSGLFLFIRGLKFPCAVFLHFGKDFLSSFSDIACVVLLVNVYGCYH
MLLQQQGLIKLKDGLTTYEATPKDIADNPKKLKFVEADSATLPRSLPDLEGAIINTNLVLEAKIDPKSALFREDSKSPYANVIVVRKGDETRDEVKKLDAALTTPEVKKFIEDKYGVAVVPAF
MGGGARQWEKRNRPFSSGMTDKDPTEKALFAFTGGAVLAERVGRWSWTMRKTEPTVQTRADR
MHEEIERKISEGAVNLPFNGGDGSSMVIIGEAQINTMAEYRLFVGYVLAGTLTVGGGVFMAVIVLVLRPLKVLTRKIEQVDINNIAAMHDDFVLTCGSYELRELSAGFQSALDKIYADYEKQKRFSSNVAHELRTPLAVLLMKLDVYRKRRAASADPFAAKDSASAVVSTDSAAPMAPAALAAPGTEDELLEILRRNLIRLHKLVEDILLLTREETHPKTLIDVNALIDEIIVDRGEQADAKGVELKTSGATSGSELNICTDEVALARIIANLVDNAIKYTPRGGFCEISAGRTSSPDGVNIEVRDNGIGIPDAEKSAVFGMFYRVEGSRNRATGGSGIGLAIVEAAVKRLGGSITIVDNVPKGSIFRCFIPDASVSDSGFNYTSASCSGSSCGR
MFQFDLRRIRDRQETTLLIVDVAMLVLLVVNLSWLIFDSLFAARWFAGAVEHVSPAFHDWYATSVAPDFWRYDLVFVAIFLTEFFVRWTIAIRRERYLRWYFYPFLHWYELLGCIPVLGFRVLRFLRIFSMLVRLNRLGVINLKDTEVGRFVHTYYDVFVEEISDRVVLSVLDRVQAELGSGQPLSHRIVDEVVLVRRHELVEEIGLQVDDILRRNYDLNKDDIHAYLDARIRYAVEHSEAVSRLGRIPVFGGRATDMLERSVSDIARNLVETAIADLRSADNRLVIEDIVRAVIDVLVDHGDRFHATTNDMLIDAIDILKERVRVQRWRERMKEAHPVHKQR
NTTLAASAISVLLDISRYETGKPAKKIVEVLVRKSERIQASLAEYLQGIHLGKLSESDVVHRLPEMLLALKPLDVSHQILGFVLPQYVLLLRSDVASARSNAINVVAAILSDCSTPECLVVPSKNSEIEV
LDQPLDLFPEAGDALNFATFAELVERAAALLLGAGVLPGNRVLVVKRANFDVILLAFACARMGAVPILTHPDVGPTSLGLHVRRGEPAAIVTDADTESAGALDEVGAALPPRLYVGKPGRHGEALQDQPPGELPHSIVPPRDAPQLVTHSSGTTGVPKLVLHTVESFAGHAKPQVNFLRGVRLRQP
MLLSLYYCVAFDTTNQNQFLIYIISLPIIKSVIATTLLLLHLAYCTIITFYLDLTLPFLSHPLLTIRSFSFLVSIEQVFFFLVFWLSNRPPPSLTAPF
MKQAIYEGVEIWGYMVWSPIDIVSSSTGEMKKRYGLIYVNRNDNQSGNFERYKKKSFYWYKGVIASNGNDL
MQGLGLSSISQLEFKAYSEADFKCGTNVALGIGRSGYLGQAISVTCFRKRNTIKRKSASPLQVTCKDYPRPDIDNSIKFLEASALSASFSTARRPNKPLEVVIAGAGLAGLSTAKYLADSGHKPILLEARDVLGGKVAAWKDDEGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGQFSRFDFPEILPAPLNESLVL
MSKALASSLYNTTAEIHVPSTENNAISNTISPSTGNAVAISAPTTVAVPQTVQNTNASSTCMQLDDIDFLDDSFICESADFDKVLKEISAS
MYPIKEALFEKILTSEIEWFDHENSSSGSICSRLAIDASMVKTLVADRLSMLAQAISLATLAVVLGLVLSWKQCEKVLKLFDLTVVSSKKESHSQSWYAGFGLFPSQFITGAIPALTFWYGGRLLYHNEITYKHLFQTFLILVATGRLIAETRTITADLSNGNSALESVFRILKRRTKIDPEHSDDIKPEKINGEIEFKQVHFFYPNRPKQMILTGVNLQIDAAKVAAILEEAGLESQPSLN
MGRTSGVFKPVACLILISFSLLACRASEVEIAVSEANLRAVKSGAVEAVEFEARFSNLGSLDTTKRDEMSRLEALIRDYIAVXDFSIENSETGFEVVIEGSIPLAPSKQDAPWYXHVERGTXPSSFSKLQVKTGXQFENLKARAQXINFLLAPEAFHPTKFVLRGDXASIIAPAVEINGEYHLLWRGQAGRKLKLKFADGIFDHMGAGFFFKF
MLPINKIFGLTLALIACYACYQTLSQTGMIYQNFTAFFESSVAMAILATAAVLLVPVSVLALAYLVNLNQRKYLILLPLSHAAILLPGFLSIVVVAIVLVWWFSKYGSTAG
MPGKRVSMRKIREVLRLKWGLGVEERNIALSVRLSRSTIWEYVRQAKEAGLSWPLPEDLDDVSLKARLFKSGLGRGVERPEPDWLHIHQERKRPGVTLLKLWQEYAAAQPEGTAYG
MRLTHEQGLSVREVSERLKISKTTVSTYLLRAREAGLACWPLPPGHDEATLXRLLFGRAGRPPQDLSEPDFALIARELKRKGVTLTLLWQEYRAAHPDGYGYTCYVAAKFMLRKSFYFSGVWPSLGS
MRKIKDVLCLKLQARLSREHIATALHISKGVIAKYIGLAGAAGLDWTGLDWVSIRDLDETQLEHRLLSKPVKRSDYVLPDYGRI
MEFEFINVDESISALSNSELTDFLVKSWQGAKSKRALSEEFKVELPNKHIKSLYPDVVVPGRLCVNCGSKMISDVGYLVKMVKPKSPISERITIDNIRCSSCNHNERFKGLDLKENWLNCSCDACMKALALQNEEGTKLVENVIAMKVGTDSWANNPLHKLSNSQLALLVMRTTYRQARGRGRPFYNEGRADWLDNMDTAFGSIVDLEQDKWHWKFNYTNSQREAVNMVALQILRHRAKEKPYLFRLLVTYAMLASLFNYLVEINGELKRITSSYEYASESEIELVERYTDLCMLIVQNYSVCRGYSFFNKHKKKFAEADSVAKSYEACGYLHNKLEESIVEGGTFSPVLREWLYQPNLFVYFVMECVAGLKNDDWFDAPIEKLLNAWEKGI
MAETKIIYHIDEEETPYLVKLPVSPEKVTLADFKNVLSNRPVHSYKFFFKSMDQDFGVVKEEISDDNAKLPCFNGRVVSWLVLAESSHSDGGSQCAESHTDLPPPLERTGGIGDSRPPSFHPNASSSRDGLDNETGTESVVSHRRDRHRRRNREGHEDGKLALFLRLGCLGLACISEVNQEPKTHC
MSHSHSHSRSRRRAAGTTERSKHPSVSASVRWRILPALGATASLLLTLGATGAGASSGETGAERAAAPASRGSDVPFEPIGFERIPVPPEIRAGSPSFAPDGKHLLFPGTHTVDGKQKSDAWITDLKGKNLRCLTCSTGADIPRLPYVFPFADGKRLFLGFYGVLECAPSIVNCRTSKYLPYDLSTANPPGGVIPPGGAANRPQAVVRQGAYPTLAQDGKHIGYSDVRTDGLEQMVVAKLVRKKNKYVAEDPKVINPPGPTSATDRNIDAWSESTGLYELKTFTHGGSHVTYVHSGGTHSENPEVWEVNLKTGVRKRLTHHPDWDEDFAGSPDGRSAVVWSNRTHNMWDALGGLMPHRSFIDAPMVGAEAGMLINTPNNLACGGVVWLMPGEGDHGGSVSGQPIIAPDVHAHTTVTGWSAWSPDGTRFALNAVKQGSGLFSGEVPDYLAIAQMPSRKPTKPEPVVSSEVGDWAPAPADWHPPFGYVGDVTLDGPGGGTVDIQYNGKQGAVYGDYSATYKNYSEDGKTFLDGTKKIDVLEPGITKVHEVTNLVMTGEHTGSLRKDLEITGGNATGTPTYKGSSTATYDGKTVTGPPSWLTQKGSCPERLPKKPQMKATAKSLGHGRYEIKVTASVAGMGPNETVVDTRPVTHARIDTRGQDAYTDNRGIAVIKVPGDRPTTVKVTAGETITPASLRVG
MAKGAGAQRPRSSGLASRLAHRGADGDPFAQPALQPHAQMDWDAVRRGDVAAVEACVATRGASVDALQLRTDGDRTALHTAALHGHAPLVASLLRLRADPSVRANCRCGLVRPPVAEGAVRLRARAGGESRPSSDVQAAQTPLELALRAGHTHVAEVLRAAMGHPPPREPRAADRRRDAHMLAAVGAARAALTGDEGSRAHGADEVPNAAAAHRAPNAAPSARSATPPPPPPAALAGAAAAAAAGAVAAAGAAVAREHRDTLQQLLNPCALPSWRPPRAPRGGADGRPNGAAGPAGRGGADAPTAPRADRAATDLAAAAAADRTVLFAGLALQPAVVAAQRADGALARELRALEREALRLRDAAEARAGRGAGAHVSYETGARALVADVLVTRAAVPVGGVRERAIDRWR
MDHALNLDAVMEDEPELLRTAVHETMEGRFGHEHVEPEIKVEESDNGYSPTSSAVTRRPFPAGEDGSRSMTRVHSPSHAENSEPPAEDQLVEVFDETSGNWVARETSHAQHGHDHDGGENGNDGLVMMVMSGINRGDTSGSNDVGIYGTDDTCAASGDSKTEIHYTDPKSNASKELYSGTEDGTGLQDEEGAGAPTCGRGTVVSGKLGSSGSSCNSPRDGGDSGLFGGGDARLSALWNALGALKKKMRLGDQSQQMDGGYNMHYDNTDNLTTPMTCTTSTTRQSTTDDRERKLNKNSTLDFGMPETEWNGSSSVEDIYNLSSTVNVTKKMDFVYMVFIVYIKDEKAPSSSTTSEAESEELIRDGELPNAEPAQQAPQETFICIDIDTTEVCGYGTDDFPAFFTPRSGLPTSCRVDGPAEAAELLQQQLQIQLNSGMVLGVPVPEHLAAEGQLVEDATRQAVDESMQQGIKGNEVTPFLLKRINELTGGESLRTNIALIKHNAEVGAQLAIHFAKLGSSKL
MTTSLYDHAGGGQALLALAGAHHTRCLADPMLEHPFSHTTNHPEHVARLAAYWAEALGGPDDFSRGLGSQQAVVDMHCVEEDLTEMGRRFVACFVAAMDDAGLPDDAPFRAAMAAYMRWAVAQFTVPGVERPATDGVPRWTWDGLAAG
MNVEPKTETQIMAALMALTHAYASGDYRRVLALYAPDADTVAFGPTIGEKWIGPAAIAAAYERELKNYPESDLEFVWVSISSVGAVAWVAADCKAHVKVDGRVLLLEGRFSAVFERRGERWLIMHSHFSFPAAM
MMLGYGHYRLRLQEEGLLCHDLPEKLQIRVEYMAARLTEKTDVVRLFNFLISKAAREIIQRHGFLMI
DSSLILIGDPDQLPSVGPGNILADLLSCASIPRVMLDYVFRQEDESSIASNSVRILEGKELIGNDDDFVMIKEQDEEVALEKIKELASELKGEDMVILSPTKLNLLGTSSLNRELQALMKEEDADYIRTGGDLVLHPGDRVMQTRNNYGIEFFDHSTNETLSGVYNGEIGTVTGSDFLLGTCNVRFDDGKTVTYDKKMLEDIDLAYAMTVHKAQGCEFDTVIIALGKMNYKLSNRKLLYTAVTRGKKKVILVYHGNSLDRMLRSTDEQRRDTTLRDLLSLVEKRHGAWES
MDAAERAGMSPQLFTTRTGISAQELVDKNGRIDAARHRRVVELMAHVGASSRVMPDRPHMLFPDFPVLGNLCLNARTLREALESYQTFRPLIGEFDFLGYRETPEHAQFEYIAEFAPASGFQALSNFQVLASLIRAYDGLDQTAFRVSLMGPALPGANDIGEYFGAAVRYHADANRLQFTSALLDAPLVHYNATLAPFLRQQAQHELLRIQRGHRFSCSVEHLISEIIRDPGDEIDSSSLLAQTCKHLNTSRWTLHRRLQSEGLHFTELESRVRSKEACRLLGETALSLGQISEQLGFSSQSAFTRFFRTRHDVAPLAFRQRAKLG
MSAAGHPRDVVIVGASLAGLRAAETLRAEGFTGNLTLVGAERHAPYDRPPLSKRFLTGQPPAADTALPVPDGLRARWRLGQAAVRLDPYSRIVTLADGTGLPYDGLLIATGAAARSGAAPGEPGPEQGVFTLRGRDDATALRAALTPGRKLLVVGAGFLGGEV
MIRYPNGYIIETDPPENAFFVYGELNAAGYIAKGFRLDVPNLSNAGWPQKNELYTHLQSYLSRFDASKRLQFRYTKDSNYRKILEKYESDTERLASVPFCREFRKQTAAELRRQMDAHELWREHLTVYISRPAKDFISGALNVESEKAMQLFKERVSACFESEYLLLKSAFSFPIHKLTAVELFAEFFGAVNKSLAAEEIDYGKIFSPNFSDIYRNEFSGQDHAEQDREQETASERHYGMYGDGMYHNILVMRQLPGFDLTPFYGNVLLETNVTNFSVTVNLRPLNKSKTIEKLESRQASAQRDLEADPSAIAYRSEVDAFGKMIYRMGAGEDVPFEAEYLIHVWNRNLEELQQETEQLRLVATNLQCGMMMHDLTVQVEAQFLKTLPGNLYYRKWDPLFTLHRSFAAMIPFNSTFVGCEDDFQAIFQGDHHNLVCVNGFYGGSPQHAATFGQTGSGKSVNTLGELLQTYPFYSKVVIIEEGASYLMFTRIVGGEYIEIDLNSNLTLNYFDTCGSPLTANQTDFATNFATVMCGQSQDDEIIQDRAAILSHYVIRLYDASWQEWWNHHTELRSEIARIALTMEWMLPQQPAGSNTQFDCYAALKEALAKSPESLTVPEQQILNYYHDIPSGKITECIVENGSLLRDISYAYMKPEDMPYHSQLVEMIRSTPDPTHRREDTNRIATRLAQYAVESGRGALFDGVTNINLGARLLHFEIGKMANVSQNIRAMVGMVISNLAQQQIINMPRKLLKLFLYEEMPRIIASVPGASGFVKRSYAQLRKSNCRSWTITQEAGQLMVQDKEGSDLATIIMGQSKQYYLLKNKDKGNMEFFRRFIALSDDAANAVMNFPAPEHIPGRKYSSYLYYIDNGEYPLVGVVRHYASPLTIAVASTSGEAFSKREEELRRLRILYPELDEGQLLIEYENRQRISNPALKLLREMLNSRDFSRLDVLHEELIRLSLQNAGFRPPEIPKRTQQELETFNSNKEQNT
MFVEDDKSPRPKSILKRKLRDDVERPQQTHKSKWDQFCDFSSLVGFRLLHSKHSPKLRFVSAMVMLVSAALISYQTQAAVKRLVQSDNRRIGYVTQNDIDAIDQPEFLVCVTNQAFTIERAYGNVDFRLLVANYLSDVKSSKNFDYFLVRVNAFEMVKSAKVAVHFLHKGIRANVVELVPGKSLEVTIRGASEIMSEGCKNAYPEGCVAPGTNYTQYNCKWCVPETRKACSCNNPESYLENYIEKYNRNCSFLEVAKCSPAQKLSYSQERSACRNPCQTFAYSLGYALQELTVEMLEMSAGDEDRIAEEYQTTMDRMMDFQFHRYSYQSLDDIKFEDAIWKTRADLDRNFLSKYYTMVVHFYKVVYGSDFIFPAIFLEDLADPLKYQPNVSIKSVMDLATLSPGAIDTCGNPDLQAALVQYSTGFFEYFRNTSFHKWYPCPISLSADSCANFLSFTWNATVLEKLKKNIMSVSGSRQSTLELAITLNASAVNPFAIVQFYSKVENFAQFKQMKSSLSAETETLLRLMNGLSRPLNECMRAKLRNLETVWESDILNATYNWADEFQHFLKRNKGLYLMDGYLKANFALLTIYYQGLTHQKVIYRDDYSFWDFMTELGGNLGLYFGLTIITVYEMLVFLMIDKEPPEARVPIEHKFTKRILYSYDPAILQTDQPIPTIF
MTVLQIVTGILGSGKTTLVRHLIEGPAESKVGVVVGEFADDGFDGDMIKASGGIVRQICATGRGDDAKSYLEPTRAFVEEGKVGRVIVETSGVTEIAQVATDLRDDPVIGSRAVLGPTIVVLDAGAFTAHDTHFAPQLWAQLDVADIVAINKTDKAHNDSLETIRRRVAGRNPEAKALFCYMGQIHRPTALSIPYEGFTPRAFRARWEGALPAEFEAFVYRTSRVCYDRVLFGHRLLNLPGGRIARFKGVLRCWDGPRSVNGLPGQLDWENTPVEGDTRIAFIGIGMDGREAEITEILDAELERQRHDVGA
MNKPSWSRLLRWLLALCLPLILLVVNVRLVTTHRFVNWEYKKAGFPPDPYGLSTAERIRLAEVSVDYLATNASLSLLAAQRLPDGQPAFNARELRHMADVQLVYNRMTQAGFVAALITIGGIITLLVADRSRREAATALVNGSLFTLGLLVASGVFMALRWEEFFTTFHRIFFEGDTWTFPYSDTLIRLFPMRFWIDVAAVIVGSTFIEAAAIGIGGEMWRRRIGG
MCIIDRLRGELRTDGQNSPLRLFKTGHHSMDDHDAARVNVSSSDSSEFSYGNLSRPATKVCRCRRQLHKYPGSLQKTVGLFRAP
MRRSSITAKTLLAMSVTTLSLTGCGGGSVGTVMSSLTGTAADGYLVGATVCLDENLNGVCDTTEPSTTTGSGGQWSLDATPAQEKNAPILVKAIAGSTIDEDTGNAVSRGYALYAPAGYKNVNPVTSLVFQQAVKQGLISASSQQSITSVEQDIAQKVFGSTADASLLKDDYVKGETDKTDPTAQAKFKEAHDLAKAIAATVSGSVAKTSQTVISNDGEGAVELAAYQNALTHLSTLKAIPSGSTDQEIENQTQGLQVGITSSDAEAEKPDFQGAVIDYTALPTQPDSPTQYTGFDGSGPATGLTLKEAPVTVTVNSDKSAVAEALAPLHLTQAGGNVVSAASTADTTYYWSSSAAKLVAFQPKATLLSWSTTGTATFASALNAQVAITKITLDGKAIATTVQRYLDQDWPQTSLGTTTAFPNNSALYVLRAYSQSPVLSVLGSDTTSLSCTGGTSPCAPLVTQSAKTIGSLSGQVLNIGVKTDTQGASTSIFEDGSGNLYQVNNSGATPVLIKIGTAKSVAATATMPALTLLSLNDSSADYKSVEWNDPRIDGEGTPALFSDATGEHVGMYQDTGGRLYKAVLFDDNAKSAIESDLTATLK
MHPSTRLEVKYSPIFFVWTADMVQTAPRVSTAESEITVIANTAPIVLCANTLRAIRSG
MLKVLLMISFFTVMSAMQATSSVSGYVYVMPSKARERDRIYSGVALKPKKEEKKPLFRILYCQQLMRLEQEVDKKGQELIHRKVMNFVSMRMVSKTIQQSTVWVFQDEPKPAKVIRAKSTLKQMVARFMVRMDMGLQCHYRIVKQLNRSDTRPFITQKSLKHKNSSNIYVYVYIYIYGGGAAGGVEGGLAGTVSGLTEADGNTIGTVGSVPGADGSAVGGLVGAASYTVETVDSASKAADGLAGDLAGDVVGGFPGTVGGLSRAAGSLA
MLAQETIINELKKRFKPQAAKDITAAYLINIKGEKGGAWLLSINNGELNVTEYQEGEAYNCKLSINAEDMAMIIEGRMSAMTAALSGVLSVEGDLGQAMKLVPIFFEG
MTGKAWFEEFKKLNSSAHDAAGSPQRGRGERRRHPRFSLHDATVKLYRRGATAIFGLARLSIEGAVVDLSEGGGLIDTGERFLPETKSRLKITVAKFNDTVESDGVTRWCHADLKDPERFQCGIEFLGLDPATARKIVQMRGWYTSAQYQTILAQHLREKRQHL
MLRKKYILVKLRTHLASMHTINMQSLCIATISTILAVLPTGAKAGFRLNTGDAVEISIAGVPELKTRATIDLDGNVMVPVVGSVPAAGLSIGDLLEKLRAAFANRAISVRGVDGRTVITPVAQEDVSVSIAEYRPVYISGDITRAGEVPYRPGLIVRQAIAIAGSYDIARLRSNNPYIEAVNYNAEYALLMNELQQEEERKQLIYNELNMKGDDKAINNKTDNVDWPQRSYMAEQFRAQDVDFQNDVAHLRRTTEQIGNRSSALDEKKTKEEEGLKADIEDFERSKALLEKGTTSIQRVTDARRAMLLSATRALQTEVEAARATLEKDQIARSMQKLQDQRRIRIFTELQDNAAKIRRIQTQLKAVKGKLTLVGALKSAWNDSKMTQPQIVVHRTDGKQREQIAATEDTPLMPGDSVEVILPLDQF
MGNILKKKSVRYDKQYNNKIKARHAQSKIFDREYKKKRASIKANYCQKLKQQEELDKSISLSSLKPIDLRTEEGKKRRHQNTTRPKHENENLRDTIRKRELENRRLQSLSISYSNSLSSYEEENNRKEKENDHEIISPSKLLIDSMSPGAKKRASLRLMDKKSELSRGIITNVRQKLGINLSNQYFPPSSQAPQHQQLFI
TPSSDTDVLPKRLDSPSQRSIDGKATPLSLSRPASTLTIAYAISITKDSPYLDGAIVLGHAIKLAHEKSQFKYALVAFVHPEVFDAREQLAFLGWRVLVREVPVVVSSIRGEYLRTHIKANGCCGERELLKLWTYTLIEYHRIVHLDMDSLVLEPMDELFDDE
MLDLLWVGKGVNLCSFYGLRPLTLKIARFHPAQAKYGVIPAMLLSRNPGFIFALYFCTLYFCTLWPAATNVVALHPHQTKRKPTAVPSWNSQPPRNIFKQRKGRHG
MNALKVGEAAAAIGSSARAIRLWQAKGLIPASDRSEVGYRLFTESDLDRLRFIRQAKDLGLTLGEIKRILDLRQEGATPCPQVVTLLEAHIAAIDRALADLHRLRATLTGVRDHADELAGDGVICAIIERRGACPPAVRHERDRANQG
MGDYRISDLAARSGFPASTLRFYEQAGLLPARRTAAGHRRYGDTDLDRLALIRTARSYGLPLPEIGRLLAVWEGGACGPVRAALRPLLAERAERTAERAAGLAAGAAALAEADAALAAPPPSGPCRDGCGCVDHRAAPARFPDAAPVERGAAPAACGRDCACRADAAAAPAAACSLPPDAMAARVAAWRALAARAVRREARPDGVRLIFPTEPELAAELARLAAAELDCCGFFAFTLELDAEGLRMAVRAPEAGLGMLAELFGAGPSVR
MRSVALKVGNLAKQTGVSVRTLHYYDEIGLLSPSARTETGYRLYAEDDIIRLQQIASLRQIGFSLEEIRECLNQSNVSVLHVIQLHMARLREQIELSHKLLKRLEAITLTVNSAAAVCVEDLIQTIEAICMLEKYYTPEQLETLKQRRNLLGEERIHQGQANWQELIEQVRTEMVNGTDPASDLVQALA
MQLKVGELARSTGLTVRTLHHYDEIGLLKPSGRSDSGYRLYGPDDIARLHGIQALRQMGLALADIAALFEGRGPAPHAIIDQQLRALDDQIARAAELRERLALMRGQLAEGGAPALDEWLGVLRQMGTYGKYFRPDEIKFILSAFEDFKSDWSELTRDVRAAIEASLPADSSEVQSLARRWMSLMLGWMSGNFDLMHRWGEMFQREPHEAVGRHAPPPEVIRFISPAIELRMEATRRNFTQDELARIRYVPECDWRALDARVLALVERDVAPASPQAEVVVQQWLALALRTAGGDARLRDRMLDAALTDPVLRLGSPMSGASREFLRAGLAAKKA
MRKEFLEDGGSGEGSGESDTIATLLDAARPRDDRLWSIGELARECDVTLRAMRFYEGKGLLSPERNGSARTYDGEDRRRLRLIVRGKRIGLSLIEIRDLLDLLTRPRPGPGRWSRVREALDRQAAVLEEQRAEIDRSLAELHREIAAVEQLDRS
MLQPGVKQIIFSDESQPFCELSNYAPYPVTYRAKEYPTAEHLFQARKFLENRPLFAEHIRRGSDQPQFASDMGRRWAPETRPDWEETRIELMEEILELKFTQHAKLRRLLLDTGDRTLIYSPGTHDDFWGNAANGTERNEPDAPSVFDSSYSRDEQRTSPRNPRHPRILSLPHVRGNDPVSLRSEGDEGHRFVPAAKVTAQAAGVSSGSSGSLGLEDLAAPDPSVQLADDVKRMLSQQWKRSDQGFDNLKREIWKQNEDFVGRLLRDL
MSEVITAEARLVDEVVPHQAPAFLDAGRPAFQPLLETVTSRGAMYLHGEVTR
MPKKQLIKSTLLVVMLCGGYSQYDFKVKVKYDTYTGAHNPPEGPVIITFEVKIDKVTVVDIKG
MTITPLDMYRLRNKLTPMPEEMGYVVDTAQEVLTAFTFFTQHGLDTETATDLTAITIDHLPED
MVINDPKGEVFNGTSAYLKQCGYKVIVIDPANLSHSSYFNPLEEAKSDIELEQVAEILVRAGIPSGGGKDDFWLQGAIRFASLFIKCLKNAGAEKSSPALSPLLLGMGWAISPRISVILHASGEFSLSCCSQ
MKSTTILSVLMGMTTLVSALPVADANGLGLVEPIGLAKRMPQKKIDAVDVEKRQKKIDAVDVEKRQKKIDAVDVEKRQKKIDAVDVEKRQKKIDAVDVEKREPQKKIDAVDVEKRQKKIDAVDVEKREPQKKIDAVDVEKRQKKIDSVDVEKREPQKKIDAVDVEKRQKKIDAVDVEKRSPQKKIDAVDVE
MSSPQCARERGCETPYSREKVTLEALVLQAIQEFGLWTYPRDLQTQMGSPRSPRVSRISAPPCARKRGGGALGERDEKAAREDPGAWPR
TLDNSGGTIGTHAQATVTASSVDNRRGTITSDQALAVKASGKLDNTSGKLQSSSTVQVAAASVNNTAGRIVGTGSSPVSVSASGQITNVAGTTAQGEAGGLIGGNGDTTVHAASIANSGTITAQHTLNAVASDRLDNRKGKLSGDSATVTAAAITNANGTIGANELHVSAPQLDNSRGQIAANALSLTALNLMNQQGTISQLESGATTLAVTNAL
MFLRKTLPLIICFLCGVFAIVGFFSAEGTSINTYYEKLAKWNSIIAAFAMLLGIGNLIRVNFIKITRNHQDKLFCAILIASLLITAFFGILGGVMPTKPVFYATPGKTFDLASIPIITKNSDRQIVPVKIDSWTAETGSVNLTTFTPPADFKGDVNLVANYTNEGKTKHINIKVKVHEPGFKEAASNFVLNKCAYIFEYFIKPLQSTMFSLLAFFVASAAFRAFRAKSFEATILLVTAFIVMLGRVPIGTSIWPGFAGISEWILSTVNMAGSRAITLGAAVGATAAALKIILGLETRYLGGE
MQTEGRVRIPGVLWFSLRAHWAFGLLPLAFLTEISRTAIAFTAAAFVAGSVLDWTGSSRRGWHRAGFFLLATGAAAAVADLFFGSGDFLASVVLLVLGVQSVKFLLSKTHRDGWQLCAISFLEFLAAAATTTEIQFAAFLFLFLGLSAGAMWALQAEEAAEAGGVAIPRTRAGFTVLTLLLTAVTGFCLTAVMFAVTPRIGIEQFLRRTGSRGGITGFTDTISLRDVTSVKVDRRIVARIEFPELAPGVSPPELHLRGATYTRFDGTKWRRGKSPHGRVPNAGSHYLLSAQKAAPLSSAEIFMEPIGYSVLFVYAGTVSVEGALGEIRTDGRGNYRLSAGKSAVRYQVRFAPGGSPP
MTLIYLVVLLILIIGAVAYYHTTRLDVNHVYHSLQENQPVVICQLSDLHLERNPFSPERIAKVVEDAKPDFLTITGDFIEKEKALPKLRDWLQRFFSVLSVPKEKRLFVLGNHEYYLPQEKVEEVKGILSHFGNVLNNENKVFPLPGENINFLGVDDLGKSNTQLDKAMDNIQPGPVVMLSHDPDVLQQMNREEHPVDLILAGHLHGSQIWLPFNLGFRFEPMGYISNKLKINRGLHKIKHFNLYLNRGIGNTKLPFRFFSTPEVTFHHLGEEPIK
MKNLQGRKIICKLLLVTNSKVSYMNEPIRNLWAEVQEEKQKLKYKTPSPFPNQEVYYSAEGKLFVRNYSFSYGVSTSFHSQHVDYSPFDYFMSQTAILKYNNSINDNSDISIEVVKFALNGMIRWKGNEALLSMKEDVEEAIDYALDAFFNKGIDALPYIRKAGFQKKWWYSDEIESLTGKERSKRKVAARASINSMAIREEIKEVSDEYRENNFNVFPAMAHLEPNVDYTRPTIVKHGAGLYISTSENKFLRVKQIKANYPNKTQKEVADILGVDPRTVRLYWNK
MSIVPQASPTVPRWRARTFPGTLSRTSAARADVRTDLAGFGGELVETVALCGSEDLR
MACFGRQGIRRILSIIGLQASVPDTSAERMTGPQLDGPDGRHHDAYMRTTLRINDVILRELRERAKRSGLPFRQVVEETLTLGLSRQSARKKEGRAFRVQPHPLGLKAAFRGLSLNQLYDQLEAEETLPGSPSR
MELKKSEKNNLVFRIFRILARVRINVTLALFLVILIILIIIGSYFYIVLEGDITIGKILFHVTGDESRISYGSNIKAENIVIEVKDEHYEKLALKRDEALNLGYLNASTEDFVPATITHDNKMVNAEIRLKGDMPDHWEDEDKWSFRIELKGDNTLFGMKKFSIQKPETRGSFNEWLMHNLFGYADLINLRYDFIDVTFYGKHLGTYALEEHFDNILIENNQYITGPIIRFEDLYNPLSLEEIYFVTSIDAFQTKSIKVNDIFQKQFNEAKNLLESFRLGELMAHQVFDIEKLANFFAINELSGRHHAARYPNMRFYYNPVTSLLEPIAYDINYILPANYIEGDNNRIEVKEDREASFTDAFFSDRIFFSKYIQALQQVSDKEWLDSFFKSIKKEYNEKLIILSTETPSYFFDGKDILYDNQEFLKKILNIDKGMQAYFKQYNKDSNLLEIELGNIQMFPIEVIDMQYSDEILFMPSTGIYLPSKEALKPIEFVVEEFLISDQIIWSDEMVDDLNVRYKILGTDNILQQPVIPWAHLDDNFIENDFIRQEPNWKEFGFIQTNEELKQITIEPGSWKIGKNLIIPEGYHFVLGEGTELDLSNSAKILSYSPLEFIGSEDRPIVIKSADSAGQGIVVINSKNISILKYVNFDNLTAPTQGDWGLTGAITFYESPVDIYYCKFTKNRESDDYLNIIRSEFIIDTSLFNNTFADAVDIDFSNGSILNSTFIDCGNGDGNGDCLDFSGSGVELNGILINRAGDKGISIGENSQVVGNGIEVGNSRIAVASKDLSEVVLDNVTIHDSEIGYAVYQKKSEYGPASIKISTDNSENVKTYYLLEEGSSLILRNEKMKPNHKDVYMSLYGE
MLIKENQYKAKSFLLVLSRKSTIIIRTSFALLLFLGTLYVGAESHRQGWIGMVRNQVRLYQSMISEQGTDLAAKALSGALATPKHIALNVSFKHYEKLRKARDRAIAQKMLIQGENDFVPATFVYEGKEFPVKIRLKGDWIDHLEGEKWSFRVKTKGATTLFGMKKFSLQRPETRNEVYEWIFHTMLKREDLLSLRYDLIQLSLNGKDLGIYAVEEHFEKRLLEHQSRREGPIVRFSEDQFWLARLKQEYPFPGRKLNRTASYESASIDAFQTPSWLSTPARRAEYKSAVSLLEDFRQGKMMPSQVFDAQKIALYFALCDLLGTRHANEWHNIRFYYDPIRSRLEPIGYDGNIGQSSHSLSYQASDTFRKSIFQDPIILSHYIAALERISQPSYLETYFKETGAELQRLLQITYKEKPAWKFSKQRFLANQAYIRSVIYPTTALIAYAQQSHPHKLTLSFRNPHDLPTQILGVSFNNKQLTHSLTHTLIESTKGEFLQHFTISLPDEWSSHADPISELRIQYKVIGTSTARETSVFTTPYLLRTDFTKTLTHSPANMNSFPFIHTDENLKKIFVMPGKWSLQQDLVFPSGYIVHVGPGTTLDLTHHSSILSYSPLIFSGSQTQPIVLSSQDRTGQGLIVIQASKESHLTYVHFRNLAQPNEPAWEVTGAVTFFESPLHCRFCRFEDNNSEDALNIIRSKFTLEQCQFSQISADALDTDFSSGSITSSFFSHIGNDGIDVSGSNVTLEEIQISDVGDKGISIGEQSRVVAKKLSIQKAHIGVAVKDLSQVDAQEVNITEGPIGIAVYQKKSEFGPGQGIFRQLTLNNVDKPYLIEHSSLLTANEETLSPNYQGQLVTELERIAQ
MASQTDRSSPPHIAFRSTKLLGKEREPVRVDQRVGADGGQQPAKSAYADFPLLPLDCTVHDSAVLRGLDRPFWWFFDPSQDGAFLIVDDPLPKGIEADCSGLFGRDLEISLSIAPFLLTSLQLQMANYATLTHLGRQLRAMSRPWMP
MPAPKIMAMNAYNEILPPPVRSDSQPPNGRASEPSKGPMNAI
MLTRVCNISHFVAANYALVAGLDLSSALSAPATITTLRARHLTLTRFIAITLDDDAGPISPAQLFL
HMCVDGGSFIKLKKDPLLTLDWQGPLDTNEHSGPIQLQVMHGKILELSSVRYAPGGTTNIISQRLLEHTGWKPSYSDTNDERLRHKFFDKDGYISSLRRNMMGFTG
MGTIVCQTCGSIIEHFESNQVKTLYAICDCEGCLRQNQEERT
MNQIEIQELTTHLSQALDQNYDVVNMDAVLCVICALEGTTITKEQLEATRLAKYINQLRRRTKNEHLARRAKSLLKKWREMVGIQQTATEYLAHPSQISSSQPALNLVKSPINSFITEPIAPSQQIVSDMHSNIDSAEPPLLGEHTLLHPSFSNLVNSIKDSDRHENIAITTFHTHKDPRHSHAIRSCNVASPQPIIINHSIXXXXRHCGGFNQTDSRVFCYSTSIPTEVGERKREKQRPFHLTTEEEN
MTRPSRRRERTLRRRGFHVIAGADEAGRGALAGPLVAAAVILPAGVPPLGIRDSKLLTAPERERQFVRITRLARAWAIAIAAPEQIDRNGIHPTNLAVLREAVAKLSPTPDYVLVDAWPLRLPMPSEGIIRGDQSVLAIAAASIVAKVVRDHLMAALHPLYPVYDFARHKGYGTLAHRQRLAQHGPSPLHRRTFNVTLPATV
MPGKPTWRLEREAWRSGYRRVAGLDEAGRGCLAGPVVAAAAVLDPSWKLVGVDDSKRLGRRKRERLYEQILRGAWSWSVALVCPQEIDRTDILSATLHAMGEATRLLPRPADFLLVDALELRGCGVPYRPVIGGDRLSVSIAAASIIAKVTRDTYMEEADSRFPGYGFALHKGYGTRAHLAALRAMGITPLHRRSFSGVGTHQHRLPFRETDEAARPEPPRALE
MPPRKRTSFAAPASPNGRARVAPWHRLRLDPDFLEEADLYHRGYRLVAGVDEVGRGCLAGPVVAGAVILPLGWKPDGLRDSKMLKPEDRERLADAVVEHAIAWSVAAVEPSVIDRINILQATLLATALAAARLPVRPDALLLDGTLHLADVTVHQRVIVDGDRLCASVAAASVVAKVFRDRLMVELDREYPGYDLASNKGYAAPEHRAGLRAFGTAPIHRLTFASCADVEQTTLWEAVGDGVGRVDPEGIEDGQELEPSERADDLVPVGS
MSWGCRSLPVDELRRRLASFPPAGETGPPDPVWRRVVACLAADSRPGVAKAARELGRRLDAALAEHHRLLDIYAPEHRLWRLGYRLVVGIDEAGRGPLAGPVVAAAVILAPGTMLPGLDDSKVLSSGQRERVCAAIKQQALAVGVASAGPRYIDRHNVLQATVYAMGAALSRTGLTPDHALIDAVKLPLAVPQWNLIQGDARSASIAAASVVAKVTRDRLMDALDRRFPEYGFS
MAYSIQFVLRNLQLLITLCYTHSMWTIGIDEAGRGPLAGPVSVGVFAVSSQFAMENLEGIRDSKQISEKKREEWYKALTSMEQCRCAVSFSSPQIIDTQGIVFAIQNAMNRALGKLGLDPDVCTVLLDGSLRAPEEYLSQKTIIRGDVTEPVISAAAILAKVKRDLYMKKVAKEYPEYLFEKHKGYGTRVHMEAIREHGLSELHRTSFCKNILKSRE
MEKDNLTCLINAIITKEEVPQDDKEYLYYLRYLEMSAYENDLINQHITLIAGLDEAGRGPLAGPVVAGCCILPHDFYLPGLNDSKKLTPKKREELYEIITKEALAYSVGIVDEKTIDNINIYQATKLAMAKAVLNLQVKPEHLLIDALYIDLDIPQ
MAYLVSLSRWCRACRFPKRAMRRSRGALSALGAAAHTYVTVRGFSDDSEYRILRRDSRLTHLLTEKEKNRLHLLGELERAFYSSSREDAFRLAGVDEAGRGSLAGPVVAAACVLPRDLWIENLNDSKKLSPFARDEIYDLLLDPRTGVDVGVGIVEADVIDAVNILEATVIAMQKALQALRNGSPAVALIDGSLPATLKRENERNAHNASATEFFGIVNGDASSLSIAAASIVAKVTRDRLMENLDKHYPGYEFADNKGYPTPRHLELLRELGPSPIHRRSFAPVKQFFHPMGMNQE
MAGMDEVGRGALAGPVSVGVVVIDEGCRSAPTGLKDSKLLPARRREELVAPVRRWALAFGVGHASAAEIDAVGIMAALRLAGRRALAAAGVRPDVIVLDGNHDWLTDPRREGLLGFTEPEPAALAGHPATEVADASEASESLSGEVPLVRTLIKGDMRCSSVAGASVLAKVERDAMMVSLAAQYPEYGWVGNKGYAATEHRAALLRLGACELHRRSWNLLAAPTASDPEGDEPKCADDGPEKRGCDTAGDSAARGLRGSAGMSDDGLASPAGAQLLDVEVSRA
MNSDFTLAIQSLTYLLLQLDCMSTSDSISERAGVHPVRIRKVLSLLKKHGFLKSKEGIGGGFFYARDLEDVNLWEIY
FNELVEAIRDKDPDLFISLLAELPEASDDGLRKKLQNLLTYEEGIANAMIYPYTNGKIEAKNTHIKTMKRVSYGFKSFENMRIRVFLINQLINVR
MINEDLWVSLLLRSKNDFNGFKHSLETMKLEVTLYMKTSIKTLKKHLNRIENAFNYPFSNGTLEGLNNKIKVIKRTAHGYRSFWHFKHRILVSFKLINQKDTPACLSKVV
MTLSEEQPIFLGHCIFCGARMYRINGKVDAIKPAPGCICKAKQSESDLYKRVMAFQHTARRKASAEDSLNLTNVLYIAGTSFYISQLIKDLSVHPIFYAAAMAVGFLEEDFDLEEFVGLVEKILSSKEVI
MQNQACQRAASRGDIRMYTGECEQVRKEGGTTASARARVLRMNEPAGRVDGVGDGGGGDSSILYRRQESGDNNSSASATTASHQGHQTWALSKAQNDQYKL
GERFSTTCPGAESGYAALLHLLGSAVHQLLLRSRGECWGSCSLWASDRLTLRASSLLLG
IGGVGLFLARTAIEVSVDRKFDWVALLGEGLPLVGVVFGFEITLRILQFLSTDLATGESRYPLLSPVYFCLITPVFYGILWVSGISIHNATDMGFFFPPVDTDEKTSSGTL
MLEQRQDVSHAASRYRAEQGRHGGPVMEVKKVAARNGGAFEVPAVTSKPSSPIGWVLPAEGARDARGANQTTGCGALTRALVAAGFKLRHTCVTILFNFVPAPTLTLVIPISARANNDT
MPTSRIRQIRPISGGCYGYPYLDGESADDFESALADLFADLRHLADLLGVDWGEAEYRGQGYHGEEAVASR
RFTSDRAKIRARRVTGNSAQQQRDVAMAIKNAREMALLPYENRVTTQRGGRGGDRRQRDDDRRPREPKETDAPKQTATPSPQTEEVNQENTTEESAE
MIDKNIPIVFLAILPVYIDGCGSGTELIDIKGESVIIKSAVKSILKKILKEYVVDINALKNKYAKSLGIKNAIPLPLTRDFTLIPLKTRKPMVLKDSAYGYIYYEKIKKVFDNGISCTLDLGNYKIKNTSKESIC
MIHETEKKKRYSLVIIFLALLIFLNSNYGVNAADNNNEEAYKQEPDVASQDKSAVTDKESSAKDSPDTKDTKESSRNKIFDIRKEDRELLKLENTLLSIRKIAAENKDGELSREIYMKYLELNQENITLHDFNYHYEKNKDAEYIRLENNYYEYKKAEFLKLQDKYETLISDYAGLLKSSPSVLNLSKSEISTKLDSQNSINQPVDDISINKPLISKLPKISVTVTVYYVQPDNIETLSVTDDVYIPEENNIVFFQKTYENVITGKDFEFSLPKDPTISDGTYLVEMKYSDSEMTTDYFRVAAVPLALTSNRTINVNDSFDVSLGAGETQVFEFTATSSKAHRIFTNPYGGVGGSNDTVLEIYSDAALTNMISRNDDYNGTVFSSISFKTVMGTKYYVKLRTYSSSGSLYCRLSLLSLEPIVFTETSAQYVYNASNRISYILYASGRQIIYLYDNNGNLLRKVLLN
MKCPYCHGNEIVWDYKTGDLVCTSCGSVIDKIYSYENNVSNEDIMIIPKYVYTDFYRKIEKYKKIENKLKNNTHQIRKTIIYNGSYMKESSLSAMKLIENDEKLLILYDLMDSMPLFRSKNIRYK
MQAAINPLPKLTEEQQLLQCLAGGEINAFWQLFQQYRDYLFRCCLKWTNGNSTEAEDLLSQAMLKGLEKAQKYAGKIENFKYWLITLTRNFWIDLKRRPCANQVEDIEVYGEREDLGWVAVDNTPGSALERDDRNQVIRAAIDELPTKMRETFILHFYEELSYQEIAERQGISYPNVCKRISQARGILVKELRGYFIEEKTSTEVSVTPVVIDSVIEETPLEKAGVEPILDEPMTLSVAVAEVECVVDEESPEVVVSEQESESDFVAVSSEGSLEEFKAIGKQVLRAVPRWLSSFGGSQLLHGLNELVWRNLKQFFKILVVVTITVMAQTNMAWAVPDSICLEALAYCQLMDIDHIIEGHCYQDCQTTGKSQFDKDHCNHAQDALPSLCKAVLNSPSCKAYKNPNEFYEIVSDGVSPDIGIVQPSPGAACIETGRVKVIYDQNDRYYTGVHITNMYPIKPEN
MIIPHKFLHKKDVVPNAPTSHKSRLRRADNIWETRLNPIGNDLSNDFIESVATRDRSKVFHLRRFRDFRDQSKNRSIEFLEKMTRPKELLDSVNHVFTNNVPSFLEKMSVEAIGTRGLVRTLPSLSPQGLQEANAHLQQLMKIMGGAFQVPPLVYRTPRSQAILAPYGVQIEDPPRQEKINKGKAVAEERNRSQSWSGHSDEDSSRSTRTKRKD
MAATIRLSSDGPHSDEYTRQVADALSESVRVLNHATATGAGLASPATVYDVLGRASATIAGFDQLLRQIGKRLQRHLASGRLGDDHGDPASTVEQTLAELAAARQAAHTLTRRLERAFNATASLHLMDESEN
MAPAREDCSHCHMSHGTVQPRLLKLWMPWLCQQCYLVVFHPSTVYSDMGVPPQGDADRLLVQGCLNCHLRYMGPIILQVLG
MRGQARSVSADMGEPVAARCAIGPGHGPLLPLSQGGHSSAPPRQAGATLKCRSLCHQSSSPGETTTMHNAGATTTTTIHSCLSPRLPHTAAAGTGCRGQKTSSLGRSGSGTWSHVRPIPEARPVWPWRAEDATDGSRRSKKSPSAGSGVLRARPPISTSHKLLLASFLVLVGLLAPAECGNPDAKRLYDDLLSNYNKLVRPVVNVTDVLTVRIKLKLSQLIDVVSTWQHSCRDDSICALVCVCV
MRGFTGVRKRWLVALLGVMLVAASCAADDGAADAAAAAMSEAGAAQADADVALADAQAAAAKAREAQAAADTAAAAAALAQATAEGNQEAVAAAEADLAAAQDAAEQARSEAA
MTDRREFLGAMLALFAGVVLPEPVREAIYVPRDPCLEAFMAMVDNGVKIGKLLKSVEPGAYRLTQRSMIFTVDPQFFRAGR
ARLDDEIEFIVINGHTFGQQMLKISDSANTLIYCCDLLPFVSHIPIPYIMGYDLQPLVTMKEKARTLQQAVNENWLLFFGHDPEIACATVKHTDKGIRVEKTFRNFEEA
VTGVLKNIIGLIWVGGEVLLPDAFGFPAVGVLLTGAVLFPPSDLHGDSGCGERPLQSAAVPGHLHRHCVGVHFPPFPASTHPPHHSGCYLPGGGGHVLAWLGDGGSGGHFPLHPGLPAGRGDWLPGSQSPHAPHHACRGPCRRGDRLQRGHHGDLHGAPLLLCHRAFRQVRSDCGHQHGRLNSLHPHGQRRPAGYLGTRALLQALWGRTEGHRCRGCGGGIWGCTILGCPAVP
MNLKEEYRIYAGVIFGIAVLFIFSVFIADRLSGEEAHLRRFILKGKRAVEEKNIFACADMISKDYSDKYGNDRQGLIYAVQEVFNYYKRIFVHIEAIKIKFDDFKTSADVEIVALVIGYTHQNNAEKILEGEKGRFRIKLDKIDKKWY
MSSPELRLSFLSLKSGIDENQFVDLLYLRSIDHLGFVNSLLI
MKNILFITNNASRTGAPILLLNLIHWLVDNKSKDYKITLLAVTGGPLIEDFRKLVDVKLFNTKTDTKFHYLNVLLNKIQNRKTKLWLKNTNFDLIFSNTIVNGKQIKFLKKGDTPVISYIHELEYSINEYKNLGVVEGTLTKSDFFICGSHMVKNNLIDNHNINPSKTAVVNSFAELKGNSKNKKIAEEIRKSLSIPADSMVVGMMGKLNWRKGADIFANTASRLDSKNVWFLWIGASNQKLMELITYDLDRANKNINIIFLPPSPNFAKYFNIIDLFFLSSREDPYPMVSMEATSYGIPLICFENAGGTQEFIDSKTGELVPYGDPEEAAQKIKFFNDNRSLLIENSSYITEKSIKSHDIELNAPQIVSIIEKFIQK
MLDFNKMIIASDLIKFNKDDLNEIATEMDIITKQMDKSTLAIQVIDKLKGELNKNDNSKIKKIIGENLLAGKTSIKWYDFNLNIDYNTIKENIIDKSDFQILNEINIPEPSKLSTDPRIFGGYFDDENEEIYLRFIYKSSVKSEFYGLEFDTRPVPGYCTLYINQKKGLIEYRGENRKADVSIEQFLNNFTMFSDKNSVREKFDFDIDDIAEKLNGEQFDSLSRTEIETVLDSEELEAITKVLKGLDSYFKDNSIDELENSLISVNETLNGTIDDLIMPFSSLLLSGLNTVGLSGDSEIRNSPLFSYLKPNLIQTSGFIKFNIIENGIEKSYTLRVGLQTRSIYFNTPVSESVLKEVREKLLFSE
MRKNNKWIIFLGCLIVTISVFQIVISDLTLFGNDLKSDNNEIIYEDSHGQKLKNSAEIGQGSFVDVGLSQNVSLYSSRNFTFINQTTEDSGIIISNSVLGWNMTNFELNFTSLYTTEKYIPFEI
MKRAERAAGTAVALKDAARRVFARKGYLNTKITDITDEAGRAAGSFYNHFTSKEDLLRAVLADMFAEHDREVAAPDSQHSADFTDRAAVRWHVASHWRFHRRHAVELTALRQAGLVNPEFGAQLQALMSEDINQLRNHLDPISASGRTLPGRPEVVLAMFVGLLEGLESQWQMSSGQFGEYHVDEDEAIDALTEFVYRALNGQP
MTSPQSSTATNRRSTDAESAAQALSPKGRRTRDRLLAGARRAFGDSGSYVETRITDIAKESGVAYGSFYTYFDSKEQLFFELAASVVNEMYEEGTSRYRGDDPTERIDAANRQFLASYQAHAVMMTIIEQAAALYPDLRELRRELRGRFVERIRVNIERWQTRGLVETSLDAHVTAHALVSLTDNFGYLWFVLGEPFDEELALRTISSLWVNALGISKQ
MTSTRDQRDSRHRTRSPQFEERRRQIALAAARVFATKGFTGATNRDIAAEAGISAGLIYWYYDSKEDLFEAIFDQFAPLQALRDALEEADALPVEQVLERLANGLLGAVRQPEHAAILRLGLTEAIRFPDVARHLGHLIARHPVGGLAAYFERQIAAGRIRPVDPWLAAQAFLGSVLGYAVRKHVVGHDDLQAVDDADMAATLAGLFTRGLLPSDGTEGGPDGTDR
MIDNLPKERAALDALNKLLDDAEVCKRLWEEAGMPLPRPLTALFVSKAAHDDSEAPALPLPLMEIPRPKRPRPLPRGVTHEWIYVPINEAHEASLVRAMLSSTVAHSIAELIMAVSDYGRADVNKGSIANIGSRLNREGVITYTETGWKLREGQNTLEISGDHLWGPLKAFHAQEVAAYRRMSIMHILRSFSGGLQIAQISEALHSYCSWFNTSIPHGQFLIKTDMKTLADKKLVRKIGGSHKWGLVL
MRLSSSAFPDGSAIPRRFTCDGEDLSPPLDWSESPPETRSFVAILVGVYER
MDGLNGCWSGTKKKLYREAQPDGASLVTLRRRLGIREAVFVFDGGMKSRWNLEMLTGMELERPADPAAKLDWVRPPCALPWRSGAALRLGRCGYAAALDRGSHATSVLQCRSEPIGARSSSPRVRSVMLAPIPILPVTNADNENA
MSEPDPRFERRVLDAFRTEVDRYCVTANAAGLHAARLRVHVTVATWPSLRTDLRRRIFQTLVRNLDAQLPAARAENVVFHDDAGLPEPGHQFTFRPPAVPVQARPV
MDELEAIKVTFFQECEELLADLEGGLLAMQDGNDDGDTVNAVFRAVHSIKGGAGAFGLEPLVRFAHVFETLLDAVRSNEVPATPDLVVTLLRASDVLADHVSSARGLGDVDEAVSASMAAELKACTDPNAAPAPAAAPVEAAAPVVEASVAVPAAEALIGADDALDDDDLGFDFQPMTITIDEQAADVAAAAGNVWTVSIRPKSDLYRKANETALLLRELARLGPIEATLDASAIPPLELLDAEAAYATWTVRLETDEDENAIREVFEFVDGDCDLEITRGEAVATDDALAALLAGSAPAPAPT
MTHANFNDLVLTADPDNMELDESHPTEVPASCTLLAASPNDIEFDESHPTEVSTRCTLNYTICLQDGSMALDFIAPYPGVPTVYSSFQTPKYPEPEGFELRERQATKFEKRDKNSALSRLRSTKESTKMRTDGSSLNT
MQKTMFAFTIRRNISTHYVDCRDHKTQHMSLACRSFPSPRAAEAVFKAVDEILAEWGPDYRRISTIITDNGSNMVAAFKDFDEDYTYLS
MPNRKNDKNGNKESKEKKDVKDVEDETKEPKVSEDKSDSEIVKDVKDASKKEDQKEEENESDTVNVSKGKEDVKKDEKGAIPPLKPDTSVVAETSTGKVEVKEDEMVNKSLITSTVILDLLGVMKDIISTSQRMVTSQTVLKFTGRLVDIPFRLVEKYDPYFTIETYGVRKRLYIRRKPLLEAKFTNLDTFLEYVMGECFDKVLDEASKVLHIEEAKTLLDNRIDDPNVRGFPYDEFGDNSIDMNNVINAIRYLLGVAENVDYNWRIVNTMRDNAINAIAQRLIEYSVNGMEELRSNICRFVRNTNRGIAPNLIPPLFYPNDLFSVVSRYDWLPRIMSQLGYSLAFTSQAIIELTSPRIILNSVDAKTVVSGLGQNTGAITGLSNIYSSQMTPTANSEISVWVDSIVCIGYIETQIVDLSLDDTTDNFWTACISKLVFSWHGQRRYRNIHNDSVRQIDQIFVNAGLRSSLWVVVDPHYMGDRPLDNVNPLTNEGREILNGIRTDDNGTGWANDGVINHVDNDPNSGYFPGLERRFNYGVNCDNRDTLRLQGVSNFTARWRTFNALQRMILALKKLKDVKHTAALSSLFNFFSERLAAFYIRLNDYLRLHWYTGFRCLDVDKEGILERERTERIDVKMSASSIRTLFTHIHGNDDLERGMDVFMQIKAEVSAALDLKKAYAKRQMFERILREYNVTPACWSARRQIKMLFDKESPFYVHFERIAVGGNARSILDNLNLVQNDMIRQILVMVSDFIMDNSIRFGFVSRVLFKPHMRRWTREDIERSADNSEPIVDNLVDRDGAVERDLGVMRDALPNGDVMLNLRYQAQAEGVLLQVPLPFEVTVSDTLSCSSDCFKIIYVDAGINLNERNSFGFSKLRKTIIFVDQNKLLRVDTILGELNNFELFHVTQEDLDMFLEAWEDFRASLPQLLTSTRVLRNKKINFKSLFDLVPGGVSAVSC
MKNHNIIRVLLLITTVLLAGSADLNDSKERKVGVEDDSPKQKTLEVSGSANLKGSKGGESSSKLITIKTLDPATSIDDLLKQQIDSTSEGSRGKTLYYGAHSWKRAGKRRRRRRSKRWGKK
MRTRILTLFTGGFLLAGTTNAQLQRIVLQGADNTTVHTDLAAALTAAQAGDKLFLSGGTFSAAGPFVLDKPLHFIGAGIHPDSSSVTAATTINITSGGTGHFNIVTAASGSTFTGIIFNSTGGLVHGTSVDDDDPTGLVFQRCEFKKYVHLGFAEGAASSSTFDECVFREDLSGRASQAVFTRCIFDGAVINLFRPAGLFMRNCVVFNSRLQNSSNANVQNCVFTYNGAPLWQVSGVNISNSLITGTSMFSNSSANTETNTIYGVAPSAMFVNETSNSYEYSDDLELAPGSGGIGAGADGTDIGIHGTSSPFKYGAVPYNPHFQQADIAPATDWNGALPVTIRTAAQSH
MANLQKANLGSAPAGSGGDDQRTANTKFNANVDVLSAQATLTTATPITTAQTLAASHVGKRVAINIAAGGTVKLPAAASCPADGVIHLRNVGKAVSLAVADGSGDSFALSRLNNGEGALIDTDGVKAWRVLTRGRAYGDDEIVNGALVVGGGLSVGGDVAVSGRVQGANSANLLKNGSGEFGNVGWQAANFGAAVYAGGDTAFTNAAALANVSVSDQSDAIPVVAGTPITFSGEIRTPGMSAGLAELFCNFYNAGGSLLASIDLDSRIAAGTVDWVAVRKSTTAPANSASMRVGKYASLATAVANGIAFRRIKVEVGAAPSLYSQEGSIAYLGGAPALGGRPTFGGKVPWDSGNLPNPVQVSQLAGARVTTSTTVPDTPYSGPGAFANMWSYSFAHTGLSGTALISFFGGISVAGTSSNLTVGYSFKLIDTVTNTALDESTCYQDLLSVIGNWSNFYRVNVAIAATGLVVGRTYTIQVAGFKTANVGPIGLALNIRGVTH
MSSQGHPYHTEEITCMAISPDSTLALTGSKDGSVHIVNLTTGKVVSSLVSHTDSIECVSFGPSHGPSSLWAATGGMDQKLIIWDLQHSMPRSTCEHEDGVTCLTWLGASNYLATGCVDGKVRLWDGRSGDCIKTFGGHDAAIQSLSVCANRNFIVSVSIDETARVFEIAEFL
IATGHADGRVVLWDARASVPAPRAAVPSAPEKLAAVSCVQMCWATGILLVGHVDGAVRMYRWSXKSGEAAPVFVTMNGMRPAAEKPQETIXGFYLIMATDVHEGARVTEIALGTAFHLVGFGDSHGNVSVLDLSRGGFAFMAPIAAGTSVVSLRFGAGGSRPPSGPASVVLYCLLRDFTVAVVDCL
MFASVRRCRSLESGEVLAAKFSSRLRYGEDATREIHHEIALLSLCSPSPRIIRLHDVFQTPQQFIIVMEYAPGGDMQTIIDDNLVPYEKDVIKFVQDVVEALAYLHHRKIAHLDIKPQNLVMMGEFPDCDVKVCDFEISRVILDGTEVHEILGTPEYVAPEILHYEPITLAADMWSLGVTTYVLLTGFSPFGGETDQETFLNISRAQVDFPDELFEDVSDDAKDFVSRLLVRNPSERMTAKECLKHPWLAKNRRTTRPGKRNTTKSDRRVGCSSCSPTNQNLRNYLSKSREALFEKVMSRNAEKSLRKTTLLQQYQRTKRMCESQMSLVSKSRERALMKESIMSRSREKLYGLKSLSKSQEVLNLYRSVLNLQESQEDKTKAFSGILKLKGDESLNSSMMSISDLENNHKDEEEPVLDIIRRLSNASVTGDREENKENIRNIGQDVKENFFKPPFQEAKNNSIKNSVPEENETETTDVKNNNKVVERLVFTQTPEEDQPPSPESTDSMSFTEGATTSEEDLRRLGGETTEEDEPRFTVAQLVSAYNKHQEVVTKSSIEVTMSKQDIDAKIASKFPTGPNALRLFIPDIKIRRQKPKKRMPRKKTGITLPDIQIEDVTNEEEGIEVKSENKEEEALCLGVEAPPPKYLRSESFSSETSKSSQEEEVKKPPKKPYYMTSSEMRQQPLSNVAKTVELFNNGGAAQQPTLSTPLLTRPDRKSFCMPQPPVLAPQADKIRRKSSPPTMKPFM
MGGFKIEGYAKTNFTFQPKFGRRVWVVDFDQDVISIEEKKFASSETQKSKVRPNRLIGRLPEENLLMRAYYRSSDIFETSGGEKRTTGMFLDREIEQYEKGSGGTVFWATKTKDFADSRLTRRHGLIGRVESGLGGSEKAGLSLNRAGLDSGRTEGFGFRFELGKERL
MRSDRATWWKAHHPGLLLRDSGELVRDPGWPDEILLDSSTRHKRKGIGSIVTRWIEQCRRSGYAAVEPDNLDFFTRSRHLLTRSDNLALARLLGRQAHTSGLAFAQKNLEGVTSRERERAGFDFAVAEECQVYSECAAYTSVYGRHVLEI
MKIIKKLVFTVSLFVISSAMMMSQTIFSNYKISFGNLYTIKDGDLIKESVDGEYTVIDEGGRSVIATIKNGQIDGEFKEYYENGELYIIGKYTNGMKQESWKTYSETGKLWIKDEYKDDKLNGEHYKNYTSTMKYSECGNYKDGVKDGKWTEYYENGEKSSEGNYYNNQKVDEWIEWSSTGKKDTEANYINGELYGKTIRYQGNGNIFYEADIDESETKIKAYFPDGNINFEGTLVDNKRSGKWKFYDKNKLVISIKEYENGTLINN
MRKRNTKIASALMAFVLLALAPAGLLAQAQTGIPTHPKELKYTQLDYTPPKRDK
MAKNEKKTKASKTEEKKTAKKATATKEPKEKAPSTKETKEKPENTIFVGSRPAMVYVLAVMTCFNEGKAKEVKICARGRAISTAVDVAELVRNRFITNLKVKSITTSTESVVRREGGGEANVSAIEIVLAK
MINYIASFNKLAMENLAQNKLEMAFHFMNRADKALESLPDSSEKSSLVLITCNNQACLYKTMGQSHQASLFLIKASKIQPSTPEDYYNLARCLLNLSLLKMEDKDSESALVHSLKALDILTKYCEPDKDKYYENLCTALNIIGSQYKLKGNNTEALRVFKRGLDISEEYLGSQNQLTQTFRKYLEQKKYRFTKKPKSSNFSLPRMNNRMPPQPHSSIKHREKPRVSSLEFYNGSRLPPQKFTFTKDPKYSMKKDTEFSIDVTRKTQIDFDLNETTPLKINQPKNNIKSWDRPCISIQAVFRKFLAIEFIEEVKDSKLTRRQLAEKKAVIALQEFEVLKERATKENPYYEHEDFHSIKTHSRKSTGRSSQK
MSPMIAGCWWYVVLVLQLELPALSPEQHDGTMASPVTTCHRTRNNGRALDALKEMWDKGHEKAMGNAGRITNARMWMHCTLRGLPEGCCSH
MKNTCRRSRPPSLTSTPLRRPPTRSGKSPSTLTPPGPRRQGKPTRNSSPPGKSDRPRSTRASGKTLLKSPSTTIQYPIKQKSVSPAPSPLRPSLPPPSSRSPEALPQPSRPTAPLLAPANLSGRTNGALNSSPQASAAEVVSESLSPGSNLSGAPDGSTPSARPRTTPRSRSQSPSAPSTLPSMPDRSSEQSAKLGLLFPNPRSSSSPPSSLTPRPRRTSTRPTGLASLSLKSR
MAKSGGQYQVVVGSDVPNVYRALEGLLDLDEVSKESSKKHDRTPLQSFLGFISGISHQSYL
MNRKWIVLKESIRLNGRKLSEISLKIYHFLYHSKVLFKMSSLEELQHELIEGQKFAMQGSYQRKLPSKKAIPYLLSARKGIPNMERLLLFYKIINLNLFSKYTLQQSEIF
EDLTHARMSDYMTTNPVTLKAEMPVVEALHLMSLHGFRHLPLVDDEGRPAGVISSRDVVEYLNRSLSGGDNGLR
MRNIQLILIMLVVSVSFAYGQQIESYTDYFSKSRFTDNSNDFHNKEHGHGDLVRYGIKYSQLLSIKLNKHNQPTIWNVSAGLSMVRNNNSGEISTIVPRDIINLGAMVSYLHPISPRWSMAFSLGCGIYSQPNKISFNSILANGGCIVIYEINPSLSIGLGVGITNSFGVPMVMPTGYLKWVLKRRFELDVDLTGQMKITASTIFGERFRFSWNIVEFDAMSAVVRHDNKDKICSTMMLNSYFSQTFSVTDKFSIFANIGVNLLRFSSLSERKLKYMFGNENLSEKRQFSPSLQLGVGLRYGF
MWRLHVSLVSGRLGCVVKAFHDEHNCGGASQLGDKKATVEWVASEEMQKWGIYSVVLGSSLCKHCDRLRRGYSRDESHKSCHNKLLIDHFTPSSLGDDTL
MILECVEEDFGKDVMSDMECAIYEHHECKGTGLFELSCLMDACDDDEICRVYMRCMNNIKRPISPDMCIDPRHFYEGKSETCANENKIRNEQHEMNDMNGIKIDVRIKPSFSYAQIITQAILTSEHGKLTLNEIYQWIENSFEYYKYANPVWKNSIRHNLSLNKCFKKVPRDPGARGKGGRWALNQEVLTNEDTIKKKKMSKCDDVIDNTSHTLCKDYSDPEYGFSDEQDDEANHVFERMTPEDLMRQMNKLIAPSIGSGKSVK
MKTRSYLMKNFTPWVLWEKMKKDPDGINCPGIYLITFDKKVLNKAADPTQSEIVYVGMTNSKGGIKSRLKQFVCAVRGTKVHSGGSRVRYQIKRNKNFEFYKKQEELLKNLHISFCAFKCNVKLVSPETLRVMGEVAKHEYYVLSDYLEKNKCLPRFNDRKLSPRKD
MAQATSGVIFLWPFCVPASMARGGSGPFDGQALLSGVQAPQLTVEFKWLALLFLETLFLLVFQVTAGLLAGSLALLADSGHSCADVVGYAINLFVERQKNVVGGQYDAALIDLLGSGLSTVLLCVATWSASIEAIERLRTGLSPESQAGSSSALGPALLSFAVVSTGANIGTLALYQLWRAPGSRPKPDMESEPMLLLPPSPSPAVPPLPPAPAAPDPRGVELQDLGPPGLEPPGIPGGVPVAPAPQRSRSRGLPNGRSRNKFCSTFAGSPTGDSSPRSPGSRSCRTGCQDAKCGAHPSSPTDCGGCGSDESSWTALLHRVVHPGCAGSHSRASPQGSGATAACSGCGNDNASWSALLHRVVHPGCSGSHGSHQAGDAADVGEGALAAGNLNFSSAMLHLMADVLRGIAILVVAVAIEVGCVPDPGKADAICALAVAVFVTLGSVEILRRLGVSLMLKQCWRPAEEEMLLEGL
PLAVKGLLAHSTAMDEPYDRLARTAQVMRTIGFGSREEVARVTKRVRAMHRKVKGAITEPVARYPAGTTYRADQPDLLLWVLFTLVDSGIVVYRKYVGSMSREGEAAYWEDYKVVGELFGLPRGHMPDTLEDLEDYRREMLEGDTLRVTDWARDRARKIVLEPPVPWMARPLLETVNFITIALLPDRLRDEYGFAPLPPAAVRKAIVTGGAEYVKRVVVPFVPDRLRLVPAARAA
MNPFDTSALPPRAPDQQPRLIAGPRGITARQVEIMTATLCGAIADRKFACDRADEEIPALIGAMVKQVRHLNEAVAAPTEPEARMAIDRFGRPVLIESDAEGARPPLPAAAPAKRECGPQAGFAITRDWELLDLSSSMTGRRFLAVVLFGAEDFAAVERVMRARIVPMQGDPVLRDCLRDAVRKCRGNCHPPDARP
MDENETICEYFHSTEAVTALWVDGPLTCFSALISTIGTGYAIRFLRETRLNENMAAALYSLCVVDFLVMMTQVLFLSVEATSILFTGANLMYNKQNWILVLYGMRNSLVMCSTMLVIYITYIRFRVVTHPLKFASSYNRSRRCKSVSKLGNQNSSDISKCSLSYPSEVNYDYQIMSTKSHYSINFRKSVKPFGIPVLIIIGCFLFHSTCYMEFTLIDCYDVVHSEWSMKLYATTLKLNDVYQKTKAAFTSLTETIGPMVVIAGLSLFTEYRIHVNVQMRKQLFESQQRNRESDDLKDKVSKALAVFIVIKFLIFRSLPTFIDCYALRVPPDEFGPFMSALTRTSDFLVVSNSATNTFAYFGKDSFEKCFQWFEVRFCTHIWPPPKDEPVLV
MNLKKYALIAMILIIGCAAPAVKKLSEDELKERRESAMQYYSLGAEHYRQRNFDAAEQNFINSIKEDSTYYDPYIAMGNIYRERRNPIEAENYYRKAMQIDPKNSKGYEALGDLFLAMQDFARAESVYLQGLAVDPEDIEILLGLADIYVKSDRNPEAESTYHKALRLYPDNIALLNIWGDYLFSQGRYQEAIDAFCECLLRFPAVVELREKRGDAYTEINKYKEALDDYREILTQRPDDWRVILKVGNVYLKQRNYTEAKKQFSQAMTLAPNDPLPRIYNADLLMRQGNFTQAASDLRAALSIDPNLRIARVLLGDLYKRQGDNANRDNKLREAHQFYKNAIAEYRVIPRGDIYYNYARTEIERCEAYMNKIKEELWFRGEKIE
MLRKQFLFASLSGIALAQFPPEPKGITVLRSKFHENVTISYKELNGGPGGSSLMGAFEELGPCYVTSDSKDTYLNPWSWNNEVNMLFLDQPNQVGFSYDVPTNGTFQAASDSDGGDSEAGGFFHAVPTNFTEDNPPIANLTSYPGTFASQKLSSTQNSTASAAHALWHFAQTWFFEFPHYKPEDNGISLWAESYGGHYGPGIFKFFQQQNDKIRDGTSKEKDAHYLHLNALGIVNGLIDVVEQGQAYLDFAYNNVS
MKKYQIVESYGTHMHAGSKATNDCVNILEEIGFEKIEIVRHKPEDKSPVSKIIRQLSFYKQWNKVLNKLSEGDVLLLQHPYRRNHFGRTPSLLKLKEKQVKIISLVHDVELIRGIYDDPFYQKEFDEMLSFADSIIVHNEEMKDWFVKYGVDEKRLVVLEIFDYLNDKSVSSSIEYSKTVTVAGNLSPDKSPYLYQLDKVPRIRFQLMGIGYHPTEDVSNVSYLGAFAPEEVPNHLNGGFGLVWDGESLDTCDGVTGNYLRYNNPHKLSLYLSSGLPVIVWKDSAEANFVEKNGVGLAVNSLFELSERLEKLSQDEYLQLVTNAKNIMKKLKEGYYLKSAVNKIIE
MIEYDAIYVQLMKSLKEKMIHGEYRIGDKLDSERVMAAQYGINRMTVRNALKALEEEGYVKAYRGRGTFVARIPEEPSRKIEIGADSGAVISLSRGIRQRGYRSSRDVISFEKCPAEGEIKEMFPYTSHVFVMLRLSKINDRPYAVQKTYIPAEVFWDADRYDFAEGSLYDYMDTKDRYPAEVESYLRIDLPPAEYAQLLDNPPDKKVFAVTYLAYDRQGLMIEYTLSYHLPQYTSFTYVTERKI
GSAVVYDGTIAGKTVVSAGGVLTTDSDVLLSGSIVDAGKVSGGIVAVSANLTVQDGGVLEGTVASNGSIWVASGASASNVSVASGTSGYSQIVISAGGSVTNASAEGWSNIVVSSGASLLSASTVSANNAGDGIQVQGGVVTNVTVGEHG
MKYKEIVIAMDWDDTIVDTTPTLASMIHASTGWHPGDDVYLNNNNDRGHLKPLLDSGEFMALTKLRPEHVGLIDWMYAAKDRFPVRFVVATHRGYHTEAERLTADNVTRTGLPFSDIIYIDPKNHADKTAYLSAMFPRVVLTDDRPMFDGHGPLPPNVILYNQPWNQHMAPFKERYRVTNSIRMRTELERFIRMTYLIEETIDEQSALPLAETPFSHYDSTSLSATIKARAPGGRINDRRF
MKRLFVLIVLTVLILPNLCFAEADIKASDILTTNIPGLELSDPVVVKKEELKDDAVVSAETLAIPWIAEYIAGLYRYAVVIGAVIAVVVLIIGGFMYSTSAGRAGQVKKANDLMIGSMTGLVLLLGTYLFLGIINKDLTNLRAIEIEKVKRIDLPDYEFHEECKAISDYPPHVLRNTAQGKRPADYTLTETEYGDRISHFKLIASGGSATNRYRAKMLQKPAANAFLKWMVDYTALGHTKPAVNSAYRSSRKQSCLHSNLPEGIAAESCKSNHELGLAVDLNVSTLTTEEFKDLLKTGIPNGFLNFHNWTADTVPTADDNGVPKWKKSVERWHFDFKSSGTILKNHCFLNPACCG
MRNAELRVQTAAQIIAGIDSLLSDPDLANIQALLRVHAKAMGLHVSQ
MAEHDLPKSIASRHQPASVATTSDKNPAMKASDVIRIGESVKR
MKHKIAILSILSMLFIFIGFNNVEAAGYEDNYATTSVNIRDSKTNEILGVLRKGDYIYGYTEGNWVYFNYNGNSAKVYREYIMQDSPETLYIKYPVNVRDRNNNIIGIKNTGSSVLGVRMGNYYRFYDDGVKFIWYSLATPNYVTYSDGVATSHVNIRNAATGNIVSVLKIGEYVKGYSYNNYIHFMRNGEEVKVYEPLIKEINNKKLYITTNGTNVRNSNLNVVDQLNKGDVIYAVNLGEYYRYYENGVKLVHRSLATTNYVSQTPTAPKIYSLSDFMWRGVINWSGYKFTYYSQSVLPGYGLSIPGRHVNSGGYVADKDGYIVLASNSRIPRGTIINTPFGYQGKVYDRCESCSLNWHDVYTK
SFHSIFLSHRRRRTQQKEKSLRRITILDKMKLLAVGAFVLLANIVHCLDEEDVIIKPEFCDKKPLYSPHPTNCGKYIICAGPVGVHILKCPEDLHWDVKRKLCNWKQYAGCDGRPTGGSTGGGSSGGGSRVTDPPTTKPTTTPKPVTKKRYERADPCDKTTCKLPNCACANERQPPKGLSAKQVPQMILISYEDGVNSINHELYKELFDGKKNPNGCPIKATFFVTDTNNDYKLTKDLYNRGHEIGVNTLTHTAPESYWKGLAYDTLVREVVNMRSKLQENGINNVKGFRNPFLQTSGDTLYAVLKDYGFEYDSSLATHFGQLWWPFTLDYSTEMKECRKKDACPLNSYPGLWEIPKIPFRDNIVSCTAYDQCYRFDNNKEAVFEFLLNNFVRHYNQLRAPYPLFGHSDWMTGIHNVYRKEGLKAFIQNMLSKNDVYFVTLSQAVEWMKNPTTLDKIKTFRPWQC
MHFFTRNTFCLAYSLLLLYCTAANSTEGAEQDKEKLQNYLGDMKGTYIVNSSPVVDQIIMGLSNPDPDSNIILRTPEGIKNAVMKSGCRFRSCTEKSAIIYESEKIVGAALISKKCSDLRTIERPSGCNKVSTLTVFITKKNPDFSAIKTLLDWGENKAPESNIEYRVIQ
MNQHLYRIVLNASTGVASTVAETTGCRFTTLAISLALLLGSVTLVQAQAQIVADPNAAGAQRPVIDNTANGLPLVQIAAPNASGLSHNQ
MAIKGYVEATIGPVVDVKFEVGHTPKVNSALKVEGEDLTLEVQQQLGDSVVRTIAMGPTEGLKRGLKVIDTEKMIEVPVGKPMLGRIVDVLGHPVDKAGPIKSDQYLPIHRPAPKFTDLAVSQDLLETGIKVIDLLCPFAKGGKVGLFGGAGVGKTVNMLELIRNIAIEHSGYSVFAGVGERTREGND
MIGKIISVSELGVEILTDGSGVSARDMLYTTVDGERRHFEVCDVAGSVVNAIPFESTKGLKRGMDVELAEHGLTMEYSDQILGRVFNPYGQMIDEQPLDSIQQKNVYQPSPSLSQIDANGDILWTGIKVLDFFAPMRKGFKMGLLGGAGVGKTVLIKELIHNVYQGLGSNSVFIGIGERSREGRELIDEMTEAGLLDKMSMVFGQMGENSASRSHAIYSGLTLAEYLRDEKQQDVLLFVDNIYRYVQAYSEISAMMNRMPIENGYPATIEMNVSEVEERINSTPHGSITSFQAIYIPADDVTDEAVQT
MKTNYLILGVSTLISKNVGHISQIIGPVLDVTFPPGKMPNIYNSLIVRGQNPAGQEINVTCEVQQLLGNNKVRAVAMSATDGLTRGMKVTDTGAPLSVPVGEVTLGRIFNVLGEPVDNLGAIDVSTTFPIHRSAPAFTQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESKVINEQDISESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPWIVGEEHYETAQGVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVSLIETIKGFQMILAGELDGLPEQAFYLVGNIDEVTAKAETLQMES
MTDLLESGHQPMMVRTSCLAVHANDAAPRAPGSRLRWMEWLVCMRVRQVRVQAVLRRARTLPAGFWLCKHFMKIIDYVFSGAGSLAIESAGRGWIRLGVVG
MEKGAPGDGSASSSPRHSRHKQEIQAERMNRIPFELAVVFAVPFRPFEIVRVLEISYKKILSKEVGLVRSA
MKKLIPFVLLVLLSAIFTNQTLASDADTLIIPMDHVDGEIIQNDAADNTYSLSQFVIQEENGEVNGDGLISINGQNFDLAFAGEIYPIDNGHYAENLVVGDIDSDDFNVLQFRVENMDNTTLSFVLEHKDNGEWISFEQEIDENTFERLYQSSVNFIEVEGYDHFELADKIIPLLNINNKSQFKDEVEITNETTSPETIIDDEGNNVVKEPEFSIYGTNPSESDNWEDINIRYDRLDQLFRDLKESPTNSINLEEKNFYGGIYVEDLFKGEGWKRWRAQSGTSYDYYAYSSEQGYNTLTQLSMIIPTGYHTGIDGEGIYHQHAVYEVLHGALVEYNELTKDLSVVYYNGGISFENFEIGISQFSHTSRNVFISSILDGQDLEQTNQPIRYFVSRLPHGERVIDLWEALTPYEVKELRTESFFGPNYDDQIDYNGTVVRAVSGNLGNNILRAENAHAKLTGIAHIPTGNLFFRPSNYYKTDVRTNLFVPE
MRKSRYYFDGDYFSVHLKPTFIDGGYVLFVILFFYLEGWKGGRSRSIIHLSFSFPRKLSESNHISIKRPMSAIQVHLL
MDDYSLLMRAADWNTTQKSVYTEIAERILRGNSRGRRMIALDGRPGVGKSLLAIELRVALARAGATSFVASMSDFKNERAVRERQGRDSATGYYDDTYNLSELKRNLIEPFLLGGSTAFVLDAYDAHAEQFVEPDWTTTGPDAILLVEGEFLARPALSRLWHGFIRVEAADAVRGSRMAKRDGAHPIVTHPSHAQREQANAHYESICDPVSRADIVFDNSDWESPVIR
ASKGSQVALTSVEFYNNVAYDQGGCVHVESSNVTADQCTFSGCEVSFREETCLTIYMYSVLSFLKAYYNFAQNLDGWEGSYLLVCTVDCSHLANASTSAWDEDPTVVGYMTLTEGETGVGELCLGIGTYYSLLTPLTLSPDLESLEAFIETFIVASVTDGTTFLAAIRGGDSVHHFDIDPGSLAGGGALHVSAGTV
AWFWKTDFPTTPVRDPAKLVNETLSPLNDNSCNFILNVAPNRDGLIDDNALAGLKEIGKLWHNAGPTTALPPLAPPLIASNLAKNQAANSSWSDDMNIMDFANDDDYHTSWQSNKTVQQPWYEIDFKRERSFNTVVVAEQKANITGYALDYWDGVAWQSLFDGTNGSSIKLHRFNRVWGSKVRMRIKAYDHQPSIAEFQLFDERR
MIALLRKRLCRAKERQLERLPFFLAAFYAAFLLSLSYRPFLLPAAARFFARKAAM
MGRRPRSLVALVVMLTACALAAAGFAALGVWQVRRLAWKEALIARVDRNIHGAPVPAPASAQWQQITAADEYRRVQASGHFDYGHEVEVAATTELGAGYWVLTPLRTRDGTWILVNRGFVPPELRANVPRGPDQGEVTGLLRSSEPGGRFLQANVPAAGRWYSRDVAAIATTERLTGAVAPYFIDEVAGPDTATRWPRPGLTVIRFPNDHLQYAITWFALAAMMAAAFGYVLFDDRRRRAAWGQSLLTHAYHLPLDEAGKVPERLAHRRNLLQLVELRWLAVGGQLLTIVLVGTLLGVPLPLREMLTLLAVLALFNVASWWRTRLARPVVNAELFGGLLVDVAVLTAQLYFSGGITNPFIFLFLLQVAVASVLLRPRYVWAMVLVTTCAFVALTMWHRPLALGGLAQAALSSDYVGGLLVCFALNAALLVIFIGRISRNLRQRDEALARLRQRAVEEEHIVRMGLLASGAAHELGTPLATLAVILGDWSRMAPFAGEPELREEMEEMQRQIARCKAIVSNILQSAGETRAVAPQETSLSVFLDELVEEWKRTRGAELTYRREGLDLPIISDTALQQMVGNVLDNALEAAPGQALRFEASWDEDTLTLRVQDRGPGFPDHVLERLGTPYQSSKGTPGRGLGLFLSLNVARTLGGQIAAHNVPGGAEVTITLPLASLMPNEDEDDGR
MNDTLNEQADQSIRQNLWWLMILRNLILGGITCVLLLTLYALQYQLPTVPLWTIIASLWIINSISWFRLQKNYPVVEVELFLHLIIDVIGITALLYYTGGAANPVAWFFLVPLIITATILPQDYTWYMVGITSICYTLLILYHIPLPDYYDMAAESTLPAPMVALQHKYIHDLYVLIMWGGYLVVATFVAYFVVEMSRTIKESDHKLALAREQALRNERLIAMGTLAASAAHEMGTPLGTMAILAGELEREYTLDQNPDLHQKMVIMREQVDRCKTAFSAMSESAGELRAVSGRVMNIKAYLDEVTQNWLANRKIVGFHYQASGSEPSPNIIADHTLTHALINVLDNAADVSPKWIHFEASWSAEQVNIEISDRGPGFPKSIVETLGNEPTKSNKLGMGVGLFLTRAIIERLGGSIHLGNATDGGACIQIELPTVHVQQPAVHTSAT
MWGGHLLVSVAVGLVVRVVGVVVVVARRVELVLAHVVLARVVALALALRLALRLAVLVLARAAAGPLLARRLLPHRQLLPATPFCPHSRFYHTARLDLCDLFILRLAFRTKLNSSFRDDTCRKCPLGSS
MFPRSRLFVLLALALAAGFPDGAGAGSDGGVPSSASTSAGDERYQLDDLLVAQDLFRLAVRNRDVLAIVAAARIVAATPFTRIEDVPEGGYAAPAGDAAAAKPFATLEDMLADARRLAAGNATMLTLIDDIGEGSAALARGASATSVTGIFDVPPGAVHVFRRPFRAGTPAVAAIVADGPGVLEMTVADDRDRAICTDEGTASIGRCSWLPLLDGEFLIIVRNTGMSPLRYNLLLH
MSKKTALLLIDVQNIMFSYEGGSLWNEQQVLQNITYLREKAHQSNVPIIYIQHTDPSYNSMLSEGKVGSFSTRYVMINTIFNKIC
NEIDASADNTGSYSWTIPNDISTTVKVKISDVNDSASYDTSSNNFKIRGSLRITAPNGAESWIVDSSENITWVRNGSIATVKLEYSTDGGTTYDLIDSSITATDLSYAWTIPDDISSAVRVKITNEADSTIFDASDSDFAIKGSLALTSPNGAEVWVVSDAEAITWTYTGSIANVKLDYSTNAGLTYPNPIIAQTPCDGAHGWTIPDDISETVKVRISDFTDDTVN
MSGSAPLPSGRRRTRRGGAPREGAGARADGRLSGPMGAAAAARPPRRAPSRGPLTPPAPHFQGVARSPARSGLAVSASGCGRERGPAPAALGDPARPPSVRARNRARGRLRPQVCGCVSGPGGRRGRRASAAASEWAPAAESAATPESPRRGRCSCGASGARGGTGRTPVGPKCGARGGEAGASQLAAGARAGPGCSRPPRPRGPLCRRRRLRTSRAVGVCGASGGGAGCGDVPAPRHGEESVAFVVLDKRLRRPSF
PSGKELEQFVNRLGTNLEQLLATGRKEEATQTLKSALLEISHTFSENTKIQPQADQLTATIELYQMLQIRLAGETVFFLPLPLSFVNQGYLLVEPDKDNEQEQQPGAEGENNKYSLHLNLEGLGNLKVELQQHAGGTRLRFFAEDSEKARFLSENRDDLQQWLTATTLESVQFLTGAEDPTRQLLARMTHGPASLLNTRA
MQDLPFANSGRLRFAYGSRGLRLLRAIDGLASGIGSATTWSWVSRRHDSTKAYVDGHSLKCRLPKPIGGIIK
MDLIEDKIKNNLITEEQGRYIAAKYDDFVERFLKINHTSINFSGKILTYNFVEGVWKFVVSNLDVSHNNRMYKIPLVTIVAMESDTDKDSGRKKRKLK
MPSDQSGELSPAGLFGGKAGDRVDGLEADLPGLAVDAAANDLDGLAGAGEEQVVDLDSSAVVSA
MIKIMKKKQNKKSVNWGGFNSTKIPLMSWWSNFLNNSQLNFGSGGSYNADEDEPTNPPEGWIPSLNPDIFIGNLSPEKAWVWTGSDYEWAHRDTEYGKQFVGIPSPAFITKYIWDFGDGNTIESTPEEPNVDHAFDEPGAYSVSCVTVYNDGTRKAGAINVIVEPLIDSVSWDFGDGTVVDEYATAHIYNEPGTYTVTVSVNYSNGTSLVRAREVVIYPNDPPTITLSVDNRRTDKETPIEFTVEASDTDGVVKLLEWEFGDGKTEEQDVWEGNDEIQVYSSSGTYTMSHLYEVEGNYTVTCTAIDNNGLETEAELTITVDDVEYIPSYAPFISTVSDVIDKTKVRVEDTWKDQSLLRGHIAGDLGSNRHPGDWGEGGPWEYPFDKWQLDYRIRDKKDLDTYLHFDNDKTSLVVNVEQDNSEFKEWPYSVVYKLYEPLPAGITEKTSAYVVQEKIPQHEDKVLLIDYVDEDIGALILRDPNTTELESPISDLETQYQTWDEILTDDQTVSDDLENLIFSASNPVGSSYLNVDYSKYENFINFSSAKKRIENFKSKLENIELYTSQSNYYSSSVSASTDIVASWDLKKRQTINSFMGYEKYLYFGSSSYSSSSLGEYFDNSWPKTNSSKPYSLYEVTSSKAIGWYNTQIEKAHIYDVKNFNMLSNQVPMHVAGDDGNVIFINFLNMIGEYFDNIWGYIKHMPDIHNRDDD
GPQAPPAESTSSCWTGPAAALRGMAREIVAAVALVLDDPFLGHAMCIGMLLQAVYAGLLATLGSYLLDVLGYTQARAQVAFIVGPIFSLFGAALSPHLVRLCSPAWACSLAFLLSSLASEYWG
MKYKVLTILLCVMFVSGCMPKVLTFVGENENWEVQFEVTSDKMKSDQCGATSGTIRYIGDQPLPKEVDYTLKHGIETSVQIHWIKTA
MFQLKNELIIWLLVILIVGAFSTQLLAAEKIVINEMMFSGAAQEVLIEQAKRFMEENPDIEVNITWVDYASLHEKMMTELVGGTGRYDVMAAITDFMPEFIGGGYLEPLDSLIEKDPPEGWPDDFPDSLLRYQKDTDGKIYGLPWWDGPVMFYYRKDLFENPQEKENFQKEYGYELNPPMTWKEFLDIAQFFTRDTDQNGTVDFYGAVQGARQGGQNLVYDVLLMLFTNGIEILDENFKPVFNTEAGAEAIQFYADLMNKYKVSPQASTTYDVPESGDFFLNGNCAMHWNWAHIAGFAEDPEKSKIVGNCGYSLMPKGENGSNKSLISYWTYAIPKASKNKEATYKYIKFITSKEMDKLMVEYYGQPVRLSTWNDPGFVEKYPFFPWIAKTHEDIGVVPQVPEFTQINDVLQIAASKVIAQQTDAKTALDEAAQMIEQIMREQGYYD
MAEKLRFAVRKFDPFEQALKKIWQTYRETSGTGLEMEFVPMDLEELYFHLFTRDGLMDGSWDIVHINTDWIAQAYARGGLAALNLYLESRPPEAYGTAWCESLSGLQNFDGKIVGLPFHDGPECLIFRKDLFEHPVYREAFVRRYGSTLEIPVTWDDFLRVADFFTRPEEGLYGTVFAGYPDGHNAVFDFCVQLWSRGGRLVDDSHRVLINQPVAEEALDFYRDLFRTRVCLHPQSHSLESIGAGQTFARGEVAMMINWFGFAAWAQVDTRSSVRGNVDVAPIPVHKGLSPLSLNVYWLYGIAQGSVQKQHAYEFIRHAVSPENDKLLTLEGGIGCRLSTWKDADVNRHIPFFGKLPLLHQQARTLPRLATWPAIAHIIDKTVAAAIQTDIPSGRLLADAQHTINLCYDTYSL
MNKTIVTLLIICFLLVGIAPAFAQVDIHVMFQTGGDMIPAVNFKEEYEANNPGITITLEEVPSESLYEKQMTEFLTGTGSYDLIELYPTWMGDYVPYVENLDSFFEKFADEMNVDDYIEGAQVGFNKWEGSWYAVPYDGDVVIFYYRKDLFEDPAIMEEFKGIYGRDLQVPNTWDEVLDCAEFFTRDNFAGQDKFYGIAMIASRHWWAVGYWSSVYRSFGGEFFDENGEIALNREAFIKANEIWAEMIRFAPPGVLNFGYTETKEALASGQVAMALQWATTVFVDPRQSQVHDRLGFAVMPGVLQEDGSIYRTPALACGKCLAIPKSAKNKEEAFKFAAFLSSPEVQVWSTVNGTGIDPNRYSVLEDPRV
LLTLNVVVDSVVDVTEVAVVVPLEVALVELVPVCVLLVELTVALVEEVADELCVDDDVAVAELVVEVVVRVDVTVVPDVLVDDAALGWESYHPWTLALNEHEGLLRKRVPVVLDSVEDEDAVEEDVHVSVDVSVADDEVEIVDDDVRDAVVVELIEMEVDIEVEDVDPVVVEELVETLEVLEALAEVLVEAVEVVVEVAVELSVKVVVELSVEVAVELSVKVVVELSVEVAVELSVEVAVEVSVEVTSNVVDEVPALQSGSNTEYLMLLKQTSAFDRLHI
MSPKDHGVTYPSRRFLRLRQFVRCRMLIKYAARTIRPGQGCYLYLCLILAKPTNGVIPDLLSGKYIGCCNTPV
MSKPTAQERPSDATDQAVSDVRAALLAAQQELRHAAAGPVIVLLVGPETAGRGETADLLNAWMDPRGIVTRAWGKPSDEERERPSFWRYWRTLPSRGQIALFLSGWYGPPLLGRAERTIGKQAFDRRVARIAALERALADDGAVVVKCWLHVDHRTQRARLESLASDPLRRWRAGKSQKQRLNVHRRIVAAWKRAAAVTGTEQTPWHIIDAAHRHHRDLAVATRVLEGIHAALAVPARGKPTRPEPEPAAEVSVEDHLGALDLSLSIDRDRSRAELERQQGRLYRAQQRARERGVSTVVVFEGWDAGGKGGAIRRATAALDARYYRVIPIAAPTDEELAHHYLWRFWRHLARAGQIVIFDRSWYGRVLVERVEKLARPSEWQRAYHEIQHFEEQLVEHGTVVVKFWLHISRDEQLRRFRERERRSYKRWKITDEDWRNRRKWKAYAAAVNEMVARTSTRRAPWTLVEGNDKRFARLKVVRTMADRLKRAVPPPG
MASITTDQYFDNVDVLEVRHLRNIDYAFLNLSSSVNVILGLNGAGKSTLCEAFSILFHARSYRPSSAFATLTKENSHGFQVNARLSTSYSSTRIKMSKPLGSSWEVTRDDEDVNRLQSITVLTPLLIMSPDVDNIVDTDPAGRRKVMDWLMFHVEHSYADTHKRYSYALKQRNQLLKSRDASAEEMNSWTQELCNAAEDLNTKRVEILKKVNDFFHKSLFGTPFNGAQLVLDSGWDQSKALSECLEEKRFVERQRKTTLSGPHRADLIIQKQDGTLVKSYLSRGEKKRLSLLINLSFVAYLREVLGKKTILIIDDWHAELDVQGRQMVVDLVQDLGLQVILTTTDVDPEINMPSGSKMFHVEHGEIKSETAY
MMKITGVSARQNGLQYTLTFYTEVGPVNLASKLNIKEGQSLLIMNEPETYRERLRPLPEGAKYISDAGEGMADFVQVFVKSLDDINRWVPRAIRVLKHDGLFWVTYPKKTSGVTTDIKGEKNWKVMREAGLSPVERVSISDIWIAVRFRPVEKTDRLRIPVLRLK
MNTACLFVTEQCPKAERQRKRDASERPPVGALRQRLRTECRTQHRRLIVLLRRARICSAQHAASRKRKSTSLLRPFLIITHRRRAETGAFTAGDRRRLSQIHSSGPRFEPGSLSEAAAGGPGSAGEKPGRHPAAGPSPGGAGGLKPRPHKRSSSRPLSAPENHGALNTKGGSVSLLFSRGIREPIRQGTNPEERKPGKRAPKFDLEKLKEQLLTCQ
MPDYLIFILIAYYIVGFMQFMREVFSSKLSESERLAVIKEDEDTPTSVVWAQAFKLMLYVLFIHIPMWIFR
MRFRARSEKLSQSKSRKEGKTMETSQQHIREPQGQPVMIYNRAALSGPLQSTQLIIQPRRLTEQDITELHPFGKILGDEFMLERSARGYTLKQPVKIPLRSIYEVSSKGLIKYVIASLSQERPKLVPYVLNNKSLLKLANYLLRYRTGSFKTLYLYVDCIWRYTNRAALSPDQLISDVKEENGFPKPHRIPYHIKALEDYVCELQDAGLAPSRICNYVKSIRALYRVNGIDIKLPQPLSRRAVRHDRAPKPDELQHIMDIADLRERVIVSMLALGGFREGTLVRLKYRHVQEDLEKGIVPLHIHVEAAITKGKYHDYDTFIGKEAAAYLREYLETRRLGSPDGKIPPEDLTGESPLIRNETSRKPRPIGEKQVYKLVHSLYFKAGLLKKGYGRSYSLKVHSIRKYFKTQLLALGVQSDYVDYMMGHTIDTYHDIQMKGIEFLRNIYAASGLSIRRKTQTSKIEALKEIIRAWGLNPEEILTREAISTPHRTIVSPQEVENKQIKILCNALKAEMKKELRQT
MRSKSPSSAWGHAILHAASLIRFRPTAYHKFSPLQLVSGREPNISHLKVFGCAVYVPIPPPQRTKMGPQRRLGIYVGFESPSIIKYLEPMTSDQFTARYLDCQFNETIFPVLGGEDKEIKRKDIAWNATSMSFLDPRTNDSELEVQRIIHLQSVANRLPDAFIDTKKVTKSHIPAENVPARLEVPEVTLTQTKASESQIRRKRGRPLGSKDANPRKRKEHIVSVNHDANVTTSNVSKDKSLK
MFLYIMNSDHSTSSEVTHIYLEPRPLNEFEFLNS
MHCIVYRLYDGQGNRLPNDEARANGQRGWLVYRQKRPATGEPFHHALLLPKEGAPDQTAVLPALNHARLRMLHGGMRLTGQDFDVHHRYLKQAWWVVPVMV
MSKIFPAATVVLARDGETGLEVLMLRRSTAVSFARGSWVFPGGRIDKEDYSHDLDDIESAARRGAARETREEANLIINEQNMVYFAHWTTPPDSPKRFATWFFISDVTASGHHDVIVDGSEIVEHRWYSPREALLDRQAQVIEMLPPTFMTLEELVDCQSAAEAVSMCGSAPSAITLPTFNRSGEGMAMVHAQYEPANEGVSGAGDCVSLIDEAWRQEPLA
MAPPSRRGAHYERWLADYARRSSSAPVPAATAVLLRQSPGGVQILLLRRNPDMPVMGGVWAFPGGRVDPEDRAAADNPDDRYEVARITAVRETEEEAGLQLDPRALVRFSHWTPPPDFDHQLATWFFLAPAPPDAVQVDGREMSEHLWLTPAEALRRHTDAGGTWFLPPTYVTVHELQGFATVSDALAAAQRREPMFYDTRLITTADGEGVALFAGDAGYETGDPDVPGARHRLWATEGRPWRLERSGGGGLT
MLRRIEIGQCYVVSRSGNVTSYRDRAMLRRIEIGQCYVVSRSGNVTSYRDRAMLRRIEIGQCYVVSRSGNVTSYRDWAMLRRIEIGQCYVVSRLGNVTSYRDWAMLRRIEIGQCYVVSRLGNVTSYRDWAMLRRIEIATQSPRCNYVTIPRSATSPPHNLHIA
ENLDAATLEKVRRVIGEAGRSVLDVKQPKEKLENLFLRIVEEASQQKLTSGGAVAGGKMAEFLTAQGQGEALIESLVQQAQDTKDKPQAAAPAPVQQADADILGDLIAEDKPEDETQPGQIKTTPADKPTEHPQKADRSIIDDLTG
MHRLPFFYGWVVLAAGGMGMFFSGPGQTYVVSIFVDPMLNDLGWSRTLYAGLYTGGTITAATLVPVVGKMLDRYGGRVMLVAVSLIFGVAIQLMRFVDEPLQLFFGFLAIRALGQGSLGLISTTLAAMWFVRMRGRAMAFMALASPASQAAFPMLTFFLIAAVGWRSAWGVLGLIVWIALVPTGVFLVRRSPEAIGMLPDGDRRTAEGASDRPGDSRSQDWTLGEAVRTRSFWLLLIAGSSLSMISTALAFHHISLMVSKGLGAGMAAGVLSFTAPIALVGTFLGGFLADKLPNRFLLAAGQVGLLMTMLWVLNVSEAWEAFAYGGIMGLTQGTIMTVTNVIWPNYFGRAHIGSIRGVASSAMVASSALGPLPFGFIFDRTGDYNVAILAFLGLPIACAALSLLARPPVKRLVAAGR
MIWGSMLVLMSKTWFSAWVGLELNLAGFMGLSFFLKSCIESLIMYFLIQSISSSLLLFLLIQFSFMDLNYYNNFMLLLMVVLSVKVGVAPLHWWLVLVSLGQSWVGLLILLSWQKMAPLLLIFNLNLNYYLIKLIVVVSGLWGSMVGFMQINLKLILTYSSISHLPWMLVLILEKLSWYFYLFIYSLNLLMVVMFIIFSNSSKSLFILMKKFKLLFTLSLLSLGGFPPLLGFLPKWYAVMSIFNYTLILILVLSSVINLFFYLRLSYSFVM
MFKWALLLLSPFAAISSKNWLLIWVMLEISSFSFIMFLTSENKKEITLMYFLVQSISSAFLLISVGMKSKSEFFYEENNFMASSLFIISIITKMGVVPMHMWMVEISRKMGGMNLFLFLTIQKIAPIIVATKNINFSYLITLIIMSGAISVLLQVACVFLAELLTYSSISHTGWMLFSSLSNYKITTFYFIVYALILMLIVKGMMGKSLKMISTSGMKSKSLALNLISLSGTPPLMGFIPKWLVLTQNSIQFNLKILNTILVLLSSLNAFIYIRILSGKIINLPSLKKNFSKSDSWKKSDFFVNLILPSIFWANFM
MGSRALKGLRHKAAARRSPARRWATAAVAAAALACIAAIAPFQARVLLSPQRAAVFAGNSLRFSAMTSGLGSAAGLRWTLVGPGSLDQKGLYRAPEVAPSLANVVVSAGSGIADAASVQTVSAPPAGSRLILTSCFDTGTIDVRRAGDLGQLGALTLGAQTGGISIDDVGHTALLAAESRVVALDMRRMRARASAPLRNVRFSQVAALTSGFYAATDNNAEAGAPGVRIFRVNRYGVPVLVSSAGAGETPEGLAAMDGGRTFFVTNINSNSVMMFGLDAFGRARLESTGRTGARPFGVAADPIHHLLFVADNDTATISGARSAPGLEVFALPSLKRAKPMITTGSATSLPLGVALDAKAAHLFVTNEGDGNVAVFSVPSMRRIATLAAGLTPWLPALDERHHFLYVPNARSNTISMYDSKSLKALSTDVPVCAYPTSIAISNVGSS
MAARERVFGGLALFFFLLSLLLFVGLLYLYLNNENEDICMSPGCIRTASHINF
MGSRRWLSLAQISASRSATLLASLLAGTLVAAAVVTAFGASKAQRTDRAGDEARDFATETVSQILSYDHRNVEQHFASVLDSLGGEFRPQFEEVSRQVIVPSAQQRQVVTNAEVVGSSVIDARPDQAELLLFVNQSTTSAEQPNTKLDGSRVRVTVERIDGRWLITELKPI
MKMHGLRKRLTLIILLLTHISVATKILEETIQNNTNNSHASNHCIDFYETACGEWEKLNPLPKDDASLTTLQRMGMNVDNYFWKIIANDSYYKEDRRLQSARAFYKSCVNSRNSNTTRDSRHHLIHRYFGGWELIPSLSTNINNTNKHKQNKMNNGLTNLFLPILTQTGRSPLFSINIASDIFAVINESKEVYHKLAFETGVLQSNKTQLTEAFQTMIRLGKRSVSKTTNVREFITKNELQYTCPQIDWSHLLEKVLQQTGYVKYQKLPIIIEQRTELRQRCSEYRALLKEKDGGSILRTIAIMDFLREQQMNTLNVHAFGTDHNLSSCVLFDENSNKRNSFDALWSSSFMQSKAVCLSNQYSSYNFTSLKIFTDILCILSRTKISPVIS
KRICVLIYKRICVLIQENLCAYISCSPLISMFSAYLKALKALKIIKSLSRGECKLPYVLAKARTLLKLVPRFVGQFLVNPVCEI
MLAPRFWELACGVLCYQAMTHAGAMNTSRPATWLSSTAVLAGLGMIGCGMWVSVPTQFPFPGAVLPVAGLLCVIVFGHGRTGGTLIGALSHPVPVTLGKISYSLYLWHWPVFVLFRWTIGLETISTQLAALVLCFALAVFSYRVIEIPLRRGGLLGKVPSLGIMALGLLALAGSWQLYQTTVKLQPRLTLSTVSQHPMDWYPYGRESSEASPGCVALPRAQTIDGNLVLEYIRGGCDTPASWNFKLFVVGDSHAMAYVPMLTQLVMDTGVQVQLYNNGGCPFMSFQVIRETAQCKQNSQASLNDIVQKAQAGDVVFMPSLRLARFADQFAHFPRSKTMDEMFGEQAVTGRMNLEEEARNVLAPLLASGVQVVFEAPKPIFEITPFRCSDWFDRSNPACRYGHKMAKDQLEQYRLPVVQLLSRLATQLPEVSVWDPFPVLCPDAQCHTAREGRPLFFDADHVSGYGNMMLTPDFRRFISSLRQDKASL
MKKILLILLLTICSTPAWADGYNWFMDGGLYFRIISEEDGTAGLSMPYNTAEVPNAVTEANAYLNSLTELYVPATAADISTGRKYKVTTVFNDVICKRAGLERVEFANGIEHIEGLSDCSRLKEITLPESLVSIKGVSQLPELKTLELPYGLAEIGEYTVSETGIRKLVIPSTVLTLGIGAVLNNNELAEINLGNVELFQHHSLGILPAIKRIVFPNTSFEAQYYTLEAQNIEEIWIGDKATDNPAMICSQGIIAGKSLKKVYCARTVPPTITEQNPMFDPACFGGEAHWPDITLYVPVGCKDVYARAYCFKDMKIEEYDFGAGISSAECNANSIQAIPGGIRYVGDAAAPVAVYTTSGTRIALEAMRSSEVMQLPSGIFIVSCNGNNTKVTIR
MERAVEKTELELEFARKQIKMTERRAENREEKLRELLKEKLQWQKELKATRAQVVEEKMRQVDLFREVDTAKRQFAAKLEAVEQDQRSVQEENVQLRSQANEMRAQLNFQARKMEDMARQAQDDKERFVALVEDTRRRFREWKEGEATALEAAREQAVRSLKTEYGLKIERHQDEKQKLRDKPRSTWHTSGGPI
MKFGKIYFVILLLFSLLVSKALAQDNWQLVVIPQANLNSKHSEIAPQVYLGITNALTTQLVNDNFTVFDQSLLKLNNCINQICEVISDNKLLNLAQIYNYKAQQLAVSLAIVYQIEIFDEMISKVKGVRFNFSSYLIDLDSGERVESYRDSKKYNDLSTNCEGDCFVRWQAKKATQIAQDAGYILALKINRLPKRYRYEIEFSHFNSRELKAVTDFLNSISEKKSHIALSDLTIRGVSSSEKSNKHITITTSHHASKLNQLLQNEFEKKSISVLIDYRSTINKFTIIKNLTAINWQYISFIFVCLLGFVALYFYFPILNKNIIIKNINHAIAVKNYYLAYSMIDKLLSKNKYNKQAVISELSLLRKKIDRSIISITGNVVANKALAGVHFLTETKLDLGKKTQTQEHSVIVNNHLVLGYKQLDELGKQCQFIYKNSHFYIVDKGSLHGCQYNDIRLLQGHLAQVKSNSILFIANDTDQELKVCQLKLNLSQYDPSALIMSLNPAPLSFLDFSDLALVWPDHELDLNKIWVMLGKRVALGLKEKGIIDIGCINGADVLAYLSYNSGYFIEPVKNKVTKLKINNQEVYSKLPIDKNALITLSDCEFSLG
MHTSYTVGITTGEYKALQYVMVDQKEWITNAITNRARIATLEIQNLYTNYKINKGEAITAIGSTAIIEAAYAEGVIGIAT
MRLLSGAERQIDVRSALPMLLLMAAAVAVNMLVGHVVQYVLQWPLFLDAIGTILVGALLGPLAGAATGALTNLLWARFLDDPSIAPYALTAAFIGWAAGYAVQRGAFRRINRLLLAGLLTGVGGAFISAPITA
MNNLTAVGAALLLAATLGLCADDKKADFKLEADFTSLFNGKDLSGWEIMNNGKFEAKDGVIYLNKGGGWLRSEKQYKDFDLRMDFRFMNKGADSGIFIRASKEGKNWPDKNYQVQTMDNNST
MSVNGFFAFLSSFVQGYSMFLFCRMVSGFGIGGAVPIVFSYFAEVLAREKRGEHLSWLCMFWMIGGIYASAMAWAIIPHYGWSFSMGSAYQFHSWRVFVVVCALPCVSAVVALTFMPESPRFFLETGXXDEAWMVLKHIHDINMRARGEPERVFTVNRIKVPKQLDELVEMQNESANPVLKVLFKIKAELRGIWLTFMRCFNHPVKDNTTKLAAVWFTLSFGYYGLSVWFPDVIKHLQADEYASRVKIHNNERIEDFTFNFTLENQIHRNGAFMNDRFIGMKFKVVTFIDSSFVNCYFEDVSSVGSIFKNCTFVDSFFYNTDIDDNKLKSSKVINSSFHHNKTGCQMTFDDDYSAYWVYFVNFLGTLAVLPGNIISALLMD
MGGIEYRWLRNDKDPEVLSWVAEENAFTDQWFDKAELEKKIAQLKEEKLETVFQDIYPWGNGYVAAKTEAGRQKLYQLDGQIRIICWLQAYIMEMQGSRRLLQTAGQKKL
MSIPILFSLILVGSFLEGSWGFDIQAFLASKKKHSIVLPLTPSRYCVESNQRLLAAFDTMVEGISSECGFVPVTQSVVQEYTIPLLGDDCVLTFVPPAAPSLGDLDATTTTAGAPPMEFVLSLEGAQTTEEDFGWICQKFYESRATFDDDHGFQLLDLSSLREEVFQHVNSNNQPSHPGNLASDSKQSSHNNDNVMEQLFQTSLSSASNSKEVLQELQSKGFVQLHGGPKTSDQSNMNLSKYLLQKTGQGRKIRRDTVAFLDREQAKPCGLEGHCDLLMGMADYLNRHLDLSFIDSSTGYAPIAPATILAPLTTPSKIQAAEYGLGDFYVAHSDNSWYKDNKGEDSLTTRRNYRCYTAILYCNDDWEESDGGALRIYHDSQHYSRVSEAVTTDPTKYTDVIPTNGRLVIFDSKLVHSVEPVLTDRRRRALTVWILQPTDEPVLGEIVDVPL
MLKFNAMKNINFLLISIITIMICFSLNSCSKDDDTQEPMGTIRVGGNDHKIVEAGYTTWPENDITCIQFIIEDSEATYNQSHIEIKMPTTLTGNWFTLTQDNPNWSVILRNFYVGDGTNMNNISEGRVRIKQLGNNDKENKCKFQVSFEITLTDGTTAVADIQAKFLNSSLWVGGR
MQKQDNNLERLETILKACKQVEGLTDEDGKQILVNELNELKLPMDYVTKIVAEYNKKAFGFLVGKEVDGEKKDSATT
MCNTSCVEHREVDPFKQYIIDLSLLNPPRYAYHLFVVKTRRSKPKLANPLHPSSKPKQPKQTTKQYKYLSSLPPLQVLFLKFFRKNMIYGTSLEAKYKLFKLWLRGNMNNQNKQAKIGFRMMKNKTQNSKTSKQEHPHWVRLPIRRLKCNYMIHYSQTTFGKTFCDLGKWSTTLKLGGGYSTYLLFYAVLNQMSIYLRSIYFYLLYSRVHLCPSINSMSLCWNFLFFYSYLNSPLFSQSFISPQSSYPVAYELVSSIYFQFILVSRNGLIYCFFVILFMGFLIHLLNHNLISLDLVCISSIDTSNFIIVFFPLTLILFVHFSYNFKPDSVNFDTLQKGKRLAEPSSQIRILKFHMPLCDSTPGLKVILPNVCMAGYQPMLYFMGPSSDTLLDASRSFQGQGILSKILPSHRIISKITQINFKAAQSTSN
MGSRFTRMQSDDTAAPHEASAVPVPRSPGTRHRTKPCPFCAETIRYEAIKCRFCGEFLTGERRQAAEKIRAGQTPSDPDAEGDEESTDETETDILWSGRPSVFALAGAAARTVFLVALCWVAYRYPVTLLLTHIPKTNVPAAQLNVAEGWVDLAAIGLAVTALLVLAWRLAALKSIHYEVTPDRVEWSRGIFDREVDNIDMFRVIDLKLHRSLVECLLGIGTVVLITKDETDPEFEFVKVRRCRHLYDTLKEAGLKADKKRNVLHIE
MSNIESKYSGYPDSHNLLFAKFEMTFKNNPLEISYLLNGQPYIDYYVFKSIEKLDVNNIKVTGVFACKR
MASSSTAITPTPRDDLLKSLEKHNSTFTTLLSLIPAQYYIAPDPEVADSKWMKNKKRKTGEEIKEHKKKVKQDKLDPSDHQTLDQLQFTSTAEPSNENDDGKIAEIAGQSTSSAANLQPLPPSTSISELRAKLQNKLDSFKRQRGVNPEDEAGSRNALEEERRRKRGELRDNRREKRKEERKKEGEKGRVAKTQLIVPQLPKEDPTSSLSFPSVSLPSSSSSKPKNKLGFKQLSNPTQALENLEKHKSHLNAMSEDKRKEIEERERWAKAEERASGKKIVDNETILKKAVKRKEKAKSKSSLAWADRKKELEKSAATAAKKRNDNIAKRVDDKRNKRLGIKDKGTGSKKGKSRPGFEGKKGKGGGKK
MGTAGDEQNKTGTSPNLNALFDQVQRGEGEASGKVNRLVQLLETAQAEASRVFAEHGIIVADHVTQEKDEEEQAPQRNRVRKRLVWPRRHGGDAPVE
MGVKFIYGKSGSGKSHYCLQSIKKRIEDNSTNSLILLVPEQFSFQSEKNLIEFAGERSILKAQVLSFRRMADHILDEVGGGINRHINDSGKNILIYKIIEENRDNLKVFKKTSRNQGLVSNMSNTIKELKKYNITADILRGSLDGIENVSLRSKLEDIIVIFSEFQDRLSRAYIDEEDMLSMLAEKLDESKMFSGAEVWVDEFSSFTPQEYTILEKLMRKSSKVNFTLCMDFNDNAGTDLFLPTKLTEKKLLELCEKNNISYEKPVVLNSSPCYKFKDNKELQHLEHYLFSYPYAEYKYNTNNINIFKALNKYTEVSNTANDIIRICRDKKFRFKDVAVVTGDLDGYESIVKAVFNQYDIPYFIDKRRSIDSNPIIVLIISVVEIISKNWSYESVFRYLKTGLLDIELSDIDILENYVLQNGIKGSVWLKEEPWKFKTIYSINEDKENSYMEEFVEKINSIRDRVREPIIRLSQSIKGRKNGEDKCRGLYEFLCDIKIPEKVENIIGKFQQESKLDIANEYSQIWDIVVDTLDQIVDTIGKDSFSLDTFAQVLTSGFKEYEIGVIPPALDQVLVGNVTRIRSHDVRALYIIGVNDGVFPSTIPSNGVITDADREELKERGIEISENARTKAFEEQFLMYTTLTIMDRYIRLSYSMGDDNGKTKRPSIIISRIKKLFPALIEESDVMDQKKFNDINNISVPSGTFNGLIENITKNISSEKLENSIWIDVYRWYSTNKNWNTKLNNVLKGFYYTNEAEITDTSKVRKLYGRHMNMSVSKLEKFVQCPFAYFVQYG
MDRTNQFGKTSKSDFIDIFNSNRRFEKGYPNEAKQLPHFLQGYERISESAQALLEYLDKHYPLNAFIKEKIRNLL
QIRRIAVNRDKSCHRFPSLLWLRYLRTNPRRINLRKSDRLLGAQDGKATATVLRLSQGWINRSLVQVLAARSTFSLGVDALGATMNGGALHDGRFFAWLGQFQLARRLGDSGSQFIFRTDLQLAEDPLLPLEQFAVGGAYTVRGYRENQLVRDNGLVASAEFRIPVLRLPIPRLSRTVEDGFVQLAPFADFGWAENTDIATPDPNTISSLGLGLRWDPSSNLHAEIYWGYGLREIDTLNEDLQDSGIHFRVQAF
MLLALFQIAFLATAARGEIVEYWRDMPFERQVRAINPTCMPQEYVQIERNYAFRVIEAAIDQCVTANVTEKQVKKYSYLITRSIADPATASFTVGELSTDGMKCVLKTFVDASDQINDMQKQSLKSAVDGVIAVKDWAGFLLGIPDLASTDKAKATEATISTLLNLRDRMPEAQSFADSVMQAAELGRNYMGDLFLGEREIAMNAVAYNADQCRYADARQYLVKARAAADKECVYAGHSYRQHELNLRRYILNNQSRITSPYIGQGYVKGNSARARYNDLVRYVQEAKETLRGFEQIYRALDQTEEELFNKGRRFFDKQYDYRYQAHQVRASLEGGNVCRAFDKLQSILDQIPPECHPVYFNKKGIGEDMVRPAVLAAELYQVEQRRNAQWWDEADKIAALFRQCKEDEGYARISALQSRINANPIQYAMGGQCKTLASQDLRDRLNAFAPGENCAQTIVPGIEGKKLSVALTMLSKASLLPLGSPVMVDPQSGQAGGIVIVADPAPGDGVTIWTGVALTVTNEPPDAELVSVPQVVGKSETDALTLLASADLAVAVEDSTPADKLDFTPGMVYGASHATGAMVAPQTVVTLNIYGPRPMIEIPGITAPDIPGANSKITAAGFIAGPPALGEPAPDEGGSGAEPGAEPGAVYGTVPPAGSVVEMFSPVQPLVYGPRATGVETRPIPEVLGKPAKVAIGLVTQDGFFSIGSVSPGDPVGEGEKPGTVQTVIPGQGTPQVKGTIVTLRIAMPRVQQAPEIAQENPPETTPDAGSDAGPDAGRGAGRGDSWVGRWTLEAVPGAATKGLDKPMVMDIARIDGKLSLKLFVQKDGAWEDRFTLLMAVDANNVLRTHPDMLREFKRSIKPSQGTNGVMDEVGGKIADTILQLLETLKISRVGNICTLNMTDQKKGPQSIGFSCFKTGPSQQ
MIYTSDVTSAREQLDAGDVLLSSKAVLLGEFNGDAIFHAIEEKVHNGEPLTPEETVNYPHFANA
LILTELLRLSLMLTELLKLSLMLTELLKLSLIDTELLKLSLIDTELLKLSLMLTELLKLSLILTELLRLSLILTELLKLSLMLTELLKLSLMLTELLKLSLMLTELDKLSLIDTELDKLSLIDTELLKLSLIDTELDKLSLMLTELLRLSLIDTE
MKAHLYLCFTAPENLTTPLMHRFRTVACHAVDFFGASSYYNINRCKMESFFANLCRKTEFVHL
MIFMEKMNDKLESIIDKLEHIANELPLEKADPLYDLMLELGELIDDGVGPFIDITNSLTKIYQAKNQDYGNSFETSLYKFGLVASIIRLSDKMNRIESLSQTKAKVKDESIEDTLLDLANYAIMTVIWLRKSRVNEE
MENLETGRSQWLLILKAXTLNNIMGAAGADYLLVKETNKNRSVVDQGTDNKEAMLFSPAMEINQAAITMPALLDVSKKNVQLVTDTINLLLPDGYQLPLVDAHGVDSADYNLFPIIVSEDEGVIQKYPKAQAYLVFNQDGNFKLTGNLASNSSVYLLPLNLAEIKKYFYQGKPYEYMDWLDFDVQAYKNDYVGKMLDLCMSVNETWNKFKNDNMTMKAVRVDSNNKSIRLSADPGSFVIIRQSWFPRWQPSGGKLWRTTQGFMLGYLESDQLEIKYQNTWQFLNTWAADKLSASD
MREVILEKDIKSSPETLQNGVESNGKSPAVPPMPGSGKTPNMDLSPDIRDNLGTRRIPMLRPSEFPDAGATVGTLTSSTRPQGTVDILLVNPPTPDGGIWIRSQHRVGRRSRENM
MVGGHGGDVLRLGAYLRQGEGHSDGLQKRPESGNARFGGHLGMGKIHVAVPNPDGELGKPVYIVEQDVLHPRGQNDRKARAVGAVVKRGELVLNLVAGPVLCAARTAEIVVSQHSRPHEVRPGGVVVRVFKGFGRGGNNSFHQRLAQPVGYVHVLGVGEVPLDNVGQHVRHAAGGLIGGEGAGIAGVQNGKFGPDHIRFRAAPFQVALLQRDDAAIGPFGPRRRDGQHRAHGQNGFNGMLSGEDVPEVPVVGHAHGNGLCRVDDGAAAQGQQKVAFIPAAELDAFVDLSAAGVGLNAGQLAERNSGLF
MPKIPPQSLATPATKVLAGVLLILLATAPALCWWGDGKDACLGAYSWARVGQPLTDGPDGKTMVSVRPLLFTLSCLPSATLLPLPAPDESPFVRLARAFLPSLFGAGCASMFFLVLSRLRVGRRASVLLSAALVFTTPLWIYSRIHYSEGLQTLLNLCLLYFLMERESNPQRAALASGFFTGMLINIRTTNLLLLPFVMTGLVTGDTTTRPRRVRMWLWAIAGFIPWVLAWAEFNQIRYGSPLVTGYEKISGWRNPMTGLFGQILSPGKSIFLYAPLTLAGAWIFLNQFSANSRKFRAKDPETVLAIAFFANLVLYSSWWAWGGGWGAWGPRFLLPFAPLMILPLAREIAARPSRSLRNLTVTLCAAGLFIELPVVLVGVHPLLQSLGLLDRAAFNTSPVSGGIADDTILSNYVPDFSPVLMQFRMLFQLAPRAGDLSMDLSAWSGEHGPYRIPGFTGRIITSDPIHPDLWFWIPGKASQWGYPLGVAIALLLLGCLILASEMRPHKNRD
MAAQSTTTEDSIADLKEKLDLITRFYAILHAFLRDCLSSYIHKVLITTDIALVVIFGLSFGEVAPLAPALYALLAVHLLFYGLPTALGLWHFGLDGVHHVLPTKRDKYDLTRRLSAYQKKAKQYPHLWLKSSVVILAFPFVIAELQSRLSGTSPETAALIETVFPVVPVIQRFVVTFLTLFILFRLFLHTIMFVMKYQVQLAEEAAEAMAETQ
MEKNEKNEEEEADEEFEEDYEIKILHGYENNYYDISKPFFIYSKENLVNFEILDSILSMNTSKNEHKSGIIECRYQLSYFLRLTRDVTEVTNNLNIQQFKYILMTLKFLKAVENKNITKLLQVLIFNNFFYQKDYKLRNYNLHLIQILNSSFFTQIDFHLKKNIMSSFLNSLMIRYDFYDENLIIFKNSKELFDYSMFSEHIPYKNLMINNFDAFCSVENILRDSNVLIIFQILLKELNIKSLILNNFFRYLDPDGNFAFLIYKIEIFKAFTISNYKIPASPFFLFCLIVSINNNIEYLRLENISYWRLNLRGLLEGKKLKGLILSKISLTENTSLINVSRNVYETIEYID
MLLLILLVNTLAEPLLKVDGMEPIAKIPHCKSFTRTITGGVPTDTLTCTACENEMYKLSGGQCVYDSSKCPVSNDYVYLKDNICQFCDYSCNSCSEDGVCTCKFGLDNSTKCRECVNTFTSGSTTKCSLCTNGMSTDTSLDACSQITDGPEVHDGSNCALAGLKDGQQICLRCYGNYSFGTESGKTEHCATANPLVEGCLRQNQDKCSKCDIGYLLVDGECQKTDVNCDSYTYTNEVLTCKTCREGYNLEKSKCTICSVSGDEHVEYDSLCELFPVDTCSQCSRRCKVEGAKCVPTHCSEFDSENRCVICDEGYYQLGYSCHQITNDGFSDSNTKKCVPGYYTDYDTTKKEYTCKKCPPHFVDCLTDKTPLPGSSIFKNTKQTKSEPCDDENCEQCSDDGKTCYKCLAMSGNSEVEKISGECVIKATLMALEVPTSGAPSEIKNYPGRYPFCRYKGFNSYFEDVHPAYKFTQDEEDVSKIRCDLIHRRSHMYVEKVVDTTSGENSYECTTAGRDPLRFCQCMSGYYQENSSTAFPCKKANVNLNCLETANGQQCTVCPKGAKLTSTGACKCTDGTYLKGTECVSCPEKCLKCNPSTTDGEIQPVCTECKPAELSGIGRDPSNKCECKKDMVEDETVISVCLAKPNGCKNSKDSYVVSGTNIRCVKCDDEHKLLDACEVCVEGYYNTTENGECLKCAYGENCLSCNSTMCTACTDSNAELKKSESSNNYCETCKPDYAYNSKTKSCMRCPSTPLNSVALLVKTTSKHPNVNAQAEYTQTRQLDCVLIVIMLLMVSLSVPKIVYMEKTSTSIVKLVKNQQETH
MSSPETCDRIVGYFKKTPFLYIADGHHRVASSVLLARSKREQNNAHTGKEPYNFFMAAFFADKQLSILNFNRTCSTLNGLSSDAFLSRVSNYFDIYPKGHEQVQPASANEISMYLDKNWYMLKIKARNSASANPVELLDVSVLSEKIFASILNITDLRNDRRVTFVPGTRDVSQLTKAVDSGKMKVAFCLHPISFGELKDVADSGGIMPPKSTWIEPKLENGLLIYSLS
MLGVLALVIVASLLFLLLLVLLFFYLARRREWLLDSSSSSSPSSPPSAGLPQVDIEKPLLSNDFGEIPGQISCSARRCLSDEYRHENDVNISSLITHLSPKNREKQLIGRDGPGVSQYVCYESDDLVVGQTLRFYRGGKLAREEFAHDRKKILKMTQNCWGDSQTSGEPFHSPSCDNDKVGIASDPMALRRGGKSLPMEDAFYCQWPLPGIDKFGLFGIFDGHGGAVAAKTACNERKGLSLCDSSEVLKEAFSQTEAAMRHQYEGCTATVLLLWADDRNELFAQCANVGDSACIVNVDGIDIKMTEDHRIASVLRGPLHRMLGDKFLKEQDLRFSSEPYISQVVHLKRGSMAFALLASIKRAVQLVLQAKAGTNTCKGSSADNVANIILNEARTLRTKDNTSVIFLDFDTL
MEQRSVAQHPTNVCYIGDVPLSKVLIESACIVEHFCHVNHVGNIPPSNVLIEFLGTIKQSPHISDVGHIIPTNVLVETDSRTNHTAHVDNIGCVPRSNIFIKQCTLRKKP
MLRKSLIAGLLIAILSSGLEAGFLSGFMSSAAANALMSNGGKNISIEDKEKLEAKKLQSILELLGFYSYKIDGNLNTFDSRTAIKKWQDSKKTGIMGFFKDKATGILSDEDKNNLLYLADLLLKADGISISKDVNSVDNALERLNDILKEVNKFESQTSSRVASNKLKKNIDRFEKVIPQWKELLNNKNIFYSQKWKKFYYVDMNKKYTKAQSKEINKACGNINVGSLKWELIDRDENNKYSFQDELFPFFIPSRTSPQVNIATDKGTETSVYWSTRGQQKVKAFDWYERKEDSYIVVCKAEINSHLLDAKQ
MGVLASNDIPGRSLCELCRNAGIRVPEAVAVLGVDNDESECRMSFPTLSSIDIPAEAVGRTAMESLAKLMKGGQPPPAATFLPPVGLIARSSTDWLAPRDPILGKALQIIEEESDRGIGVEAVCESLGVSRRNLERRFRSELGIGVHERIQTVQVARAKRLLLESNLRISEVAERTGFGNLRRFDRVFRQVEGCQPSEYRRLR
MKPEKLASRRVALIMGQDLAYNREVMRGVQAYAHSHAGWVIRDGPMAQHVLKPLREWGPDGVIAHLLDRKVAEELGELRVPLVNTTSTLSELNHPLVEADHGAVGRMAAAYFMDRGFTNFGFFGSAHAGFSREREAGFREALAARGYSATACYAEYLPRPSADVSWVNVDACVRAWLNELSKPVAILSSNDVPARELADICRQLKLDVPNQVALLGVDNDELECNLATPPLSSIVLPAQQIGYEAAEQLERLMAGEPLRQQRTHLAPIRVVSRFSTDTLAVEDPDLRVALSYIRSHAHLEISVESVHEHAAVSRRLLERKFRERLGRTVLNEIRRTRIELAKQLLTETDLPMPAVAKRAGFSGARRLAVVFRQEETLTPSEFRESVRRHNSLSHNDT
XAGNSVAPMQPPACSITNPSCPTTHWCHFGIDADTTLCCLGG
MKPSGKKNCHSRETLPVNGRPFTERFMKTAPQTRNSVQINTDAIDVFPDLEQEYSILKELSAGGNSTVSLAEDRFLKRKVALKSLRSDYLSDPVRRRSFLREAQLMAQLEHPSIVPVYGIARDSSGGLHIIMKQICGKSLREHLKNLREDHTKKKYSSAEEQKSLHDRLDLFIRICDAVAFAHSKRVLHGDLKPGNIMIEQTHNVYIMDWGNAEIISESQVESDLKDTISGTPGYLSPEAVRGENTDFRSEVFTLGLILFEMVTLLKAVTGKTSREILLKIRDGKHNPIRHRSGIPIAPELRKIIKKALQPERKKRYQSVASFAEALRNYLSCFSNETIYPEKPDRS
MEKEIKEITKMIITTGKAVKMNEKQFDQLNADIYTLVSNVAVIDKRLKKLEQELAQLKKAKK
MKIYEKLNQYQQAFTLKIRGEEKNSSFSSSEMIQIKSKNLNDFQSFEKNFQKIEKIKNEIAKNSQLHSLSGERAVKVLGGEKNQFLSEIRSDVLKQVIQEENTSALVQTNTFTQIKKFFS
MHFFGEVRPEAWSAALVPDGTGMYIVENTAHQPERRRSNIAHEMAHVVLEHTFGHVLIGGDATSGGCVNAGDRRQEEEAAELGAELGAVRQSGWLPTPRSGVEADR
MKYFTCLKCPLCLRSTLATVGFATVVDRDTVRNGIGRVESCHVVTIYALVAALVAPGWVETMGDMEEHQFTPSATLCTLPAKKKYLHTRGMCVV
MPKLTKLQVAIDISSQTSLHLNSVKVQCPNHEKECATPKKKNQESLRNINFSFSTKLQVASDVSSQTSPKLGSSLTN
MSRPLSHRIYTEVLSKWPKQELRPDYQLQDVIRATIDKRFQAYQPSMEAEELFKARSLQFLQQNRWNEKALQVIGPDAEANQPADIFRRPDPRNRRSPKENLVRASRKETFRHDQTILKTILTKPRGSARRRKNLQGSQTEGVILGHILFMIDATTHHGGIIIGGQGKRTFNNS
MPSSQFRLAIPRGVIDQPWCGRRGAMVFIGTRTSTIFPRWSISPCERFGEYPSSSRRGTSQLSHLKRSWAVWSEDAKGDLWEELRLEKGLRRLVE
MAEKYQADFPNSQYLAPMNEAHNTNRSMQLDRLPTLGEILGNKTKSPVSLYDFYGYMRDVEHNVEYLDFWLDLVSHLNLCKHYVQGLRESIIRYSFNENRQSVPISERSKTKSVSSSVLLELILNDNFLEDTDSRRLSQFLRGDLEVNPKLSDLINQYETTSQYRNSASNQQNQPSSPDLSIPPPTTPNLSDVSRSSGKRVSSQSRLLEDTVVENQLDLSAEEKETLTKPKYIPLSDGGPFDRKRMSSINPSVIEKLIRNTPNGSETNSFVTRDKLKESSQNLLLKYFVEDAEKHLSLPDNINNHIIKAIEVEGRDDPDVFNHVKIFVFNRLENQYLPTFLNIVAIKNINRVNQNPFLSFARVFLGFFLLLIAFWIAYIFIFLNYKKGHRLYIIIPFFLSGYCLISSMYLIDPILAWLGYNQSFTPGRWFVKVEEQFIYRLLVKRSLWVMLLILLFTACFTILFSLVPGHRL
MRLCRILYSLLGQHAPAKLLPQLFQEAVFNEVNIQMIDRKLQEVLFSKRIPSYTVSKEACDKLSEHGINAYERSGGDAAMYINLPPLLGPDVKHHFEGIASELSKPYFNCIRSLSKLPKPPTHWKFIPGWTSYANGTAISVDCPSEEGLVFDTEVLVKESNAPIIAVAASVNGWYLWVSPRLFEPPVEPTNMVTLADLIPLYSPGTSLSSPKCIVGHFVSYDRARITEEYLTDPTGLRFLDTMSLHICVSGLTSTQRHIKMASDKHLYNNKLFKDFVAELNAKRGSTNQSDVESLEWIKEASLNSLVEVYKLYCKKDPPQDKSLRVIFEKGSRKDVIKNFQKLVTYCALDVHMTFDIFQCLWKLFTERFPHPLTFYGLLEMGSMYLPVNRSWVDFQERANQAYADLNTKQKTMLQKLAEDALKRHNGNDKSYERDPWLWDLDWSKPKKPASKSEDAQYIASLPKWYRELIPKPIKDHYKEGPTLVTAQMKIAPKLLRLCWNGLPLHFDQTLKWGTLIPGRVPKPVGDPQFYKQNSNLKENRSSHALSIQSPQSQILPSITVVKTAAAGPRLLQFPYREYLQYWEKEIEKRLFDLNSRVAFKNRVDPTPRDKFLLDELRRSVEVMPLDFDVMHRLIASFKQVSEECKVEQFATEKLTLPIYEYLGELQVKGALFWQNKHRYDTRRCGMVGRQKIHRRTTTDTINPEIATCWFCPLPNEVAFSVLPCFVIFWMTMAWRCYT
MTNNDXGADSSLFTEINTVLKSHRVDRWKISLHIVSFLILVGIIVSMSANSWFVITTDTSNSIGESTDSKIGYGLTSVEIYDEFXIEGVVIETKVMIPFSECDGWGSADLFSGEEAADSGLQCDTVSIFGKVMILAYLFTAALIIGLIMTSFYAAYRKPIGDFWTDKYPKYHVIMLRTSSLVPLIXILIYAFIGLGYDLDKLDLATSLDENVDAGLGATWWLMSLLSVTYXVLVFXDQLXIWVPKXINYVKR
MLFLGARDGRRFAVHVEVKHPGEPLRPGEADADPLRAACWARGAYQPGSVIPHDDWLTVILRSDEERTSPTLAPFQRRICHSEARGMMSGHPA
MTDDILPSSNWIAQKCLLCHVLLVVGTWIASESCLPGQPVGSKGIQLVVDAKQKRKKGIFTKQGGDKEYASLSALRRLPLDLGNPTFLHRAVQRV
AVLISNLKGAPQFNGTVASVVGFRNDRVEVQLEADGAQKTLALKPENLSETGRKEDTGEFQTREEPPAE
MYRGLRQALAGAVLPSGGLNGTSWFVVFFWLVPENAGACATQALVDLPNFEYRYLQFGYELRTPKPLGMPTGVYRGTMVYTAGPGMDFDPGDRVVPRESDVTLNFVLSVEHILKVEVPPGGDRIELIPQGGWQAWVQQGRRPTRLFRDQTFIIGSSSPFKIQLECQFPMGNTCGLQAASGETVPLNIAVSLPGGIGDESG
MVSREVKRFISGIVSALILFSSVPFTVSAADQQKKGAIGQYDYEMWNKGSIGEADMKADTNSFTCSWEDVEECIFGMGKNFDSQKQSYRQLPFNWRCLEYDVDYFPKGDSFMAVHGWTRNPLVEYFIVEAWGAVKPSPMYPSATDCGSYILNGNTYDLYRNMVYNQPSLNGTTSFPRYWSIRTENPVRNYQNNHINGDVSFCQHFQTWEQLGLDMSGTLYEVCLYFENHHSSGSADVNNVLVSGHDSSAPVQIIRFGTNSRNPRLNFADIYGYYQIYNFDTDTIIDWSPYYDCNMSVSENGYYGNADDKCVLVSDRIESWEGPVMQISGNFFPGDTISFGAAVKQDTEDATDFILTVMYTDENGFDQFDRAASVKAKKDEWTDLSTTSYTIPEGAQNTTIRIETTGSNTDFFIDYAYVAEGGVQSYMSKLLEEEKDISEVKGDINEDGTVDVFDLAPFRRLILNLLSGKGQYLHRADVNDDGAVNVADLVCIQRYLLGAGSFSRDTT
MGCLRGVFLGEEVGDDVMVTWLPNNKRLDSFTFRKELYELIGELQSGEMLGSLPAMISFCKTHPEARMSDRQTFYAFRMDSSKYRYHLRLFPDKQKFYIFCYQTDRMREGRPAPDHNYLYRGKTKRRSGKGERE
MSRAFVRILNKPLKFKLISIEIGLGIAFGPLCKSEPKSTLATKPKALRPSNLRKDLQPRYSRSLKISALDQIRPTLRNCRLGLYSIFIPGSLYERELLFLTDGTLDLFIAIEGDVFDGWELQSALSPQLYHLYHGIIHSQSISSRDFPHPGRDSAGPNLGPRAPNGRNYGPSCTLPLISRGRNYASMNLELCAHIPSCSATPELLQYEFGAPRAHPQLLRKAEIMTVFADASAESMTLRSWPSRTPSTAPNTETMLVRFPVHQNTGTMTLQILDFAYISSIKAASVQLNTLIGFAWVATVKAAVRSARPWLMTRRQPAAARCGLVQDTRAVDYSSAAAPPPCKAAEHAAETGIVRGWSRA
MFRVLAYLLFATLSAASSAVFAEELFCESEDGSAFQGTIDPKNNVTNVQVRVRSGDFKALPYAQISGLELVEIDGIETVKSIIITNDGKIVVAVANGTYVDEIAGIYEVISCGLVN
MFTCCLPRSRGCRWKKARLEDAVPRWGFHVRTPHRLWPFGRKDRKKATEDTERQLADVPSSLTEGVTPKASQETGALDWQARPPTPRGPPSQVVVHWTMVQELEPMEAEAEAAPPPEELEPDLEGGLVPAVTSGGDQEPAVACIFLCWVSSEQSVRPDCTHVTGMEVRVQKVQMTCSQSQCVEPGSRMVREQRKTWAQGD
MDPQGDVLWSFQAAGPIESAPPVAAGRVFVDGGKRVYALNAETGSILWQTPTRGGVMTTPTVADQTVFVSDGWTGLIAADWGTGVAR
MSKFVPKSNWVRRFCKQYGKNFFVEVDQEFMTDNFNLLFLEGENYQRSLNVILNESESESEPDAEHNYDMEAAKLYGRIHSRFILTDHGIELMYQKFQKGVFGTCPRVLCTRQKVLPIGMSDTPGKEMVRLYCPKCKEVYIPKSVRYAKIDGAYFGPNFPQMLFMAKPWAQPKRSKAKYVPRLFGFKIHPQAFGSESSDRQEADN
MDRAVTVKQVFWDSWALAKDNIWVLLGLTVFQFAIIFIISMLSLVLGSASPLILSLFDAFYTVAFYQIFFKLIDDPKDASFPDVIPNLVKAFNFLIVKLIISLALVFVIAIISTIYFYDTPQIEIDKENPFSWEMLPIFVLISIPITYLTIRLCFVVAFIVDQESGSSESISQSWTLTKGHFWFIFRLFLLMLAVNILGAMAFGIGTLFSVPFSSIILIIAYRHMVNSYADEEEILLDDVEENNGN
MHRITRRLSFNSLQVKLFVGVFILVLPLIVVLILGSQYAVDVVRKQVSDSYGNSLALYMNQVDAELDNVDMYLNGLVSNSKELVAINQAANMEDYSWAKISIFNRFSEDLVIYPSIAGFFAYTPNQSDFLSVQSSQPNYNETEGINQYITQMIKSSPDLKGFKSNNWEAHDINGQYYVIHILKAKNVYFGAWQKVDALAVPLNFIRSGSQGGSLFATSDGVAMTNSDLVEDSTIRLDPSRGGYYLSGRKTKFLVVGEPSRKGNFSLFALVSDEQILGNLPYFRWFASLVPLASILIIPLGLFFLRKLVLIPINRLLVAMKRIREGNLDMRIDTISSVSDEFMIVFQTFNSMMRQIQELTVNVYEEKLSKQQEELQRLQLQINPHFFLNSLNIIYHLAKLKDFALIKELSQCLIHYFRYMFRSNMTFVQLKDELKHTTNYLRIQELRFPGQLHYECKIPEYVKSEMIPPLFIQTFAENTVKHAVTLDHPVSLFIQADIVEYLSKPYLKIQIEDTGKGFDKTVLTELQANKSLANEQGEHIGIWNVQRRLSLLFGDAAVIEFANRDPHGAAVMMLLPMQQVEKDLERVEEANV
MSFIPRTHFPFPTNTPSWFAGHMSRSLTKLPTVLKDIDLVIEARDARLPLTSINPAFDEVLEESWGKMNMAGPSGWKGKGKEKVVVYTKRDQAEERFEEPLKRAFKKHANQSIFFADTRSDRDVRKVLAHAVDVSKRNFDPLHDMKVLVVGMPNVGKSSLLNALRRVGVRKGKAFQTSSLPGHTRKFTGTVKVHETPPVYVYDMAGIMVPYLGKGEEGMERGLKLGLTSGIKESLFPPEILADYLLYRMNLHPRFTASTSPYPGLPLPSAFTDQTNALEELLTALAKRLGTLMIGGIPDVDAAQRYLLKSFGEGKLGRWTLDDLISDPAAARRTRSISENEGVVEGEDSESPAEPERELGLTAAVDRTVSTYLREEVERRNRRADGIPESLSQEKKKARKSAVEERKKKWEAKMANAGGIAPGSRKTRR
MSETLLFKTDLIEVGVFVVNPQDPCFFEAGFVESPIIVFPKNSIWIQHDGSDPFVADPTLVNFYNKGQTYKRYAIHQSGDYCHWFKISDELLSEAVASERHHFNAQNMPCPAPIFLQHLVILKQVMAEQQPDALTLEEQVLMLFHELLSQHKRQDCLFYKKQARHKRLIEAVKETLQSDLSVNLSLQQLSKLHNTSPFHLSRVFKSINGQGINQYRTQQRLRSLTLELQHNQMDLIDLACDYGFSSHSHMSTSFKQSFGITPSDFQKGIIF
MGGRDAAAGVGVVHHVVMDQGGDVQQLQARGGPDQGGVRVVIGAAGRCDIAPQGERRAQPLAASGEHLGELGHRLQLGADPRQDLPLFGEEAGQGVLDVLTELGGERLGGIETVDHGLSLGGPL
MMIPLIVSTFIHLVFIFAAVSCEKDSIYDILKAHGLPMGLLPKGISRFDIDDTGRFEVHLDQACNAKFESQLHFDTNVSGTLSYGQIGALSGIAAQELFLWFPVKGIGVDVPSSGLIYFDVGVVVKQLPLSMFETPKDCMAVGDFESGDSIPDDDLLAESIAKSQSAKLGHELDQGSLGGTICRKVGKSSLRNCRHTKSSRLLAMPGWPMRGKLEVFKHTFSMIIMVGISYGLWNIKMEMGAFGR
MADLLLDRDPESQSLPPTEFIIFHDGDPDDPIASLHDEEMMVPREGSEITLSELLIYDPEPDSDTERAGERTEELGTFLVVDVNYEYILTKFFEAEEDEAEDGADATKLLSAALVEVSETEDA
MALTPFSRERMRMLKSEKDAELQGIIVEKVVTYIYGYAVNFAEKNSETRYRIDIHTAGCFGSISIKSSSPLKYEHWNTIKPEDIVRNMNEILARLRALFPDCSVEYKKLSFIKGADGKEYDVLTLDDNARPFIDMTRAKTDEYVIIDWS
GQVVEHANRELIGEIGNTDKYVTGVILRFEDDCIEYVNAGHPDIMFKKRESRNVRVINPEDKKFKGSILGITEMDFSYKSLKLKTESGDFIALFSDGILEASDTDNNRFGIQGIAKAMVSCEDENAEGILNRIMGDFIKFTGTPKLTDDLTVIILKRR
MAGNLPDSGWLAYAIHDSSDLILTKASRNIFTSVAQHPDALRDTLLVAGLHYAWTVGDLQTYKATFLFHKVSTIGILNRWLQNINQPGLMTFIRHVSILCFIEASYGNVGDTAAHINGLTNAVNLLSPLDDDFGNRKGLDEELANRYLLLTHYAYQGFKARILGSDSLKTMFQNNTAEFSTFVSLIHRWKTQNVGHLEMRLNAMKLLPFFFAILPSSTQFHNIDASPLMEHLQHVTTSTQTASEDRYKCDPSWEWIEGSESRLLCATISSHFSSLFEDDMSSSSASMKYSISWSELWEDGEAMESGLLRRFLYIMKRDLEDTLGNLGSDDASNFWFWRAFLGLYSITKYQSQSYEPKLDDLADEYRGFVETWKNITGLTRWEDVHQRLISVAWPDRQGHKLEPSVWGRAIQCESSNPWIYAE
MQRPPSSRLIRDRELIKLAIMALDGADFVDKRTPASSSLLGDPTRIPGVMQFGIQDDGGGRTVRLIKTRNETPGAPRYHRPPHRITLTDQDVRDTGDQVEW
MNKKPSFDGLDEPLRTSLDWLTNRKTSIDEATSGETKSEMVMSKRFLEEAHNFNFDIPISSSPAAFVHADEIFSDGQIIPVYVDPSKIEVFRTSNSVPAIPISSLSSRSIVCPADRSHCHFLRKWRKSSKRILQKCFGCIRPLCRNAGCSRKSIKIDDIDSKVWEVKSWSNSPQTSPGQSEAYSSTDDRCDIKSKGKRIAGLDRTLRKVKSWSNSPQASPRLSPSYSTGDWNDVESSIYEAILHCKKSFEGKENGSKGKGKERN
MGLNWLLIDQETWEEVDPDELSYEELLALGEVVGIESRGLSADIIASLPSINYKAGSSQNGSNDSCVICRLDYEDGETLTLLSCKHSYHSECINNWLKINKGSCFRPTTYFCNYSLKDSMNLLLNIFLMTAGLSCLQHRGLHCCAQLAFLGDHPLLLVMVKKKSRPMCAPLA
MIISFSPAANAQNVQLAGDFSNWEPHDMALEDGKYVYDFDPEAGKRYMYKFVVDGSWVLQEGCETVTTEDGAVNHVITEAESTASVAKRKKNQKKKAKKKAKAAALKESSMSPPSTASSEDPRWVMVDEAGVIDLSKMQGEFEDLDELIGSAQGGVKGETKVVKLKEEVTKPTEVEEVKTESTAKDVESTDFNEVKTETESKEVETESTEVETEEVKVVEEAVPEETKAEVETKSAEIKSTESTASEAKESTETESKVETESPEEVKESKIESEEARETELVKDEESKTEEVEESKTEDKEEVEETTFESIESTKSEAETESTETESTDTKTEASEPEEAEPEVVETKEAKEVELTEPEVKTEEPTQTEVTKESEVESEETSEEVRAVPEVETEVETKDTSKEVETEAETEAEVETTEPLSEEPSEEPSEVEPTKVETKETELETESTPTIEQVAEVATEEVADEVAEKTAVETDVAESKEVEVSEPAVETEVETKEVESTEESQVDAVETEADISEEPEVIDKSEAAEEVTPKAAEAEVETPVSKEVVPEVEAAEVETEAAPEAEPVTETEVPEETQTEEVKPEVSDVTEEVIETTETPNESEEVVKASAPVEDETPVETETSAPAETEAPIAENEAQEASEAAEETEPSAPVEIEAPEPSPVETSDIQTEPVEATDKSESKNLSDKAIAATAAAAVPVAAAVAATLHSKNVAVDFPAASEIPPSSADSERMVTALSEPAGVAAEVSEPTDVAEVTETTEAVAETEVAEVTASEATAEVTEATKAASESAEATKSPEVSTPTPRARNVSYGSIDEAESDRDVAKKHDHRGIFVWLYEVILAGLFSKLSSMFRREAN
MVGAPVSFSGDQPHHHAAAADFSGTDATARYAPPSQIYATPNAPMSTLLPYNGAAPPVPYSASAPMPEPPIDTTLLFPPNPAAASTFPPNPAAASPFPPHPAAASPFPPNPAAAFPFPPNPAAASPYPPNPATSPFPPKPSTSAPINPASLVAAPPPFPAYSTAPPPSYSSAPPTSNAYPPASTAFPGAYPPATYPPAGYPPSGYPAGTYPPPPPPPPPSTTTGATYPPPPHQTQAPPYSAGGFYPPGQY
MMTRVPLWMGVMISVGGLVASCSRDMAEQPSYHPQEQPRKHSPTLSIPQSSRVIRSSRSDGPSEHPAIAARLFAINCVHCHGSEGHGDGPVAGYLLERPENLHSREVRTKSQDALYAVITDGHKGMPAFRGYLSADERSLLAAYVNSFDSQNTAPDGP
MKIAFSSALAAIVVLSCAAALRSADTKPPAGSARLLALGKQIYGRQCSACHGVGGRGDGEAAYLLYPKPRDFVAARYRLVSTWERVPTDQDLFDTITRGMPGSAMPSWGHLPAEQRWALVYYVK
MRIFSILACAALAMSLSACKSQESKGDQATERSSTQSNEEPRVALVPLTPGAGKPVVDHSAEGRRIENDPEQIAAGKQLFTAMNCVGCHFHGGGGMGPPLMDNVWIYGDSIENIAATIREGRPNGMPSFRGFLPDEQIWQLAAYVRSLSHPPHNQTAAQEEKK
MIITRVIAVGLELSAFFMVHFFEKYLVILFFLAFPLTCWIEKKLRKWALKDKIEQIYIDTKK
MPWEYSNRLIRMGWSIVSNAADKASIPSTAQQLLSSANAISWWTRSRADSVEWQARYADCMLSKKNSASLSNFEADFGDCMVVSSINTTCKSDALVPDHTRVRLDMPGYSLLARSWGHRAGIARIDTNAEFMEPICLSLWYQFYSNSFDCYFSIYKISGGNETLLYTVNSNPTFFNNWINILVDVYGQDPFKIALDADFKQFNSTAVRAILIDDTSIAYRPCRGQKIDTTCLDIEKPIKIQCETQLLVGDISISFEPENLISQQENCSENSIESELNTFCRNFNKSDQCKFNMLDFISGYKDCNAFNKHITVTYQCSDIPTASMESMESSNPSYVTDITTESTELSTPDYVTGTE
MSTEARRAPIPPRPSTPPPPAVPPLPPRPPRPPVTGDPRDEDWDGGEDARTEGSVPGGVDVSPDPKGDGRDPDPTGSRTPTSSDTRPHPSARIPSPGSGTAPPPPRASARFPDTPPDAGPRGRGSVPERREHGPPPVHGRVTERGELRPSSVHGHIT
MKKIKLFALLLLAGATVFTSCKKDEETGPAPTLTFSNYPAGSYEIDFATLGATTFDLSFVVSVTAEEEISAFTAKKTVGGTTTNLTAPADFSGKTSYSYSYLGTFTETDVYPVSLVFTCTDKADQVTTKTFTVTKKTGTTPNPINTFTGISLTSAYSAPTAAEYVAAITGVTYNHTAAGAASFGFVSGGATNGATIFTKGFDFTLSSVPATWNNQSYVKETNLDAAAFDAITDEDALLAAFPAAFTQTKVNTLQDGAANAGVTVIAFIDGSGKKGFAKLPASMDNTAGQVISISIKVQQ
MQPSSNGPRMAAELTTTQSPPRRRASRGTLIGGIVALVSFLLLVSLILVVGRQPASPAATGAGRVGVVVAAKNLTARQVLGAGDVGVKQMYTADVPSGTFTRVEEVKGMMAAANLTAGQPITSGLVAKSGEDLGQTDVAYLPLPPGYVATTVPTSEQQGVAGYIRAGDSISVVAVVPSNPGQQNASANARTVLTNLHVLRVGVLTPKSSGGQPGQASAAPTLSSLTVMVTQCQAELLNWFLANAQVRYTLESYKDYQSPPAGADQTCSSVNSAQGITRADIGRRYPGIFNQ
MAALFSDLKAGGTISLAVASPARPRASSSRIFIIAGAALAALAFILIIFLGNAGHGGVGGGGTTNVVVAARDIPFRSALSRDDLKIQAWNGDIPPGAYKSIDDVIGKGAAQASPGSASVNSTGAVAEIKISQGQPITSNMLANSIDAVQVQPAYLPIPKGFQAATIPTSEQNGVAGFIQAGDYINIIANAQASLFTPQGQQVQNRTVTKTVFTNVHVLKVGAATGQLTTGGQPAASQTGGVASSLTLVMTQCDWEFLRWLQANSTLTYTLGSYQDYKPQDTKPDPTCQDATAAHGVGPASADKRWNFTGTQ
MKDLTPEQKTIEEALLAYILQTLQDNPFALF
MLEQEPVPPRQLNATVDRELELICLKCLQKPAEMRYPSAGALAADLEAYAAGQPVAAAPSGLRFFIARLFRETHHADVLENWGMLWIFHSIMIFLLCLLTQVMSWEGLRDHVWYMSVWSVGLVTWGAALWQLRKAAGPVLFVERQIAHAWAAGVCASIAMFWIEWLIPLEALTLSPAVAVAAGMVMVFKAGILSGRFYGWAALNFAAAIIMPLVPRVSILLFGAVSALSFFVPGVKYYRQRKARIT
MNNDVSKKKKTSKEKRVLIGALCVAAAVVAGSTFAWFTSTDEVTNKLSASGDYGVAIAEDFTPPEDWIPGQSIKKAVTVVNTGNVDAFIRMWFSGTMRKVTETDSGTTLDDFASATLTTSNLGGNFKYTDGTNFYRQLSDSERKIMQTGELAYAGGAYKFTSNAAGSSEETGAAYTASDVAVRLVDSDTFEPTGDGLFIFRRVNSATDGVEYSGYLRKTIGDDKCYFALTDKTVKSGGGANQDRQVFIKGLTGLTGATLINKIKDDTTLSIRTATQSTVANSGLTWTYTVPTTAADSPFNNTHPYLTASIAGAAAGSQDASIKINVELENIGDGTAPDEWQHFDNTGAKHTFYYTNDLDSSNDSSKLVANVQLDKGLKEGAYVAFDFDFDVHLDSIQIVKDTDNNELDTPVSGASGWGIADGANATAAKGTATNSGKEISAVKWS
MPRSLTILFTFLSLSAFAQSDDCTCFAGIGSREEDTPLLTVGLDNGVILAVCGFEQKGLSEEEIMVSEFDVFNCATGASLAQYGIARTCMLKNEKGGLTISELRFLPVGEKWEWKQVVVGNQRIYAKGDQVQVAPKTPAYEPTEMDTARTGPYLKEMRGLKGTGKLYPGSIEEILGRLEVMALNNVKEATDMLYDFEHYFQVELSGAIRDQWMDAVETVKWATGN
ATLLLSLGSTVGLLVGFLSDHWEYVDFDADTVSDTAARQGVALTRSSNVLRLSFGPSAARPRGFNGSVAYLVNLRGGVNRICANVDENDLAFLGEEAKKAPVGCISYFRTPDEDYHRKQVIIKYPWLDKMRNLAMSCSIVSLILIGASFLVGGFGIFKRQLSAVMVTGVMFILAALFGIFTMCFMYFKRMVPEGVLTGTDFDDIPSDYLHARRFSRQWSASLSWLGISLCLITSAFWLILARIMRF
MLFLQTVLQDSLYIIDLSFTFKLSALSSHRMWSSISGTLVWESLHMLDGFIMLVFNDRFSFICAREDSVESTASIAPRRVPIHRLGSVE
MAIAFKLYLLAVLVGVVASHTAATPQLVVEREERDQDVIRKTLGEIRQRSSVQFMEYGPDAKEGYKYHLSVGDELDYVIHTDKPIIIPIVETIKSTRAETDRKLLERLIASGSIKSLDSVKRKQ
MLILISMVEQIIEVDEVLFITGTRKLSIGTTAILSAGTGPPSESIALRTSVFDGIDRYRNHIGELGVFFSEQL
MKNLRQTFKSVGWGVMSAVFVLQPTLAQAEIQRSFLNPSFEQPVFPFSSTGQPCYIQVDQATIPGWTTTHTPKLGTTNCISTGYTLFGNIIEIWKTGFNSVNTATDAGNQFAELNAEENAALFQKLCLVQGESIKFSLLHRGRGSATVPDVADFSVAGNSIVKVSTTNNGTVGTPVVGANTTLNTPASAGNNWVRYGGTFIYNGATADQDVRFTALSTGTKFPNGTSNLTIGNFLDEVQFAGDPVIEFQIDSSSDLELQNPLLNPPKIKIVGLVPPGGINVDVAITGGTAIVGTDFTTSTGTANFTITIPEGNYDGINNSTFPILFTVANDAVFEGNETIEFQVQPSSPSSPNKYIIGSTTTCGGTPNLKSTYTITDDDFVSGTVWNDANGSANNTFTNIKTGSETGTNAGVLNAILVDANDNDRVIATTPVNADGTYSFTDVAKNKTSLKVILSTTPGTVGVTAPQPSLPANWINTSPLTTLPFATSTTNITGKDFGIEQLPNTNDSTATSQPNPGGTNRVVVPNLSGTDPEDGTLGTGKTFKIVTLPNNGTLYYNNAAVTANQVITNYDPALLTVDPNDGAITVTFTVAAVDAANREDPSPATVTIPFTPTVATNPQLLLVKRITAINSTPFTNIVDDASSDNDNNPGWPNNFLQGRTDGGAVKPGDELEYTIYFLSSGNTSVTNVNICDLVPDNTSFVTTSFSANSGISLRIGSTTNFLTNISDAPDRGEYLAPGTTPPTSFQCVGNNTNGAVLVNIVTKGTSEDILPNATAPGTPENSSGFIRFRAKVK
MRSLLLASVGCSDDEPLLAYAWARIRVAEDDFIYTGRAFLSLLASVEEEWGGLDNPWFRLPGEDPTSKGSLTERILKSLYCAETIEFESVIDPAKLIMARILLHHHYEQKCTELNEDPNVSNYLSQGKGVASVAIDAILEGTYGRYDRNTSAKARKKRLIITPRNYQIRS
MKIDRESTGYKLFKTIFHCRVKAKHLIDLERAATYGQQTTGDDEYDAELGESLTDVYLPTSDIAELNHDGVDVMIVNHSDVIRLYEIINDHLEWWESKFRTMFFIDPDRLKRVKRDIEILGRLSDAVYPSIKAYVRKRDSHLTTVEDTIMPHRPDEQFYGLDEGDVSGFQEAVVAPHRTIEDIFGVKTLNRVRAWEVRK
MSYLIEFIKNRSVGAVSSSSKSLGRFMFGKLNYSDAKVIVELGGGRGVFTHEIXKLMSSXCKLLVFEVNEVFCNQXKSEXQDXRVTIINDSAEHIGTYLKQHQFEKADHIISSLPLSMFSLELKESILQNATGFLSDVGQFLQFQYAPFDYKRLKSHFGSVKMNFSIRNFPPAFVYRCML
MNSIQFIQEYLKTPRSVGAIWPSSSTLAKAMVAPVRFDQATCIVEFGPGTGVFTERLVEKLLPHNHLILIENNELFYESLKKKYSRFANIHLIYGSAEDIVSHIQQKGFTQVDFIVSGLPFTSLPEDVSTKILTESQRVLSPDGEFITFQYSKKKFSFISSYFSNYQTKRVVWNLPPAHVIRCSFQ
MSWTFFREFIKNCQHTGAVAPSSPQLARLMMKAAGVSQARNVLELGPGTGAFTEEIQKALPVGSRYLGLEMNAAFVDTLKSRFPGMNFEQAAAQEFDYSPYLVDGGFDTIVSGLPWAALSERVQAELLESIYRVLKPDGVFATFVYTGIHWGPRGQKFRRLLTSRSRKVETTPTVWTNLPPAFIYVAQPGEAGTRLG
MNAKTPFLSYTFVNEFRLQNEFEVSNLFVENKHSILEKIIVQKNRIVHFLSTWVWINDAKICGWAANHKDQKISKIFDNKAIQRKLICNENNLVIPKTTFLQSINESLHFLFEFIKDPVTVGAILPSSTGLAKEIVNQIPKDMKAKPRRILEIGPGTGIFTDKIIHRMNPEDSLDLVEFDVKFCTHLKEKYKHLPKVRVIHRSILDHNVRSDQKYDYIVSGLPLNAFRLDFVKNVFLKINSLTKPYAKLSYFDYALVPSIKRFFSNAVEKANLDGILKTKDNFYLKHQLKTSYILFNAPTARVRHHQL
MWVLSLFPFLFVPLQVRKDHVAPVYILNLLISDLIQFCSRIPLMLLEGPNNSFLYAFRLGLITSVGFMMCVSLERYLIVAKPLWYRSRKDIKTSVLVCVAVWILSVIFILSVYLPLDLNTSGTILGVFLLLPLPLFIFCLVGTFKVLSESRSVSAEEKRRIFSVLVVVLLTYILLFLPVVLCLVFE
MMYDLIAFFFCYFAVTASLYFKSCIALERYCFIACPLLDCLRQTEGSVVICVLVWVLCGVSVALAIFLYEFVRLIIYAALPAPLFIFCLAGTLKALPAATSVPTEEKRRTVGTLVLLLLNYFLINLPAVILLTLNLGRRFPETLIDFIIMIFFLFSSLLDLILFAFMCKGPIDRLLARLCCCSMESNNTGDVTDVSTDRSDHVVRDGGKEETGSHDGRDGEEVESVR
MHFLQTRRSQVPIIYYTNLLISNLIQICIMTVWVTRVESSIFIFIYVSCVMASLYFKMCIALERYFFIACPLLDCLRQTKSSVLVCILVWALCIISVPLAIVLDELLRLIIYVALPGPLFIFCLARTFRALPAATSVPTEEKWRTLGTLILLFVNYFLIIIPTIICLFVNEYRYRLLYYYVILVSVFSFLDLILFAFKCKGPIDRLLACVCCCSMENSSNTDVTDVSTERRIHVVRDKRRAKTHDGRVR
GACEHACPTKPYKSIYVEGNTVHQLAKLNLENIAPQKEVDYKEEFPF
MLITLEPTFYLRNHPLYYSPYDTLMITSIESPIKLSIPDEDFTIREVGFLHAYRLNLSFPPDLLLTYADMLSDSVSNVADYFLGEGQSSCFPENVVSSAQQIIYIEDFYVEPQYRGRNIGLKSLALFLQMLGQGAIVAGCPFPAGEEDSPGARRRQRLLIDYWSKLGLLCHCQKRNILWNDNWQLPKWLEECLWHEI
APALAALYPRLDADARCRTASALRRAVRERPGDWRAWNAGSARAASIGDAAEYKATDDRCTAAAEAEIARLAAIGRDPGVRLPVDACALRGESASGCVTVTTPDGTAAVAP
MYFSASMLLILCDLNAGNGTPNKIVLRPTIPIANADRESLDLAAHLDRTETHSEFVQYKLPLTFLEKTFPFFVTDIRGCVSRRKQKIQVSEVKFSLVTQTFAASE
MSKISYGRYCTFYPKVNIGKHRFYLEYRQISRTFDLYHNGNLCGKYRHSDFALLKALHILTSWQGDTVPAKHRALLQKLEQGFEIHYQGLGNE
MDEIEKNAFSTVYPTAKSHKGTRYDLIYRVLTRKYHLLRNGCEIGRYWTTDSAIHAILRIETGFQSDELSPKLIKSAERLATQFGITYQGLGEE
MNALERMKEKYHAMSGAEKRIADVILENPGLAVNMTVKYLAWKAGVSDGSVINFAGSLGYGGFTKLKIALAMCAEEFRGCAFESVYSCDSAAAALQKMSENASKTFADTCTRISPDALEKAVGLLLKAQRIEVYGMGGSGFIAGDAAYRLMRIGLNAAAFSDPIIGAISASRLGREDAMIVISHSGRTTGMLRALQIGKERGAGTIAVTSYGESPIAKLCDAALVVSSEEAVYHREAVVARLAQLLIVDTLCACIGSQRGAEAMEHMDEALGFIAEHGTRDE
MSVQARIHLLNNKLTDVEKRIAEYILTYPEEIINATAKEIGERTQSSAPSVVRFVKKIGFSSINELKLSIYGEKNEMREQKYDDINLEDSFEITKRKLCNNAMLTLEETCELLKEEQINNLIQLLEEKEVLFVFGIGASSIIAEDIYQKWGRIGKIVFFEKDLHILAAMLSSNKENSAIWLISNSGLTKEVVKAAQIAKKAAVPIIAQTMLGKNPLIKLASHCIQTSIPKEAFLRSAATNSLFAQLLVVDTIFYNFLQKNEQYFEKIYNSRKLIEEINN
MASLDQVHHSLDMSAVNRAVDLLTQAKKIAFFGLGSSAAVAHDAMNKFFRFNVPVIYSDDIVLQRMSCMNCDDDDVVVIISHTGRTKSLVELAQLARENDAMVIALTSAGTPLAREATLAITLDVPEDTDIYMPMVSRLAQLTVIDVLATGFTLRRGAKFRDNLKRVKEALKESRLIKNHSYPVIINKSDNKQYPITPSITIRLCDVAGWRYRIHVHATPKLYQSTEYYMSRRLRRTKIVTTLGPATDRDNNLEKVIARAQT
MRSLLFSLIVFSIILMVIAHHHHRCKEHRRHKCCKKEVHHHHEHEGKKVHHKHNHGHGHKHGHHHQNHHHHHHTGGGHGHGHHHDDDHDDHHEHKAADSPKQDDSKKTTATITGTPDGKGQVAIVLHGAQAGKLLQSVLDQQKKVPKETKEPKERKFTSARSSVAPRENGYAELARSGQMGQYGGMGGGMASPMFGGRMGMGMGMPMGGAMGGMGMPMGGMGGMGGMAPMY
MSIEIRTVEDLVRVLKEHPEWRERVLEALLKAEFLQIPSRLDRIEAVLERLVESHERLWESHQQLWQSHLQLVESHQQLQASHQQLVEEVRELRHIVEKLVDWVQRIETDVGKMKGRLLELDYLHRAPARFGYYVRRPRVINLGEFLEDLREQGHEFTQEEWTQLAMLDVLLQAQHPHTREPIYLAVEVSWILFPHNVQRALQRAEMLRARGVNAYAAVAGEGFVPEAHELAIQHKVLMITDGLMFSDTNPFRAPV
MLSSALLDNRKWTGKEEPEKQERRELNGNAGPGLGPTSTGKKTRPEKTEENNNNHNNRNHSAGDLERPRHRGEEVRSPGTRGEEVRSPGTRGEEVRSPGTRRHKENGNDDDNRNRKPETDANCNEASLPCRCCGEHSPAGEPGKKTPPETILREREGQGKRGSLWPPPSCAEPPQSPLEFTLSGSSSSSSISSCSDFESDSNPEFPPDSRWDAVVGEGGGALSPDSRRQPDIVPLEQGMEPRCLEEKEKKEKETETQQEGLSEVFIPCSSPDEGYPSGRCSRSSSFPELGEGEGEELPDSLAGSPDEDNDGNNQPFSGMSSSGASPCSEPESGDGSKPARSSPPNQSFSFYSSPGPLSRIHGIPGKAPTNIPKLEKVDNPSSQTGWTADLKQAGRIPDVFIKKNMEVPDPDSRDTDPIPGKISDAPGNAALKGKEEDIPAGSVGQRWDSWADVLGEKEGGGKGGRRPLVLDLGGPGYVDRLLGQRSPLLDSRGIPEPQKNVTSFHELARRRRRSGGSANHSAAGGGGERSDWLMVFSPDTELPPRPFGNGNQRAEAEEEEEEGEGRRSSTQKGGTQGGSNVTTFKELRLRNKQNQQRQNWGSCSSDEGAVQVEPEDPPPFPSAWDPFPEEAGGSEPSATAQPPPPAISFYFQTQSQPPLARRHSRPALQPIAEGAAEDEPRPLEIPPGDGEGERGTADGGRGRESHSAGENERM
MLVLQYIANSSRRFQTFVANRRAVIQELSRTDQWRHVGTSLNPADDATRGLPVVDLVAGSRWIRGPDFLLSVEERWPKGKELPAILNDLLEVKREVHQRSVVALAVSEQSKWSLAKLWLRHSSWYRLVKGVA
MQANYVPPAPVPTFDQDAYNEQVAEEYWATHPKPTFDPDSADGYGPNQELPPACLRLVGVDC
MTLSAAAFSNGLPHMVETVQKRYLDSEATVEALGSGRVICQSLPLGERSRLVPVSPTKIATTGRYGFRKSGKSRIWGAPCGEAAVCPVKSLLNKNAKQQF
MMRQLAERPEDVPAVFAERFNTGDAQAVAEVYENGAVFVPEPGSPLTGREAHAANERFLSLGLPITVRPRHVYTTGDIALLIVDWAIDGADPDGLPVHIEGTATDVARRGHDGRWRYVIDNPFGVKPRSVQ
MTTVSTPQGLHAAFEAAFNRQDLEALVALYEPDAVMAQPDGSLATGPDAIRQHLAGVLGANGHMAVRTRYVIESGELALLSLEWKLTAGDEAMSAVTAEVARRQPDGGWLYVLDHPFASREPDAAAASGPAAASAGS
MPHDVGDQLGQGELRRVRHIEQAPAAEVQPQDAAQMTGGVLPHRQVGTVDRLRVTVPGVVHVIHVTSVQPADYPRLRPETNAVRR
MLTLPRRHFVSGPQRSTASPLPDMGVPEAVSEHDQGTLAPSSATDANGLLSRTVRGDESAFTALFDCASAPVYGLLNRTLRDPGQATEVAETVWLQVWHLHDAAWPSTDLDPDSGPSQRPRAALVGPSTATRPHTWGAIRAVLRPVCRWEGVGTPEQDRPFPFKRGWRASHCCTQRDPVRGGVAAGQVDFRPGPGELPRISTALLLSRSTLNHTARGHRRAVGSRRRCPDAAQQCSCWSTCAKVRDLRRGGIRLFGVGTAWRYVDETTGLLSARAPGLDDELRRIRWRGKRPRDRRRHAHRLRPRGRRSALPLRQAPPPWGEHPSCGRSRGRSGMGFRSLSHSEKCP
MIFREDNLEKSTEFSTSLAQKECDPIFMSQKAVY
MFRRMFILRQPLKSNVAFFKPNFSVFEIISNKEWEDIKSKGEKKEGGFQVENVLIKLITDNQKVIDNLQDGILCGGDSQIVANNAVDEWINTYIMN
MPQTLTATSAANYPALYQPFHRQQENSAQSDDQAVRFRPAQGYGAEELKLDQSRFETFKSQYLADTGKLKAAAEKYCGSQASQHSQRIEDLERNLFVEQGNPTFYAGKLDTAYSTLRDNIHEIDTLLDSPNISDNKKELILDQFLTTINACADGVTANSQIALTALYLETSGAAGELQRLREGLVQSLAAEFATKHHDDLSSDWEIHLVNAYTNHVAEKFGVEVQPDEYDVAFAVTPELKEEFEQLVENRLSPARIVEHLAEEHDLELKQALNALNIPTSGIVKLEQLDSPETRENIFGSIDNGVLKKLATLTGKESYSLQQFGDMDEWQMEHVDFTNSRFYVAKEIAEGIKTANTPDGNSSQSVEPDTDIALSPSGELHLKGHTGLFYWVENTEADTIEPVKPEHIATLDLSTFGEEGLEIARTAITNATSYAEVDSYLTSQITATKDAASPLTQEGLLYTQQDSELPTKLVGVILERANSDPRFKQELIDQLGQPNTTDKFQLFAATAQDSDIGFAALKGLSSEVDLTRLNDASYVGSLSADQLEIVKQNSKFIDLNGALASAFQDGSKDVVQTLLGIGAEFDVNNIQLQYGILNAAASDDIELIQMLPDDPEMFQIADQDSNGSNLLHVACYYDSPKVAQHLLAKYPSEIRSQNSSGHTPMIMAIQSGSADLIQTMLDADRHILFPLSSSETNPVDYARRNASPEVRSIISQAQVSYYRSLTEQGVDPSTIPTSAKGDLMKLSMHLGFDMAKELLATRSPALNLDTSELLHNATSMLESEINKGNNEQAQMMISKYPELQTNRFDGEATLIHLAVQKLSPETLSWMLDQPELRAQISQVNGQGLTPLQIAEQDGKFAQATILRQASAA
MSLKGQTALVTGAARRIGEAIALALAEQQ
MVEQCPYIQKGFFKRPLPETDRRRFLFECPKNSLRNYDPPKLNKVCLSSTAKQHYTQLYNIQYRLSGITRPLDWYTYQLLHGNWDLPILQQQSLDMVLAIHELLSDLASHITTRRTDSMSRGISNQFDAPSIDSNYLLDPKEMVDHVKLQQTVQ
MKIDVLKDRLLDEWLIEEAASKYIQNLSHASKFVQCFVNGFQSENKNSEYDFVEVLDCNQVEIGDIEFIDDRYEINFIFDYVLSVWRGNKQLARITASATGNCFCIVEENDRVDRLVMKELYNQCEEYDDCTVIF
MSQSKMTDTSTGASEKTTVTKKQTELKSGDSVTLAGDATDEPEDVEINVEFDDDDEPSNINFLPEERRNGCDNTNMKIPTWDQGPKKYFRVYCMKRVSKLVRHLSTVHKNEDESDPRETHSSSMEYQASSSHSVPSQIEEDTQKKDRQDQVNYFHENSTPPDQVTECDTNATSGRGTEQNENEPNNVILTKLKIVTPDNLLIAEMKQRSGTYLKELRFECMYFKEEYGASICDHLENVEM
DRNRSHWSTSKTPSYTKSVSWQHHPIHVISAFSTMHSLVIGQIRTDEKSNEITAIPELLNMLDIKGKIITTDAMGCQKDIA
MEALFKKVAQHGRMYTFERSKDGKAIDLVRGFGATEAAALEYAKADFYDNHGQPLYRIPTAALSPVQSAA
MVYTYFVVKFSPGSFRKSPNELKLRSIFEQRISSHPIASSPVVPASSPPYRLDIYRCRVETRHQGQLESDSIWCFLSPCTTHTLISTYRIVVFDV
MSDIRERIRQKIDHAPPVPIHQINRRAGEIRLTDQRRARLMFGALAGVVVLAVGVLALGPLGNQSATVEFDVAHAPPTQPTPSPTTPLLPNPTMSAPAVPAPAPTPSPMVVPTPSGTEYSMLDPGVPDGDFPIIDGGPDVDYDIWVVQPGDNLADIARSVYGDPTAFGIIADANDLAGDTPLQVGQELVIPPHDSAGLPAPPTLATQPLDEELDQAIVASAQPPWAAVPSPAEADASVWEARGERIAFQLALPPQGQSAAAFVEQEIRRGAGEVDVGDGSTAALRNRGPAWPEVGPLFLALEDGRLLQVTGNPAVTGADPELDVALDYLAGDLIPWLSGIRAATSD
MKRVIIALLLSVAKCGFFYAQFVFSGKVFSEKQEPLPGAIVEIGGINRYAVTDANGSFSFSNLPAGSYNVSVRFIGYHTITDEISVQGNVDRQYTLTESVTAFDEVIVSAVRARSNTPTTFAVVPRKEITRVALGQDVPFLLAQTPSVVTTSDAGNGIGYTSMRIRGIEPSKTNVTINGIPLNDPESHGVYWVDVPDIATSVQSIQIQRGIGTSTNGAGAFGATINLLTNNVSQNPYYEYNGAVGSFGTLKNSLAFSTGLINAHWFLEGKVTGIKSDGYIDRGWSNLKSYFAQTGYYSDRTIVKLVGFGGREETYQAWYGIDSATLYDPQYGRRYNWTGAYTDSAGNTHFFDKMIDHYEQDHLQLHFAHAASDNLNFNLALHYTYGRGYYQDFVPASWGMPLDYFGLPLWIKGTDTIRYADVIQRLWLDNHFYGVVWGLNYFADRLSVTFGGGANRYAPAKHFGQVMWARYFLDDIPGKKFYENEGQKNDLNGYVKINYQIIPKLMAFGDVQYRYITYKAWGENREYADGTIDINKQYHFLNPKIGLLFNVTDAVKAYASYAIANREPTRSDFVDAQEGEEPRPERLYDLETGIRYISDQVSAEVVFYDMQYRDQLILTGEVNSVGTPIRKNAGKSYRRGIELVAKVQPIRILTLEGNATLSRNKTEYAEVRDNVLIKYDNNDIAYSPSLIAGATARIKPVKFYETGLMFKHVGKQYFDNTQNPNRRLDAYSLFDWIHTLSYKWQKVGEIQLTLKINNLFDKEYIAHARVSSSGTKYYYPQAGRNYLLGLSLKF
MIRLCFTIFMMGSLLVNAQDLLQGKITDIQGVPLPGAHIKMDDSLTTVSDLDGQFLLDGLTRGTHFLEIRALGYLTYSDTILLNSDLDVTIQLEEQLYDLQVVKLSASWIKPGQPFTYTQMSKDQIKSQNFGRDMPYLLQTLPSTVVTSDAGTGIGYTGIRVRGSDPTRINVTLDGVPINDAESQGVFWVDLPDLASNAGAIQVQRGVGTSTNGAGAFGATINVKTSGLEDQMYGIVDLTAGSFNTLRANAKFGTGLIDKHFSLQGSFSHIQSDGYIDRASADLNSIYLSGTVLGRNSSFKVNFLKGKEVTYQAWNGVPSQYVSDPLLRTYNTAGLKSDGTFYDNEVDDYGQTHLHGIFHKQWNDLSLQTTFHYTHGAGFYEQYRSDETISDYGFEDLSGTDDLIRRRWLDNDFYGAIFNLQRSKPQSVYSFGGGGNIYQGDHFGEVIWLAGRGDIDPQEYYRNDAVKYDVNLYNQYQYLFAGDWTVFADMQWRMVSYKFEGFNASQDVADQSVIQHFFNPKFGVEKKINQLTTYYSVGVAHREPNRDDYVQSTPQSRPRPERLIDHELGARFSADKIIISLNLFYMDYKDQLVLTGAINDVGEYNRVNVDQSYRIGLEYSLSFQFNRNLTLGGSFTWNRSRIKSLTEAIDNWDTGKQVIEEHRNVPISFSPEILTSALIDLTVLKKKRMDLKLRTDYRFVGKQYLDNTGDDLSALSSYQQTDLGVFMNWYLPFLDQITFKCQVINLFDKSFVNNGWVYRYISAGYDATPDDPHASHIGGDTYTLSGFYPQAGLHVLFGITVNF
MPSRADAHDLDKLNRWWSDLESTGPEAPPVYAIFLVSGEDTGAHNIFRAFRTSFEERKLGFAHLVIFGQHGVSGTVRQLRSHFGVPEDGGPTLILFAGESLQPEVVGLPSGSSTGKDLVNDSSGVPDWPEALKRTEAVIADGGAGGSETLASVKGLCARVLELEQS
MELMFNAPQGGVARLAAYLAVAVPFGLGSAFFVLSALLPTTLRLAPLAAAPAYLGLLAVCSTLAGPGFDRGAGGTPVGLPAPQLISSIVDVVAVRHRSDPRQDPGVRSC
MKIDKINFVLAVPDFNYKGKIKSVDKIIFVDNFKYKETMDDVLEYFTKGL
MNAAIANIRQDVEFSLQALREIQRIREHIILRGQNGISGLIYAIMLNGSRSHMDIVNKITHLLGDDLFDEANFELLYHTDPKKSGPWCTFDDPDDCPNLDYVINI
MQDDGSLTLHLRLTSDGKEIDDTLTYKTTDRGYDDVLRHLGGLGPGDTRSFRPWKD
MPRRGERTRDFTEPAEEERPQRLGGIWELKTSTAHGRRARVKVDTTGFVCRRLADELAAELADYVELKRPHPTGVFTYRQGLLDLCSFVDRTAGTEAAACSLARPEPDVSHYIREWIRALPGQFEEGSTRPYFLAADVLSLIRFRGQHPERVLTPAVQRVLTTAMPASIPRTNRQMEEFTRAEKRELVRAAWADIRWLKQRLARGRELVAQGADPRIAGWTNLANLAFGLANDLVSPLEIWRALPSHHAWPPELKEVFDIAGVRFNPNTGRYLLTIALASLLYPRNIDLQSFRVLLIAATGHAPEEVAYLTEDDVEFVPGGVRLRLVKRRAHKIRYREFKEMGEESQVMHPDGAGLSTAEILRTLIKEMGEESQVMHPDGAGLSTAEILRTLIEVTAAARRRSTAKKPYLFVRGSVIPHTDNSHQRGELSFRPFEPVGRGGGLDEWVERTGVTVAGKMDIRRLRKSAKVEKTIAFRGLVSDAADDHTEQVFWGHYAHGTTLRTMAGHTITRAQNDWLQRALAGPVVLDEEAAGQLRDPEALDTLGLDAKQAEDIVQGELDMGVSSCRNPYQSPYSPPGEFCAVAPLRCLECTNAFILPSNLPQLLLFAEHVESLAARLDPRVFHQAWGQSRTNLKAVLADVLPADLERARQQITDQGLRLQLPLSSFVEFDS
MYRFARRIAASAVVLAFFFNLVAVTEAGVVVPTQHNDNFRTGANPAETQLTPANVGRLQRVDRYVDGPINTQVLYAPGVQKTVRGTRNVAFVTTSSNSVYAFDANDTSKALQGGLLWRTTLTDPDRKARPWRRGINATPVLEYDASSGSGTIDVLFSTANQFPWNSIFVKDELALQKTLDVRYYLVKLNLATGKIVLGPVALEGSVARVGSAPLKFAARDESDTASLLLDHGYIYASFSARQNENVSQYYGWMLRYTADGLKYAGAFNTEPHAWEWTGPGHPQRNPQSTFPICYNPAGGRLEPWGPWVTTPGSSMACVGEGGGIWQGGAGPAADRDGNVYAVIGNGRFDPSNDSYGDSIVKLRSGPVVSGLADSFAVTAWFGPPEAADDEAYDVDLGSAGPLVVDGAKRVIAGGKTGFFYVVDDALAMKQQVLAGINNRAPDPDGKLRYRTWNQGPHLHGSPTIWQVSPDLAYVYEWAEKDYLKKYAFDLRNGSFVVKDPWYPWVATETDVLASRCDLLLCLNAMPGGMLAISANGMTPGTGIVWAILTKYDLFSHAAIYAFDADTLKLLWDDPIGAVPHFAGPTVADGHVFVPTNALRSRFSIYSLSAAQVRSQRRTLAPHPWRWEAEMMTTTMKKAPMNDAVPDYAQHPAYRTRMTLPGIVNQLPAGLIATAAYAVRGRETFKCVKGDWAACHRVSTKLDLAYDYDDRTESVGGPVRFAAPQTYTTPAAAVPWPLAPDWQLLAHPGGLFRSAPYVLRVQTSFRKLILKPSSGRNDVPFDAIYVTLAPGG
MKGDMRYSYPLWQMGFIVLLIALTTIIGFTADYAKSTDSFIFQFTLEGDSFWYMAAWLAVLVFYMILFKWKLHQHNKRNPDHQMKFTSFKPQEYMADDELFEEVTRRATQKAYSYFTVALPLLATMYLILPIGKFGMLNALLLLAIGQYFVYYRTIRRYMAEDAV
MRLKKISEHFLYLFLRLRGIATQKCTPTTARVISEKASLTEVGVWPKLTERTEVVTTESPNSLERVHEEGALMMIFDMMINGLEGISTSIDEANNQDDQLYATNLVLTLYKQNMVNRTDRNSNNTSETPNSLERVHEEGVFDDDF
MAEIVRVRMESAYLNTRLALAGQRLTEFFRDLSDDFEVTVDSERTINVPVSGSPLV
MSRRLAQRKRAPGFIHLPPPPPMPLSRPVSTSTTSCSPLQQLNPTSGHAGPHDSSSTGTGTEDVIIPLTQPVSIPAASSVHQRVSSPYYSPSQIFPASTANKSYFPAYLSQSISSAASSRPSSPLLQQQQLSQHHHHHHHHQQASTPSPSASTPSPPLNIPPRTPRSPSFFGAVPSPLSQSSHPHPHPHPQSQSQSQAQSQSQAPSMSMTSSVRTPGPSARTYHAHLAQQQLAFQMQQRDLIFQSTRATSSVSGPISPRLIPLGSPGPVTPLTLEDNPAGYISASLLSPALQLQQHHLEKLAAAAEKAAAEEDPKSS
MNAYSRRWAAMSTCVGHGEGRRLLMRG
MTLLSILCSSISACVTISAAMSHPIFNGISRDLARTPNSNSLLHSTLLASPVCFTLFKPATTSLLPSRSRSLFSKSPKHDATHSTTPKPFSSRRRLPQHDAVAVETPPPSASSVSEFSHLAPVAHYHQFNPLPARQHLRVSQSAFLPSQHGARVTDSVAPLEPLKILLQVQNPHNIKYNGTVQGLKYIWRTEGFRGLFKGNGTNCARIHLTLQ
MAGIRVSGQFSLTRLSLCLGLAFGGSGVWAQEAPVTERPVATLKEVVVSASRVEQELEEVPATLTVITAEDIALENPTDLEELLANEVGVSVRSQPNRSSGVFYATGRGGNEGVNIRGLEGDQVRLQVDGVSLPSTYASGPYAAGRGDTIDPEGYKRVEILRGGSSSQFGSDGLAGAVSFVTKEPEDLLTLGKPQQFTLKSAYASVDNSFQLAPSFAFAGDGVKGMVLASMRRSHETDNMGTNDAANTSRTTPNPANNQVDYVLAKLVLSPSAAHQVKLSAETIRRQNNTDIKSFFGDPFTVATLTDVDVREDISRDLVKLDYRYAPSGAWFDVLSVGVYAQQSKNLQYGYEARSTAPLVRTRDTSYGESTLGANLQMETNLGDRIKHRWVYGLDTSVTDVTSLKEGYNSSGTAFVPNKSFPDTDYRVLGAFIQDEINLGSVSVTPGLRYDSFKLTPKPDALYRVNNSATPSELSDSALSPRLGAVWKLAPMAQLFANYAHGFRAPKASQVNGGVTNLTAADPYTSIGNPNLKSETSDSVELGLRGHIADTKNSYSVSVFHGKYKDFIASNVKVTDNAAPTPDVYQSINLSKVTISGFEARANWALTKNWNVSAAYAHAEGDSESNGVSTPLATIDPDKLIVGLSYAHGTHWGLATQITAVERKLRNPDTTKVTPGGYSVTDVSAWYNFSKATRLTAGINNLFDKKYVEWADVRDLAATSTIVDAYTQPGRNFKVSLTHSF
MSSSKTIHWLVIIAGSSFSATSSASNFNQALYAELSSLEPISVIATKGDRLITDVPHSVVLIDNNEINRRNSKDIKELFSEDLDIEVRSQTARFGVSSGVGRTGQESINIRGLEGNRVLMMIDGIRMPHSFDYSSASVGRGDYVELEGIGQIEVLKGPSSTQYGSDGLAGAVNFKTITANDLLKGGDSSAAIKVGYRSVNQSSTGAIHWARKGSDWNALLVSSLTSSSQMSNQGQLDTPDALRTKPNPEDNNQRYLLAKLERQFRPKQNMLLTLEDVTKNRHTNVYTARTSSVFDHQAKDWLKRQRISLDLVTNQTIFGFEDESSFKLWLQKAAVNQLSIEDRQIDRSRDNNLSDNSFGLNAHWVNYKEGINLQKWTYGLDIQQSKIVQSVKRTGDYNDQVKYFPDTQRNLFAIYGQLELDTDKFSVIPGIRLDHYQFKSSQAGYSLPIVNLNDSAISPSISGVWKLQPYAKPYITWSKGFRAPTQDQVNNGFSNLRHGYTSIGNPNLQSEKANSLEVGIKGKWQKSRYTIAGYSNHYKDFIEQQIVGGSGRSGDPLIYQYLNRSQATIQGLDVRFETLFKRHWTLTSGWVYSKGKATSSGGQNQPIDTIQPMRASLGLSYQRQNWGITGQWLHTWSKKPSDVGTVTDTQTRMQVAQYVAPAYSVFNLKANWQPRKDLNLNVGIGNLFDKKYWRWSDVRGVEAASPVLDAYTGPGRNISVTLRYDL
MVELPLLAGNSTICVPDSVAMPEALGRVTHLGVGAHQDDLEFMAFQGIAECYGSHELWFGGVTCTDGKGSSRNLAYANLSSDELAALRRQEQEEAARIGRFGIMIQLGHASSEATNPQSDLLPNDLFQILTATRPRIVYTHSPADKHRTHLGVFAALLQALRRMPTVDRPSKVIGCEGWRDLDWLCDGEKVRMDVSGRGDLAEKLNSVFASQIAGGKRYDLAVTGRRAANATFYEPREGDHASQVLVGIDLTPLVMDDSIDVIDFTRGFIRRFEDEVVHGLTPYFRK
MHKPVHKGRQGIGTNLLRELAMGYKKADEVHEKRAREIIKLLIADPEKGAAEFDNAKGEVKDRVVLGLVFGGKASGPDGKAFGALAKKTKTAAAGIFAALNMTDCGADIMHDGY
MAPPSDPRGRPLDGFAADTYGDRFVDVYDDWYPDVTDTEACVATVEALARDVATRRGTEPRVLELGVGTGRLAIPLAERGVHVTGLDASPAMLAAMAAKPGGERVRAVLGDMADLAQADQRFDVVLVAYNTLFNLVEEGAQQRCLDRSGDALTPGGLVVVEAFVPDNDIASGDTVTTRQVTAERVVLSVSRTDADAHLVVGQYVDITEAGVKLRPWQLRWSSPEELDHTASSAGLALVDRWSDWHRTPITPNAPTHISLYQQTTP
MTGARVRGIVNRGGEPCAEVEVDTDSESAPVVLAYFANEGGKAVLRTVLSNDAERETDWFDNNLHQAFEDITAEASYADNEKGRHSFQESVLAAAHIRQEVEATLADTL
MATLVDAIQRKQEKLTESHILVGHIQKTCNRDGSNILFPTRTLTMDSQDIKSIGCKDELLKAAEHVRELDLACNRLSSFEEVFKILCWLEKLESLNLSQNPLGCHISDNQSSNSSFDEDTIGLLSTEGEENIQPMNSSELSIQDQNYFYFNKLSSSSKSNKILQSKHNEIDFSPIFHNESMNDSIEKIKSTNHPNDGVGSNDNLKQTRGNEPSNMKTLPKHPSAPSELALNSGIISPTTVFPCLSVLALNSTYVPWDWVIDLLYRLPK
MEKVVLFAVICCLLFGQTISLNAISTEDCIGSEKNFKYFSLSENDVTVELGKNIQLEVLGEYSQINWRVGNPQIASVDANGKVTAKSIGNTYVYAETANGLEARCIIRVTAKEIKPTGIKLNETDAVIKMGENFQLKATITPEDASNKKVSWRVGNPQIASVDVNGKVTAKSIGNTYVYAETANGLSTKCMIRSIKDNPGFIIENGKTYYIDSETGAKAKGYKIIQNKKYYFDKETGEMLTGFIKIGNSNYYFLPEGDVVKGIQKIGNRTYCFNPETGVQEFGYRIYNSKKYYFNAMTGIMETGFIKVGTIMYYFLPEGDVAKGIQKIGNSTFCFNPETGVQEFGYKIQDNKRYYFDEELGYAIEGKRTVNPGKDNEATFYFTKIGDVKTGFITIDEKTYYFNESKDNPNTYGVMRFGHQILNGKNYYFNLGSGALLESGIAFSNTVFRYYYFDINENDGLRKGMQDYNGSTYYLHPVYGYAHTQFQYYQGNLYYFNKETGIMEKNKNININTLSFNINSNGIVDINSVMSTTGSVRDRLIIEGLKKIGVTYGRGEGQLDCSLFTEYVYNLLDKNDIKGYSYQQSKYVYENYPHFISQDIDKESQEQLLSNINIGDLIFWNVKECYFSKENVGDNTNCPHNWTSSDGKEFHTHHVGIYMGNGQILEANETAGYVIVQDIIQTEDYFIEFVSPIFN
MLECLLEGMEKRPSSEIAKQFRLWAAKWLLKNEMLVPSPTPPLSVRSGSGDREIQRVLECA
MRLLLWMTMTCWSAADGGVVLPALTGFLLVVCAAGNGLAVRDRGSVRRRLTTAARAPSAN
GRAGPDGQLRLKRKKKPQTPQIPAFASLETGCGAGDEARSEMASSALRRSLPRRLLTSDPSSAAASFFRRSFLS
MKTALVSIVELISQTPVIKTIIMIQNPVMAGWY
MTPEAAAILLLLFYLLLTSALLIYQALHLPDGWRAWILFAITRVYAPGLWHVRRNRRCPFPGDTAGIVVANHRSP
MRRALFIFLAFLTLLGIYFGAALLFFKTYYFTRVSSTFIEDHRYWSFIEASERALSFSSPIGHEETLYLLGYQTLSLLDTDVDEEVARALVTYYESWFDVRQPFSGGVFYTQGFSVAGQLRERLWDLYGATDDFSKAEYYYLKGLALAPDKPDFLYDLFRLYLSHSAFSGDVRAVGGRILGLWPDDIRVQGILKSLE
MREMNLNQQSEIRERVRQEYDELIQSLFNSTFMLKNKFDEFRNELHDDVFEKVSETRREALKAMSTVREKFGSTIGKKRSEAVFNPLPLEYVF
MVRLAPISCLWRISARLSSTHMCPELAEVLHRWKGGEVELTSSGDVVRLRLNRPEKSNCLSGEMMLQIGEKLRELEQLSSGAVLVVEGKGRSFCSGADLGLIDEQLNFHRAAAMLSEPVALLGFIFFKAVSVSFCSGIPPELSAPLFILVQRYLSLLHGCLSDTP
MIQNLTHELAVLAKAVQHKNLSAASVHVGISQPQLSRLIAKLESELNVLLLDRSARRKSGWTPLAHELALAYTKGIGRLTNEILAVAKDIESTELRIGTLEGLSQIASQFAQQCFEKLEVKTVYLDILDFKDLDSQFLSGDLDLLFTVRSPSKQKFTHQLEVGYQQMEKVSTDPNILVCSPSEISGVEKKQGGKNQRFLISNSLSIRSNWLHKVGGTGSLPIDAQKGRGKGYYSVYLFGQEMINPKLWKKISDLFE
MEYNGDLKQNEENILVCFDKAIGGPLPIKMRILFSQRKVEFLEDFGLDVNKLLGAYEEHQALLREQETLKRKAENGYEFACSSRGLLGCMTSDGM
MTRPASRARYRHVLMSAAALAAVCFCAPALAQTPVSTGDKTVDAILSTPQTAYKGAVLLAAADTPRKPVAYTNLTRTAPKVPAALDPGLSPWDAQLYQAAFDALDKGDFATADASIEKISDKSLMGYLEFNKLFHPDYSSTYEELMSWLERYPDHPQAMRVWNLAKRKKPDGVEDPPFPKLAGAQKLSSTGLLDGPPRSEVKAGTAGPDSALTPKSARSAYNDGKLDQALKLGVQIGDRWVAGLAAYRLKRYDEALKHFDFIVNDPSQSAWSQSGGAYWAGRMAAKMGHKGDAELYFKYAASFPFTFYGLLAEQRLGAEPAVVRAQKGQTPVFAEETRGAYTAKLNADFNWAKNDAQARRVSMLVAVGRKADARTELQTAIQRSPDQQTRSNWLALADAHDLSVSQIRTSDRLFDPANYTIPDFEPTEGWRIDKALLFAIAKKESKFNAKAKSYAGAYGLLQL
MSSLSGWSTIALFVRRASGRHKASTGSEPPLRRSKQVGKPAVAGVDGAATDEAATGEPRDRCRGRGGRHHQPPPLIAVVLMPATPSDTINGTFCKRFSRDEYAIVHRDLNLAVSVAAAG
MTLRSIDPVLQSYSYQDYQRQRQLTLGDLLLENRIIFLQGEIYTGNANEVIMKLLYLQSNNRRKQIHFYLNSPGGDVVSTLAIYDTMQILSCPVATYCVGQAASGAAVLLAGGTSGKRYALPHSRVMLHQPFGGVGGQVSDIEIQANEILRNREMLNEILASHTGQP
MRRNETHAHDAKAAHNDSDVFALLQQERVLFLTSEINAETANTLCGQMLLLDLQDPNQDITLFINSEGGNVTDTLAIYDMMQAVRCDVSTICIGEAASGAAIILSGGTKGKRFALPNARIMMHQPQGGVEGSSRDVEIEAKELIRLRELLNGILQKNTGQDHEMLHKVLDRDSYMSVNDAKNFGVIDRVIDRLR
MSQIQDAKKNVPFNFPLDLSDISKLNFLTKTDIHAGKTMTVDNSKGYRRMCWCRDLDPDDKVTFE
MLAALLFLVLGVIFAPRRITNISADQILNRAVAANAQEHNVPLHFIKSELRDGIIPTLEGRATFDGRPIWPIRLKPTDRRYPWMEVWVEQDSYRIVAWRIWDRRGRKPFVAQQSPAADSIGL
MERRASVLSLLNSARAPLRVPELFDSPTRLESDCPNDQQISNMSTEAAARIFFSSPLFAVVGASSNPAKFGHKIFAWYHAQSIAATPVNPTAATVTALGREHAAVPNLSALPRPKETSVSIITPPAATLKVLKEARELGIPAVWLQPGSFDDAVVAYAREEGAFNAVVAGDGGRGHEGWCVLVDGERALKAAGKL
MISHPYPLGTLGTHHEPTSPRNAPSRSQSTRSIARRLSYRSAAGRTEEEAEREGFRRLFSPSPEPSSSESTEVEIKPPKSSSILTGRDGHHGAKRLRKVIRVEGDWDLIRGVDTDGYDNSGNRT
MLAEASLQPLPEDGPPLEEQAAPEADPADRITPADAAWNIWQHTVLDCPQDFLPAVPSLEGVARAREPNGYRALREILDFLAEDIRGFLKGIHHLGPLRPPPKRHFYLADQQTGRLSYEGFLHHYLAAHDAGQGGLSAINDWLAASDLRVQMRLDVVSKADHLYKLMLTNLPKTPVFEVNWCEAGFGNTQILPIVVELLLAPPGSLILLEQPELHLHPRAQAALGDLLILAAQRGMQVVIETHSEHLLLRLRRRLAETVAQAFAPALQGDPRYSIEEEAVRVYFVETVERRHVSHLWEDTRRRRNFYAPRRKGRRRVGRIWKEPHRHRHVYLTKQPERRRLSRVHTIQLGKVGDLRDLPQGFQDFFSDDLWELLALDEAILRIPREQRHG
MNSICRAITTKKRLLLLRPLQLLQSHYATTTGKTKPKTQNTKTITKPPPSKPPPKVDWPRPVEVPFQPKVANSVRLIGHVHTPLQSQATPDGNVWAATILSSSSSSSHSLKMPIIFEGDLAHIASLHLKENDFVFVAGSLRSDLHHLNASKGQTPLQVMVHTLYFVEESSQTKKSSKDDRQEEKTIDHTVAAGVKEDMEKSWKNLLTWKDLLAKPHEWWDIRSLEDNPKAAAFERKNNGEVLRIDDSTPEWIRNKLDSTTFDQKPISDSCETSLKNDGDSTLGPWRNLLDNPKQWRDYRNPKLNGLVNPNHPDFKSKDGGHALWLNKAPQPVLSELEGMEFDVQIQKSKQAKESRGDESWKDLVENPGKWWDNRLEKRNKKGPDFKHKETGEALWLSSSPAWVLPKLPPLRTKQAVTIGNTPTQSLTIFIHTFIVAAGVKEDMEKSWKNLLTWKDLLAKPHEWWDIRSLEDNPKAAAFERKNNGEVLRIDDSTPEWIRNKLDSTTFDQKPISDSCETSLKNDGDSTLGPWRNLLDNPKQWRDYRNPKLNGLVNPNHPDFKSKDGGHALWLNKAPQPVLSELEGMEFDVQIQKSKQAKESRGDESWKDLVENPGKWWDNRLEKRNKKGPDFKHKETGEALWLSSSPAWVLPKLPPLRTKQAVTIGNTPTA
MHSLPPPHPTPRPAPPRPAPHGRDLKAKPSQAPPWAAPPRPAAQNRGKRTLTKYPGELLMTNWCAVRTCGRGRGGAGAGRGRVASLGI
MRWQDLDWQKDYQKWPAYGQSKLANLMFSFELERQAVAAKTSLSSMAAHPGYASTHLQAAGPELAGQALMGRVMAAGNKVFAQSAAQGALPQLFAATSPEAVGGCYYGPSGLGEMRGNPQLVQATSSALKTEEWAKLWSASEELTGLIFNWPK
MHSENAPTSSIDLNRLLDTCTARLDDPSTRVRAFAIETERERGICVDTDRSEAVDETTFVWVGHGSHPDPERAFADFDAVVASCATFDRRRFFERLAIADRAIPTPSETEAPLLRGRDDIVPMALHEVRGGHPAWVGSTDGAVVVLRLLRGPASRSTITVSELDDPLDPVRLWRRRVLRSWNL
MKHSTMMRWASMGSVLALLLLMFTPLLGLAQAPAATRPNDPSPAMGHAQVIAHGVASLPQGDLVWRLRLNRAPLPNRAAPQLRPPSFVAGVTGVVAVSSANGVVTSRVPAGEAVWMPPETPQAVVSLERQPTAFLDFAVERSTAPRARDIAGSAFSLPRAGAYDMVLLRDVLNRGEASTLASVTGPAFLYVSSGRIALYDELGEEIDLAAGDVAEFTGEAVAVGLGRMPATFLVAMIGTETPRTVDLRQQTPIPPVQPTVAPVQTPVPVPTATMAPTATATATPLPPTATATATPVPTATPLPTATPVPTATPSPSPTPSPTATPAPTATPSPTATPTPTSAPGEILIAAAICPAGYGGPDFAAECTDPAAAVPFVLAAGHGIIDTREAGAAGTVRFADVLPGSHQVGADIPGDFASSQVQCDNGSGEEIAQPEDLNQVALDVAAGDTITCDWYIVPENARGLVDLSVLIRACPEGMTPETLERDECTPAPDGTLLALSGPSGEIAPAATAADAWEWSALDPGDYTLAVAALPQAETDYQLDDQPCCGPDGEFSVDLDGQLAEESRTLYLFQPEPEAPDTSITVDIATCPPGMNVNNLDPAACGPAPAGTSLALFVGSEQLPAATEEDAQWTWRGVPYGTATLILNAVPEGTATFSLNQRTCCNLEGGLDVSVSEETPHTGYILFFYPPESAAPEVVETPEPAALADVPDTPEVVEMSDIDPDGDGLPSTDEAFFQTDPEHADSDGDGVNDAAEIAAGTDPLTP
MKQFHVLTTIILITVVLSLPIQANAEVNRTLKEADSDLYPDMLLLLLLPQIQEAVNSYYSKILKVDPVVYPYEIDIVKAERIDQNPKNRGYDFLLTLEVQPVIGPHIAVGQDRMTLEISPLITNIVKITSYQHVHTNQLPLNWQHLLLR
MRPLLSAFLSGLLFAFGLGLSGMTDPANVIGFLDLAGDWDFRLAFVMGGAIAVHAVLRPLIYKRQRPLFAAKFPSFPVSRVDSKLLVGAGLFGVGWGLGGYCPGPALTALSSGAPQVLVFVPAMFAGMFLAQLFQTRRSASAGAMSPDTMSSRPPESVPTPTGWRPETQGARGAK
EIDETTNDYRKLIPSALSAAMFACGLAISRMTKSSKIYGFLDLQGMGRGTYDPTLITVMGGGFLVSMVGYQFVKGHNIMKNSKALTCPVAQKKSCGQFNVPPSSGKIDTNLIAGAALFGFGWGFGGLCPGPALFLAGAGFPHVLYRWWPSFFVGTILAQKYKDLQALSDKK
MTTTPVRARLGGLAAALAGVVFGVGLALAQMVDPRKVLGFLDLAGAWDASLLFVLGGAVLVAAAGFRVVLKRTGPALGDRFHISSWTAIDRPLIVGSALFGIGWGLAGYCPGPAIASLGFGNPEALWFVPAMVAGAGLQRWQAHRRAAPTTAQAAADEV
MSERGMSEKSKEAALALVAGLLFGAGLVVAGMTQPEKVIGFLDLFGDWDPSLALVMGGAIAVHFVAYRLIKGRPSPLITTKWSLPTRRDLDLRLVVGAAVFGIGWGLAGICPGPGVVSLLSFAPSAGVFVGAMLVAMWLTAKTEGWLARRRAPAPAQEKGAAGEGARQRVAAGR
MHANGTLPQISLSDFCSRMKSYWNCPVHPSEYIYIYIYISFAYVYDVFYLDISSVMTVIVLFIFFSQTPSYTIIFRILCMYICFR
MGSSALLLKLLLMSLIYSGLSQGETNDTGTFQALPRSTLTVTPDSPVFTGEKVDLKCVIESDHSDWKYEWWKGNSNIQVSQRYTENTDTLTIEGSESSDAGQYWCRGHIEGRSVSTQSSSVSLSVKERPEAVVKVSPDQRVFRGETVTLTCDIQRGGVIQWRYSWFKDGDTRYPYRTTTTAEISFTAEVSDSGEYSCRGERSDSQTSHTSAALTLTVSDLPRSTLTVTPDKTVFTGERVSLTCVIESDHRDWRYEWWKGNTYYTSIFQSSERHTVNRNTLTIERSESSDAGQYTCGGQRDKRPNSSQSSSVYLSVTDLPRSTLTVTPDTAVFTGERVSLTCEIESNRDWRWRNGLTDRRYDWTPDWRYEWWKGSVKLQSSDRLTVNTDTLTITAVTTSDAGRYTCRGQRDKRPNSSQSSSAVSLSVTDLPTSTLTVDPESPVFTGERVRLKCVIESFSDWSKRNGLRYDQSYYWRYDWTSDWSYEWYKGSAKLQSSERYTVNTDTLTIRAVTTSDAGQYTCRGQRDKRPNSTQSSSAVSLSVTDLPISTLVVTPDSSVLTGETVNLTCVIDSNHSDWKYEWYKGGNNSVKLQSSERYTVNRDTLTIRGLNESDQDQYTCRGQRDGRPNSTQESERINLSVNVSAALSSLLVTGVVVGSSVCLLFFLSLLLMWRYKKNKDQQRNINQTSVPNQSAQSQLDNFPLQSADPDHIYDDVTTVKNRDKDDSEPLADVTYSEVKKKMDKEDTIADSNNVTYSEVGKRVKKCKSKDINTAGPSDLTYAEINIQDKKKTKGKGAGLSDVLIEMKSKEKHRGKSSESGDTLYSELKQNTDRDAVAGVGDTTYAQSIRKKNKTYAVAEVEDATYAQPIMKKNKKRKNLHLFLQIVAHIEYFTL
MELVRYALTDPRNDCEWVRSDLDSMIAIAEREGATQFQGFTILRDVVQIEKQGDRWVPGPLQVRSLSYEDVCGHYQRILSANPGCGLQVASHGLVFLDDDGITLLRAPYKDDGVTIDEDELYELDGDVFYYGHWEGETPESTRADILSPTLREIPDLEEILSRNNSRTFF
MKLASALLLSFVASAQAAVTSTNILPSGVPLSSGLPLSTLSFDTTAASSILSSILSSESSVIGSITTSFTAVPTASSGSASLAASGTTTSASAAASTGAAGRTDAVQGVGLVAGAAAGLLAFLL
MSEVVTSQADFVLVGGGLAGSLLSIYLARRGCSVEVFERRADMRKGQIERGRSINLALSTRGIYALNQVGLDTEVLANAIPMRGRRSASGAAR
MAHPHESPTLAGKLNCASGAGQMSGLNRRDLIHLAIVLAIAIATRFYKLGYASLWLDEAFTIRISNYSFSTLWVTNYDPSPPLYYSLIQLLLNFGHSEYLLRLPSAIFGVLTIAVIYIATRRIAGPLAALSACLILSLSSFNIEYSQEARFYALLGLCLSVSFLGLANLSQRWQSTSSGFTFREFLRCGGALYALGLIAALYTHNTAVFYWLGVQFFFIGWWFRPFRLALPVLAAWFVVNFIVLLLWIPWLNASLERIAMGGFSWLPQASALDAMNQWRSIHGMSSVLPGQPGPDLIKLLLGVVGIYSLRRYPAMAVLLSGLLVFSSIAIWAFGFVGTPVYMHRTILWGTLFSSVVVGIGISRFPPTIAYCFIMLVAIEGGLGVNHYFKYNVAESEDWRFAAEIFNKHQKPNDILLIREPFVHYPFFYYVDEFSPDWTILGWDCLGERALTGRIKYGAPIPTIKWSKSDIFGTDKPISQKEGAALWLVEAHCLSRDWDTSDATFLPNWRLRKSYDFKGGYLHHLVPAARR
MPDAPITLLVEDLRGLVRALPDDLRLPFIEYIVARGRRDRIRVDSPNHLRYELLGLDTPQRIPVAALTRASNEGEAPPAGSVWLRADPVTLRADMVRVFTVAHGYQGCDEGERAEIDRIVRDAFAHAGFTVADDASAPWCLSLDAHLGFEFLPLPDTLGRDVADALPDDPAAAAWKRLMTEIQVDLHRSTVNVRRRQRGHPEINSVWFWGAGAIPSAKSPGNRAGRCTVVSDDPVSRGLAVLENFPVHGQSSLVGLEPPADGAMLVDWVMPSNDVHTEATALEAAARHLLSRTGRGIQLVDGNGNRWAFGPRSRWRFWKRIEPLRKAMDEESAA
MEFTHLRCGREGARTLVLCSGLGGAQGYWTPHLDALKPQFDLILYDQRGTGANACDLGPTTIADMADDVLEVCDAAGVDRFDLMGHALGGLVGFDLAARAQRRVERVVAINAWPEIDAHTARCFDIRLATLAGQGLEAFAALQMNFLYPPFWIAAHPEKIAAEEAHAVAHFQGAANITHRIGALRDFRLPEQLDGKGILLVASKDDALVDVAQSERLAERLPGSKLVIFTQGGHAMNLTKRDAFEAEVLPFLTAE
MAVFGAAVFWTVYTGDIPWITYTGEVPDGPIMLFVGGIFLFTGLYGIYDPEATMPSEGSGGGDEI
MENYRSTRTQRIISVGLAVLGGVILWTVFIGDITLIPYTGNIPDGPISIFVGVLLLLTGLKGIFDPESTIPTNTTEGGGSEGGGE
MSLSQPTPGRRQFLTTVGSLAVAGLSIPVLAACGGGGADIASGSFPSVNAETMSAIAAAVQKGEPAVGEAKFFPDAHIVLTQPTEGSFVALSSTCTHEGVTINRFSDGQLVCPAHGSRFDPATGEATRGPAQAALPKRTVSKTGNTVTLS
LKQYKGEVIPPQVARRMRKAVELFDYVVIATPYHDQAGKDWESIEWLRAIDPYVLGFKKGIPFFFVLARFSDAGTFPLFNELVADTVEFLRARKEKLNGFNQINNPYWCHSDKQKGCSESPFGNYLKRHVNQLLAAFEAGNLFDWLRQEEVATN
MVATKSRRSGHEPCFKAAEQQGLPTEVLEFGGVDGRGDVWHRKVTSLKLANIRFFRLTLFQLLSPYGDWISSSQPANSAYFGFGRNCNGMRITHSDGEHLLVLSDEEAAALVEASALLVLASQSIAGAVLPPRMATVLGQLFDGLNVPTAVSAPQQDN
MVGLLRARLRHLMGQGACLVNTGAIVPSGNFAENSICGVDFLTGLRYADFIQKCIVFLTWMAFRVPHKR
MDLERRQDQRVPERKGKNQKLEEKIVIGDEKQQQQREISSSSSSVPSSDARQTLMCEVSAQVNILNIAFSWFEVHVSLHSDSTKE
MDLSMSAPPRRRLLADAGFSILEVLVASALLLIIALGIVPLFARSIRENATGSDYTQATNGGRSRLEEAKQLPFNSLTLEVPSGETEGEIEQQWAQGDTDKIGEDDEGWDTGTGTILWNRTTFTR
GSGTLTLTGNNSFTGEITVNEGQLSGLNQSLGSAQQVIVKQGATLEVLPKAEVTKPSENGFVTETLTSTAKTVTATVEKGGRFLLNNGIANVNATFADGSILVPNKFDEEILPQLQQDPQKVVSVQGSGSFVGAENAVVETPRTYDFLTVKNESNADTLKVTVQKKALASAATTENEAAIANTLDASTNSPAYQNLVLGTEENARQAFARLSYDEDLAAQQHNVLNGLLLRQQLAQPGAVRAQLNTGTQIWTSGTFTHFSTDSLSSHAYNQLVGIDATIDTNKHLGVFVGSTQNSHKIDRTSKDRAVHLGLTAEHRFNVLTPKIGFIQSWGKHEQRAEFAQGVKTHSQTQNVFAELAYTGLKGEHFAIEPYAGVSYMHIKNKGVTKGEVQLKDNNRDLIVTSVGLRPSIPFAIGGVQLNLLGDVAYHRFHKDKAAEGSLVLNNQGVANLYGKELKNVVTTGVALQAQFTPAFGVKVGYQGAYNHDTKANNVNAELRFSF
MIILIAGVIAFASLIPLRFVKEGKTEPRDITLKIGLKNLPKSLKLFVLISGIFALGNFSYMFFIIRAQQFFTAKLSIAVPILLYVLFNIFYAAFAIPLGAL
MIRQVRESTKSVSLIYNLVAVTTSKGRLLLSGKGARFPLL
MNPALEGVERKGMQNCNRQGHSYIDATYCTNVLINGTILRILLFSNVEKGTIEELPADEEKCERMRAGGYAEGCFGLAPDIYCIVASGIILGMGILIIVLNHGSWMVLVAGIVLAVLAIVSAILLIIGGEFTVAVIVALILVIVAVVCEYDFLN
MGSHEFYNALARAKDDDFKSIFNVRRGDIGDAMAVLFTHDSLDSTLDLGIVILLLPTYHRFSAFDHRLLDREMSLDTSKTQSLFESLYRNIHGSDSINNILSVLSNELTTPMPCTEAWEQESDATSKAPIQSKPSSTTVSHAAALKMALQKAHSSDMSFRVSSL
MFPSTSPNELRVKVYEPLRGVPVAELEVRISYATGKHINVILLMLRFYIYNF
MVRWGQDSIQLLKAESDSSVSSSGSPTAKPSTAVRRPRFYRSRPVTNTSTHPFLVKEHIGTIFSLACIIVLLVWIILLTVVSTKLYEKVFEVQELTNRTTDITSPSYDFTAILYLPLCLEATPPWNHIMICKHLVCLRT
MGISFENIRIQDAIIFKNAAVEINAWILLKRTNHHSLRYIGKSGFSPKPIQCKFKTADYGVWINNKFHNVAGLVASPDLLPGIYSNEKKINWNRYAARLGSTLSNLTKPNSIQEFRFSVDRNPSSRYYGCVKFDGKYLFGDYDLFDIVPIGHERRNFRTVNEARYGHQHNRGPRFFAVENYVNKRIGENMIQHAAQAQFSNEYEAVYAFSPDHIDRHIMHSWSKSETRFQYEQIWSRPLGTMDRTHLQTGSS
MAIKVKDILGRVKLISLNQGGAYFNTQGPDSSVILRVKEDHDGAGASGSSVSAINLVRL
MRLDDDPLPEKEVFLRVAALVSLLSVVHTLPEKTLLDGSAQPCADSREATSGVPGVHVYAFNAKKIPAIRKSLFVLDTLEWESGDPAAMRAAAVEYDRLLSLVRGARTLGYATSNGNGDFEIMVPQIDSVLVFGEARMPGEPFYYSAKVVGATGQEEVRVVLLMCNQQLL
MNKISVEDFLKSREKNIPLIDTRTPLEFDQGNIKYAHNLPLLSNEERILIGTIYKQEGKNAAIDAGINVIAPKLGLLRDRIRSDFPQDELSIYCWRGGMRSSSMAWFMELLGKRVYVLIGGYKAYRHYVIEELSTLKLHLIVLGGRTGSGKTNILNSLKNLGEQIIDLEKLADHKGSAFGSIGSKKSVGTEEFENEIFEALRVMDLSKPIWIENESRGVGVAQIPNNIWNQMREATVVHLEIEDNDRLNNLVQDYNPVQRNELVESFEKIRKRLGHENTDQAILFVKEGNLKEAARIALEYYDKTYEFGLRKRSQEKIITVKTDEANPELIAKKIQQLGVK
MELPMDTPEEKKTKIIRTEDYVWVDVRSPAEYQQGHVPGAYSLPLFSNEERAEVGTIYAKSGKFAAIERGLEIVGPRLSRMLRQGKALSRKGKLMVYCWRGGMRSASVAWLLRLENVDLEVYPGGYKGYRRSFSDLLDLGWRFVVLGGPTLCGKTEILKTLAQQGEQVLDLEGMARHKGSAFGGLGQGEQPNNEQFSNLLHFELEKMDPTRIVWCEGESLNIGKVTMPREFYDLLDASPMIHLIIPKESRLQRAMTEYGSMPSKLLEDSFEKIKRRIGLDVAQKGISAIENGDLKTAIALAMDYYDKTYQYALQKRKGPVLFRYQGLGVPKQMAEEIKEESYKRL
MTQTLNFQRIAIAAHPQLPQAFVDSEKIAGFLKNRGIETISGSLYDEALRYQVKVKQVDLLIALGGDGTMLRAGHLCAPHGVPILGVNLGKFGFLTAIQREEWESALGKLLAGEGWLEDRMMLEAEQIRGTEQVGCWNVLNEVFVGRGEIVRPVHLDAHVDGRFLTTYVADGLIAATPTGSTAYAXTPLIH
MAERFRHVAIVGKHQAPGIRPVLEEIAQFLCSQGLDVSLEADTALNTGLTDYDALSNDELGRACDLAVVIGGDGTMLGFAREMARHGIPLVGINQGRLGFITDIPIERWRESLAP
MTDFKRIGLVGRPDHSGVVDSLLRLLAFLGNQDVDIVLDDVTAGLIENPGVNECTREELSSRCDLVIVVGGDGSILNVAKFIASDQVPVIGINRGKLGFLTDVLPNEIETNIANVLNGDYSVDKRFLLDVVARRGTTEHNLGSALNDVVLHPGKAAQMIEFEL
MMRYCFRVEVEAEVHGKLPEEIRETTGVYVPFESPQPAQIMTIGGDGLLLYVSTLFQKSCPPILPIAGGSLGFLTPHSKSSALSTLLSTSPSPTSPPTKLTLRMRLFVRITSPTSPPFTFNVLNECSLHRTSDYLTSLNLSVNSSPFAPVQADGIIFATPTGSTAYSMAAGSSVVHPGVAGIVVQPICPHVLSFRGMVFPDYAVIEVTTNNNRGGVEVAFDGRERRVLEEGERLEVRMSKYPVATVCNEGDTDDWVRGLSECFGFNRRKLQGED
MSIIEVLAAIEFYNEILFTAGKIGKVGSYGMLPDELKAIQAPVTQLRP
MGILGQMRSLRTPGLCTGCGTCVEYCKRCAIVLKNGISVLDESKCVQCGVCVHSCPYHLLKSEYAHYQITIGGRRGASPAAGKELVTVETEEEVVEVVDRIVYWIYRNAWSGRPLADQMDEIGLDKFAEGIQKEFGPKEEAAGE
MPETTFRITACRGLAPAPGARPCPHALPCDTDMPGLLAHTLTEAGWPAFLAATEPSIRHHQAIKIVLAGCPNACAGPQVADFGLIRACRPSRQPDRCTGCGACAAACPEKAMAVSPDGPVIDRKRCLGCGLCVRACPHEALTLERLSWRVMAGGRLGRHPALAVELPGLFSDAEAAACLARLAAFFMARH
MALIGSEDWSEELEFHNEDQSLYAQLVGARREHWTMASFRQYLTILEGQDVVATLLFPSPGDLGKCLDRLARLVCSENALQGEAWIALEDTSLGSEDPVCSALVWLSAGNGSGWKPDLVREVSGRLADWGGTCYVEVVPSGGTLAWLDEREDALTKAHKHLDDVGNWLLWCNACWMRLSLHGEGGDRSITEQCPAYRNQSCNGGRETGIGTE
MESIEHINYIKAPKARVYKTLTSEEGLGQVWTKKLKVKPEVGFINEFDFDEGYITKFKIIELVENNKIVWECTASDKEWIGTKVSFELTEKNNTTTLRLKHYNWKKRTEFYGWCNYNWAMFLLRLKTYCEN
MAEILHQLFIKAPPNKIYQALTEQKGLASWWTRYVRTEALVNSIAEFTFNKGQVHLRMKILRLILNKAVVWHCLGGHPEWEDTQIYFELEPSGTGTIVHFAHRGWKRSTGILPQCSFDWARYLMSLRAYIEKGKGYPARD
MQRNKNKRGFLSSLVMGIMMSMLVVSISGCDDDDGPDVVNAPSATDKTAKYIFFFIGDGMASPQVNLTEAALADPNFRLVNGAITLGAMNLQHFPVAGMATTHAEDRYITGSAAAATALATGEKTTIGTISKNVAHTQDLKAMAEMAKEKGMKVGIVSSVSIDHATPACFYAHENSRSNYYNIASQMDDSGFDYFGGGYAKGDFGSKGPGDVDIQMENAGYEIVDNLGALQSVQPGQKCWAYSDFDGDGALYYEIDRMGTNHISLAQFTEQGVRLLDNPNGFFMMIEGGKVDWACHANDAVSATHDMVAFDDAIGIALDFYNQHPDETLIVVTGDHECGGLSLGFASTGYETAFNLLKHQDISYQEFSDLVVSWKGSGKTFDQALESVKEHFGLGDETVDPLLALSAYEEDQLKQAFDDSMDPDFVRDSEEKYLKYGPYYDPFTVTVTHILNQKAGISWTSYSHTGVPVPVYAIGAGANSFTGSYDNTDVAKKIIAVGTLN
MGSSVNKNTETSDVLQVINFLGKFLKNEDNWVINNIKDIFEGNSGLEDPTGIDIFSPQYPEQKLKFLRESGLSPEEIYTNILKKVFHTVGSAKEKSHLNAELENTLE
MQLINREDEDEIKVVIHEFLMTSQVNSQESIPIELLKYLRKADKKIEDGLLLNELCDLIEQKLRNSNSR
MHEDSWFRSEPCQAHPIVSNLISDYVRYRAIEINLVVDLWASSSYLLQPLAEKLNTPSSQPVVAGISPAEHEDKVRTEDIGYLSPHPAGITAEWFPNPDLVVGIPPRRWKPKRTKRLNNDSQSVALTDDPANIALIDACQMLSPEGLGCFVVGPGLLMRPGPGTVMANLAKFGLFIDGIVVLPQGSTQPNIGAGQNLLVISRTQRTPQVLGRLSPECPNLKDIIKTSV
MLVVLVMRMLAETAAAIPSSGSSSTYAEDGIGRWAGFTVGRVLGLVVLMATDDAARAQLAATAGLVAVVAGIHLVQSRAVRRARPPVRSPGRP
MAAVSAWELVMGDFIYGFLIGRVIGGIFVLACKIVWFFLRIAWWLSVFLLLWIGAGVVFLFRGGRRAREDAERLGRYLDDGTGWQDEASGDVYPLSGERERCEIHAVEAGTYWRRTAISRLLRGGAILRYRFAALTDAGSGRRRGAAAWCEAIGRPGVKRLIAVGGRGMRMTRGAAAAVVLAAVLLAGAAVAGCGASGTLPQQAATGSSAPDVAPSCLGNTAIVPGNCTPEQAADGYFQSQLAGNWTQTCAYVVPSGQGACQQAASSADIGPLTGNLAVGAEMIQGAEAIVGAAGSLCSSSCDYSTPANIDFPSGYGSAFTTAYAQTISTMTSPGSNFSPLPCLEVDGLWYVNIPGPLGGFPWS
MSSSARYNHHHHHGNDNDESGAQCNREDHDDVMMLMYLAGRDAGLDRATLYASCLIFSTVMMTKGDWTRTLLDEEEELARMIAATLWIAVKATLVTNSLVINNTTDDNKSTSDDDDDEVDDGIVRLRHCINSAYRVVSTLYDHHVPSSTSSADPGNNIGSSIEDYWKHRDYIINNTEKMVLDAIGYDASSYTDNAATLLQVVINYASSSAFYSSSSSSSSSSSSSSSYPCIVSTAILLCDEIIVNNILYNNIYSNNNIIHNADNSRHNSNKYRVWVIALAAVHLAVVATSAAATNSNNGKYNNNNNNNNNNNNDIKDKQEEDDDDKDRKDNNAIPPLIQRALDDLQGGKESVDDDNGGGVNLSFSSLSSSRSIKLDVDDDRESMSSKDTSLLFHSIVGSVLHGAPRFKHNLTNALKTLYNSAAAAVGVAGGDTNDVVAAS
MIVNRFAEEFEDVDESSRLGVRSAGGSRRSKNSQTDSPRDFLANRVSFYMDDVVDDLQGSAPELRVPKRPQHARSKSFRTAERKPVRLTRHTSDVSHVAGRLQRKRPGSAESRTASCRSAGSNNSRLKRQESNSTKRSSCRRKSSVQSSVKLSFKELPPKERRRRVVVLLVGCTFLFLVACSVLAVVITLTHSSFHGKAHYLPDNYPRNNITEYGKHS
SFGTGYRLIQDPAYRAVILEAARTLSTRFNPKVGALRSWDHHRDTWGYPVIIDNMLNLELLFAATRLSGDSSFYRI
MLGFQGRLSEAQPYLEFAIARSLAPAPRYYQFLAMAYMMREDWEDMQSAASHAVADDSAFSHFLSAISHAMLEKVPAAKMDYRRLAERWPAFC
MKKRKCHFRQQSEGRDKRKKKLRNRNKLVLNRRGDTHCEGKNGRGTHQTKGHVKNNHNFACDEKSNTCRKESQQGSEMLVNPNRYNETDAADMCEDSLHNSSDSSETPKIFESNESEIYQSTSEFDVQSTTSNNTISDLSAHTDEGYNQDDRLIQIFERIDYAGSACSGENNDLCRNWDVLETLDVYDVFENEGDTDRAHAGGAPNWASSRASNRDINQNANQHVDQVDGHVPNHDTKCDGPTESPPPPTKATHEKRIFIEAIWDYFQSNKVKDETDGDNLKVQCFHTFCKIRECATRCSDGPTDGNFLNDELLSTYFFNKLNKNQYDIKIKMDYYEVEFFFIFFLTYFRFSLYLTGFINSSFLYHSNEVHFIIFVLNRYHYFVDHMYVNDNCLPFTPNEVEDIMCTYKKCIISLLDYLDTFYPFLLLISDVMQEVHTKFKKISYKVKELEEVLAKADSTDRTPQSDNIDPHIMTPHTIKKFNAVKKINKIKRKFFKRNGDSFVMMIRARLGSYWVDRGERYIGASILGEPFSDDANLSDHSLHGDNLDEQLVQMIRNTRCNMLVKHTIFRTVAKLNQILNSLEMAITLTDANETCAQHIMSMSTLLTFKALKEMKKKDKWLQLLKHNYFYIEKSYYYFKELHEKKIIYYQIQNLFRSILNFKINVVYNPFIHYLSIEDMKNKNIHTIYDYIYYIKDMRQKNYLVTLFVFNQFTNYNLMLPRMGSSSQFIAP
VSILVDVDGNETHPTTLAEEIAVLDQISRRRTMIIFRGSPAARAKVADLLGGLPSDGFILSPPPAQASVPVYTPEDIPEAKLADNARQNAEVVDQHRDANSPFLIVSWNG
MHNDKIILIMMQELSGFNHIRSEVIDYCYSSVCSLYGLQGDKELVLEEVNRGLTEIFK
MKAQGVPDALIQIVEDIKGVSAGVRSPTRVSETFKLLHGVTSRKEVNIKFSGILRRMETRIRSNGLCNSRNKTEHLECRHSIGTWHPQTICLQGKPLSKVPQFKNLWAVLSLDIGIEIDVTCHNDAAWQRCPAFTRGRRDERIPIKTKDNVRKPAIRPFLMYGAESWVITKLYERKVNINVNRILR
MPHAQTHLVAACDVLAREAVQAAAPWLGRADERAAFLLGAVSPDVRAISGHPREATHFFTIPPQADRPAHRALLMRWPQLREAVTLDRAQAAFIAGYMTHLVMDQTWLEQIVMPALFIEGLPWGTGHPNWCLYTILMVYLERRAEARLPADTVEQLAGAEPDRWLPFIADDLLIRWRDYIVGMIREGGVRLVCRYFAETNGLSAGEMEAITGDEGRMAEIAYPVVPRERLLAFEAEAVRRTEAAVLAYLGGEGIDHTSKTQGDET
QIKFNTRGSRARVIVECSYGKLKGQWRTLHVGLRTESHDLWVNTVHACCILHNITIDYCDQGWSLGDDFSNDLITSGGRNSFDRDPIEVTAAPVALPDDAGAREWRDGLFAQMKTLRDW
DATRRITIHSSRSCFATRLNSSVRQLMTVRRIQSIDSLYDFIGYVVLCAPDQFPKRDYLTSDQQMSLDRAFDELRHAISLVEADFPGADQQRGLSVILDRSLASYRQGDIVAGAHTLQDFQDLIFKL
MVNSDDSEPARVHNDGYAVEHSSARGDSTNTTEAETLSRAVDSQANSSAPGQGGIRQEEHPLPSRNSSSLFYYEPQGELIQHVGRSTDHPEVNIPHAISNFRDRHTTYEQTAGANTAATLQGPAETEINALTLKRDHEEELEPAAPKRVHRDMSTSDQEFIYNSAQYHQPQQHPTDGSTNSATHQQQAFIDPSITMVLPARKVFPIQIGDKLFRLSGASISSDAPSYFSQFFEEQMKNNEGAESCRTLYIDRDPKTFEDISLHLQGYHIEPRDGAHFVKLFADAQFFSLPRLTAQLFASTIYVRVGEQEFRIPKDLFSNPGDSPNYFSLGFSSFFSSPSDRLPGLSQQSLIRPPSLLPPSVPMRSARVFSDLLHLLQGYDLHIRDEEHRAELLRDARYYHLKGLEQRLIPHRIAFNLRRQKSEVLLRLQDVHKNGVSVVPDCVAGGTAAIPGWVFYQRPYVDTEAHILIVEVSGEEGMTVELESAASSTPNARMARVTFHNETTTTFARLLCAVAEKVNLPHIQTVESMTTGRTGAYNGHKNQSQHGAPSHSTIKMHIGPDADIVLNGHRPAIGNQVDTIDEMDVDDAQRPAANARKVRQDVKANASQATNWVVNKAQWRLRVQPRKNGFEVILDAVKIEACSNERSRNAASGFLM
MTRLAKALETTPEDLLGGGFDRPPGRGATAGQPELERLEPGECLRLIAPGGIGRVAFNGSHGPTVLPVNYTVHDGAIVFRTQHGGPMDQDLRTGLKGVEIKIGFEVDRIDEARREGWSVLVQGPAHHVTDDEAPIDADVTPWAGGERHLYIRIVPPPGHRPPHPRALGSGSGPTRPYAQPHTGHTLTVRNVAGMTKNAFKCIYIYGVFSALTLVTVAVLTIVGDTASTFMWVRAVILLAVAPLLHRLLTRAEGGSRTSLERVRTITTIMPIAIVGVDLIPGLCPPWYATMQGLSAIPLVAVAFLTRAALAERS
MRNTPRLSLSNQAFHSYSSKRVDFLLIDKSGQPKLAIEYNGSGHDLDDMAEARMTVKKRALRKAGIPVLEIEKDTPEERIYALLTAHCP
MLPHATKSLWAYHAQAIEGEERATALETYQLVPYLYRAIDVRAKAIAGLPWSLRRLVDDAEVRDDPAYCTLLAGMRLRLYQTEAALCLYGAAYWLKEVNRLGRNLTPRWILPASITPRYDQQIGLVGFERSTGMGSYPLDPEDLVYIWQPALGAEIGPGVPPAQVALAAAGVLHQLDLFMEGFFRRGAIRATLLAVEGNPQPCRPGPA
MSLAKRTEEARRRFAEKGCTMTGEYVNAKTKVEYTCHCGKEGLFALMNSVNLETWSGCKDCSLKAKKERCLSVHGVEDVSQLEKNQEKRLKTPEEKYGGKSPLSSLETMEKKRETEAKSSLEAAMEFFTSKGCVMTGEYVNDGVETEFTCHCGSEGNFVKLRIAKGNKGGAAWAGCKECAAKARNEKTRLTKEENKDEGKEIRGLGIREEQARKYFESKGCIMTGEYVNNSTKVEYTCHCGTVGNFVALKTAQKDNWGGCRKCEPERTKKKNLEKFGCEVPMRNEEVKKKMEETLLKNYGVTNPLKSEAVKEKKKKTMMEKYGVEHSAQNPEIVEKTKATCMEKYGVNTCLSLPENMKKGKDALFEKYGRSGPLGNQEHKEKMHSTMKEKYGVEHALQVEEFRQKSRETCLKNWGVEYSSQHPKVREKAMKSSYSFRDYSFPSGRTIKIQGYENFAIDILLSEGVQEEDIFGCYEKDMSFPYSHKGKNSFYFPDIFVASRNLIIEVKSTWTLRGVKPDEEEKTLKKLETCRRAGYKTRLLVLGSKGEILEDREE
MPGPVNNQALNRSSSNFSSLRRPIKNLQRLKVRRSYARRGSSPAKAIYICYTGSGKQHRPMGTKQDKQTTKITPC
MSSHRAVCVGSRVSDRQKELLLAFVREHPQIATLSCPLKPSFTREDRDDMWQELVALLNEVPARNTTALPNSESSRDGSPRSEPASMCTIWGLPSPAPPRFLVGNNFRGLQVSPAPAAAGVAEEDVAQDLPRATTPHAAAARWGQPAGRGTGVTSRKHQAAGPGIIGKLRQVQLLGDAVHKLQGVGTLAWALTAAEATCTVICKD
MSYIGPNHQPYQPPQQPGRQQPSLPGTPGVPTNPNLPGVGGVPQQGNAEALLQRMLLQNLMTVNHPLSPTETGLMVKSLLNLPQEVQGLLMLLAAPQQQLAAQPETVQQLLKMLGEAKIPLTEVQQLLQNKSQEGIQKLIQLVQTNQMGYSGDSQTVNHLVQLLSQVAGRVNNSPVDTLNTLLLLYLPWYPLQAPQRLDVAFELGGGEEEDDSGEGMALVLYLETVALGKFKMVMRLEEKTRVLADIFHDSAAEAVKDEIEEQFTAGLQQESLPPPRVTFLPREAGPDDKGMLAADTTVDLQDASEQKPLSAQGGEGQKLSVYPTGGVSIVVIHAGYSLARLILELDNRLDLLNVRQKQVGDS
MWARYVEALRARVAKKFKGYSIFQWVVYRCLAERDQSTKVSRLHNKMPLQQLVVDIAGGRDLLVGDLNSSSDPFVQLTVLDAKGKPVAAGCSFKTRMAK
MPGSYFKNRLASFGYAFAGFIAFVKSEPHARIHAVATLVVVAAGCWFHVTKSDWLWLIWAMALVWITEMLNTVIEKMMDHLSPAIHPKVKFIKDLAAAAVLVAAIAAAITGGCIFWPYLLS
MLLSMLFAGATVLPLFASASPVAPKGISIPISKPTPGSGPVANIPALRRQLAQLGAKYARTLGNFKKNTGTDHPLATAFKAPSGLSRRATGSDPLTDDSDKAWYGDIAIGTLPVTFKIIFDTASSTSTDLKKTFAFKYEDGSSASGELFTDTVSVAGLTATGQTLGSATNYSSSLRDSAADGVMGLAFPSISSYPCYAIFQQPDQPGQDSSLYSGSINWNPVTVQGYWQIALDAVSTGSSQPVTGISSIIDSGTTLIIGDSQSVAAFYAAISGSKDASKCG
MQHANVVVATAQILARCAGAARARLAQHCERLYVDEAHHVAAPTWRAVADLFANKEVVQFTATPYREDGQHLAGRLIYTYPLHLAQENGYFARINYRSVVNLADPDLAVAEAAVSQLRGDLSDGFDHLLMARVSSIARAKQVVEIYERLAPDLHPLRLDTSFAESTRRRHRQQLLDRDTRIIVCVNMLGEGYDLPALKVAAIHDPQKSLAVTLQFIGRFTRTGGGRLGEASAFVPLQVAGVDDRLRRLYGEDADWNEVISDLTEHHVGQEKERTDFEQSFGSLPHEIALRSIHPKMSTVTYRSSTELIWDPDKIYDLFEDKLLTTQLGINNRDKVVWWVSREATPVRWGDFASFNELVHHLYVVHVDDAAGFLYVNSTNNATTHEEIAKAVGGENVDLIRGETLYRILAKVSRRVPTNVGLLDSVSRTHRFSMHVGHDVLYAWRGEGGTKMKTNIFAHGFADGRSVSFGASRKGRVWSHEEADDIHDWVRWVRQVGPAITDASISLESVMSGWRVPVSESTRF
RECGWFLLPVLILFSWWVCTLALSCSRIFPWIVWSGAGTAAAAVERPAGKMMEEISIMVAYDAHVFSQLHDEDFLTSLVAISKPRSMVPTKKLKKYEKEYQTMRESQLQQEDPMDRYKFVYL
AGLAGTLRRYERELLAALGLGFALDSASDGQPIDPAARYELDPQEGAQRLLSERGGERRAAATGSALLALAADEEPDAA
MAAVRLISTTTVHAANDRASSGRIELNTWDIRNLQIGYIQKGLLFPKPKLPLHFKSSGNMLIHHLKTSLSHTLHCFPLLAGRLATTQHEDDTISFFVDCNNAGVLFIHAAADGVTISVIIKPVYVPSIVHSFFSLNGLDNYKGITHPLLGMQITDLADGIFIGCSINHVVADGASFWHFLNSW
MTGIQLISTTTIRATKHDEKISTHKNIDLTPWDLTLLKIETIQQGLLFHKPKTNQIQHLKQTLSTTLNFFPPLAGRLVITQHVEHNNASCSIICNNLGALFVHAIAENTTIADIIQPNYVPPIVRSLFPLNRVKNYEGTTQPVLAVQVTELIDGIFIGFAINHVVADGVMGIVTMKTEEVMEGGIGKVGMEMNKVISTQSHEKIMNQYESWLKTPFIIVPGMVSKMLLMVNSSPRFNVYGNDFGWGKPIAVRNGVGNKSNGKVTVFAGFEEGSIDVELCLPYDVLEALGNDKLFLDAMSV
MNRSSRGSREEAAKTAAIGATTGDPACNKLMAAGFNLGHGSVVAEGATAEARGSVLVKESPWEIRLVNRLV
MAGLDRELARLDGYVVRLEEGLERKEDEIFKVVGERSAETNRLQALVDEMKTRAAGQIPLDKADEWAASLRELSEHKQAALDELASVCKGKLEAEQRSETLQIELDEHRRLLGHIREEQQQD
MRPEELCNNSSIPFSLIPAAPSYFSDYPVDVLITRNSFYTAILPVVTARKEAKELKKRILHKGSGIVNKTSQKRKFSLCFCLILKCKVNNRLRHPGEGI
MAQIVRGEQTSTLVSYVSLILIGNTQANPQGQHTQSQAHTHTHASTRAHTNTLTHKSTLIFTERLTSGQNIHSRSKPVLEDSVFRELVTGTTLHPLVPVGLTPHSEEVASP
MIKINLLPRIIDHKRVIRNTALVFALLLVAIIGGGIAYGMKLRGDVAKMEEMATAAEQWEAKVKGIQQQAQQMRDSIKPIKQKLDFINQVLDYNLAYPKLYEQIARWTYEKITLYSLTCDGKEVKMAARAKSLDDVGRYLLNMYRATDLFSEVTISGIPSYKTLQSGKSQPSGTGGFTLPSEVFGPGGQIQGSQANLAGLGAIEAGVARTPINLLKGFAIDFQVTAKLRKPIEEPKFAGAPAEGTTTPGAPGFPSPEMGPVPGPGPNPNESPMMGPGGPGPAGSAPPPM
MNVPDEDTKNPNVKPMQFDRLLEEAQFHGSNRIAKKRYRLVWCLILGAMLWASLSILTHTILRFLEQPTFMALKASNKQNVPFPSLAICPELSYPDYKMDAFVKEIDYPSGVNMTNFRKNLKQLAAFYSPDVQYNIEDLETVQTVLAFNNLDVINTGQRLSPTCEEVVLRCRWMGKMKNCTDIFRMMLTRSGFCCVFNGRSLRR
MAVLLVVIMILMLVVYIVATLCGLTFCKCCNGAYKPRRFSKKDLKINKLVCLVFCAVTAAGAFTVFAETPPLLENTKDLTGAMADTISELTKNVTKIADAMDAAASDPLLKIGDVSKTTTSMKDAMKSVDNTVQKAQDQIEEYVDMSGLYVTIAAGVMFGITFIVFALGFIGFWRLLIFFTIILSIMMVVGWIVWGLLSLLTVFVDDLCWAMNDYLRDRYNSDLSQLIPCMDPNVAVKTMNVAREQVATGIAAVNDQLEEYAGSNPYLKYLCYNYAKIPLNDLCKNPTIYHELSYSRFVCEAENKKKLTSKNTWASWDATVNDLIMFPDAFCPYPTNFYSVPLGNFSTGLRPLRCPFKGYNEPAMTTVNEFAMGQCYTMKQLPSDVFDAKAKTAKLAQYVLDVVPMIESLLQCELVSTAFSRMVGPCDGMATALTSLYAGFLLVAMGYFLLWASTLVIISRLQYYRSYCVDADKY
MENNSAGQLVFDKLVEIDASKESWNIHVKVVLIWKQTYKTNPKMVGSLDMILINQQENIVSVHDDNLEAVDLEALISSSSARKHPIGIVATTYSLE
MPTMKNRGRRRNGRCLARLTLGSRVHGGDDVDAEPPAASTDRDTTAAATTRMRRRWSRGAGQRRLAVARRRLRGKGSAEEEAHLGAAKDGNGRSRAAALAPGVGAASRGGGTLEQNGSDRDSRWWWWLQRQATATRRGRRAPPVEDDGSALATEGFGEGYAKALLDAVEAARRRKRQR
MYNGIGLSTVRGSGTSGYVQRNLAHQKPKREDLGPKKPLNAASAGVLIRKANPELMEHDLRRKVEVELAELREHLEEKGKTEEEIEEEVEKQREGISARVRREGVTGGLGNQASSHSTALRKEQELEKFGRALRMGFGHDIGDGFLSKEEKMAKRRRLIAEKEAEMAAIKAAHEKEKALEESRREAEDGDRRTREDERRLRESAKQKLRERERELERLEALLEVEKAKGLDLGTIEEGDEDDRSEDGRSDRNNSGRDGSANGGMGGGWMIGTLGGGAVDRSAANYNRSTSDRNNKDRDHRSDSDREELDQKEAFLRKLHSTAEARGIGAPRMEERRNERREQFWAAKGDPEDERQFREEEERKKKAASRINNSHRERARERDNTRAGGVGRRADSRRRSRERRDHSRGRDHDRHAGRRDGAPKQLQRDDSRSREDGSPLPSNLVKRGRGHQMKNRDEDQHRGDLDEVDDHAGDKDFRKVAGPRGSGDSRGRREKPKEKQQEKQSRNKEDSRGRGGAKNVDHSDSEEDERSKEMISSKRTKSKKNEQKSRRGGRGDQSDSEVVVDHQSLPSEDNSEDHSEDRHASKNKKKIAPSKRRDRSPPSEDEEELPPKKKAVKVTKKREVVSRNHSEDEDEPRSKSVALKKGPAKSRKKRRDASASDADDMVSHDEEPPPRQRVTIKKKADKRDEPAPVVKKNKSKKGPPSSSVSREDHKPSKRKEETSRRKNQSESEDQQQHSSDSEQAQQHQKRKNEAPAAEDPEVRKERLRAKLQEKKAELQAKEQKKGRR
MKRSGVIGKLFWGLCASVYLSGAAFAQVCPTDDTMKTGMVMINNQIPARLLVRLDDHGQVTELHLDERYVVVRDQIHVHERGLFLSYERGAHINRTYVPDVPFSEFFPLEVGKVWSASVNVFENEVLVRSDVPYTFSVGEPNRLQVGRCAYDVLEITMETVTTEGKPLTTKYSYSPELGTILKGEGEHLWTSENGVMQFDELWAVRKAS
MMDTQLPRPLVGGHAFSGGNPGLLTGVEAVSLDGRVASALADVARDLQTDPADLVEDLSEESLRLLLTLMLTVLILALVALVESFASFAWGLRGIGSLSRSGRRLRTVRRELGQLLLELDRTRLDRVAVLGAGPAGSPQEAEQRLYERALDSAWRDTEDLGNLTIGQRLRGQAEPRLERLEQVVALLQDRDSDVADRAARVLSLAR
MKKIILLLIVFCLGFSADSENSNKFTYNKLSSNLSSLELEINLESTIEVGGYKKITNTNSNHTIEPGFPELPTHTAFYQVDPGKEYEVELVVYDSYLIENMVIYPHQGANKGGEPFLINNDFYLSEGVYPENNLMTSERMHSRGFDLISIEVIPYNFYPATNSLEVFTNIEILIHEVGQREEDVNLITKRSRIFDSLLENYVINFQSSDREEDYQNPSILYICGGSSCNYLNNLFEWRHEQGYIVNTASLGETGSSAS
MHIEGGTTNVKPPVDPELESHYNAFIELNSLIRDEWQTSTHDNLGADQPARRPKPYSAGHDVIRRRGFHWMSESGETERSKSAGYIDKFLNYATMNEEPLEYYSIDRKSGIHTPKPLKHDKLGSRFWVGVNGAIKSLKHKVSRRRKIGGSTGDEDTSCEESEGMPPEPGVYERHAVVFRSQHVHEPMPRSLSNGVVADGYRVKSRSNSYRGLLGRNSSKIIHISIDRKTADEELEIEQLSYEKIIDTYRSSGHSEEDKTDSGSAGSVPAFPRVEYEHRELLFGELPDMDSNSKRMSAFERGSTANGWISRPISVPSGRPTTAMSHVSSYDFESEMWTIDGTGCRTPSSAGEQRGFSGRGQRLASVQPTPVKPHKPTAAGASAHPASGTKEYIQFAHKMQVPKDFVPTKSRVQTKPDPPQPRIRSPTLPPADMQIRKGKIMTPPSVVPPPAPPASVRDMMTASPETDKRLPSIPLEEERSPSPPADLPPAVITPDVKYAFNIPTAELVAESETNSLADAEEFAVNVPIETELTDEELVERDIGDMATKLVDDVLSRLCNEGDDTWRSTTFLTADE
MVKPRDDNRGFHALNDKKYLLNKSDLVIKDENGVQALAGIIGGISSSCDSNTMNILLEAACFNAKMVAASGRRLQIDTDSRYRFERNIDRNFTEKALNIATDLILSICDGGEVSEIVISGEKEPAKKTLDFPAGYLEKITGIKLTILLHNEANKGEFVGNTEHSIAAYKEVREDASTGLTPKLPLEASYVKGLNIKGIEAILNKLGFATDTEKDVIKITPPSWRHDINILEDVVEEITRIYGYDKIESIKLPELEQDNNRLREHKRISSFKRILASKGYDEVVTNSFMNSKDAKLFTELKDELFLLNPISVEDNYMRPTIVPNLLDIVRKNLARSIKDMAFFEVGPNFIGLNTEATYLTAILTGSYNSKNPHSIGRSYDIFDLKSDLETVFDYAGLSIEKCIVSNQATPLYYHPTRSVNLALGKNLLGHFGQIHPKILKHYDIKEEVFAFELNITNLPAPKAKFGKRDEFIISDYQANFRDYAFIIARDQPVGEIISYINNFNKKLVKSVILFDIYSGDKLPSGKKSIAIRVGLQADDRTLNEDDLNSFSKDLIANIEQKFQGTLRE
KALIHDKEGYILKVNNSTWEIEPQVLLDETEGIAVACKPDFIIRPVGSSRRLPVAVFTDGFLYHKDKVADDTLKREAIRRSQKYRVYSLSWRDVQSVFQAQGDYATPTLSPELMPSGERMYKPTINAAQADIVKPDKMSTFELLMRYLDLENAEEIFAAQARAYSLSLLDPRKTGDTLAFLEWNTTMTKVVEAMNFTEDDYVQPGTFFGKYTPRSSNAHLSIYSGVLMSDMETNASAPVSVCAVLNDQRDFRTDKYEEEWNGFWHFFNLMQFAERFVAVCSTGLEQMAYLALPVGHRLSAFTNIEPAETHDMWDNIRELLFDDEAIYMATKLHDLGVTAPDEVGYELTDTSGEVIATIELAWTKQKIGFITEEQSENNEKLDAFGWKIFTVSDEIDITVFGGKY
MMKKMWKWIVAAIVILLVVIFSVMNTRYVSLDFGFKSLHLPLIYILLGSFILGGLVTMLLWLTSNFEHRHNIKALQKELDALQASVDDRVVTEVSAAKIELEARLAEKEQELIAVKKDFAEDSNIKNQTIDELQAKLMALMPPQVEEPMEMTLPDESFTAE
MRSSIKNMNEYNEYYKKNDQDIKANDVKVLKLLNEYDKCLRLKNKDWQEDKNMLENILQELDINSEELYHIYNIIEVYTVYNKTKTPHKIEILIPPNTNRAHAHYLFKNIKDCDYKYQYKNRNNEIITFDLLGKNFKNKFYNFCYKNTIY
MSGEWNPLLESLVEGALEVQGISKTDLCAYLVSVQGQRLFCTSKLRDNGRAERIANEDDGRIFPAWSLFKVFIAVAVSLMIEKLSLLPPDAWDRTFTEVFNHFSESVIIIRPLDGDPTVKDLVFHFKGPCNINHLFLSPEGSPILSATAFLETIPQFTEDAHQKHDTGQLEYSNGNYILLALFIEFVSKQPLAAFLKKHIFDPLGMDRTYMSTEELNSVPADQRVQPNIVSSNSRGGPIPLPDQMPHLADTVELAVMGAYTCLADLGKFFDKVIQGLHDEPDNTLFDRNFTKSLFQGKTKIDKTKDSGYSRFGIFTPLDEDFAGSHSLNRLLSSDGEFSKYTLGKTVDNKRIFAYYMAGCGTGWLHTVYFIPTKRTFIIVLTNTSGPCDASDIVSRLYLQKIFNLRPARTDNRSIKFQPDTVEERTKHHIKLAHVAYQENFHVYWNLEQKNAIQDTRSSDCTDAIGIFENKRSRQSLEVKDLDGVLGVILRGEGKISKPMRFVRKSKTFRICSYPLGTSFLAIDCFGDWETLEFSFEERNGKIFKFTRDGVNMEDEFIRK
IVIREGGAARATATATNAANIAGPPQAFKRDADEYMPIANITRILRRVLPTHAKVADDAKEAIQECVSEFISFITAEANRRCRRDYRTTVTTEDVLAAMASLGFDDYLESLTVFLNNHRMQQDPERGSMNQISQFVRRDGGVQVGFLHHQQPQGAPTVRPPPPPPPPHAAPTMGYYVPLPPPVAATLEDKEEFGGVTNEYILHNHGGGEGSSGGREFDPFQ
MTEPERLPLFPLHTVLFPGGLLPLHVFEERYRLLVAEGRDFGVVLIRHGREVGPGLGDDVHPVGTVARLRKVEALPDGRYHVLAAGLGRFRVRELQRVRPYLTALIEPLPDDPTIPARPQLVDLLERYLSHRGLRLSEELAADLRREPGPRLMWMAGSLIDAEPAKRQRLLEAADPRLAETMLASELGKLESFGELGNVPPPPSEPN
MATRTLPLFPLSEVVLFPGMVLPLHIFEPRYIAMVEHCLAGDQRFGVALIRSGPEVGGLAEPCAVGTTAVIRDSSRIEERFVLLTHGEERFQILRSWSEGEVLMAEVEAYPDDPQSLANTEALAAEVRGRAAEHLRLIGEALGKTFVPPQLPDSPVELSFLLASGLQGEPARRQELLELTDTAERLRRLRKLLGQQVATLSHRLEIHEEAERVVGGNGHLDHKHLTSDILNHLGEPEG
MALAPVLSTHKPSLNPNTTTLSALNPNNLSFLSNSPPSLASLLHHRRHKRRTASLKCSASSFSEEHHKTNHPKSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLRTDLRFGVIYSDAVSGTAEVGCVGEIVKHERLVDDRFFLICKGQERFRVTNVVRTKPYFVAEVTWLEDRPSGEEDLEALATEVETCMKDVIRLSNRLNEKPEKEAQDLRRNLFPTPFSFFVGNTFEGAPGEQQALLELEDTATRLKREKETLRNTLNYLSAASAVKDVFPSS
MAVVPMFPLGMTVLPGGVVPLQVFEPRYVQLVQDLLADDTNPMEFGVVMIERGHEVGGGDIRADVGTMCRIADMRVMPGDRFAVAIVGAERIRVVGWLPDDPYPLADVDIWPDDGDPPVDIAERIDELHDRVRRLNQSVLDMGEGAPPPDAEISDDPRLAIYHLASLSPLGAVDRHRVLAAPSLAERCDVLRDALDDADAVIEFRRSSGGSSG
MPTDPSEMPTSWEMPAFPLGSVLLPGQLMALQVFEPRYRVMLFDLREADPIEFLVTLIVRGSEVGGGDVRSDVGCVARVTAMADQPDGRVLLEVVGDRPLAVLEWLTEDPYPVARVADVPSANTAVEATAEPSDELRRALEFDEELAGSMRRLGLSVPQRPAPAGALEEMIWQLALRSPIGTLDRQRLLEAVDVDTRLSLLVATMQEQSELLSARLAMEGD
MNRKNESVREHVPLYLSREVLFPGMLLPVHVDSSSALALFQRVLDTREELGVVPVERGNVLSPPDVGAVGTFARVVRMERLPDGSVHVHLRGTRRFRVREMRWEQAYPEATVEPVDDAPRNVLRSEALAARVRELWEMYTQTMQRVMGTEMREVRVPDDPRHLAYFVASGLQTDFRALRALLQLASVEDMLAREVALLAKELWLLDFIDRTQEREMEHRLGPSGFLSRN
MALFVARRSTATDIDDFIVAGRRLPFGVISASILVSWLWTTSLLGAAEAGYLFGIGGGFAFALGSAVPFFVFIPLALRLRRLMPEGTTFLEFIEQRFGRVTHHLLIGLMLLLALYICVEQMIGIAYAISGAYGTPFKVVALCATAVVVAYIAISGLRGAVINDVIQFVVISLVSLVLLPLALSRFGLRPLYDGLARAATDDASPVFTPGALNFFAAASIRYLVVALVVSFGFVLLNQGYFSKARAAANSKSLLWSYIVGTLIAWLPIPILFGTILGGIGIAGELTVGEELGVSTDVGTYVIVEHFGAVGALLFSLTIFMAGLTTAGNTLVGFQANFAVDVQDGVLRQRITPAQRKRRTQVATIVFGVLVAIFAVLLEGVSLLQIDIVSGIIFATPMAGLVAGLCSRRPSGPVVVASIVIGLVAGIATYLAIDDPDVNYFYGNVVSLLAPVVVVIIGSLIKPTHFDFAPLRTYRSRYQEPATTSTGEER
MKKILLLAIVMVVQAMILLCYNAYAGKSDKEAERIQQVQVVFQEVVDLWYEQKFDELYTRFAYKQSGKISKEKFINRMMTEKKRLACCWQKIQDVKVKLSSSSQATVYAKFGFENQSDDVVYVAAEVPLYLKDDNWKIKAQDILSAAPDLAKHKLKKKK
MQMRLLETGRGLAEPSWSARTPSRVGSQTRAGSASPGRPPTSPPPPTPPGSRIRKVRALVDILRHQAGPGTRPDRARSSSLTPGVGGPDSMPPRTPKNLYNTVKPSNLDNLHHNYLHLNVNSPKHHAATLDWRAIPPPSPSLHYSTLSCSRSFHNLSHLPPSYEAAVKSELNRYSSLKRLAEKDLDEAYLKRRHLAEMPRGTLPLHALRRPGTGGGYRMEGWGGPEELGLAPAPNPRRVMSQEHLLGDGGRARYEFTLPRARLVSQEHLLLSSPEALRQSREHLLSPPRSPVLPPEPAARASLAASHSNLLLGPGGPPTPLHGLPPPPSLHAHHHHHGLHGSPQPAWMSDTGGGGGTLSRRPPFQRQGTLEQLQFIPGHHLPQHLRTASKNEVTV
MVMSIFLKSLPFFVLMLIYSLFNNAVAFASVTWHSYCSNISSDTVDHHINSLQVTNYTVKIPDNSNVFLNSKQLMVNQSGKTLSKDQLQRVTNITSTGLLTVFLKYQINSCNKNYYTDSITPILKQLKQNEQLTIIWRNILIKNLNNAYKADEIRLQVQNIADNVNLKLFFSGLNEINPSQKSNLFLPREGILNLNTPSKYYPLILAAASGNNEDKFYDLSLPLKINNLMMQNNLTHITAYGNALLDRELNFQSAKGKITVSNMEKLIANSGSTRINNLKTALILAKFAGRSVGNNNLEWDINWQGNLFKVNNVPIPVW
MKKFTAIFAMALMLPMSLLFVSCDEDAEVAYHLDGAWRGNMYVKTAYGGRTYTATYSEIEFYSGYNSGTGVWVDYYSGAPYDYVANHIRWAVRDGNIYIHFVEENIDAIISNYSLGTYDFSGRLEVRDGDYANFHLTHISSPNWSDYRYGWEYWSKQQTYFDGTRASSTREIPVRLFVK
MESQNRYSKSVKDWGWREFVNLTSLSDQDSGFLVHDVVVFFAEVLILKETSIMQEFTDHESESGVYESFDTICIYLESDQSVDTDPDMNFWVKYRMFMKVSDMLEPDACFLVCDTVVFVWEILDCCPWFEFSDLEVHWSDECPLENKELNAIIGTWFTARFILDNYV
MLTIIRTLLFSTAIVVSFTRLGLFHWLFSHGSGCYAPALPTAYSGNSHIPEMTASHVTGGR
MPAGAAGLQNLPIPDSRPVVKIGVFDTFGTSFVNETVDPTLAVIQAKLPRYRFELDVIAASNPEDAVKSSGVDFFVSSAGVYSTLERTVGARHIATRRQAGVADPARSVGAVFVVRSDRKDLKTLADLKGKSVVASQKNDFSGWQVAMGEIAKSGFNWRDFFGKQDFVIYQLPDVVTDVLAGTSDVGILTTCALETLESDGWIEKGALRVINEKADPHPSAYTCRRSTDLYPDAVFASVAGAKPDLVRDVTVALLTMPPGNRSWDWSVASDYLAVDHLYKALMIGPYAYLNDMTPYGIWKRNQEIIIPIIALFLLLIAYELRLHYLVKKRTSELSTALKEKEAAEDEAKRSRERLSRLERSGVISQLSSMIAHELKQPLASILNYANGLAQLAKMGRSTPEQTGFAVKSIKTEATRANAIVDRVRAYAKHEEGPLTELDLSDVSHKAIRTFTRNALTPVAVSDAIEPGIRVMGHELELELLTLNLIRNAGDAVKDVADPLIRVTLRRDGGKAVFQVRDNGPVVTDAVLEKLTEIEESVKPEGLGLGLAIVRDIADRHGASLSFRRNDDTGLTAEMRIDMVESKPRDTEEKTGPKGDAS
MIQPIVTIVAHPGADPNRAEGTAVVVRSDRFELQTLGDLRTRIVAANNPLGFTGWQIVLGEIAKFGVEEPRSFFGKVIFTGDSRSTDRIAELVIDGEADAGFLRLCAYEAFLKRHPEKIGLLRVLDRRESSLACAHSTDLFPGFTLAVTEHIAPELARRLALALFSMEPDEGGPKWAVPANFLTVDRLLQTLEVGPWEGLGQGSIMKLVERNLPWVLLTLALILGLIFHSWRSEVVARRRREKVRELMEKEMKQAEALQTMHYESTMVQLANLFAHELRQPLACASLYAEGLARQFRRGKFDPEKMAGICDKVSDETHRASEIVERVRAYAKGRGEERKHLSVEKLMQHCVHLWRTYSALDIPLVFSAPDPDAALEGNEFELEVALVNLLKNAREAVQGAKAPAVSFTGAVENDRVVIRVMDSGTPPTDEELSRLGIPASSEKAHGLGLGLSIASGLIENHGGSIAFSRGPGGIFTGLAVTVTFPLLPGESVEK
MDPNTPPTPVNAPSDTQPRPADTAPGDSPATSGGEGAGAGSWWRSNRWLHYALANRERGMSTAEYAVGTIAACAFAALLFKVVSSPEVQEMLSSLIDRALKTTGK
MDAREFLDFGLKINEDDEFRLDFLKPLLEKQPETPAREALMRAFRRRKSRRTHYIAINDPDSLLALCKKWNSLGAGIGAAQKRRECYEEYLCGEADIEELRAAGPKIEPFDAEIRAWGGDKDNDLLAIRVLLLVQELTRQLCEKDSLGQKRPQRDWRLTHTLITHWDLD
MSSDLVPTGMSDLDVYLTDRYAPKDDASQSATPEEDAASIRRKCSYIRTKWYFRGHSISIRYSIMAV
MKELLLILFIAAQQIPHIPAVNHSRQVTRTSRFPLPLKVTMPKSSTKPPHVKLFSLWLYLIPA
MDVALRASELTAFSDPRVGIERSFPALPSISDPRMHYPGAFTYTPTPVSSAIGIGMSAMSTASRYHTYLPPPYPGSSQAQGGPFQTSSPSYHLYYGTSAGSYQFSMISGGERSPPRIHPPCTNASTGSALLNPSLPNQSDVVEAEGSHSNSPTNMASTARLEEAVWRPY
MASPLELLLWHCPPVVDALAARLSMTAVAALGAASLALRAIMHGPEVLGRRLAAEGAFCFRSLKILRPWASNGAFASVGQVGVGDIVVRVRVPSEVLSDGARWSRCRARLSAVWVRVRDAGCPLAPDLFAEGVLAGATCGDARLVARCVGSATEYMCRTDEYIQALDAATALNNRAWHESDLARAAPVVLHVTTLMLARAHALATGDRVGGSVSMGEAAYDPRDDDPARLYRRSACHIGTGLERIEAEALRRLFGAFCSNHSVRSPEAYALAAHVVGLFTGLGRDAAPYAREDALAALIRDCVAAAPDARDRDPRWYAMLACVATKLGRLPGAPVDFYGASAAPRFEGMDAHTSSACSVP
ASQFIYQLVTILLAENNAYVIPILDEFGKTSGLWAASPDSCEIVDVYGQLWLKYETGDGQKQIIEYELCGHLRTMQNKSTLMGENNAPFKKVAALYEQDLDKSMEKLAASESPVQWVGQLNAPILDDEALKEEQDRLNRLNLKGNKTGTFLYDNRYTRMDQVSKETSIMSPDDIKQMENMAYSYWGVGEKLLQNAYTEDEWNGFYQSRIEPILIQIGEVLTKVVFSRNQIMDGNAVEMASNRLQYASIKSRIDVAFGTYDRGMATMDSSLDILNLPPLPTGEGAHRYIRGEYRQEGTPAKSERKEENDDTGNDPGSDAAKPDISARELRDKEKDRV
MGLARPLVSPKNFSKIGHNPNPNQVDYRSTKEQRIEEALDFRITIIAALVLVALAAGFWWRARTGRAKLVRSGEIVDLGKLKATRAGQPVTSFGKKATLLQFSTEVCSICVQTAKYFKELESKNPDLTHIEVDLTDRMDLAAHFNVMQTPTTLVLDRTGKVQARIGGAPKLNVIQQELAKLEIK
MSNGQTINHFSHNHPLSEVNGVGTFTCDGCKLHGNGKTYRCSDCDYDLHEYCATCPQSLRSSYHAPDHELSLIKGPTHMTSCYVCRFYMQGMFYKCKHCSFESHPLCTHGPMHASSPDATVTQQRSLHDPVGQPSPPHHYGQGIPYAYPPMNPYGPYPHGGHHHHPPQHHQPQPQHQHQQQYVNPGSPKADTSGGSSKEGKKKKKGVFGSLLAVAAVTGQAAATLLLATAAESMNAE
MLHELSGDRIQSLSRPLKANAGIVSVAFTSLEASWTADEVRCYRAQDFSPHYGMKQKHEYISLLGNLKYHETADFECCCIPLRLGDKSNVTGLGLFLVLMRPKIKGNIYGLQRALRPSTFHSVLDAFDNIETSERKILLPKMILPDEQLEGQPVDFVDHWTATKLLAEDDTFDEVTAGVRLGHFLHYSSISFDEDGVGFCYPRSVTGSSSDSSLRNVTHKGVPAEDNFSLRFDSPFMFLLVDRTHRIPFICGCYAGQPALSVERLRSTRPTSSVCNTNCAKFWNILATNISA
MRLGEGTASYDAECEVSERLPWEHVTGRNPEGRSAVCWIAFRNLHVNACLLLASVALTMVAAAAICYLCADVDLQAVSERFVGDLMQVPPMFSAIKVGGQKMYQLAREGQSVALPPRPVIVSSLRLWRSETSPQDVHFYVACSKGTYIRSLAYDIGRALGTVAHLVALRREAVGSYRVGDAWDLRQLIDQLEQQKRAFLLSQQQQR
MYRAVAKRRGSNAAMLRSGTLPAKRLNHAKLKFGVPKAFTKSFPRSLCALCALCVLCVKPSLFSCKNHANLKVGVPIAFPERQANWKSAPRRKEALTPRSTPSQYPLREIFYYLLALPGKRNSPLYMTAIWGKALSLDPSSPLPIIIPIVFYHGNRKWTISTDFDGLFETEKEHYGAYRRQIPSYEYLLYDFSSTKHEPIRGTKKLQIFLGITRAIFEEEKEVFIETVLDAMKAFDELRGTVGNEEYFEAYIRYLFYARTDFEQEELKERIKTVSMERSEKMLTIAEKLLQEGVEKGLAKGIKKGREEGRKEGREKGREEGREELLWKQITKKFPQIPERYYEKLKALTIDQLDTLGLDLIDMQNEEELKKHLPM
MAIIVNSEILKHSTKRVRDYCDSKGIRLGKGNKTPEEIVCAALNLPNWHVANAVAAGGEITIDLGLEAQALDFRLLAGSSIKISKTNPNHSLQGVLTSILGAQNGNDLRNIISFGSHYLSGVAASMRMFGFKNSVNDDDFYYLNDNNAAYMMLHLLSQKYVGLVPECAIDLVNEAYNSLVSEYGKHSGLLPCSHCGEESDFTMPFSTETDFVVCQSCGTRKTSDLWNNPCAPSLDMVSHVTDAIFSESELAQIFAPIQLHHDLSEMDSRLGTLLASVIRAPISMLDKSDENGSRFALLAKGSIITTGREVFLVLSESTESVELKHVMSGEVLNKERAWTNSSRLLGIAHI
MPSTIITTTTEDTTTPETETSTVPSTIITTTTEDTTTPETETSTVPSTIITTTTEDTTTPETETSTVPSTIITTTTEDTTTPETETSTVPSTIITTTEQDTSIPETETSTFETTILTTRKGKNIQLTFTALSSVHGREISCRKA
METPGRWPHVQKQWLHKPYLFTSLKVIDAASRGYLAGCPVVDFRVILYDGSYHEVD
DELSDLITLELGISKQDSLYEVGRAFDVFTLSGQLAIQDDGQIFSCDLTPHGKQRKIFTIREPLSAISAITPFNHPPNMVSHKVAPAIATNNCVVVKPTELTPIT
MLEEQKDHFAKLISLELGKPLKNTRDEVSRSIETLAQSAEEANRLIGETIPGNVSSRGQGAMAMTFKVPVGVVLAITPFNAPLNLICHKVGPAFAGGNVIIFEARTANISCCHSICQTPSRSRIS
MYVLEQEVQKSGGEAMELPKKIPRSVLSKSAKLSDTPDDKKRVVVNRYRIEKKLGSGNFGTAYLVKDLKTQDLNEQLKVLKQIPCGELQPDETVDAMHEAKLLSKLENPGIVKFYDSFLEGEFFCIVTEYCEGGDLDEYVVRMKKRGQTIPEKQVMDWTVELLMAVHYMHCRRVLHRDLKARNIFLRNNRIKIGDFGISRILMGTADMATTFTGTPYYMSPEVLKHEGYNSKSDVWSIGCIIYELCCHRHAFEGQGLMGVMYKIVEGECPSLPDKYSKNLHSILKKIFTKDPKERPSASEILKISFVSHHMEKMKLNSETDFNMNQAEDEAKAIELTLQKKYHMKDFQPKSEGGNVKHLTPRERMRLRKQEEADRKARELSEAARENLQGNMTRKQYFQERKKQSDPAPWSNNVRRENTFVPSTDATFTTTSTSFRPKTAPVEYDESEGSDNDDHAMTVMSQPLSRTVPANTTVILKSSRLLGTNFAADDRPITPMKDRMVYDVKHSSLDFRDGVPEDPRVAETYYSQFEEFEEDRDTSHESGNSGAVRDSFEGSEGGDEDEEKYETENEEEYVETPEEELTVVENEGTHHYRGTMGNKGTMKSGGTLKARGTLKAGGTLKAAVTLGYGEEEDEMIKHMENHLSTLKASNSDDNDYNEDTITLADDTVAGAFGPTARSIKIRNLRKNGESKLGKEAFKKAYEFLKHARYGDDGATSTVNYVQVCFKAVIRPSTIICE
QRLSPFSSRPHAPPVISDDDFSYITSEDLAEPSRAYDPLSRPPASSDLEDDVLLLKNKGTTYPLKFPAFSIGDGKLLVQDLRDRAMVVLGIRNRPIKLLYKGKQLKDNEAFCRDYGLKDKSEILCIVGDPQAGADSDDLSDTGTGGSKDSKKKKKSGKSKKKNGKSKKKGDKDDVKETVGVPVPVGGSQPGAPVNVPKTPLEKLNAIASDFHTKILPLCIVYTANPPEDPKKKDFEHKKLGETIMTQVLLKLDGVDTEGDPEARQFRKDLVKETQGVLDGLDEAAARS
MNLRPSNLRLQRGLSRRCETSQKPSRSVRSAGXRHEHAVRRRRIFVEPEADGMSWLHALLPSVEAHAIHGRMTAQGKALLAAQVKGEGRGSQRDDRTLDEIRADILXRMATVLMSPRSWKALVPSRSREHESLREPRRHGSGY
MKNLEGSIMPYSDFKCHTSCVEWHESNPGLCALCKKDVAGTQHYTKKGGMVYHAKCCTIYIEKVLGEKDAPPTFPYRYTFKCPLCSHEDSTDSSIKMDTGPQRFICNGCKKVVEAVGKRVK
TSGTCKKEKDISKESQPKLEHSNLGAECCKLCGKSVANIKKHMKSHFPDKYQCQICMISECAPLTYIEKVNLSDFFEKLPYTYTSKCKLCGRVVSNLKNHYLTHNPGNYVCPLCGCRRTRLDNLKVHIKQKHPDVQILQMSSGTNGRIAVDYTDMSFLTMEVSIHVPLVTTICVFLYDEDFCKRDTISVEI
MEQNVQRKGLVNWVVLLALGSVGSGIAAYADSATGLVGAVFMGLGLIVALTAHFQMRLEERERLEGLEYDQLKQSTRDSSLFAEQAADTFPARQARIQFEKYFVPGVAILLFVLQSVGAWLLWKAVAADTHPEAHQSTVAMVVFAISFVPYFLIGRFSAVFARIEGLRLLRPQASYLLLGAVLSAVVVGVEIAAFAGHPEWDLYAARVLVVFLGLVAAENLATLVFEIYRPRLHGQQVHPLYESRLIGLLSQPGGLVRTAAQALDYQFGFKVSETWFYRFLEKALAWLVLAQILALLAFSSVVVIEVGEKGLLERFGRPVPGHAVLEPGVHFKLPWPLSKVYRYETERVQTFMLGMIEGEEHEGERTMLWTKTHAQEEFNMLVASRSEDEQANGNSPGTAGNQTQTVPVNMLSVKVPVHYEIHDLEKFAYSAVDAGGVLRDLANAELTRYLASVDLDELMTTGRRQAADALQEGMQRLADQH
MARRTFVALGLAALIGLGGAVWWVVTPARRSVLLVRGQAPPESQRAHCDDVIGPPRIVAISERVRLAIGWDLASTILVRTGAGNVIVDVGMSPARAAPVREALLRDSPGPIAAGELDVAGDVLGFRRFTGRFRRGL
MLAIGGCDYVIRNVHNIALKRAQTQLWRYQGPPCRLRCRVRKIFDFYWRPDLGKKGSQAGQVPTPAGARGYHRVANFILSPDLPYGTNIVQRLSYSGGSTITTTTTRTRHTKMERLQFKQQAPNSR
MEPEHSKWVVLEGSLKVMEPEYSKCVGLEGSLKVMEPENSKWVGLEGSLKVMEPENSKWVVLEGSLKVMEPENSKWVGLEGSLKVMEPENSKWVGLEGSLNVMEPENSKWVGLEGSLKVMEPENSKWVGLEGSLKVMEPGNSKWVVLEGSFKVMEPENSKWVGLEWSLKVMEPENSKWVGLEGSLKVMEPENSKWLGLEGSFKVMEPENSKWVGLEGSLKVMEPEHSKWVVLEGSLKVMEPENSKWLGLEGSLKVMEPENSKWVGLEGSLKVMEPENSKWVGLEGFLKVMEAENSKWVGL
MENAIKDIFDQKDIMRIAASRYGINPDYLTYIGGFQNFVYEYQRSGGVYILRITPGMHRTAEQVRAELDWIMYLTRNGISASAIPNA
GIVLIADEVMAGFGRCGEWFSIQHWDVEPDLICFAKGVNSGYLP
MRRFCARALWDASAEHVVPTIAVVGSLVIGLTLSARAPPATLRRVGAAVRMEAAPTEEAPKEEAVKPKPAPAPKPSGPDFSKMDDPWGKRTVAVTHDNSR
MSLKSKRRAILLDSEIQDLYGAPKLAFEQKRYYFSLNDPELDALRSIRDRYNRVYFILLLGYFKVKPVVLNIRYSDVRDDLLFIAGEFFPDMKLGRKNLSPMQKVRIYRRIFQLLDYQSFDDDSEAALSMRAGVAAAASIDSRFLFDESTDFLAKHRIAIPKYTVLQRVVSRAIASERQRLADILSHSMSPSLSESVDAILDDDTATTLNSVRQSARNFSLSELEKELRIHQQIEPLIDDIDAVIQQLGLSLSNLEHFCSMVDYYTPTKLRRFDRMTRSLYLICYLHLKHRQVTEHLADAFIHHCRKLQQEAKTYAEDTAYQEWKEAVANVGKGATLLRLFIDDSIDGSVPFSEIRQRAAGVMRPKQIESLCRYLTDQKQSQAFYIWEYYDQQTELIEQTLRPIFLALKFQSSTTTRALGAQIERSQFNLDSCGSIREIDRRLIRPRHLPFVATDSAINPARFEVLLYLLVQGKLDGHLFIPQSPKHCSLADDLVDDDIWKHRRKLIKSSLLNRINTAPKQLMRSMQKELATTLDQVGDRIQKGDNRNVILRSRSGKTQWRLPSSGAKSLLNNPFFEQLNQVNIADLMRFVDQETGFLDTFDHVRQVQARQAVNANNLIAAIIANGTNYGLYRMAHISDRSYEGY
MTTVLIVDDSALDRELAKRILNEDPQITVETVDNGRAALDRLHAGGVDLVVTDLMMPDINGLQLVTTIRVDYPDTPVILMTGGGTEVVAMNALAQGAASYVPKRMLNDWLLNTTHDVMSLRQAQRTHGKLLECFKVVQFELDLSNDAAMVDPLVEYLQQIIGGMRLFDATETYRLGVGLKEALQNALYRDRTIQLGVRIDRHKARFTLRTEPDDGPVFDAANVPGVEDLDALRTTNERGFMLMRSHLDDVRFNDNGTEVVLVKRRDEDGEEV
MGFCRFRSRVFLPCKDRIPLPDARKGERMPRVLIAEDSMTQAIQFQMMLEEASYEVSHFEDGAKALDAIEKVRPDIVLTDMDMPEMNGLELVSSLRFQYPSIPAVLMTAYGSDELAVRALEEGAAAYVPKAKLDEMLLGTLEQVLGLMRADRSYASLIDCLNYNEFDFTLHNDPALIEPLADLMQQMLSGMKLCDTVERVRVGMALEHALANAMLHGNLELTREEIEEDEATAIEGLRSEVEERQSLQPYCDRRIHFHARMSTEKATFVIRDDGQGFDTSTVPKPGDDRLLEREGGQGLVLMTTFMSEVRFNDNGTEVTLVKLPRTTSN
MALKLLINSLFKGGAEKQAAALSRRLTHDAFILLEREINFNPKSPRIEFLSDHTARTSAVLKTLSIPVYAKRLAGLTAKNDVVLSFMERANLVNILAASGTGRRAVISERICPSLEFSGLRGLLMKPLIKRLYPLADLVIANSKGVKKDLEINFGLRPEKVKVIYNGCETQSITKLAAEPLDYAWAEVFKNPVLITSGRLAAAKGHRHLLRIFSLVKKNRPGLKLVILGEGGLKTDLLKLAEDLTLNIFDAEKHPLPTGQRDVYFPGFVTNPYKFIARAAIFIFPSLWEGLPNALIETLACGTPVISADCPGGPREILAPDTAFDSGTTTPEYAPYGLLMPLLSGLKNPASAPPEAMEEIWAAAISTMLENPATLEKYAGTGLKRAEDFELSKTAGLWRELLDAQTGPD
MNRLTRIGTFTALAAVSALALAQDAITKAGSQAEADKAIEARQAIFKEIKDLNDPIGRMLRPNGPQLDPALVATNAAKIAELGAKIPAAYQVDTRGFTATKTGALDGIWNSFPDFKSKADALVTAANAAAEAGRSGDAAATRRAIGGIGRACGGCHDAYRQKE
MNLHGLRVFYHVCNHNSFSRAAQAMFISQPAVSKAVKELEHVTGLPLIYRAARGKGIQLTEAGQALYEHARGIFSLEQAAREDLKARAGLQRGTLVVGASTTVASYWLASVIARFRQAHPAIEIRLSVANSAHIEQALLACEVDLAFVEGKIDHPAITCNFWQHDPLCIVAPANAPTTFTKACLAEQTWLLREAGSGTLSVMTNYLREQNIATPHTIELGSNEAIIQAVACGLGLSIVPRVVAAERLALNKIQYITIPGGELPGPRPLYYLRFHNRPLTPAAQQFLTLAH
MNITLRQLVIFEAVGRLGSISRAAGELNLTQPAVSMQVKQLEEQVGLPLIDHAGKKLVLTEAGEELARHARELIAKMSDLKSAMKQHLSLECGILRIALISTMSFFLPRIVSDYVKRYPSVRISLQVDNHESVLAALLEKRTDLAIMGRPPDDASVVAHQFMDNPLVVVARPDHRLTTLARVPVDVLGEEAMIAREPGSGTQANIERFFAENGLEFRSSCEVNTNEAVKQAVAAGLGLGIVPLKTIALELETKRLAVLPVDGFPLMKHWFLLHRAEKRLPLAARAFCDLFLGKASNGGLEQRS
MLDFKIKVFYSAATSLSFTRAAHDNHISQPAVSKAIRQLEQQLGHSLFERNGSRLALTSAGVLYREHVEKVILEEKQLLFDLGMLTNQHMGSFTLGASTTISQYIIPKILLQFSGNYPRLEVKLLSGNTNDIEQAALAKTIDLGIVEGLTHRSGLRYIPFREDRLRVVCHRSNPLYSRGSITLELLKTQPLILRENGSGTLEVIEHSLRGCGMRISDLNVKLYLGSTESIKNALEAGTCIAILSEETIKNELRDGSFRLLQLAEVEFNRTLCFILPHGVAAGIAESFIQFALLKQ
MPKSFSLGCSPALHSQPGLTLEVDLTLMQHLDLGLIKPHTQDFALLYPFPEEPQVTEMAEQRQAGSQLTQKRAYEQRAHCGRPQARNRPQSMESTEKTPRRSKKSGKEPPKPRGVLGRPPLEASLTASPPRPLPQAGERTSPAPGAAPGVSRDRSVLPEEEEEEEEEMRVRPRGPGSVRFPGSVPVPGGAGRAAGPREQEVPRYRYRADRGSAEQEVPRCCPEPRDQEVPRYRYRYGAEPREQEVPRYRYGAEPRDQEVPRYRYGAEPRDQEVPRYRYRYGAEPRDQEVPRYRYRYGAEPRDQEVSRYRYRYGAEPRDQEVSRYRYRYGAEPRDQEVLRYRYGAEPRDQEVPRYRYRYGAEPREQEVPRYRYGAEPRDQEVLRYRYGAEPRDQEVPRYRYRYGAEPREQEVPRYRYGAEPRDQEVLRYRYGAEPRDQEVPRYRYRYGAEPREQEVPRYRYGAEPRDQEVPRYRYGAEPRDQEVPRYRYRYGAEPRDQEVPRYRYRYGAEPRDQEVSRYRYRYGAEPRDQEVPRYRYRYGAEPRDQEVLRYRYGAEPRDQEVPRYRYRYGAEPRDQEVPRYRYRYGAEPRDQEVPRYRYGAEPREQEVPRYRYRYGAEPRDQEVPRYRYGAEPRDQEVPRYRYRYGAEPRDQEVPRYRYGAEPRDQEVPRYRYGAEPREQEVSRYRYRAERAEPRFPGGGGGSRTRPRALPPLLSGLPGLPLVSISFLAGIAARGRARSAAGSARTGPAMQGKGTISSSSPVVAVSVPPSSQARPSPISTGQIFRLPGRLSE
MPQAIANVGLHYLEHPGGPDSIFEKLGGQARKGRDYEALEKFVDGFYNMMATDKDMKKFFENRNLIHLKKRTVDYLGGLWGGKAYRGPDLFLAHTGLGVTVKIFELMMKCVEKARNLGQVNKALAKQIVSDIESMKEPLCDPTGKLAKEQNAKNLALGDPFDDAANRAAYAELQRKEEERRQKLIDFRKRKEAEAKDKAKSKKDGEKAREPSKDSKEAEATKEHSKEEQVQDSARMAHLKPAAPKPENTEVSNVGSTDPGKEKTSQKDSVMAPFQPVEKKDRPSAAAECAPKTLSQLPTATRAVQEKGHRKQSSTANSNFIKAKVEKNAEARSGDSGLYSMETTVGNRTEAFSERCEATEENGDPEDWSSVDEDSSVTSARSAGACEMMDWRWSPDISPWNSPWGSPVGTVTLTFEDGSSPACDGGIEEPRCPRSVQLLMN
MLIMYNNRSSAFFIKIMRIIKVFTYDGQQYESGWQLFRHCRDLIVVA
MQKEKTKLEKMIEKKNRLEADIKILEKKEKEKDRKRETRKKILTGGVARSFLGENFDPLGNFLILQDEKFKKMKREKKYEELKKIKKENVNALLKCIFSEIQNQKSQNQNGRGE
MEMEEAGTRDQAVKLGLAAGGLFALVLLRRWMRRRKIKKILKARARMKARLEKSRAKEAKAEKGRRRAGKKGRERSMAEQLIRFAVFQFLKKIISEQIKQTQLDLGKGRLGKKLVGAPGEA
MSTTELALPTSVVPSTWNPDTAALMEFSGLSWIQGGQRVFAPSGVMAAFLNACARTGLDPSAKQIYAMEIGGKWSIVTGVDGMRVVAQRTGQYLGQTPIQWTADGVNWVDVWLSDDAPAAARVGVRRVGFAEPLYQVVTWKEFGKTTGQWRTMKAHMLGIRAETHALRRAFPNDLSGLYTPEDIDGGHAAQDALVIAPTEDWEALIDAAQSKDELKAIVDRCQEAEELSDRIRTKVLTKYGMFNRAAEDAEREQVTA
MSAAVEVLNPAQAISLPTTADPAGWTADQKALLDFAGLVIRKRNADPVPAPRSTVVAFLQQCERTGLDPIARQIYAIERGGKWTIQVSIDGMRLVAQRSGLYRGQSKAQWTDGTKARTPMRDIDGSIVREGDGSIVWVEDYQWFDVWVGPGHPVAARVTVYRADFDEPLTAVARWDTYKVENDEWQNGSKTGRKTLGAQWEKGGDNMLAKCAEALALRKAFPMDLSGLYVTEEMEHLDIESAPRGSRGSASPTLQPGTRSAQRDPLPEPQEGGESAANEPVTPEVTLYDCVQCGQPGATDPNGGICPSCEDEIEAEAGR
MKYCSECGAKLESNVKFCSECGTRIEPKPDVEKNAEAANEPVPVSVPESGQIAEPPSGGQAPEPVADLPVKEQEPEPVSDMMTDPPVSGPTSELPASPETSVPALETASPNPPNGPVSQPVPTMQPAPAQPAATGTKEQGAFLRWIQRHKWPVISVAAAALLVLIGLFFISTLLGGSGQLADKFEKAVEAGDAQVLASMLKPGEQKLVVNKETVRPLLDLLREDELLRAQVVDEIEAQIEMHKKENGARVADVYAESIIQVKKAAKQSFLKADYELVVVPCYANVSTTYPDTKILVNGKEFFTTDEEFSYDEIGPLMPGKATIKAQFDGKYASLAKEETLTLSNPLEYYDVNLDLEGETVYVSSNYDEAAIYIDGEDTGLTTSDYEGIGPILLDGSSKAYVQKEFPWGTVKSEELPIDSEDMQIDIAPENDQFRDSIQTAVQTFYQEFLNALNTQDTSAMTHVSNAAEGEVAPIIQEMKNSNLTYSGKLTKIIFDMDSIEFTGWGDDYRANASIQVHYTQTVTDNADSTNTMTEDIVSNETFQLTYENDQWIVTSIYEDYSFDAGNSEEVLM
MIINKLILQNFRAFKGYHEFDFTDKKIVVIDGPNGHGKSTIFDAINWVLLGKLNRYTGSIEHKRFNYIVNYHAKLNGLYTTFVQVILMDDNGKSTSIKREIKYNNPEKVYIDGEAIAYNEISRKIAKVLVGNINIDIAEEESDVDLAALVSSTQILSQEDLDEFVRGNKPGERYKKLEKILGFKKYGEDLKGFLNTTKSVVNKLLQESKEKEQKVVNKKNIIEARYAEKKQLYENSGGITEDQLLDKIHDIDNKNNVSNITNGIELSSIKKINDLTLEKLNNIKDSFYKTMKSVEDIRNELKAKGLTSATANLNDLILKRKLLNEKIQVHKNKINEKEILINRAKERISKLGEIEIKRNRIKQNNLEIDKLEKELRELETSIEYLSNNSDVKAVQEKETIEEFQKNYINQKNQLDDLYIWLKINNNDQTILNLQSNMKEIDILVEQDSRVLETILHEKINYEKKIEEISRTIEYEEDSQLNQFIYDIQNHILNSDHSSDCPVCGTDFTNLNKSLKEQVKEKLNKSKKELDGLQQTKLQLVNSFNELESKSINIANNIKKNKEKIHSIKQQIEQLNTKNINFKSEIREYHTNDGFNNNQRNIEIISSFLKDYENIFTNISIIINKKNQINILEQRLTHKKSERKNNFNSIPSRYSIYIESITAISNKVQMYNNYIDLIVEENKNTKKDLDNLILEYNNCKRKEADIVGLSQKYQNEFGDSYCILDIGTMLTSIEDRYTGINILIQDIEKVIYAIYSYLNESEFTKLISEKEKITSELNELNKSIEKNSMILEQVEEFLKGHKSVQTSLLNQYLEEQSKNINFYFKQISPHAFYKNVKLVAAKGELYILLMEDGYEIPNLEFEDLRNEVNASLTFSAAQSTILALSIFLSLNLSHNWSDLEILGIDDPFQNLDDVNIYSFVDVISTLINQKRKQVFLSTHNNDFSKLISSKLDINEHEIGNISFLSYSKDKMLISSNVHFYEEK
MNEVDVVIVLVVGLSVYHGAARGVLIGAIDLFSILLALTIGSLIWRVAAVILKAIGFPEFLSGLLGFMLVSVGVAVGVVYLGSLLVRDLELGKWPDRIGGGISGLLFGLLLSALLLMISGVLPHPRESMLRSALGPRIISLVPTSYSALERAGIALPKLVVLPLDYRDELKGVRRGPQFLQINFSKLDGMTCMKCRSAVDFQGYRFQRGTLISPKFQCPNCGRTTDGCQTFEGFHRIYDQCPVELAREGVKFDCGVWTNGDFILPKGPCPIDGNELKKGRHASQGPAVTSTAASGMR
MSDAQFQKAVEIIRNLPKNSPAKVTQAQQLKFYSLYKQATEGDCNTQRPGMLDLTGRAKWDAWNKVKGMSGEQAKKEYVDTFFEVFEPLKDDAEFAKYFEEIKNVA
MQIINIEEGIRHHEWIQTLFGTIALGFDAASLFFNGLKNGMNEAGPHFATSQKRDKKIITAVMQVAGKTTDLPITSWIDNMMHLPLSLILIIWHCRVQGCQGAKNIYP
MSYSKVSKFKFQNTEIRTRIYHALDMLEHQVSIRDCLEALFPKSDHLATKARILYQSFIPNTIHSRFTMGKGKVTFLSLKYLGMVLNKLPPNDHSRELMQALKLHTSPITATHETAMDVDPGEVPAADDDLPEAGASAISHPITSDSVETEELVQVEKDSTNPPLHPDTIESQEQTTTVATTTTQDIQIQWTNAKTMETRVDSFTRVEQGDLSNTLFQSTQQTIARFGGGCLYVTLIGYLRGNPVIKFGQTDNFDRRYQEHISGIGPNKTIGVLHTLHPRRVEKFFADRFFAGHRITATIPGSSGRLKTQTELFLIHLDLYDPERIMAFMQDCVDAVDREFTSDSDKVKIARLKHQEAMVKMELEKFRLELLANLIKDGKDVTPLLRMTGGTAVPSETPSASGPDAVGSV
MINRLISADPTHEDCAAAAVLLRQLTSQHKGSELESDNAALRARIAEAEAQEAVGWVRPWDGDDSDLCNIEFETNEDAIKNQPGWLPVYAAPVPAAALPWRPISECPDDNTEVVSLSVVDGCIEMLSMPGKVAQRISRYRHWMLAGDFPLPGQSVAPNYAGVIESLKECEMFLRVIGLDHPKAALVAETACAMLSAAPQPPAAEGEV
MSDSISTFFDAWQIEDANDRLDQITRAVTVGILYDDPRTPNTVTGIDALSHYVGMFSANAPGWSAKVVSKDTIGNVTRANIAFSGLDPDGVEKTQIGQYFVEKEGDLISRMVGFVGTGEQ
MSNTLVYLLNVQSKRLNNITGTFMCVLLLLHRAPKCKVMHIIPPQPWLASLVSNLEHTNLM
MSTTRTAARAKKARSRASPGLIPVGLRRRRAKNESGETWKNAAEPARSGAFEVRIVPDAARIVVVGRFEEIESWILLNKKL
MHHHSPLFLLGLLLSLFTTFVSTMSCSNDWSDEPFLLPSYGSHENRLALECTSREPPTHEPGPFDSLYVLWAYIATRLPTTVVHLRITVPALVTYVLGPTWRAQLAESGGRILKQPGGN
MSELFNFVTNYWHTISTYIPGFLRASLIVLELTVGTVLLSWAFGLIAALGKSSRFKI
MGTATGVVPATTPALGRFVADLEALTLRHPQEHARVFAIADRLQALLAEPDVVPTRLMKPLPDRYRRNLLHRDAQNRFVVMALVWGKGQFTPVHDHGAFSVVGVYRNRLEITNYMRTDDRKREGRATLAATVRNVGRPGSVFHVVPPSEEIHTVGNSYPEAAVSIHVYGREIEWVNVFDLAAGTVRRSDPLAYHNDL
MYLYAFTLIDMIFTSAEILTELQFGKKDYKTVGYLRTEQEFIKVYRQTQCFQILCGLVASPIALPFHKWLFLMSCVYCIYGSIKSQGIFAFALFIGALIFMAVLILVYSLLSKIPSGSEE
ATQSLTLLNSEFSLRIAASLAERLATTSDPGARIDRLYLRLFARSPTARERQIAASFLDRESGLVDLCLALLNTNEAIHLD
MRPGLGFQTGQQAAGLTKTRFFGLAFHLDTVNLTGAAKIHKPKNRSQPNIVIQIKTSGKKNKRGIWRMVLLLFAPLGPLWRGRQHPIKAVAFVGLMALVTLYLIATQFPTVEKHLLAMMPLRRPTTVMAPADATMTSQSNSKSPHQDSTSDRSGFQGMTTTTTTTTTQEEDDNVQRPTMADAETGIHTAQGPAEEALRKRIVIADLPAQYIPSFSPDDETKGRKGRRPKRLIVVGDVHGQLHALKTLLRKLDFDHPRFRRHHASSSSSSNNDQEADAEGISNHDHLILAGDIVTKGPDSAGVVQLAMDLGASSVRGNHDENVLRAAQAMAREHLTAVAPERGEDDEDIDAQKSKKNTSSAKEYAKNEHARAVARSLSKSQLSWLASQPIILRIGHGFPGATSPPFNAGSMLVVHAGLVPSLPLARQDRWAVMNMRSLVYPPHFTFATAEDNDEDEDLATADGAASKIVPVDTREGEPWSRAWNRVQNNIKRQDKRTLVVYGHDARAGLQADVDIHIKDIAVRDVKDERSYDDGEDWGEDEEETDEEEVEESSSKKKKHKKKNKRKGIRYAFGLDSGCGHSRQLSALVIEASAEGIVHSIVQVDCGHGAHKNDEA
MDRAVRTALCILMAAATWTVGVAPARATVYQVAFNAQVGFDDLTLTNRMVNGTSDIVVLVSGPRAVSFLSLGGADLINAGHYCTEVGSIFSGGGSNEVRCEWPESVAVTSLDYVSSPTYTGGRSLYVAPLHGLGITSVSIEAGFTEVRIDGAEAELNVVADRFIYNTDEDLTISLDGENDDGPIADAHSNINALEVWTGSGDDVLSAYGRTGARLHGGEGDDTLSGWTGANVLDGGDGVDTVTYADSAGAVTVDLDGVADDGEAGEGDAVVDVENIVGGPGDDVLSGDSSANTLLGGSGDDFLIGGDGDDVLDGEAGANRLDGGPGLDTCRHATVAKAGCELPMVPTPPVDVDASATSTSASVSFSPGDDGGDPVVRFQASCTSSDGGTTRSAVGATSPIRVGNLDRGRTYGCRARAQNGVGWSVWSSPSTPFVVPAVPPRPPTEVTSTPAGALNVGASAEVGFAASYDGGSAVTTYQAQCGPTGDGVTRYVSGAAAPLTIDRLDAGREHTCRVRARNAVGWSGWSAASSAFFTGVGPPKAPSVEGVSPVGTTVVVSILASHDGGSPITGYQVTCSSTDGGTSRSAAGASSPLTVTRLSVGSTYRCRARVQNALGWSLWSPFSALFLGE
MPKGVSLDLIRIEMLNVGVQYVWLDVLCLRQRGGPREDLRAEEWKLDVPIIGAIYDEADVVYYLSGLGLPLTLKEGDLESDRCWFRRAWTEQEVGQSRTIAGDTPDGPLHTKPIDDAGNYEDKILTKFHRQLDSAKTIHGLWRAIRDAGSDINQPTYYESQSLEDAWTALVNEMSTSYRARLLFTYPEPGTGCKKWRPSWKQAMEKPLPSVLWDKKHEVQRDDDDWCEGDWIERGFVRGLAVGGEEAIDRCGELIVENAHGISYAFPILASHQYPIPEDTYTLLSDNLLPLGQYWAVGRRLSNKMFEKMSVFEITDFDERKRLDKLDLSAWSHSILA
MRGIKCIILSPLIQVTQTLLHFIHLLNLSSNFNLFSSNYYKTYLTLGLSEGKGSTQCKANFILLSNSSPMDGASLMSSKSNTSLIH
MSLLAAESITDAVPASPELRPAHLHELRPWDRIAFRAPGPDRDDRVPDAPPLVWPRPLVQDLPEPGSWCGTLVRTCVEVLLGTRPPAQLARWLTAELYEMLSHRAAAGAALPTTAHRVRLLRVHTCAIDDTHHEASVVLHDGRRVRAAAIRIEVHRGRWRATALEIG
MRAVEADLTDRRKNLVDREFAVAAHGNRLVLQLVFNQLRLDKVDDANYDWAKETKRVNKVTGIALEHLTEAIESTYSNNYLAPLFKNTTKCRDLVTQVEAKFGSG
MKPSVPLTGLLAVVTQVLVAYAATLAMPQQRGRVVGVVTSGIVVGILLARTVSGAMADLAGWRSIYWLSAALTLLMALLLLYVLPKQEAAQPQQRYWRLIGSVFVLFKEEPVLRQRAVLASLSFASAMVLWTPMVLPLSAPPLSLSHSEIGLFGLAGAAGALAAARAGHLADRGLGHWVSGLSLLLMLASWVPIGLAQSSLWALLLGVITFDLGLQAMHVTSQSLIYGVRPEAQSRLTAGYMLFYSIGSALGSLASTAVYAWADWFGVCLLGAGINALALVYWLSTRRSAAHQRGSALPDQRSLPDSMLCNTPSRRTQPWNSAAARCSNTVRNSR
HDRPAALQPVAKRHQQQQPCRVSQLRRGLIVGLFVLSALGLLVSASSHSFAMLLVGTIVTGASSVAAQILVPFAATLAAPHERGRVIGTVMSGLLLGILLARTAAGVLAGVGGWHTVYWIASVALLLTAGLLWRGLPRHPGNAQLAYPQLVGSVLALLRDDAVLRSRAVLGGLIFAGFSMFWTTLAFLLSGPSYGYGTAVIGLFGLIGAAGALAANRSGHWSDHGHGDRVSWGGLAMLLLSWGLLAFAPQSIGLLIVGVLLLDIAVQGVHIANQSVIYQRNPAARNRITSAYITCYFIGGAVGSTLGTAAYAQAGWMGVVIGGAVLAAAALGWVGLSVARRQWK
MSTTTVDTSSNTPLLKDSTVWIMATATGLSVANLYYNQPLLAEMQTSFGASVKDIGIIPTLTQVGYALGMLFLVPLGDMFERKKLIFLTSLLVTGSLLIAATAQSVLMMAIASLLIGLFTMVPQLIIPLAAQLASPTNRGRVLGIIMSGLLIGILLSRTLSGFLGSMFGWRAVFYFASAMMMVIAGVLWLTIPSLAATFKGTYLGLFKSIWELVKELPTLRESAAIGALIFGIFSSIWATLIFLLSTPHFGYGAKEVGLFGLLGAAGAAAAPLMGRVSDKKGPRYGLGVGLILTALSVLMLGFSGQSLIGLIVAIFIMDFGIQGAHISNQTRIFALREDARSRINTVYMFSYFMGGAAGSYLGSIAWNMAQWTGVITVCGVLAALSLLIYAKGRGR
MNDPQFIASGADRPRTVPADGPGTGPPALSRATVLLLAVVCGAAVANIYYAQPLLPVISRALGVSQGAGGLVITVSQIGYALSLALLVPLGDVLERRRLVTVLLGLSALALLGAAASPSLGLLLAAIAVVGATSAVAQIVVPMAASLAPDHQRGSAVGTVMSGLLIGIMIARTVAGVLAQIGDWQLVFVFAAVLMAALAVILRLVLHPVPRSESSTYPQLLRSVPALVRGESLLRRRMALAAVGMGCFTVLWTASSFLLAGPPYGFGPAVIGLFGLAGVVGAAAAAKAGRLADRGHGRRVTTGGLVLLAVSWPVLAVAGVGGPTGLIALTAGIIALNLAQQALLISHQSAIYRRVPHARSRVTTALMVSAFAGATVASALTAALYPIVGWPGVCGLGLVIALAGAGIWILELVRPSPAEPLAEPAAEPLAEPAAAPSAAVRQPVGAGRVSSCREEVGCA
MCGLLGVAGLWLRNRVGETEQFAAAGQEGRVRSNPLRTMREHPGAALRVFAITIAGTVIYYVWIVYLAQYAQLTTGLPLSQGLWANTIAQAVFIVALPFCGKLSDRFGRKPPVGSLMSQTPGPGQHSATLVPDTPHGHRVSKPDRRP
MPELIPIRGIRPDASRVNPGDLICPPYDVIPPEDHDRLLAHSPWNSVRWILGIDPQKPKGSAEDYRRRGKEVRNWIEEGILRKEEERSLYSYTFRYRSIEGSQRSYHCILGAVRARPWGEGILRHEEIRPRVVDDRQLLLKESAVDTGVVQLVSDGLDEILARCGFDQESGEFLYEVKDWAENTHILRKISDPDLLSRLQSALDAVPAVVADGHHRYTSAMQTSGEDEFPGGGHVLAVIGDLRQPGLSIEPTHRCINFSGEDPAQSAKGGLDLLVTTLDDGEGESWRVELADGTSRDLNTRLSREKPTLVRRIYDTLIEHGATFRTDTPHDSRVARSLLNEKGEGSLLCMLPGVSRDEFWGRCLNGEVFPPKTTYFEPKICTGLVTRLIDEEFE
MDIAPFRGLRFDLGKVAEKVGQDAPVVTAPPYDVLSPEAHQAVLDTSPCNIVQLTLGDRPGEIPSYEGRAQVLQGWIESGILAYEDSPVYYVCTVEYTVPGTDTRARMVSFVALGRLHEFDERIVQPHEQTFPKVVQDRQSLLEATRCNLESIMLLYNDASGEIDSLLDEVSNGEPVMEVEASPGEVHSLYPVTDPASALRLTELMGEQRPIIADGHHRYTTGLLYRKALAESGQQVPGTDWKMMTFANLRSEGVSILATHRLLKLRDSSDVPQALTLLDEWLDPAGEDDWEIRVETADTARCYRLGEKVLTGKSGAAATSYGIVQDEIIGSWLAPLTDEEPEVSFYKEGTGEDAALRAGRGDLLFRMRPVGRGEFQEVIDGEEVFPHKTTYFYPKLWSGLVLWRLEDAASPAGD
MVVTPVFSRVATIKDVPFMMRIHGQTQTVHDAVMIVGNTLRLGILHELRKGPAIRGAIAASLGVTEDNLSRQMTMLVDHGLVTATTLRGRGRPIEFALNVEAADRLWDNVSRYYRGES
MTFRIFSLGLMAATCLAGPAFSADTTADAAAALLKSMTSWMPEKLAASGALAVTPEGDHFRVRADFSRLDAALAPLKIDLALKGVIDYMVYPPSGAEGLMAVKREAAPIDITGNWGKGAEKGNISYKVDGAAYDGQFDPAIPYFRSVTAHSAGQHIVINNGPQKTDVTAGRSDQSQSSNRNADRSLDFSGTGSVANLVETVSGPGPVAVALTMDKFGYDVSMKGLKAAELVAIYDFAAQHWNDKALVAKDTLALAGLVGKALPVMTGVEEKIDINGFAVSGPGFGVKLAKLSYGVGTSGFTDNAEAHFGLGFSDPDISGVPQMVAYGDLVPKEFTMNMSVPGLNFATLFNSFMKQADFSKAEPLTREQSEALGRLFVKDGHVNIAVPVLTARNPLYDISVTGQFSVNTVDQPTRASADFDITARNLDTTIKGIQQLGQTIPQLNSAAFGLMMANGMAKKDADGASHWKIEVSEDGVTKVNGQVMPH
MIEARRGQIVVIKNPKFSKMEGVIEAAQEDRLKIYYPKEYESLAWALSVGDELLVDVHTPFGVRHMNSMVISTPSEDGELVIENATAFKISQKREFVRATAEFSFFVKKDDKLIRANCVDISAGGIKFIPDEKIFSEGDEIEVKFLSDEFEKDINIKAVIISMKADNAVALYTDISEYDRDKIAKFCIKVLDERD
MSLATLLEARDNSDPSGPDLEYDSEFLALEKATRFVGERQAGNEILPAEDPDYPAVQRYALAILERSHDLRAAVWLAQAKLRLEGFEGFAAALEFIREMLDRWWGSCHPLLDADDDDDPTARINALLGLVDPDTTLRGALLAPLAQSVTFGRINLRDVLIASGEITPSDGAGVDPASVAAALKDTDTALLSARLAALQKARQDLSGINRIFDEKTPGRGPDVTPLDRHLRRAVSRISEVLGGGAAADADAPAEEAGAPAPAAARAQAAPGTISSTADVRAALDRIIAFYAEHEPSSPLPILLQRARRLIGADFLTIMRDVAPGGVDNVRSLGGLTDDD
MHASQTNPFKIKPKTNLKRTSNEEYTTDDEDYNLNDELSSSPVKSKDIPKTNTKSMTKTNTKAKTKTKAKTKSKTKNKHKPYANTNKMVQIDDEDIDDFETLGGSPVSGHNKKIKITK
MAEGGIEHSVSDTESVFRHSILYSDHSRTSKTQQPLGVSHPQRVQRQEESPYTTVFAVVTFGSLRLKVFIDTKAYSVDNVELVRNGKRWRDTAALQSITTAACTDTDRGCVINGERSETQYLVQSLL
MKLVASFVFLIYKPIRAYIPFPLPVISNLMLVKDTYKFIDDISIMSSAVIIVVVMFIGGIVGGCLYINKMEVS
MKVVRLIPVLMALFFASCGKEASVEKKEAPEDSTENKDGLLTRFVTKYNGGSDSLVYTFQYDNNKRLKIFNMSGPDNDHKYIIEQSYRYYRNASGLVERYVEYATAVINNIRYEDSAVYHLHLNGGKYDYAIREVLDLPGPVIKDSVIYGYDANGRIINVHALRYEGSAWTEFQKALYNYDVKGNITQVTLTFDDPDDPPQVITAQYSDKSAAADFGNEILLNGLFAPELCGPNSLLGLDNPEGPDKYSFSYEYNSSGKPVKGTQTDLLNGGTAQLYYYYQ
MSAIGTATSTPSSTKSLSNQGQFSSRIHHDPPHSSKAHQPGRSPGPREFHAKTAPPGSAPKSKCYPLNPDPKNLPRAHANGGDRATSGDVNAGQGRPDGEVDWTAENGMGEEEGAGMRGEDFWGIGADFAARREEGV
MEGDDIGARKADDTIGRMLSSGSDSKRVSGSDSKISGSEAEVLPHAVSDSGGVSGSDSEISGSEAELLPHTVSDSDLDSGSDSKISGTDPDVVPLAPRGHGRSLGGSRLPNLVRHGARGLGHGTGGLVHITGDLGHVVGGIGHSAGGRGHVAGGIGHSAGGRGHGAGGRGHVAGGIGHSAGGRGHFAGGIGQGAGGTRPHCHRARPGFRWEVFNQLLFKIRCAPWFWTSSLSRITRIHRNDGVYAVQVQVKCSLIYMDFEGCSTSLMCCVEFVDFKSFGWRRYAKTCNPLQYDRPRKGLPCW
MRNYKMIFLTLLLCACDGTNDKKTTQIQHDLVERSLANMVKVKGGTFEMGDFGPLTDEKLPISLGDDDKVLHEVTLDDFSISKYKVTWKDYDVYLHAKNKSRPDVPPPFENDREKMQAPDMPAALNWQQAQDYCHWLGELSGKKIALPTEA
MLKIWVAILALLNVVLLSACNSGVEQVELESNLVELLSRAERDQVYIEEGDFVMGDFGAVQNGQWLPYFPPTAEIDEAHAVELSGFSLSAYETTWKDYDTYSLIHDKPIVIRSGGEEYPREAYLQNLEHDHDLRKPARVTWQEAKDYCLWLRDKTGIAFDLPTSAQWEFAARNRGSKDWIYPTHDGKATPNGARRGGEGCIFWTGVCSVGTNLPPNPLGLYDMAGNEEEWVEDWYSETYYRESNGVKNPTGPLEGTKKEVRSLEVGSLSFSFSRRAMPAVLSNGSVALAGFRCAVQSPESIH
MSNYQCGLGSFHPSRSSDSPSPHLPISPLFPYSLCFPIPCSLFNKACITPQ
MYAQRGARCDGNVHAHTLGVCVCVCVANLDVRYIFHYVSIVGHTSSEMRAKKYLLLIQMG
MREFINAASATEVEALKKELMRSSETARKAYKLAILSIILQVVLITYLILK
MTIDKETPGREDKIPRTITNEHPERMTTTGRIVRENTNGDEVQIAKRDWEDDDVKETAKPTYDEALMNEYPEMTTTGNDDTREVVSKPEEDGGLAEGSLDEKMCPEPQREVNHVKRVGKAVQRINVTIRNQELTHDEVSVARDCTKPWDRSGRRTGKRLKESSNEPRTRPGTFRRKREMTRQAATTDQGGGLDVNIGSEKGIHDETPVKLEGPMRKEDQEVTISKSEHVREQLKRNDETTMTYPIKNVPTK
MSNSTIAFRLSSEEIAALDRVAAKRSCSRSEAARTALMFGIRFAEAEHTFNITRAVLVLEYMQAAIDVIITRDHGDVVPQLREAAKERLATFHA
MEGKAELKSKIERAAQLLLIQRHRRPGVKGWELKRALGRRYLEVIKLLDDELSKFGLKVKVVFMEDVSEPKAEDYDKAIFMVTFRHPAKITDVLTSGWSIDELGALAACIAFINSRGGSVRRKDVEEVLAEKLPRWRVETILDRFIRMGYLEVKDDYLALGWRSKAEVDADLLSLGLVSYGSTT
MSWSVFVRFNSSHGFPVEVNSDTSIFQLKEVVAKRQGVPADQLRVIFAGKELRNDLTVQSCDLDQQSIVHVVLRPWREGQEREATGGDSPRKAVDGSEREPESLTRVDLSSSVLPTHSVGLAVILNNDYERTLPAGRPGNCILVGSQKILLNV
MPLKSTILYGKIVRQTTSVSEEEILRINQLQDSLLSRGRWVPIGQLYIENSLIDAAKHKEILHHHRTTNRSENDKVFAEYVVREKLIERSAVDSVLKRALELAPTAPDNTPWPKSALVEETWLDENVAKSIEEIDRTVVDPLMHMTGYCETLVEDKGNLTQGRLAFQLGYVGRSQMFDALLHHWNHSGTKSLLETLIEISLLNEVEEEQIRTHVQRFLP
MKYLPLVVLVLLLAACQQNPPTAAPQATAAPTTPATAETAAIVNTPTTAALAPPETPTSTPTAVPTETPEPTFTPTATPVPPKELTVCMASLPTDVYLYGDQTLQATAVRHAIYESLLTTLDFGYQPRALVELPTLENGGLRLETVEATLGTRVVNSAGNIITLRLEDSVVNSAGEVVRFTGGSVEMTQMVVEYTFQPLVWSDGTAVTADDSVFSYEIAADPFTLSDKQLVNQTATYEAVDETNRALDRHPGHRASRYLHYVWPPLPRHQLGEITPLRLPEEPSAARTPLSTGAFVISSWDEAQMVLEPNPHYYRSAESLPHLDRLTFTAVGTAADPLALIADGQCDVVTQELIPLSAPGLAEAADQGANLHVTDGVIWEHIALGINSYGRPASLRPDWFQDSRVRTALLQCTDRATMLANATHGLATLTDSYVPATHPLAAGLPSAPAFDPTAANALLDEVGYVDKNDDGWRDDIETNATFSITVRTTPGEVRETVAAQFAADLAACGVQVTLVVEPTPKFFADSLEGTIFPRNYDAAVFGWLVGVDPVCEHYQSQEISGPTEERFAGWRGANVTGYFSAAFDTACREARSAFYGTAEYTAAHTAALTTFATDWPSIPLFARPKLLLTGSAVQNALPNPSQPSELWNVAEWTVER
MCTAAGHRRDCEAGQRSGQHRQLRAGQAPGIGSHGLRRCDRQRAEPTARPLGEPAPAQPQRAQAGQQRDEAEAALRMREGRFDQIACAGDRCEQGRGAEREPETGRGGDHGRPPPAAAPAAAILESAGQQGGVGGRHGRLWTTDRRADSRMAGALVPSGEYSRARRTARTRR
MVLWGLYGWVSFLEACFWMGYVWDIFGIRLGYIWDTFGIRYGRNTNWRDTTDIALHEFPYSRIGMYGWIRMDTDGLTDTLRRYYPNSLFRALSSLFMTFTKCQKDTS
RLLSLLPEAVQPEVTRRVATMDWVTPQVLSETERILGKKLSAMEKSGFEAGGVKKVVGILNFSPRETERRVITALDGSDPELAESIKRNMFVFEDIIILDDESIAAVIERADEKDFVVAMKPMKDDERRRLLERLPAERRDRVRAAYEALGRVRMSDCDAAGFRIVEIIKAL
MANSRKLVKGWLFDDRYINLTIEAKAALPLLFDKTDDLGIIQSPLSTLGTYNFSQTVIGEIGKAGYIKTFSFDNRTYYYFDEWDQNLQYFQLSGLVNPLLMANLFIGATGKTVFKDHTDNHNHDFINRHKLLIQNRHKLLIQKVYKRSGDFRALMNWLDENADILTKPMADRLRNIGSQYNKQGNKNSVSAESQ
MVLMRRFDVAEAVRVVAEHRPPSLDQPAAFLRDRGLSRRKLPERLVVLPELPLGHTGKVCLPTVTRSAAMAGEA
QANLSLHITVRDLFAQPTVRGICAAASGDDNDQHPGAHSQPSDSQLSLVRLRAGRADAVPLIFIHAAGASALCYLPMVNLLPNAHPVYAVDDNSLSGGAEFRLSSIEHAASECAALLSAELGASASTESEAHPKTGARTIVLCGWSYGGVVAMQLAAQLQREASAAFNVAAVLMLDAPLGQRHGGG
MDMKRVDAGKSDEKEKRKRGAQAGKESAKVSLHGSPE
MLELIEVGRTVGGEDHVSGVSMTLRAGSLNVLLGPTLS
MQASDGFACSTGRRVSVRCGRSGEHMDRETRRQFEEFRRGAGPIENQVIDDLLDGSCDRSGFLQRGAMFGLSISALGAALAAAGEAPLAFARSNRLQAGGRLKFGINGQPYGAIEPYTFNSISGVDVAAPSAEYLARITGRMVAVPELALSWKANSAASRWTITLRPKVKFHTGQTMSAADVIATYKRLTDPAQGSAALSNFKGVLSPDGISAGGRNTVIFDLESPNAFFPYLIGSTSYQSMILPASYQPGTFISKPQGTGPFVLKAYNTSVSATYDRFPRWWGGHTPLDGIDSTIYAALPAMWAALLSGSIQLVDDGFDPSVDGKPNLHVYALPGASQGQVAMRVDMPPWNDYRVRQALAYSIDRPTLAKALYGKRGTIGNDTPFSPAYPYTAKVPQRKQNLTLAKQLLAASGHPNGFSATLTTGNEQYKKTIAEVVQASAKKIGIDITLELLDPSVYYGGSPTATPWLNAPFTVTTWANRPTVMNYLTATLITGGAWNAARYSNPKFDALVKSFAAAIALKDQRKYAKQMQLMLLHDTPNLSLAWAPITSVGSTRVHGFPLLPIGISMGKVSLSK
MNPKSTKSLALKAIGKLSSRSGSWVPAIMKYLKSIGHEWKDAKKTARVMQRVLKLAVTSDDVEMTYHLPAGKKRKADGAAETAPPIKKAAASVEASLQTSEPAATEAAESSAVGTSMKPRKSIGTSSQPKTSRTEAKVFGKLVGLGKKVTNLFTGLNLCLSASETSMPNFPEVQASSTPIPQSPTKEKRKRKSNYNLFVNPRFLLCFMYKC
GTEKDKPAPTGDDPWPPPGRAGRGLAMPMPADPAAALPTTAAPALASDVSALPAAAPATNPTLPADAPATATTTPVAADAKPAATSADDATALPLPEMILPGKRSERGEGSDVAAVGDRASTPLLHAPAAAAVQDLKAALAAGNAIFNGEPTPKPVLGDDGFDQAIGARLGWLADQKIGHAHIRLSPDDMGPVDVRLQLNGDKVHASFSSPHVDVRQALESSLPRLRELLGEQGFQLAHADVGHQSPGGEGNAPGQPGGSGIIGDGEPTPGDASVSSAQLIRQRGLLDAYA
MMAGGVGGGGPAGGAPVRPATPEANASQQLPPGDDPQGTFAGLLAGSGSTSATAQSAATGGGGDSSSKPGSRDDDPTDEDLAEDLPEQILNLLGGNAWPPVPPPAGGDADDGDGAGDLLSTAGNLDATQTGNRAAATLLGAGAPGPAPLGNGAFASPAIAAGALPVPTIGTVDGADAAGDISTSAGAAMPLAAAAGSSESETVDPVEFVLPGQASVITGPGQKATTPLPGAPIPMPTDPDAGFDDGFGARIGWMAEQRLGHAQLRISPDHLGPIDVRLQLDGTRVTAEFASASAEVRQALEASVGRLRDLLDQHGLQLAQADVGGGQGGNSGRSSAAAADDLGTDAIDGMPVRGATTAPIPLRRGLLDEYA
MTVAAPAAPSAASAAAPRAGAAAEPRDGNATPPGFDSQLHAARQRHEPGSTNDTSSGLQDERQPTSAARSPHDPSADAVPAAKPDVAAAVPAPAPVQAELADVTAADASAPRREPGDEPAPAVADAMLALLGSSLAGVWPPVAGGARAPAGASPSGGKGTAGDAQAAVTLHLAATASPAAAPVNVVPLAASMLAPAQAAPSAADALDKQAAQAPAAAVLPPPPAAAAPSVAHQLQLPTPPTSPLFAQDLGQQVAWLSGQNVKQARIRLHPEELGSLDVSVSVSHGRVDVVFSAQHAAAVPAVQQSLPLLDQMLARHGLSLGHAEVGQHDRGDRRGHAGGGGGALEEIADVHGAAPVTLARIGLLDAFA
MTGVSRVGGAKDLFEVTVEPAGAGVVSVQLVPASSDCAVEGAVCTVAGTALTNLYITRVRGPGLSVADAVATEGTDTALDFVVTLSPSSSETVTVDYATSNGTATAPADYAAASGTLTFTPGDTVKTVSVAIVDDGVEDGGETMTLTLSGATNAAIDDGEALGTIENTEALTASLSELPEALTASFSELPEAHDGESAFTFELTFSEDVGDLSFRTLKFGGIEASGGTVAKAKRHGPGRNQYWTIHVEPDGNGPVTVVLPETTDCAAAGAVCAPDGRMLSNESRATVQGPPGLSVADAEVDEAAEDAALAFTVTLDREASGTVTVDYETSDDTAVAPGDYTATSGTLTFAAGEVEKTVSVPVIADALDEGDETMTLTLSGPSGAYLADAEATGTIHNTGPIPQAWLARFGRTVADQVLEAVDGRLRGARTPGFEATFAGQALTFDAAPEDAAARAAREEEARAQALAKWLRGEDGEEGRAALSETRSLSARELFTGTSFELTGGTPEEGSVSAWGRGVVSSFDGRGEGLTLDGEVGNLLLGADWTHGRATAGLMLSHAEGRGGYWGASAGSIEATLTGLYPWGRYAMSERVSVWGAAGYGEGTLTVEPESQAALETDMDLAMTSVGVRGVLMKAPPEGGAELAIRSDAMAVRTTSAAVGTDTGNLAAATADVTRLRLGLEGSRPFRFAGGANLTASVELGVRHDGGDAETGFGADIGAGLAWSDPARGLSADVRARGLLTHEDGSFRERGFAGSLAWDPAPESERGPARSVTQTLGAQASGGMAALLGPQTAKALE
MNNMTRNQEHIYVVVWDEGIVRHKRGVLAVFDKDTEAVDKLVEMRTKFPREEYHLMEVVDITLEEVKVRRDGTIAH
MSDEETGAVRVPVHRTLPGLINEIMSKGRRMTYEELCNAVLPHWHNLRKHNGERYAYSSPSQAVLDCLRNRHEWAQLVDRGPKTSSSRKRRKLDAEESEDIDFGKGRTAKEGEGKILESQREDVPKGKRKARKRRRLALQGIGIKEIRKRRKADMFTDDDSGPFSNSSEESLFSEEEVRCGGGGTVGSEASASSDEAGTIGGLPCVVRWCPFETAAITSKKVLHLSHRTLAGWQLWQLLLYVICHGNPCRLVRMLSSSGHLLISCILSHNCLDCHLSEQLCWGSCLIELEASLVLKL
MRTYSKLFILLHITFVWSMQSHVIGSSTNTSTYNNSAVSWGASRGQHACTTMLVVPVGTPSSRETLSPYEGRKAGYSSSESSSANPTRIGSRPSAQSPTSTKSRTTDSYSPDSAKHSCGCAGASSNITSASLPPNQSAASGSDLAVAILFGILSFATAMVNIWLQYEALQVQRQNMPNQ
MNEQFWWTLIFLVWLPIAFLVSAGVCRYVLTTTKKKQLQKTTSTEFDLPMQVRWRQTSKPFAGGIAFFSVFILAALFSGYFFIWPFFTTQLKPFLGLTVACFVGFAIGRADDTMHLSPKFKLFGQFLAIFVLVIHGVVITAFGNWWLNIMLTFFWGVGIMNAINLFDNMDGVATIASIGSILAMLGVLTNNHFPDAFTFWMLSLLIACLGSLLGYLYYNFYPAKVYMGDAGSQFLGVFLAGSAILILWPRFGVPNEAGFFWPQFVLPILAFLPPLIDTTTVTLWRLKQGKSPLQGGRDHTTHHLFYAGFSEKKIAIGLFLFGIIQAVFCYYLANIVGLNNPSHWAFVAGALYCTIVMAGVLYVYTKTKAKQ
ALMIMGDIMFLQRDVEGAVANYKTLLLSNPNHYEALSRYIQILRKVRRLTEAQASLTQAEDNHPRSVSPAGLFYSKGLHHRYSNRLVEATKYFNYARRDNEWGEKAIVNLIEIYLNLDSLNMRGGSDGAHVIGKSCVIESLLQELTTISTNSRVPKVLDGYYLLVKERDNIDLSKKCFAEIIQKDKDYIPALLGMSIALMAENSTNKARNMLKRIVKLPYSQQYSEEFEKTFLLLSESYIQREKFDLAQELCKRCLDYNKACFRAWKMMGEIMEHDSMPKKAAECYKNAWELQKMTSPTVGSKLVLNYMKRQHHIEAIDVCATMFEQFPEYPEMKEELFKKCIQSLRS
MITVPALCNSYGPGYYNTHGYGHYYECEPACGLIFWHYLDYEDHLVKSRHHHYCTPCHTELSFENVEQTYLAQSPQRVYCRFCQTDVVNILNHHQECHMQCPRCNVWCKDMAHFYSHCQADHSDVYCAPCRRLYRHPNNLIMHLKSSTHTPKQFTCPQEACRKTFISQSALIAHFEASACPSGVELEDVDGYFAHQCDYRQLFVRPELIFSKRNLEIPSHIKGSFQCPECPKVFAYAGQITAHFNSPMHKNHGYKPYACPSASCGHATFYSLSGLALHQEKGNCDKACRYELQKLLVGLCRILARI
MIKFFESDEENDEDSHRGSDGGSDEASHRASNKISDEGSDDGSDEGSDESSDEGSDEESDEDSEQSEDDEDDDTKEMAKRNGGPPKKSLQAPTFVEAQEPDWPGTLRELLGQQRASKMTETTNSSEAPSTGTPSGFQLNKTHDVYLRMKAQLDAQMARKVAQEREDARKKEEAKKAEMEKATAKARKPRSSLWDMSSSDSSDSSDTSDDDYPAELFGPRPIKQEGSDW
MAEALVIAGFLGLLVVLQVKDQKKIIVAAQTSWIRMGLSIALTVAILVIFWPSNLADQIKLGAFAAIILINGFLKEGLSQEGVVKLGVLNGEFARYPQIQIEELAGGNSFVSFYKSKNNSFSLVFGKSQAEMVAALQQLATDSELVLGEMADEKRPLAKGSAR
TDEDSAKLIENAAHRSGSIIQFMDDQQKALAAQITDQFEKPAKEFLENDVAESLQALNSFEKASEAYRTANKRLKAALKKLNNSQKQGEAQQEQIAARSYWERQKEYSVRQVKKTAAKHEFFTLDILNKLVSSYREFFLNMTTHFSVIEQELEASKNAASAKLEKFKTEYPPDYVPSVPDKDQSVMVQRTMNFPEKGLLSP
MLHILIQNLQEVLVLSRKRHTKKLSVIPESMDAGMPSNLATQVIKLACFDLARLLKDEPGSKTYLGLKSGSSRYAVKVVHQRFLVDRTYYRLIAHEHKLLKRVTEAELPFLPRLYWCFSDVEQLCLVTGYYPGAVYQSLEHNGAHPTSVLFYAAELVQAIRGLHSLGIVHRDINPDNVLLAEDGHLVLTGLQYAVCADDADVIDVETVDEVRAMSENVIYRSPEVLLRWKHDAKVDVWGFGMVLYAMLVGAHPFSNGRMQQDGLTREGVNHSVLREPLQLGLVETMGNPPANLVAQCLERNPTLRLTMEAVLSHAFFDSIDWTDIADRKSPAPIVLARETAEDPSLEEVFSADWDATRDTEDDVASIDLDDFSFQWTKDDAETPTTHVQKQLVGDAGTPVPITSQQASASAASLSVTSFGELKRFQRARAVPRQSGQLRKYASLDFELDTIVPLSQDGSDSGSEDSRYMTPTGSKTAPLAHLPRWSPLRNFRFPRSTTASSRSGKTNFSPLDETPVPAPKKLVKKSRTPIVTSPEAIAGLPRGIEQIGSGIGFTYTRSPSQLLAPSDIANSPPSSGGALPKRSLPISHSPSRLGGLIPRLLRGRKRDDAGVAATDTRSDYSGDLEANMRDTYGSSWELNSTGAAVCSAAGLGFGLGGGSSTGLLGNNSIMGSWSAGGRDGNVYPEICPVTGSLHDGYQENALFPGPTLRLVTPSMSGQSTLWRS
MKKLFILLVISLAAFAGCSQEDREAETKNNLSKEDVGYKLNLNDFTPFKTDTIVLFKAYTAKELNSHIKNTLNSFNDELEEIRKKYPNADLVVYKLNFKQNQAFVTDLHFLDTKAKKVIDIFDNKINSTFPSLIGIADLLLGKCPEGWTSGGSFSSKEGIATATEKILSPSLKGSGDCVQIQYARGLVSVQVCHRKC
MIKTEGVGKYKNFLIKFLLIVIIMFSGWIFLRPKITDIFQMRKNLKEEKEKLTKLTQKEALLESLDEYELTTKTQFLLKILPSQKDVLLPLATLRSLADQLNLRVSSIQVNLSEINSSNQLSSIGFSLKIEGDRESIKEFIGKIRVTYHLMKIEDLNFSLKGVSESETTLKIKTFFLSLPKEIGKIEDPLSLITPVEEKVYQEVSIFSSPLREEFAPSVPVGKENPFVL
MMEADLHTGTNTFSQPQMGIDATTVVDLNQATEALHGGSGDDKALQVDISDALSEKEKVKFTVHTRTSMLEFAKTEFSVIRLHEDFIWLHDDIRENPDYAGFIIPPAPTKPDFDASREKLQKKKK
MTNAFSSLKYPFPQFVSQNSITTPFPENQNQTYYRTLLSQISILLVLVI
MSTAWHPFPRRFEILLVPEHTDDGEGPPDAATALRSAVVELTGETGESGYPRYEGGGMVADIDPETRTVEGLLIDGFEVDYGLTARVVDTPDRPDAPGAAEPRTS
MKHRKKIISNKSFAAIWDAASKAASYRELCNMAYESKHFSPAYMEKNSDIGLEELYIVLENIYKAYNMTFEEIITKAGTTNSKLRDCFCIPEKSIEAWKSGRNKCPDYIRLMILRYYHLINPGKYIYTEEYDNFLNTIPKVYEESNKNKTDNKVANKKTDRTNKKAKDEADQYNSEDDDFNDLINSLPSLSQGFDTYYENVIKNKKSSIVNKTDYLDDILKNRKK
MTSGASIAATASTTAVGAGRVDAASATTTSGPANSVSASSGPATTTTTISVAATSVLASSVASSSAFGTTSTMPAVAATSATATGVPATSSTATAVISVAVTCEWKESLKGPALASFILLKHSSKAPVVIPLD
MTNTRVRTVLQAMKAPGKHNKETNTEEITEMQGNKSSGAKEGSNKCQSRREKKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGSLPRLPPYLPSHTVELLAEVAVLEEEVVRLEEQLVNFRQGLYQEAVFISSSKKTKEIVPDTDSDGGCSQSSKTIEQLKLPADLPTCQSLVSMKPLVSVRYSLDAEPSGPSSNQSANGKRRLNKQNTSLNSPEDRRGKENQWITNFSRNQKQSPVKKVLKTQVTVSEDQRADAEPECVVKDRKTDEMTLCDASDEASLDKSTVPNKLSEDILKCLMNIFLRISSPKNTEDDMETSPSSSDSCESSGERDSQDPYGICAEFGKRDIGPYKHFRSVEVISKSQNFLMASSSLKCRLKVLLRKLESVDISELTHHQKLAFWINIYNSCMMNAYLEKGIPKNPEMIAAMMPKAVINVGGHLLSAMTIEHFILRLPCHMKNLSPKGLKSGTMIIRGIFGMEWPEPLVTFALSCGSWSSPAVGLLTHAWLLYGLHVYLCYMNKSIVKIRSTYHTHNV
MTFFKNAIVGFVADKFQSHKLILILSCICTGPLYASMLAVPAISLSSQPIRVSYATLQCNSVDLINSPSSPNISCDQPLPCHQPNMCLQYTSQICSIQCHLNNNVSIAINSSQITFKNSSSIITYQSAEDTVSCTVSFDCEYDTPPADFTSLTFWLCLVIGVMAALFGSNELMMNDAIALSLLGEDRKRWGRSRLWGSAGYGLSALLVSILIEKLGLKQTVVANQIDYTPAFYIYLLLSLSSGAVAYFHPKGNVAKSSQVITGLKSILQNVRVVSFLFIILVLGFYNGIVMTYAFLYLRVTFHATQINIGLCVLVSVLVEFPIFFIGGWIVEKVGTLPCLYLAMAAYAVRFISYVYIPGPMWAIAVETTHMFTFGLMFTAANMHAGKITTKGYTGTIVGLINGIKWGLGLGLGSLLGGIFADTYKTELDPFGYKILFRCCAVSCGVFLVVFILINEGVIRFLCTPAAQANSDSEGKDVKIAFIAKKDAEKAELPESENLVKKEGV
TFISSSSGAGTYSIDNVIVYDKAGNYTIYNASQLFNMGIKTSFEIVDRKLGATAYTSTSGTISEGSGNSLVPTLTLQNVSTYSGTVTLAVDAANSTMTASEVNVPAFTGSYNVSKSPAGYYVITLPNICVVDDLSIEGDETLAFRVTASVQIFSSGSDSSIVKVTVKDNDWAGT
MRVALGGPAVLCPAGGVVSSSSSPRAGLAGASVSVAWPCHALSSLAASRLPVCCCWPHPVFTLACPDPICQLLCLCQAQAQVAGGNTDMLYPTRGETSLLLNVLMFN
MGRPILPRQRNRVKRKKHNTSNNKTVAFHRRRRFRVTAFLSSFRPLSVCVGLLCFLLTAFLTRANAEERPRPSLTRGPLRFLPRSSTNGGLSAHRSRIAWTARAQKTPENQQYSMGRPILPRQRNRVKRKKHNTSNNEIVLFHRRRSFRVTAFLSSFRPLSVCVGLLCFLSAVFLAWAAPKRDKVVFHPLLRLFRFLLAREKTRQPRGWRSGPCGSPQKTKKNVSRGGRSKIRPNLGIDLGFCPFFCGETTSRDV
MAAKLKDVAERAGVSIATVSLIINNKARASSKTIAAVERAMKELNYTPKRRKSKSRGRSMKPDANGLMGDVLITFKSEGGRFWRTPLYSDFVHGVESELTKRGMRTVLYKISKESGEIDYGITESVRGCIAPGYQAYADRMSRSFKRLPTIPVFGMPVPGVDHINADSEEVGRLAAEFFLEHGHRHAAFIVDTAHPVYVQRHDAFIKVFEAGGGRVMTLEGHNFHGHARFGNPESERILSSLARQFAEAKDRPTAFMSPADALTARLNAEWRRQGKDVMKQVAFVTCNNEPEYLEQLDIKPTVIDIHAEEIGARAVNALLYRIEHPDAPPVRIRIAPTLIPGDLPKVK
MSSLPHGFQPQTPEDLGQQSLAELQEMLKRQERLLRNISDAVAKLKAAIAEREEVRGRSELFYPRQKAIAVVDGDRDKAQNSDQILDTSSPVPGCSSAAEAEHTVSEHPTSSSRAPVPSSFEASEGLPQHCALDQVEDHPGNSDNLFIDRLQRITIADPTEHHSEGNQSPENLASLWSGPQKKPHYMEVLEMRAKNPMPPPHKFKTNVLASQRHDSSSACQRRGSPVSSEERWRWDRKHRDDITAALLLPTQLLSIEGPLALQRQQEQSHEEMLAKLAAQKQAERPNTKMQSCNPEGESSRKHQEVREEDDDQSSKDEF
MKALTILIHKDPITEETLIQREVSGILGLAHFIRVEITEIIPITGGIKLLGGSCNTDDKYSRHNNNHARTQQNIVKRNKSNKEVINPLTPSCPQNLTPLYTEVLLTYGFVKWTLPPSPFFSSQLVVESPLERDPGG
VGLDRIVASARAEGITPGFEVSLPSGPEGVYTAAIFPDDIAMPRTIHFDQYSGKPLVDLKFADYGAGAKAIEFGIGVHMGQYWGLLNQIVM
MTESGLYTRPDLNTKLYLHRRGFKKIENLDEYTEVQALWLGGNGIRRLENLHPLSKLKCLFLAQNGLTCIENLESCPDLVILDLSENSISRIQGLEKLKRLSSFKIARNKLTSLSDILALRECPSLTNVDISYNCLSLHDETGLADAPDPHEGSSLAPTTATLSIRTESKGTLEERHQLDKASTSQPIPNECHVNAESPKQNEDCADSAIASALPLCHAATAMGEQSANSRQHAQESRGFVECFNSLPGLATLYLLGNPVTKQITQYRRTLIANLPALRYLDDRPVKEEDREAALAWFRGGWEEEQKVIKAYKEREQEILRGHVSTLRRLQTQCLTPIKLSSKPLLPLTASEKIKNMMRTLAGACEQEAHRQKISLALERISREAAERQKAGMLTVPGLRMASNET
MDSQGLPPGWSIGYDSITGFPFFINHIDKSTTWEDPRKSKANNSTQNLHSVPTYSSELTNNHYQQHNLENERLTDYSDDSKTGSIRNNILHKKQSYYDNVSEPISIKVHSIDSFNNNNNKHHDYEHRSCTPITQSSDSYKFNQNSFTNEMKSVPTELVSVKNPDNNNIGESLCKPATLDPLAIIDQAQCELNEIKAEINEFIPSCKNKAYLLLEDKLDKLMLRCDNIEFAGDLTIRKKRREVILAIQTVLLDLENKLPQSKSVNDQDSKTNDLQSSNIDKVDKKDHSSLDSSETDSNFITEEGQAK
MGYSRHSVFFVVLVFGPICMFAECDQPSSDSTDDSATSIENENFCYNTNLNQNVKRETPKLSEKDIATPSFPLNQKITDYEKFLELVSPKKQLMCPAQYQAPPQLKMSKMDINFSVSNSSREKLSSLLKTRLPHFEIENILKKVSQTSLESILLAMMGKNQKGAANENVPGSFPPKKKPKNENDDESKSKKISVNFSATEAALEKISLFLKKSFPNLKSADIPNRKVNFRFSV
MRAIFAIGALISAIWLGAAASYVQFRITWQSLLDLPFVDLAAFVAAAFGPPAALWLIIGYVQLGSALRENNFALKQLHWQTKRAADQAEVEARTLVESRTSAKRAELFPLISYLIDELNPLVAHLAVELKLIDNAQYASAWQRFGQGDRWVFFRCILNTSGGTEPLERKIAATLKQDSKALPLAEEFRENFANLLKELRSVEGEGLITRLVERGNLGKLDLLLKRTMPQPEAAPAAPAPEPAFKPVETPEPKPAPEPVTEKAPQAEPEPEEKTELPPVPGGGWTAAVERPIPRAPAPIAAPPHVTSERAPDPAPQPPKPVAEVTAEPENQPEPEMPRDPQRDEARRALAEIAAALQAVDEANGRDGGEEQSASPRPVPFPTRREPQLAPQRAAQTTTTSSAQDTRPAPPTRPLLASQTLPREGSPFPTVTPTPPRDTDRKPAEEKTATVTPPPADNSPTISEEDRLPPIPEGIRPQEEPKRRLSPIVSGLFGNRPKDK
MQTLIMSEGDKWIHAQTSNVVIALRAGTAGEPVKTADAAVRKFATKELGKAELIASLEDYIANAVIDLLLLAAWTLALETINGDPIPISYFARDDRMYKALVERLEKNEKVIRQQHTGGKSKSLQKNWTKATAFKKINRCPAGQSTHPVVWRITEHTLLFFSNLSLLRKGALLVKDATPVEAHIGQTESEFYHKQG
MPCANSFFYAPLRKNTLRAAGFFALMALQTASASAEDPYQAAMRAVKANDFAQAVTLFEPLAQSDDHDAQYNLAILLKSGKGRPQHFTQALEWAWLSQLGGVERAQSLSEELAALVPPAQRDECLEKIGAHLEARLARGESGAVMQYVTYKSEILPKPDFQSAYVWSLIGAALDLQDAAQMRDQFAEDLDPKTIATAQDTARAMFQEQNMTALFRSQDDVDS
MREMSDIVTTERVTIPPTGACQGCAMVLIARHTLDTLGRNSVVTIPASCGAWSGAMLIDRGPTQFPSAAAAASGIAAGLRAAGHKNTNVVVIAGDGGTYDIGLQALSGAAERGERIIYVCQNNQAYMNTGIQRSGATPRWAWTTTTPVGSVQKGKLVWPKSVPEIMEAHHIPLVATASIHNIPDLKRKVKLAQRLTTEEGKGLSYIEVLNTCPTGWRYPPEKMITLARLAVETGFWPVYEIVEGKFKLNMKPRKLKPLKEFIKLQGRFRHLTDEQIAETEKWVHARWQRLLERDERGY
MLRGLGFLCEPDLFFNSLPSAMDLTAYDWYIDDVEWNYFYFRPGKYTGKEFLSSLSDFSCLSFVRIRRFPSGTEEDFMKSQPMDTYEEDFLNGACDLLILFYDGGFTEIYAKDENLTHRMMDVFGKAGVQKLEAKYDYNDGRTGMSF
MSINNYRKEVGMMNLENLIKSRETVLNTERS
MKLLLHSCCAPCTIYPLIKLGEEGYKIEVLFYNPNIHPFTEYRNRLNSLKDFLKDKDIRLHIPEEYELKTFFRKVVNNESKRCLSCYQIRIDFSINYMKNHGFDAFSTTLLYSKYQNHDFIKKYAEMECRKDELDFAYADFRKGWQDGIDESIRLEMYRQKYCGCLYSEQERFDNRWKKRQRKLKGSLNN
MRATTSGLRNLIFDLDETLVLLPVDWSIVYSDIGRLLGREVKSFVATLPMLWGSDMYWRVSRLVEEYELKSLDRLVVLDDSPSIVRKLGEYYRLSITSLQSRKVIENVLTIMGVRELFDILVSREDRPTRREQIRLVLATGGYEASETMMVGDRVNDVVSALGNGCRAALVVRKKSELENLKKLGLEQKTLTVRSLKELYEVLKRRG
MHHLRNWIILSICFFSLTNTFTAIATTQNTAVVPIFSQDGTSWGTGTYIAPNVILTAAHVITGLKNQPIFTLDGQGKRYTVSKQNTVLNPKYSAHSDNYDIAVIKLPNTYNSHYYQLSNPTANTFLADWTSYPVQNAHHPQIRQTLAVKNQGLVTNGTNDYVGSAWTKVESKPGESGAALTNQQHVCGILVSLNEDNQQTNFCLLRGANWHFVQRQLK
MAARTASRNLKIGESLRTEQIISPESRAAFLANTARRTATNLHGPADAPAPGGTDQSLRRPRPEISQRQRARNLLDLLTEARAEVRQGVDAALLERERSLGRQLKDKRSACSTNTPEQAAA
MAQLQQTPVELEEPSYTMDASSPSPGKVAVGGMTHSDGTAVTQVDVPTAQDATKQNGQNGLSSTWIMASDFIAGCVGGAAGVLVGQPFDTVKVRLQAQTLSNKRYRGVVHCFYTIARQESVFGLYKGMASPLAGLAFINTIVFGVQGNMMRQIENQTPFSHFCCGVVAGAVQSVVAGPMELAKIRMQMEGVGEDRQHQTKTHYRGSLDALRKIYHDEGMRGCYRGFTLTLLRDAPGFGIYFMSYDVLCRSFGNLSQDKEIGVGGLLMAGGLSGMMSWCVSFVTDVMKTRIQADGVEGPKSCQYSGTLDMIKKSYRSEGVMVFTRGLGASLLRAFPVNAATFTVVTLMLSFMLKDDQAV
MSGTMGGVAQVLAGHPLDTVKVRLQTQVPVPGQPPQFNGMIDCFKKTVQHEGFKGLYKGAMSPLAGAMAHNAGIFFFYGQSKKIVAAKKNIHLADLTPKDYFTAGAMTGFCVNIVECPVDLLKCKLQAQVGKGQYAGVWDCFKQLYRLRGIQGVYQGLPATTLRNVPCFASYFYAFEMVKQFLTKKGEKPTLSTIFLAGGAAGFGFWGIWYPLDIIKTRLQTDATLPQDRKYSSTLDCVVKMMKTEGGAAFWRGWVPSIVRAIPINASVFLAVNATKRFVFGD
MSVCNDFIAGTAGGFAGVLIEHPFDTVKVLLQAYGDTRYVGYVDCIAMLFRQGGVVGFYRGVTARLVACSFEHACVLASYKWTLRLIGADDRPTLTEILLGGCGSGVAATVCLTPFELIKCRMQADGTMGQRRYRGSLDCAQQIFRQHGVKGLYRGGLAMLCREVPGLVAWCGTYDSLKTWMTPEGMSTQSLPLWKLMVAGGCSGVAFWTAFYPSDMVKTCIQVDPAYGKLSLWGAMVRVYQRGGLRALYRGWSLTAARSFPSNAVIFAVFDSCSRALAPEPSVTATMPSPLHGHRAEA
MTMMSGTSKIFISYSRKDGEAYAQKLFAYLREKGLKAWYDKLIVSGGWEEQIEQELEASSHVLVCITPEVHLTQSFVRREILLAQRLEKVIIPLVFSRVDHIPLTIIHLQYVDFSDWEKGCSQLYGLLKFAAANQQSPQTLRELELHYLAQIAQQYEANLYDYTELMSVTKNEILSAQEKVQMLLHHQVSDEPGYIPDWNRSRPHTVETFDSFFEAIVKYTRVALIGDPGGGKTTTMQRLAYGYAVLAAEEPGNPLPLLVNVGAYNGGGFSVFLDSFFEGLGLEHYLGNGRIVLLLDGLNEMPLVHLDEVDQWITDHPNINLIVSCRKLDYEDRKLQLRQITIMPLDVLRIKKFIHQHVSSPIEGEKLFWALAGQQAQTAWNWFRGYSDLPEPDLFEGFWGLNKKGKIAHHLTHETEKAYVKNIQEALESHGLLPEMLSLATNPFMLSLIVQIYNRRAQLPQSKGQLFDEFVNMLLQKAASDNPSSRIEMTSIKQALATLAYGMCIENTGTTITMRQAVEFINRQTINKSAEEILSFAAQANLLSVGETVRFAHQLLQEFFATWWMREEIKKGVDPASYWPRVYEMKPGIWSDIALMLAEVEEDVTSLVNWLTPVHPTLAYQCAKLGAKCQSETLQLLYDPPPPLPYDPIARAEWGRMLAEQGDSRSGVGLTEVALPDISWVSIPAGDCIFQQGEEKTLPEYSISCYPVTNAQFQAFVAAENGYSNTDWWETPYPLYEPSEMFLDNHPCVCINFYQAKAFCRWLTDQYVRYGLLSVGGIIRLATEVEWEKAARGQTGWLYPWGNSYFPARANVNEIVSDAGPYYLGKLTAVGLYRDGVSPYGVSDMSGNVWELCSDERDSDRPVLKGGSFHFRAEAATCISRSYIRPDQYDYDYGFRVVMQK
MFKIKTTPKVVSLWSRALQKAVSYFLDLKNIFLISSLKSVINTAVTAMNVFSKSIVDSFLGVISSHIPNLIAKKKARPFLRIELLSHLQGSVKPLLSIYLMDKNIITQNSKKGNDENI
MEKVDGISSRSKPWSLPGCRIQNFLTATKVTPWNKAKLVDYLRPVGPLASQQSSPDSAGGTLNSCSRTRQSQKEPLLSQAKIIYADQEPIDDGDPGVAALRLVGSPKGAKSITCGVATFQPGAAIPMHTHPCEETVVMIEGHATAYVDGEEFQLGKFDTTFVPPLVPHCFVNNSDEKVVFAYFYPDVNVSRDPVEKSGPGKMQIR
MVMSLDALKCKCTQGSSKTPCEDGICEVGTDGSCLALNHEVSGVHYACTISTMKDGECTEKRTKSNQKIKVCSCRGQDYCNYVRFPMSQEQRSIVDSEVDDDDTTSGSEAIVMSSSLLIGAFVLRWL
MATFHHRHSIPNRTVHIAVYCLLLVVCHSQRYTRSNINHVKTAGASIGCYKCSSTNGSDPDCDDEFVAKTSYYHPNCMAAKRSYDSRNAANITELRKGLYPATWCIKFKAVDDLTGTTYTIRDCAANSGGTTYDTEIGGISHCGLLRNINYNRKDMKGCIMTCNDNGCNTASENCSHLSVILVGFLASLYVS
MSGTPQRIREAVEAIQGWLVSSPNPGEAIVRQAIVLRLLHAAGFDIWNPAEVVPEETNGSGNRSDFLIRVGKGKFALELKGAGIALKGKPYEQVVTYAASEGTRWAMLTNGRI
MRRIISGNNKNIPIKPLKEGELILQMTKFRTEIILWSSPSVINAFRNFESIAEENTGIKMLLPAVDRLYREMRKDIGLSNKGLPQNQLVKIYLKDPSELDRALTNVDP
METYCSDEDENGELIVLPGYRNPLRTVLKGLLDQLPSYKRSESDKPPLPMDAIVRAKQHLIPLEATSFSDLYQLHPFLEDCWFGVDPQLIDDNDPNCIYRVVKKERKRGRKRYFEEMHELWSPVKIVANYTLLSIPLRGQQICWLDSGEGDEFVPLWRDGKVHWIENPSPLATRKRNKGFIQKGNNDGELSAYITTNKTGKKLGGYDIPFMPEDLAY
MDTVIATDINQQTSTVDPMLIDLDASMTALSLESPPKEVQTPSTLLGSSRRSPQWGFSRPERERRESIALFRAIAGIDKLESIDPFFLIEDIPSPPMSDRCASPDFSEFTLASPAQPFILTQSPPTSPQSSTRTPMTSKSSVKQSTSSKSILSAQISPKPSMRTSMTPRSSARGSTSSRSSVGATMTPQSSVKSHAPLRSSIRGSTSPRSSVRATMGSQPSVNATPSKSAVKASTSPRSSVAQSSISPTPSRSTVKESTSPRLSTTTYTTPKSSTRSPTFPRSSTSTSTGPRSSVQTPAQSRASMRSPALPRSSTGQRHPITSLTAKSRDDAVAAKNILSTPAYSSFTTPSSVFRFSASTVLSPKVNNTPANSAKVTSKRTAGRPKTAFGPKGTTTLLNKSKKDILEMNVDVTISVPPAVEPTLAVVRCDPMEIQSYSPLATPPSVKPMPITKTSSNSSMGLRKPSGLPRASPSKISSPTAVDLSKRKVGDKFEESPSLDRTRLKYSRGNTRLPLPGKTTLSIPKAMPVPSRIARSTLHPDSPSTRMNPKSTSVIPPKQYTSRFRPSLPQRN
MVSGTPPLVEPHPGRPPPAGSPGTGRRPAPVTAGGDWRRGGTWRFAGAVRLAIFAAVSAAAEKPVLPAAQTPTVPQGSRKLLSAELRELVDRFQDRPVRLADITEVLKGRGYDLLLILLTIPFLTPIPLPFLSTPFGLIIALAGLRLALGQKPWWPRRLLAKELPPQFLSRLLRASGRIVRIIEYCVRPRWSFISGWPPFQRASGALVCLSGLLLLLPIPVPFTNTLPAISILILASSALERDGFFFLLGLLVFAVAVAYFSLILFGGVAAGSEIWSRLRT
ELFPQEAVNVSLQNLLTYPFVKEGVSNGTLKLVGGHYDFVSGKFETWEQ
MVPQLAEKIAAARQCIYRNHLFMSAMLATMFEDSGWAPPHLAPANAAADEYEDRAWAAVAPQDHDKGFQAQGSEFSYFMLLASAYLLNGVQRAEQWTIHLCGMQHVGKGVLLLDLDGPQWRMVWCNEAFCSAAGIVKLLVGAVGSAAGAMQQHVQQHCSWSGAGVPQRPLESQAAEVLRSVRLRL
MNLVIKNPLIKTAFLVILFFLLFLMSRYLRIAPTVVSLTLPLGVFFLEKRYAFIFSISIFFLIFISGFVVEAIGIFLLFFLPILAFAVVEKRLFKHLFITTLSILAFYLMFAFFGELLPDFATQGKGLLFIIFIYLIFTNIYGYLLKRLKCEISSLLQNFFKKQ
MKAIGNVMAEAHDPWWIIASAAVALHGADPGHVADVDVLLSVADARRILPAIGIELRP
MSKADIIRAWKDPRYRQGLSNAELAALPENPAGRVELSDEELDGSDMLVATTYATCTCTTATQQITCPFLA
MARRTDSGPAPRQRAVALVDLEPRDGTPGLAETLQRLCRAVTHDLDLAGATVTLVPDETSHSVLAASGEQVRRTEERQFDAGEGPTHRAFVTGEPVVVPRLQGAGSRWPEFTQEAESSGVSSVASLPLCVGAARLGSLSLYWKRGSGPTYEDLRSALVFADLATELLIDSSCSPTSEDLDPGLHSALQTHGHIYQAQGMLMVALGVHLPEALARMRAHAFATGQDLEGVAEQILEGELVLTRDPP
MSISTPSGPMRTKNLDPPQKPTFKRATANSITIEWKSTSLLFNVTYAIQIKHDDYSWVWAKCDTESLVSNLCTVRGTTARVTDLQHNTKYYFRVCIISKGVKSDFSRPSEALRTAGDVGYTDSASCCILSFLLLH
MGWRYRSWRYRATPWFLWGGCWQSFPSREVTLQASFVTPFIVNYGRREPWVWALEILPAYDAIARMKSPAHWQTDVLASWILGTGHGSRLLEYHAGYTDIRSATSAWVQCGLVKAILESGFPSALANTTADHDYPSREEHAQ
MVRVKHPPGGHTKLNDEAAPDSRTVRVLKPPGGGSSIVFEDDYQVKSKVSSPASSPPPAKEAAPEPAETPETPVTPKPNANGTASSPPDTPAATTTTSPTPPSNPAVNGPATNGPTTNGTATNGMASNGIATNGMASNGTATNGTASNGSPATGSDDSFPPINGTAMNGMGSTGSLSQGTPNSRSSLSTPTSASSASRLNTQNRLFGAEPTSATPVRRMRDHQRSNIFTPDDKSFTPSKNTQKPAAPATVSDGLGENKVTEQPKPQRQRIPPGGFSTQLW
MLMKSAILSEMCERLNSNATNYTVFLQSYQVTAMAGESSDNRVSSVLGAEVLAVEFQEVTEDEIVAEIQDSLMFSGDTGAGPDAEVVASVEFSNCLDELLAEVRKQAKAANKIERFWLKTGHPAYPVFWDFALLFSRTDASFVIIGSASD
MSVLGCLCLGIIGAKVWPFLKPSSSLHCDRDRTSDSRHFSSEVRKLTWG
MRRVVSRVGPGVSTVQVGQRVVAIGAHCFASHACVDAALVAPQPDALSAAEAAALPIASMTAWYALKDVASLRAGQRC
MKKIELQNEEYFTYCENENDLVSSGELTGTYMLCKHIKCENFQAVICIDTKEVYLITRFL
MSTDPDNTASESDFEHIGLENLSMAGSEFAIIQDSTSTSEWEVVSAPGSPKECLNTPINEGVSAIHCSSHPLTIENLSLKTIDEVAGGSIHKYQQLFIADLKRYERMSLSAKFWSWWTKKELAIFDAQILKELKEHDAKNKVKAQGVGNDGDETISETRQQDCWGGI
DVRRTDLPVLPVAPVGTHTRSLPAGDVHILWVDDYWDGPVAGVAEWNGKRVWFELIDRNLLGAEDENTQRKYFLISLSEKQLAEEERWHDLFCAHVGTHFDYTGRSDTPTGQTHLFYGPYENRSEPDLSQNEILGTVEL
MIVVYLIAGLSSILFILLTLLSLFVSIYPKAINKLLRQIKPDWSRISIRRKHALLSALLFFILTAFSGALLAATDPNRNHQQAISNQKGKQMKAEQIRPDQNKVRKITEGQIPKAPQDIEGILQAPPGKIQYNLDYDKDPYLSAFNREIQPYIDAMPSSMTSEQAFDRLIYYLGYDWKSVLHTIDQFDYHAYISPYSLNMKIKPRKVTNLRENTNYFFIVDAGKAFSRKKNELRNEFDLFKIKFDQYFKQGIEEPFYKPTGDIKVYLRTYGAENDQYSPLSPLKSSDLKNKLNLVKPGNQVGSMSVALKQLKKDLSNVQGKNTINLVYIFTSGEDTFRDSPEMIAKELNLSDQQAFINVVNVNVNEENHPIADYNKDLKFKKIAAIGQGRYFDTVVNIVNGHYRFLTTGTSYEDTVLDLYRDYILQIYTRYLHDITGFTVEPYDYDIAHSKRDYTFYNNSREHDILKVAANKLFDSKKIDQVELQLLEHMIEKRDDLIKKHLGNHFDKKMEMMVDEFNKLEQQ
MDEELPGSAEKTSSKRFYAVKRGRKKSERDDSDLLELWAENPSADALLLNLEQFHMLERRCF
MPRCDFCDHDNPPGAHSCGNCGATLDWSSEVQATGPPEDLKRQVRDLLDQGSKIEAIKVYRERTGAGLKEAKDAVEAFERGDPGLMPGGIEGPLEGELLDLLRNGRKIEAIKRYREATGVGLKEAKDAVEALAGRHGLAATSQGPGCLSLIVLALMVLAGSGSLAQDQVADISEAERDDRGVLTHTVRSGFQAEPTRIRVVLPEGREPGERFPVVYVLPVEAQEEHRYGDGLEEVLDHGLHEEHRAIFVAPTFSHLPWYADHPTDPGIRQERHLLEVVIPFVEATYPARAEPGGRLLLGFSKSGWGAYSLLLRHPEVFGRAAAWDAPLMMAEPGRHGSGPIFGSFENFEGYRVSRLLEERAGQLGDDERLILLGYGNFREDHREVHALMERLGIAHAYRDGPGRKHDWHSGWVPEAVALLLEEAPSD
MSSGTALPHNPLNELLDAVGNDALLLQARYEEHRIERNAQQAAIMTSPSFPGVTVDTILQKLIDHTLSPPYLDERNAGSLWARPPRHILDLLGSIQHELSAFAPRLWLMPLDHVHLSLVELGHHMTVEANLALIAHIEHHLPDLISYARLHPTRLYRPTISCDTGSLVLKFLPMAETKDDYTYHHLRRDIFDRVSETGLVMKPRYVVPSAHLTVARFIDNVDFETDGHTDENKMKEWWGLIDRINARLERELDSRHGGQDEDGMWLVEKVECRYGAQWYGGGQSWHPSTTSLQTA
MNQSLHIFRKDILHLRPELTNYIGLLVILSLVAPQTWEGAALHGTMLGMFSLLLKGLIPIAMLMIIARLIHDESLVGDRQFWVTRPYAWTSLLSAKLLFVVVCLVLPVVAMQWSLLLQAGLNPLASIPSLLSRLVSLALIPLLPFMAAAVTSTVGGAGLLVAAFVVVLIGVLAAVGSEAGSRMTPPFVDGTFAILFGGLLIGILLYQYATRNTSRARIALVATAFLFFVFHCCFRMQYFAGPVNALIRYHYPVSTNTAERLTFDASPRVYQGQEGMETANGGFLSFLLPVHLEGFDPSARLHEANASFTLDVPGYHYTSPWRPVTVADRGLFLSLPADAFKRIHATEAHIHLSLVAQRLLPDTPQIVTVANHFSVPGGSCLLLTDRPLGTPLCRYAFQSPLPTRVNGSVTAQFCNSSAPTHPGMAVMRVIPAAGIETVVEEPLQLGGNVCPGTQLTFQTYHPADNFRLELDIPAVALDHYYKANLD
MNVIRKAKYDAMLARLRHPEKRTWIILNSVSIGETAATCAFADAFVKKHGYGITLVIRPEQKAIAEMFPGRFEQVIVATQAEQQEIQRFLPPEQFQLDIPFASYCDSLGDARTDNIYYLFKYPNRGGLSFTDCYRHLLMLPWDAKMQRPTIPLSWEHEAQAYASEVGIIPEESVILFPSTSSSHPQFPAFMWHTLIERLKVNGKKVFCNMKGGLIHPEKMPIDGTIPIEVPIHLAMSLARIAGRIITPPNGLHFLQMLGGQVGNITVVAPMNDVNADFVINQRHYANTFMFAEYTNPELLVDVPYAEFVLPYDASQEAKIKEIAVAVADYDLDHPAHFRRNGAGRPAFVEENRAWLAPLIDPIRRPNFQS
MTLPSPTINREPR
MPRIPIFPILRSQEDVLSEARRKGGPLGRLLLRGQALAELRLHFVEYRVLLFSVLHRPNWISRNLFKDRGEKRQLCRVLVNGSTGGALWAEEIPEPLVSYEAREEQIQHSSFPRERMEEKGSRLVLRVLRRRVGGYPEVNLEEDAPVYRPFYVALYGEPREGCRVRYLPFAADGCSSHRTF
MKVKGGRNFYGEAIGVIVFENNITPAILGDVRNALSYDFPVRFKVIKGISPESNSPQNNFDIDGLVQAVRELEQDGVRAIMISSGCLTKYLFEVASNVSIPILSTPLVLVPMISTAIGDNLKVGIITENQYSAEVLNKIGINEKAPYIIEELDMDNAETYVRTYIQGKTECVDYDKIRRELVSLITELVKNNPDIGAIVLDGAPLASFTEAIKEEIGLPMADFMSLARMVYRAIVPQDYEGFM
MPRVAPAYAGGNLPAAQQAGEQQGGVPAAADQTLFKGARCDQRSAARRLRTPAPRRSGREVRAPPGRGPERLGATPPAAWQTVQDGCGDCAWCTASGGLHRGPPCFEHGGEWGAARGRAPLTAPFSQRSFKFVCWARSKRSPHLDIWAKEAHATREGCSGAVACQTVFFVFSRGALRWSIFLQAPERAGA
MSICWWPPSPRFFRFFFRCPRCLPVPSALLLFLPDNFFFPDLVSRLCLFRRGRALWSGLFFSGVLSCVPLVGRLVFFFFFFFFFFVPLVVPGCFSWFCSFASSWAVIAVLPQVADFW
MSGKPNRREFLKYAGTASAATTFGLTGYARGQETTTESGEETTEGGQETTFTPVDQNYPESGQTITYIVPFSEGGGTDTYARQIMPVAAEELGGVNVQISNVPGGASLRGTGRIVNAEGDGYTMGAFNPPSTPLSYLVFEPNYDLTNVEGICSYARTPYVIIANADANVENMDDLIGRYESGEFQAFGGCQARGGLNHVASLVMKNQYGMQWQNYVGYDGCAPAAQAVASGEIPASIGSDLAVEGTVNSGRANVVAVLMSGGSGVFPDVAPVTEQGYENIDYIGGLNRCMWFPPGTDEGKVNRMANAVQAATQSEQVQQWSEESGNLVEFNGPEYANQLLQDTLEILPEQVDIEQIREEATG
MFQNLQTATAFLIRDELLLVQAAQAGFEDDPDVQSEIQDRRDQILAQLYLQSKWDSLTISPAALKSYFNTTWEAQYLAPDSLFLEGCYFSNESQASQALIKLNDTNGLADLRSLPPQGQFKRLGWQVRGTTDYPVLYDQVLNSPIHTVKGPINSNGGWWLVEATIRHRYPLPYERVINRVEQDYRDEQWRTFRIKMVEANQDQFKTWVNFELLNELPKKEN
MKTELDQHLIKERVVEFPLIKVYAQTNNPETFSKVMQAIGELVTEHEGAGRLRNYERSQVTIMFLMMPAIPLV
MDARQQFRVLVGVPAEGLDAPHPSDLTWRLADGGALDDWIIAAPGYRQALASAEQAQAELDETQASLKPQLNLEGSLQRRQIGGELENDSVIGFRLRMDTLQGLANFQRPDAARQRLEAARYRIDDQRREIRRSVLTLIENAEVYGDRQRALEDQIEQSRTVSRLYDDQFSVGLRDVNDLLTIRQEAYTAQRQLIDLESQQKRIQYRAASQLGLMNPLVSGRLGE
MTKRKKCVGLVTGLMIVISGSAMALSDSTQYAAASQPGAYYADTGVTLPQLVERGLRLNPDVQAETARAQQLLTEVDIAKNGYLPSVSMAAGLENSLDGELGYDIVLSQMLFDWGRVASEVDAAQSEQLEQAQALLVTRNEAALEVIEVCLDVQADRQHLAVIDTYHSQLVSILELVEDRVQGGYSDNAELGRMRQALGYMEEQFALISGRLRDSEQQFRLLLKLSPQNLPDLQASTDFFASLRSPQVLARAIARSPRFLQAEQEMALAQANIEQAKARLKPRLVLEATTQRREIGSRMTDDSGLALRLRLDTMQGLAGFQRAEAEQQALEAARWRMDAVRREMERNVISWRENRLALTQRVRALDEQVAQTAQIRSAYREQFIAGLRNINELISVEREGYELESQKVSATSEFLRLPYRAATDMGLLTPFITGTLERSLTP
MRYERIARNATDRNGLADIAPNPSMHYRWAVANNTNLRASIAQTFRLPKFDDVNPWVTQATGAGAGAGTLTNLDKGGNTELKAERATGIELGVELFLSDNRGVVGFNLYDRKARDFIQKTARQAGLRALSRAPL
MNQSSWRLANVDARNYRFMALDSEQQSQWSSYQDAIDKGYQAGLEQGTQEGLQQGQKQGYQQGKKEGYLVGEAQGLAASKKQSEQELLQLTVPIEAIKQQLLQHHQQQLVQQQQVIIALVQQICDKVIRQELQLQPERIVPLIEDTLASLPSGAQQVRVRLHPATHKAIAALPQLAAWQLDSDPELNIGDLIVETEQSEADASAELRLQACMEKLVEHLTCDRT
MKQFRPYHFPPLAQFIAAGAAKGAQSSSSATPTEDWQSAVADGYRQGQREGYEVGLDEGRADGYDAGHAEGVERGVDEGRQQALTELEKMAKPVDAMLRSLRKMKTEFRNAQRKEVVDLVGKIARQVIRAELALQPVQMLNLVDEALAAMPPTRDDVEVFMNPEELKRIQELDPKRAKKWNLMADPGLDLGECRVRAGDHEVDAGCQNRLAACMTQVRESLDSAAKEDAE
MSNGNGVSKTLSNAMDSVSEKATEIGNAIAKKASPVVKAVKKRTAAARKAVAKKVSEAEKSRAGKAVKKAVAGAKKKGSAAVKAVKARVAAAKKPAAKKKAAPKKAAKKAAPKKAARKAAPKKAARKAAPKKAAKKVARRPAKKAAKKTSRRK
MYSAVEHVFDTPELLEIILARLPQRDLLLAQQISKQFQAAIVSSPTLQQSLFFRPTPFKDPSIWTINPLLRKTFPPWFITYTNNELPGFEVFPTLDFNKSRRTKEAFLHPDASWRKMFLIQPPPPTLSIQSKSMAQFAMGVSTQWATIFFEKRKYQGVTMDALYDITLESMAGLMSSIFFLSFIECEASSPAMTLHLYSSIGCGLDGWLEYTDLRSRALERFDVIHYDKERTEWIDIEDLDGDPTGDWYSDIANHEGGYSPSEYNRWVEERKALTGKEIRCREEASQTSQDMC
MLSKEIVQVAIQGMVPLDEENQKILKNENRVSEFCLKLTELPELQAPETQELIAYYRTWFKYNGAFLGLISADHGAFIEWVTQDD
MTDNKVQATDKNEIYWKILNAAIALDIKKGHLKWSITNIATISKVSRTLIYYYFGKSKENILLEAIKLFGQELSGNTATRTTAWAAGDLASTFCASRALLARAPDIRVFYFLRRNEQTILGSAILDFEESFKQKISSFFPGLSHTEVDALFALFLGIVWAPELSDDAVMKSVSLILSGVKAQHL
MKKLLFILVVAILILPTLACSEPEDFVAYDLSLAGYHLGMSVEDADALRPIQYSGHIGDNQILYARIEQVFIDDLQVDLGLKFRDRRLYMIIVKFWDESIEEVKNRLLTSLGEGEDQSKTFTNFEGVQIDQTVTRWTFPHANMSFVWTSSNKNVASLSLALKKGAIYRLVGNQ
MNAMYINLREMLCVVALICLAITPLTHLTSVQAVSANELLSRYGEPLVIPLQINLSNIFAVGIDVSRNKILLTGERSGVTVATLADLNFFEKQGTIYPILGIVLSFDTDDTYSPTFYAFGSSGGEVLVLSPKDLSLVFRYVQGDEFKVSKVYVSKNILAAMFEGSRSFIKVFNLSSGGWSELGEVVGNAPKYSMENIKLVDFSMLKFAYGNKVSTKDTMIVAYFTVPANKLLIQVINASTNEPLANAVVYVRNDVIGTVYQGVTDREGYALVPVDLVGRKQENLTVFVKVESVCYKYTFVGVELQEISAKIYTIGKVIKVPGDVISPPPQVVRRLILDILDVSTGEPKRIKTLNRDDVISLKIHAFLDVSILNQKFRYVLVVSGRFASDPSYPSLRIVYLDSSFSKVGETTYRLFSEVSSFAYSPDGRFIVIGTRGGVIYILRSAPVRGKYEVMWGYRLPYQITSIAVSNKVNNGIAVIAGDKEGNLQILYLPTANKMVPILRINTSLSFNTHSPITSLSATLDLNKVIVGTASKSYLILGLGD
MNDYGVFQTDITTYSIEELVAVTSERTPKNTMVHLSEQTASILNCKKTFLDSSQFMELLAPQDVSVF
MTFATKLPATAAVVALLAVAAPRAALAFPTFRCMDMVDVDNIAFNFGECANVYLADKMAWIEFAFPVISALFLVVIVVSFPLCLLCRGFCACCCRSCFRASEKDGGKSQRCCLWMWVGYAFVIAFVASVMMLYGADNLSSWVTLLVDETIDNPLNYADCTAKTLIEAIDAVDLSDVVESFDMKDVSNRINVTMKDAREKVDDHIQVARRHSEVVKKWIALGSYAFGGLMMFFVLPVVALAWCRCCVSCLPVMLSPFYCLFSIAFMAISVAIHVVAYAIGVLCGELSNYQERKSGLIQRLSTKAKNVVDFDNIPPKLIDFEGSVAKNACEGLLKYCDEEDDMTSLLKDKANILKHLGVALNLDKDVVAKYMDGGEVTPEMMSQLQKGEIPDLKAFSSKVPEGTQIPRDVQIPNGAKFPNGAAQLLPALPSSVGDLLNTAAFGGGVKPLACGRTITKPEQCRTLMDIATLIDGARIKKLIPVCPPNAPGCTLAECAAGCTHEKIKEVAVKVTKVSGAVASLSGGLSLVRPLLEFDFIADTLLTALPNCGDIYGSVLILAVGFFLGGLMFGLCVYILLRGSCIWGERQTKKRDGSCPQEEANGHDKHAGSGHSNGKS
MFLLVFVLSPVFGVLFRGRASWQTPFVALHCRCKMRAMQQAVKAAAPPQYYCSFVPTEDPGGTCPSSTRLLFYVKQPTYLLHQQRGNPKKISNCQRLACLSARDRTQFRDEMIHAEANLLETLIGHEKTSHLLWSSRMRCASARLGSCLHQFDATADMQTPPLISLANSGTRLRSSTLEQT
MTLIRPGRTGFRGRRAAEADAPFFEEGVHGRAGTQARPRTSISSAEEAESRALWARASMRQKLRGAMTRSEKVAGGIYAAALMVLLGHEWPHHDAGHNSTP
MRWLGVYFDRRLSFLQHVKERTTKAMKVSAHIRSIARTVHGPPASYLRKAVIACVLPVALYGTEVWYAGMTKPGLGQHGPDRSMGMKAHLKLIDKVINTAARGTIPVYKTTPIVALIKEAGLPSGWVALEKAKLRFALRLQTVDFRNPLVRRLEPIIRRAGKRAGTPKSPTTRLQRLGRLIPETERPQLLRPHFSRECRTNPTKGFSKEEAALAFKEWWPQLPSEDYTIFSDGSERWVDSQHHVGPLARYGSASIAPPSYGV
MNASICPSASRTLLRLASRSLPSASSRSCPLAPRQLSILAVPCTTSQRSRSTRPTAASPCRILAASRGQCRTFFKRGVITAYQDLPAEYKDKLGLFFSRKPLSQKEIDSIFGEGLSEEDGNQLLRILHGRRVAGTLEDPAFSIHTARFSKQQIQRGLEYLRRHIKVDEVLNAGLRAEDELSQLEGNPAEDPTLKTGADALDPEVKPDPTSSTQANAGDTKEVQQHVPITEVKPDPIYGLSVFDQIRARNQAKEKVRLERIEEEKRRAIAEGRRLPDDDDPRARSLVEVEEGQRAITNPTIAKYHREAMGTETEAPDMSVWQRTMPSIFFYVLTIGFLASLTMVYTEPEEKYRLFPEISPAVATIAAIVGINSLVMLLWRIPHMWKVMNRYMILVVGKPRPITIFTANFSHQMVSHFLINMTVFSFIGVHLHEDLGRMGFITLLMAAGGGGFLGALIGYSYKGMLGVTSLGASASTVGIGAAYFWDHRNDRFRIWGMPQDGVHGIVWWALGVSLFTLELFNSFAKESKSDTIGHWAGLIIGMCAIELMNMAGLGRKKNDRPEVGNGPGTDLVKDILSGEEKPARKEVR
ERTTGVAALLQHGRRGAARGRRNGRDRRGVRPVGRCPLGRWQAGGSGPARPHHLGGGARVAGV
MTSLSEVLLEKKVITKEQLEKALKRQWEEGGTLEEVLVQLGLLDEDKLVQLIVEITPYRKIDLGLFEVDPEAASHVPKRIAQKFILIPVRKSKRYLAVAMANPLDNEAYEALKQVTDFEIIPFVARISDVKRAIKRVYESEEVEEETPFEMPQLPSAPKMEAPSMKFDDFVVNETNRFAYTLAREIAKGDRTGIVYIVGDVGVGKTHLLAAVMNEIKASGGHLSVVYYTPTSFVAELEAALKSRELSSFRARNSSVDVFLFDDIELLSQKVQAQEVLLYIVDELLLNGKSLMFTSIQRPKDLGGFIPKLKARLRAAILAAIAPPDKDLKLEILKRKLGSMPLDEEVLKFIAENTGNDIRILEGALKELETLMKHTGTTLSKEIAKGILRRYLS
MTSQFASLWQQSLEILKQELKPASFDTWLKNTQLVTIQNGEAHIGVPNDLARDWLENRYATLVKNALSVVLGESVEVRFFTPSADSRRSEPSRRPVATEESSPPLLNPKYTFDTFVVGNSNRFAHAAALAVAEAPAKAYNPLFVYGGVGLGKTHLMQAIGHFVIEQHPQSRVVYVSSEKFTNELINAIRDDKTVEFRNRYRNIDVLLIDDIQFLAGKERTQEEFFHTFNALHESSKQIIISSDRPPKEIPTLEDRLRSRFEWGLITDINPPDLETRIAILRKKAILENLDVPNEVMVFIANIIQSNIRELEGALNRVIAYANLSGKSLTSEVAEEALKNIIPSHRAKVITIALIQEIVAEHYNMRVEDFKAKKRTRDVAFPRQIAMYLSREMLDVSLPKIGEEFGGRDHTTVIHAHEKITKDIEKDPQLEMTIQVLKEKIQRA
MAVSEDLALTYNPLFIYGGPGLGKTHLLNAIGNEILKNIPDARVKYIPAESFINDFLEHLRLGEMEKFKKTYRSLDLLLIDDIQSLSGKKVATQEEFFNTFNVLHSNQKQIVLTSDRSPKHLEGLEERLVTRFSWGLTQNITPPDFETRIAILQSKTEHLDYNFQSDTLEYLAGQFDSNVRELEGAINDITLIARVKKIKDITIDIAAEAIRARKQDVSQMLVIPIDKIQNEVGNFYGVSVKEMKGSRRLQNIVLARQVAMYLSRELTDNSLPKIGKEFGGKDHTTVIHAHSKIKSLIDEDDNLRLEIESIKKKIK
MKQDEVWKSVKDDLRVGLSEITFSSFIEPAGLAQMEEIEGKQIAKIITNGSWHQKMIEEKVGEQIYAAFRRVTGKETSLQFVYKPKAAAAMPDPSSLGPLFSQAEEETKGYNRAVGEAKLRSDFTFEHFAVSSTNEMAYAAAQAVSRNPGKMYHLLFLYGGVGVGKTHLMQAVGHRILEKNPESKVIYCSGEQFTNEIIEAIQYHTTAEFRKKYRPTEALLIDDIQFIGGKDKVQEEFFHTFNAIHQEGGQIVMTSDKLPKEIGGLEDRLRSRFEGGLTIDIQKPSFELRAAIVLIKAKAWEMDLPMEVAQLVAANIEDTRELEGVLKRLMMEADSKKEAITAEAAQKVLNSIHAGGESGEKSVPRISPQSVLAAVSSVFSIKPAELKGKGRQKEVVAPRHLAMYILKMEADLPYVRIGEFFGGRDHTSIMHAVEKITNSLVGDARLRGSLTEVRKLL
MTSALNPRYTFENFVVGAANRLAATAGRTVAENPGSAYNPLFVYSGPGLGKTHLLMGVGHTAKQLTPGLNIEYLTLDEWVEAFHAAVAAGQGDAFRRRFQNVDVLLVDDVQFLSNRKEMQSELLRLTEALQAAGRQIVLTSDRPPLEIGDLDARLISRLSGGLVVDIGAPDYETRVAILRRKAEERGASFRPGVLEAVADAPLTNVRELMGAVNRLMAHQAVNDTPIDGEAAKRLLGIGAAAPPGAPPPPRRPERRRPRRGGEGRVRLPRRRWTSSGRSSPMSRSPWGGRSRRGGRAWPRRSCAGKVRGTARTGSRPCSTRTPRRPLTRRSPHSSATWNG
MTNSVALLNSESSVWQLVLDRAHVECNDYLGLEILDMVGYQGFFDGYAQITVPDEFRRDWVNAHYAGVLRTAFSEVLGDSFVDFKISIAPAEKNVQVMSTPAAPKLPPVRASRVAAPRRRPRNKLSLYSGYTFENFIEGDCNSTACEACKSVAENPGDPALNPLFVYGKSGLGKTHLLQSIAAQIVKTKPDTRVIYCQAFDFVHDATAMYKALKLKTGNVRELATAFQDKYENCDVLILDDIQLLECKKFCQEKLAILIKHLRTLGKQVVLSCDRHPSNFNRLEEGEVPPERKLPNAIPSISAKLLAPLESCVAVGIDVPDLTTRIKLIQKKSMNIPFLDRDREEICRFLSLPPRENVRVIEGMLNGIRALNQFCEENLDLNVVKRLVAPPGTTGVEELTVKGIAETVALEFGTDLMALTSKRQDAGVALPRKVAMYLSREMTNTSLVNIGEFFNRDYSSVIAAIRSLAKQMDNDEDLARRVKDVRYLLEA
MMMDFSVFQHAYAISGGIGSGKSSVCEILSSLGYTVLDADKIAHEILDNQSKKIGEVFGSELIGEGRVNRRALAKIVFSSKNELEKLQNLLNPYIYENLFSQCQNLEESKRPYFVEIPLLFEQREVLNFRHKVLVVGRDITQRVMQRDGVSEEEVRARIKAQMSVEERKMYASEVIENFGSKNELRDKILKWVKGLGN
MILGITGGIGSGKSTVSLIFDLFGVRVYNSDRRAKDLMVTDRELVNSIRSEFGAEAYNQGVLNTDFLADVVFNSPQALKTLNSLVHPVVSRDFNEWCLTKKGNTLAKEAAILVESGAYRQCDAVVVVDAPEDVRVRRVMERDGVSEHAIKSRMKNQMNPAELLKYADFMINNSGDELLIPQVKSILDKVTNV
MIKIGITGLIGSGKTTISQIFEILEIPIYNTDLRAKELMHEDYEIKEKIIQNFGSNSYIDNSLNKEYISKIIFSDNNARNIINSIVHPKVIDDFKNWLIEKNNENYKIAGIESALLYQANIHKILDYIILVSSNDDTLSNRIMKRDNISQKQALLKIKTQKAELVQNYKVDYEIKNDKTESILLQTLNILNKLDLATK
MIVFGLSGGAGSGKSTVAAMFAQSCNAAVFDADKIVHSMYSGDAIITGLVAKYFPDCICNGVVSRERLSKHFFSYGPLWLEFQSILHSIVLRQQRKFILEQGKIGRDYAVLDVPLLLEAGFWRCCDFVINVDVHKSLQWHRLRQRGLSEREIEFLLSLQMPRGSRRNFADFYVNCGGRKGEVLKSVLQIVGSLNAGRHRFRVARKKLAMRSALDRRASTMASA
MALNSGRRKEATLQKGVRTALEHSQERSLGGRPEWLRGPGGPGGPRSPAGQPLQPAGSDSRSSANTALGATPTSTRGHLPSLPPPDLQDRIYPGRPPARVSAPSRLGLSPPCTEMAAAGSLLPQPVAASSGPGWPRPPCVSRGTHCAVPVPPVLS
MNWNRVLLLVSVGLGFAAIAPARLGVGSVIRPLHLATVFAVQPSETAMNWGFRAVLPAQRPKGTLSEEAAEMLKQQLEEARREKLAMAARVESLQTQIEQLTRVLQLNPGFAVRETTPLPVDGIQSDASGTLLKVRGGSNISIPVGAIAVAERVHLIGKVVSTDALNSRVRPIVDGGAGELTGVIFANERFQSDGTTKVLQTVGDQSRLIVKLKPAEGGLTLNGISSIERGVDPSTVLAPEEGMVVRLMSDDWPRSEQMLIIGRVSRVIDTPNGRKVVVVTPAIDPRRVSEVTVRFVDSSPAGGASGGNGPVGRVAP
MSPLGSDAIGRLALGQLPRIGLTNTVLIADGGAYAVSGQNAAFKSIQFCGVGIFALAGKSADLRIALAASLASYSVAGVAATFQRRLSAAAGGYSVGANAAAFATRMLSSAASLAVSGQVVDLEPSMACSAGACVIEGFDAAYTRDFEAWFPRPFDTDYWLAVGSNGEAWTPKTPQSETWSVHAAQPSPWTAAIKQSENWTVE
MTDVTTAEPGTIPAFPAEEIETRIRDYLADEGETQAVLRGGGASTAGSGGSAGPRPTIDSLVVVSVLCEIEPTVPFKLPDSLVRAGGYDTVDEVIQHIMPKLKKRWRKHHEEKS
MSDKTNMLGNLGSRIRDGLSRMNQDAKDKAEGKKGSSTPENESIGAPDETMDEALAAESRTEKDRISAVPEDEIDDALIIEMEPQEQKPKPKGLDNKQKLLVLGAMAIAGIYWQFQSPAPMPTEQAGSEQAQNQGQLEVPAGEEVDGPTFDLAGPADDESPIQTTSSTSSLDELGFGVPAEGGKDAANDPIGTDLLTADLDEQLTRLGDDGNEILDPFTGKVKTEPAAALQVTGANEVKAEPTLNVEADLGLIGASDANPFDAPNSKSTELSGTQIKNADSSSGELKDQGANADVANLNAKLAEKDGRIGELENEVGKLKTDLAKAKDEVAKLTSRPSPSAKTTKTQPAKQTTAAQRSHSTNRVASAPKAIARPRICVTAVAQAARNCTTCVPHAFITHRGAEDMVGQGDFIEGLRVNIVGDRLDLQNAKGDVVHKFWSSPNGCAAG
MTHDPYDVPDDPAPALGTVLADGRGSLPFALIHGEALVACAAWALGEAGVLPIDDGIEWSAVVATGEPLVVHDPLCPMTPPEFVAACVRRAVEEDTVVVGVRPVTDTVKVVADGLVGETVDRDSLVCVAAPVVLPASVVAALDGLPSHDLVGLVAALRREHPVELVEAPPAARRVGSEDDVRLLEALTAGGRRPPGPPGT
MKFSSKQVIEALIFLCSILAFFSLVMFGILIGKTDRKSLFFDVKWTDVISSFGSVVAGIAAIIASIVALRAIPIFQKQWRFEMMHKKVDDLLERLNLYGDFAFELSQANITNSEYYLTDKPIDNLVDTSDLNKALSNTKREILGIQFYIKGKNLLSIEDYAKLRSLWESVEQSCLKMIRASHHESSEEISHIRRATYNADVDFTNAIVAFENFLVTR
IQKSDRGDSSSCLKGIYFGESMEKTCGLLTEYSNSAICQGDDWATYLRLNEELVLLKSTAVLSLGAVSSSAVVITRLKGQNVYKARVTSYSAYVKSWKAGVKLSVFLQLILLVHGFYLILKLVRKIKNNRLHVFSLKAGYLVLLITLQATSTIVYVTKEILVEEGFNSFKEESNATEFYAALQITYIFVVIQSIQLFLVLLSVLSALRFKRGWHCTNKFIKLFIQSMAVPLLFLLMLMLFFATIAITLRSWSSTLLDSYTDGFMYISSFLLHTTERRSREKDDRTSAVSFLLMALFYVLGPIIFRSVSKSKGKHLIHDLKFSMARHEIFEPQDHELVAFAKKRIRMWLGLQKQKKIRHHVRFEGMITPLSRGSSFCEDFDPVMPENGDIRASPEPDEFDDPFFSDSVSAMTKISDASEWTTSRIIEENHSSAGPIEPLHMDYILSKLLNDSIPRLEQQLDQFIDETASLEKLDEILYQLKDKEGSLSSFLTTNSDMPQPTKPEHDQLPSRTKSDPNMKMTKRNSQNVFPGMRQSKARAVWGDESNRRPQKSTPPKTTKKD
MLLPQPDDPVFKTLQTALKPLGLALVDVAVRSKNGTTKASVTVFDANGLSIETCAQAHRMIVPLLENQLQTEDLYVEVGSPGLERNLKYAFELELFRSRPVKILVEGASEWLEGILEKVDSSQLELRTGEELKEIRLADLVKARLNDL
RFFALYRSQSTIQVLKANVVRSEQQLERARALFQAGRVGKAEELSALVNLGNDRISVVLRQAQRARDQAQLATWLAMPGTEALEAVTPPGLDTPPPPPPSLEQSVAEARTRRPLLKALRQRIRAAELQRSIVTAGYLPQVSLQGSLQRSGFEPDVYFGEPSLQNSASAGVSFQWDLFNGFSTQAQTRRAEYQTRVAELNLQQSERELEGALSQAHQTLLAQISASELAEANRQAAADALRLAEERFNAGVSSTLEVRDAQLKLTQAELTLLENRIEVETARFALMRAMGTLAPGEVK
MILKTKKNISQHNIPQLLIYFVFLLIIIRSIPFIASSIFIGFFSFGQFLDSGIERNETIIGSIISLIGISIYFLYAFYGLKGITRILESPSPLDKINSRKSEFILFITFSYLFHIAPYGISPIENALHDTGILQISNIAPEYLVDIPILFILASFNEKIRAFIKKLSSLIENHTQTNTARKRQKTNDTNNRSENYIIKSDPVSDKLRQRALYSRISAYIILIFIVALIIGLSAIILRTLQETSLAAPTSGIGRLIQEESDVREKINNASLQLSEAFHSIGISSEISGLGDIGSGPVPQINYSYILKDMNSKIKEEEKNDIKSLILRYNNLAVSLSAIIERRANEKSTDQSVSDRNFFYSAAQSTLVRIGFAIVILYLVGLLFNLYRYITRLAAAYDSQADAIELIVYDSEKLKTLVEALSPEAYDFGKPPNTPMKEVSRLLAAASNIRGKATEK
GHKPFVCELCGKCFTRKATLNNHSRVHTGH
MPLGFTDSLTATTYSVVSTVLIGLVFLVILPFTILALIKLRRRRDPARKFVSWLKASFFLFAITLCLLFVQGVMLTYEAFSDASHWHIVRGSFHIGFLADFFNAISATMVMVMLIEFGPSMRLAFEGKPDTLQKPLRYAAYILAFVIVTLALAFYGLSADFQENYTNKIGSIFSTGEAIRRALTIYKLISATSILLWIASLIIMGMSIFVLMERRGTPLESAAISYLFAGFFNLLSSTWYFAYAVHWLLYPRVQEYYVTVLTIILGWWSRAALLIIYYFVAAKSATRGGIWSHTGKNDYGHMP
MTGICGRAPSQPGTVRARRLLALALVGLGLAGCQSRSPETTGSLGSLNPFGKSRSERSPRAEVEALAERYNADPGDARNAMRYAAALRATDQKSQAVAVL
MSQHLILAQQLGNLIGCEQRLLGDPSLFKQWIGSSQAVADFRVNELAQTKMTALALIMRTSKAWDGQGRVLKVSRKARSSSASRSA
MLSSAAHPLIGIDPEIRFGRAYLVGTRISVNDVLGWLGSGMSVADIIADFPELTATQIEAACAYAADCGTPYTEVLVGKPIFGLLTAYSLPKKGAATRQLIRAFVGTSAMLRKTALTLGWCIQNSLTPMGFLFQTDRLRR
MEPDPSSLASFSSTDIQSLADLLADLVLGEQSVDDDLRPKQEFMCPFCGEIHDADSLSYHIHDQHPRHLTNGVDMTMFSLAVKIVLHSCFFPYAVQRT
MKLKLSMNRFCGKNISVNSREQLKVQNLSNPTVDDWKKTLDRWSHHQAAQASSILIYTLGPPPASRSLGPERHAGSLTRASPAVTPDIRRWTSGGHLTNSTCGGRLDDDDDDDDDDDDDDDDDDDDDDDDDDACLLGDGVRGRLLTSPRTSSPHLRLPRADPDSSSPPAVSSTELNRDTEWLLQPQTPRPPDSWQGLRRRRKRRRRRSSSGPTLMERGRGHHRHRGGEGGGGSDTVAAEISPASWNQPFTIRRFLIKLLPPRLLLLVCSSSSAPPRLLLLLCSSSSAPPRLLLLLCSSSSAPPHLLLLSAPPRLLLLGCSSSSASPRLLLLVCSSSSSAPPCLLLLLCSSSSSAPPPLLPPRLLLLVCSSSSAPPPLLLLFVCSSSSAPSSSAPPRLLLLLCSSSSAPPLQDAAGSYEAGASSSSSSSILPCENPHERAPVFVGGLLTLWPGRSASSPPPPRCIVCDFSGEEENGL
YAAVCAEVQAFVSSRQDKLLETLANVIAEHLLKKFALRRVELELRKFILPETRFVAVRIVREKR
MTPVLSLVDLELSCHLGVPEEERAQPQKILCTAVFPVPNLKKAAQTDDLADTLNYFALSQLLQKTARQKERKLIETLATDLAQASFAAFPLPWMDLELKKFILPETRHISFQARFLRPKSKTRSR
MVTVPVPTKAPNTQYADSDLTIYDVIESDADMAEEIMAGVDEARAAAEGCEKMMTRLEALHEKIVDLKVPGVLEGWVLILAEKAVSVKAKADALAEKLPAASEAIASAGENAERRHKPLADAVRDAGHAAPAERDYHVE
MSLDLNLWKAIDPGQFFEAVAEDENTEFVVGVMRRLTVDPVWTESVIQLVESKRIETRCFVSWLAREMQPQTYLEVGVRRGFSMAMVAARAPGTEMWGFDLWMPKYAGAANPGPRFVQAELEKVGYRRKAHFIRGNSHTTLPAFFRMKGSSLRDRVRLRWQSGNRPQIFDMILVDGDHSLLGAYQDLVHTMPHCAVGGVVVFDDIALENTAVDPEVLRRERGEDPWGWGDLLGVWRAIQERFHNFRYFEYVRNPPGVGVGVRLW
MQECVLVMFICQRNLRENFIDHKETLRRTSNSRQVSPCETLRGAKLSKVPGKRRYRTGERFSPSTTMSWGTHGRLINVSLQLPHPGRSRHNETRHHHHHHHHLRTRRRECEDQHDLFPWTAMTRCPLARPQNSSRENTLLTKTFASGPIRSRNLCNRASNNQEAARTVGEGYLMGRDREGPGWM
MLCRYDVFQCLSPTTTYQCVSPDCIGTSNWINNNTENRNTEEFTCDSYENEQLWCSGGTATPGNQWTLGSLFNYPEFNCCGCGKGWPLWFAPLAGIAATKVLPELMNNTQNSNVTHLQCIDSYGYSRACNMTCKLWTDPLISIVTIATDDFNSFNCTLVVRTMNTSMSYVIRIPSDNYLLYFSNWTTSDFDFSCEGNQVAPNVSMLCRHDFIQCLSTEVTTLLPTSLEVNDQLFSSVEPIVDQDTSETPTTSTPLSPVGSSSFKATTYAEKTTTIASSIGSSSFKATTHAEETTTTTSPVGSSSLKTATYAEETTTIASPVGSSSFKATTYAQEITITALIAFVTAATLPPSPVWQSPTCANGNIGLNCNVSVDLCQITNPCINNGTCVNTLSSSYTCTCMQGFTGSHCETDIRPCKPWTCLSYGLCNETSSTTFQCECYPGYEGLNCESLTDYCNNIVCQNNGQCRPILLNFTCECTTKDITGRFCEVKSNSLVIKAAIKRSVGYIAIIAIVGVISIFVGLDALTYIFKIDTVKRHRRYLARRRRQQRERQSKPKLVEKKRICQRQH
MPPATLSSINITILGSASAQPSSTRNHSALALRLGGDVWLFDCGEATQHRVQKSTVKMGRTQKIFITHTHGDHIFGLLPLLASRLDGAGGVVDADDPRTKAESRQAVPPLEIYGPLGTRAYIRSGLTYTHTLLGAPYVVHELRFTSDPPLDPTALPPHSFELPGRDIQQVDGVWPEIYRDDLVTVSAAPIMHSVPCVGYVVTELPVPGKMDPKLYIPHLKRTKMPMSLMSRLQQGESVELSDGTVLRGPSRRPGRKLVILGDTYDPSPIADLAADADILVHEATNSHLPGVDPETKAEDTHASVEARAKSRGHSTPQMAGRFARRIRARKLLLNHFSARYAGNDDVDEQARSIMEAIKALAVSEFDGEVVCARDFMSFDVELRQEG
MGFLCFGNICLGNLFQLLLFAFFGYRLVTGYLQHSSESSAVEEFRGNDAKRRRAEQVGAVSPKEDVSQLPGLKSVIERYATSGPSFLKRDQDRYILIKFSATWCGPCRQVAPFVDLLRYCYKFLLVNVDIDSAAPELKRVATAVPTFVLLKLQKNDGPVSGLDFLGMKVVSKLTGGSPGPVENLLRTHCTKFEKLPM
MAVMAPRTLLLLLSGALALTQTWAGSHSMRYFFTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDQETRNVKAQSQTDRVDLGTLRGYYNQSEAGSHTIQIMYGCDVGSDGRFLRGYRQDAYDGKDYIALNEDLRSWTAADMAAQITKRKWEAAHEAEQLRAYLDGTCVEWLRRYLENGKETLQRTDPPKTHMTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWELSSQPTIPIVGIIAGLVLLGAVITGAVVAAVMWRRKSSDRKGGSYTQAASSDSAQGSDVSLTACKV
MALRSAPLWLLVLLAVALRESCVGTSSHSMKYFYTSISEPSQELPQFVSVGYVDGQVFVHYDSHTRREQPRVSWMEKVGKEDPQYWDRNTQISRGEEEVFRVGLEILRSRYNQSEGLHTWQLMYGCELRKDGSKGGFMQYGYEGRTFITFDKETRTWVAPVPQAQITQRKWDAFPGENQRRKAYLEEICIDWLEKYLSYGNETLLRTGEHLDPGWTFLLYGRISLTHSAPLG
MEHCAPWEDQQHPQYWEKATDDIFYRREVYKRLLQRVLHIYNQSETVGKAAEILTQEWEKEGYAQHLKSFLERYCVNSLHSQLYYGKEFLLRTDIPKLHVTHKVRADGNVTLRCWALEFYHTEITLTWQRDGNNQTLDMEVIETRPAGDGTFQKWAAVVVLPGEEQRYTCHVNHEGLPEPLTLRWGKGWKRAQSLSQ
MGAMAPRILLLLLVASLKLTETRAGSHSLRYFHIAMSRPGFREPRFIIVGYVDDTQFVRFDSDAENPRMEPRAAWMEQEEPEYWERNTLRTALRYYNQSKGGSHTFQWLVGCDLGPDGSLLRGYEQSAYDGRDYLALNEDLITWTAADLAALKTRRKLELFGLAEKRRTYLEGKCLKWLLRYLKFGNATLLRKGDGLSLLPGQCPGCL
MLHSYEIHYLLGMTKHIVRVSAPHMNTHLAWKISIIAAGACAGAVLPLLPLSTTIEVAARWQVSNTRWNKAVLFHTRREVGRQQRQLACVYPVDDAARSSCNRIVCNNSTAHCGGVRELADLETS
MAIFNGFCDRVPMLLIGATGPLAADSRRPWIDWIHTASDMPALVRDFVKWDDQPTTVRASVDSILRANQLTRAYPHAPTFVCVDAAVQEQRVASWKSRDARRYRPPRDPLRTRTRSSKRRVCWPAPPARSSWPGA
MSFISVVTTKEFTSIMADGRIRDMYGNIVEEHFLKLRKFSDCIVGIVGNPGFLELLESNLELHGLAKTSSNVITIVKALMNTNVEQDDGQKAFANIIVTGLNEEKSLYCSAYTNVPNKNYDNLSYKLNKNDHNIRYFTMPPEDCSINVDFTIQNLFGQNFSKSGIKDIQSKLNDIVAKKTPSVNTNKYSIILDKKGRFYERKYFN
MLDIRSSAADRGSQIAKYEVIKLKFMRSKNLYNYQQFLSEPFAYAPGYPRAARVSPLTLAAGNVSSLLDNPRSNRPERRTELVAWELARYKVNIAELSETRFPSMANWSWVPATSSSEVATTCRAMRRQRRHCHPEQHRGTSALSAAGHQSSPDEPTPASSGR
MAFKTIVTLGPSIIDKDKLKRIDACGECIYRINGAHIDADQVPNMMARTRAILPNAKIMIDLPGNKIRTAKLSEPIRLIRDESFILYDYQTNYPGFCGCLNKGDIVRANDSIFTLEVMEADKLSAKMLSHSDGLLHSNKGLHIKGVHKDIPFLFEKDRRLMDIADNHDVDYLSLSYVRTAADVQEVKRALAKKDLHLIAKVETQAAIDNLQDIFKEVESILVDRGDLSMDIDLIDLAFAQERIVKAALDADKNVYLATQFLKNMERNPIPLISEVIDLCRTVRSGIGGIQLSEETAVGRYPLESVKMIFDAFKNSRSKY
MTFETEINAFWVGIAIGAASIVSLGPNSAMILREGLARGRVTLVATTTCLSFLVLLSSSQILTGMLGSAGGSVRTALSWAGVALIAYQACRKLRLSLTLERCAGAAFEPDAVQCIRRVLTFVWTNPLTYLERLLIPAFVGQSFASVGAREDFVLGLMIMSSAGCLGYACVGKFMGVVIQHEQRLRVFDIASGIIMLFLALAASAKLVTGGI
MHFAVPMAGAVLNTINTRLDEKSIAGILCHAQPKMLFIDRNLEHLARESLQLLPHEDFKQNMKVIFIDESKTSSIQGVRLRESHP
MKINTNQIPNFDELPEETKNAILEMDIAEAPDMSDYVSKVTFDKTASDLAAAKKALREKQTDEEAKAQKEAEERAALLARVEELEKEKTITGYTNSFLSLGYDEKTAKATAEALATGDMDLVFINQKKHAETREKLLRAELLKETPPPAGGKTITKTREDILKMTLAEQVKFATEHPEQYKEIYGGN
MRFIVKQATKQRHFGDPGNRRVIRSRMVMLGLGFAWLCGLPFAANAQTTRPARFIGDRPLYQPLHARMPPGQAAYMKNFGERPQCPYYQAFQVKLPSEGQVTVYHSGVQTIPLNGTTQAGLLVGHVYRLQIKDMPELAGMELYPTIEVLDRLHPPPGRETAYPVPVEFSLDELEAAAAGGLVTKVVYLEQPDLASPKAQTDRIPTATLHSRANVLAEADRVGRPLAIVRLGSRLPVNPAADSDFYGTGAPAIPMRGAKNPQ
MAASKHRAGSRPADPTSQSDPEAGSVEALLDQGTRLRLRAPELAMVCGERAASLAESADMERLWIHAEALAVFARVRLGHRASVVDRAMAALRAAEAGGHRDLIALLRTDLALCSRSIGVPLTGFAALRPVLTTRDTAPVDRAVALVQLVGCLSALGRRGALDRALNEAEELVDNDPSLDEDGRLTLHAAICGRAAAHLRRHGDISASMDFARRGLDTIGGLSDTSADGGQLGVRLTLELTCALLDSGARDDAAALAEPVLREPPRAAAISPMAWLRLAVATRIHLPSGSAEAAAGLVREALYYARRHDLYAVVGRLLHELAHIEQQLDRPSEAVVCLQEARIAEHSYIRARKQASALLTGEFGRGEHATVDIESLLSSAHPQHLTPGRRQPAQPTQNAQPTQPTQPAHHAQPAHHAQPAQAAEATPFPAKTRSAAGFAAARAAQAGQERQQPGQATPAAQAGQEGQAAGHAQPGGTHGPRWQDAAAHHAAAQNAGAQHTGGQYTGAQHTGAQHTALPYRQGREPESPAQPTHAAPPGGAPQPDVPAASAGAGDQERQHGDSSATARDVLARLGVHVGSGGSGGRRRADDRAADTGGEPASSRDRGPGNATAGPPGQGRAADRSTGSGGPPSAFPRLRVPEGLEPPGTKQEPMDGASRYGDAAPPYDRVGDAGLASFGSDPASRDTAASGAAAGDDPAEQDQQNFDEMDSLLEVFSSWRAGSGGADGAGSGYGPGYGQRGREGRAVPFRGAAEDGSERTRGTNGRSFGPRSTGGRHRGEA
MRSNPVRAGLADREHDYRWSSALFWEEGLGSDELGRRIDDAMIERFAPLDSLVLRQGKRPGGDPQPREG
MCFSAEEFDILSLFNQNIRIKTSNLEKFSYICSELVDILSELTF
MTKPDTKFLVQIDSKAFTQKPESNDIGGIKTRTQNSQAQFLTTKELATEIEQGKSFSLGILEGGLGASNWKEQQIFGVDIDNNDKNLPILTPKEAIQICKDNEILPMLCYKTFSNSEEKPKYRLLFKLNESVKDENKRLLIASTLVALFPQADKSCVNADRIFFGTNKKVKILDKIVSFDKILELSEKTIVPVKEKSTELDKLKADFDFESLLNEECGEMTRKTKTYIMYKNCPICGHCDDFIYYTKTKTFKCFGAHGGAGGSIIDYLMATRNLSQKQAIDYFKLELCKLPRGKNADLRGNQQLELISAKQLITQKLAPINWCMENFLPQGLALVVAQPKSGKSWLTLAICLSVATGTDFLGYCTNKGESLYLALEDSRHRLKNRLEKILGKNEIPENFNFAIKAPSLANGLIDMLEKHMQEHPNTKLIAIDTLQKIRTGASRQEGAYAADYREVGMLKSFADKYNITVLLVHHLRKQKDADVFNKISGTNGIMGVADTIFILDKDCRESNEAKLHITGRDVEFDELYLSFDKSTCQWTCEGNAEIQAKKREVKEYTNDPIIKALRKLIEIDADWRGTAQELLNAFIEKCNIKLDEKPESIGRKLRKYADLMQEVDSIIYTAPSANGSNGRRVHKFHLGVKFADDYILWA
MCRAFGYTSMAGRAGASQDAPVSCNAGKANPVRFRPP
MVAPARGHINPVQEVAAFTEAVLNGFTSRKHVANSFGQDIEDIDEDNAIDQERARLLGLRYPVYPGLDFKEVLSQSLAEDDEDIEIGVDENGDVVDATPPPATAATWLTRSTISVSRFEPVRSHRRLKTRPGSGSRWDSRTCLRPFKNSDLRSPDTASRSRSLGQHPRVFSLAAELKDSRSNRSNVYVR
MSAKELLGWSVGRIGRHLIVALCLACAGVPAFAGPPEVPPPEYQPMQFVDDEGCLFVRADVSGWTVWVQHLDAARQPVCDRVPTSLNLPDRAPEASAVDAV
MVSDDGHQHGPSSAAQQEKQTQLTHLVRPVMWPYPSVNDRFDLHPQQEDYLLPVKSEKTQLEEELGTLHYC
MPPTLNYDVLLHVLLLCDSVVTATSLMATCKLLYREGIRIVLGKQITIIKKERTLVSFMAYVRAITRIGTISFPALRYMHTMPKLSRLTIVGPLFFKHDPSLLPPEGRTHSTGPSARGTLVASRRIEHDGDSEQPHLLRDMLMRPRPVSLTFRLRGASFLDDVKTLCVVDKGIAVLTHLELNMSVTRRGDRDVDFGRAMRAMEAWLLSIDQPARLKIVVEMHTDNGGGRAQESTSCWHERAGCSKRCIAREQRPWFSKLEQGMEAFDVRRYNWGRTEGDHVLLQHLKSNIDTLVDITRSSMRGPRSFAFLLTTDVRRVPLVQQPVDCLRRGVTRR
MQSVGNVTTIGRGARTEIKYVLGDAEDQKHRTYRRWLAPWLSDDKDGSQTQLLSSLRRNAAGGTGTWLVENFLPDWLKKGTDHLCLHGSVGMGKSTFALAIQASYFTNDIEGSILAVPALFAFDRRYTHGPDFLLRTILAQFCSLRFIPPGIEEEYKRHAEASEIRQVLDLTCSVIQGLDEDALELHEHGMTHRTSPLSLNLILDALDEVPPREQRLVRDVIDRLSALNSTLKHVRVRIIMFVRSDTSVHTRCQESHIWSTRQIYKDDVDIDIHTAVWMRLSSHPSLKDLSHVELKQVVHTIVTRVDGMFRLAALYCDELEKLQRQMTKANEVLDLVNSLPDELHTFYDRILESVSDKALRPYLTRTLCLLAVVDAVPATYLAALSSMTYKSWYSWFGNFAEKPIKSDDILVPLNGLVTKELDKIFDYRGPQIFTRVETVVKLAHFSVAEYLRLANTRKALENYDFASSVIHGSGNRAVGLRLYAFRTYGSLFPDYLQTDDLRTAWEHSHDGVEINLKASLVVPLGLNTIESFHAGRWLWRIHHLLFDIIVNIVCVLSIHPKRCWSLRSRAPFSIDMRILDLSLVCAWWAISAYAVLEVLFRPATLLRPAAITIQSQQ
MAAEHEVCGREALTPSHIPEAAQPLPAYAQPGDTVHISEMFRLVRGTGHILDVLDVLHRDRLARRIA
MESKGKKIKRLTTGKALNFKIYEEIRCMYCNISVPFDEKKKMLDRHALETK
MSTTQGVANVDQVDDIASAVEKSFKENKKGAIQQIYSNQVTSKPFWMLIQGVLTLYLMFSAVGYIMGVIKITKYDLAIRVAKVVFLVCMFSPDGDRSFKFLDATLGLLLTSELWLRLLALIAAGPVGWLAFVGVVWALIEFFLAMFSAMITYLFSILAVAFLITLAPIFFSFILFQRTRQLFDGWLKVLMNFSLQPIIIFASLAFLNQMILTSLHVVTDFTACENCAIGINIPSNDPGTPNKPDICLLPVMLPIGFSNELSVNDRYREGLAREDIGFMGLPFGVAIVLVLILCCKSVREFVKISETIAHSISGSVASITASAMGATQAMLGVVGLDAASQQRIAAARGMAPPGEEKVQFESRDGARPMQEGADPKSPEPDGDGAAARAATQGVDDSAAPDAGSGDSAAGASQSGDDSVAGGGAPRVGVPDVADFGGSGGEGSPLMGDQSSDMVQVQMRLWGPVEGSEDEYGGYAQWCSDDVGVAHYEEVGADAPMGPGGGEAEDDQYGGDMLSGASDDEQSRLHPEDSWFDARSEWEDEPSPLAGGEDVRPSGDTGAADSEEPGHGAHHEDNPDDQSIAQSVGDSDASDSGADTDEVGRTDTTSHAEYAESDVGQDDQQQSPEEEPDDHARAASGAEHPEHPEQKDDDSKASQGYQAEFVDHLSGIEEADDSTGRRVDVAEHTTDGAADSYGNSDGEVIEEKLNKNPDNDTSTEEKQDKE
MDPSNGDYLINFLNSFNDSTHQEKNTIHSLNQNSSSTPAMNIKENKSQALNACKKNFIKSLFNDDRNDNGSTGASDKNSNNFGSESNSSNCNESSMLTEHLSSLNLNGLSSGSNPNSLLKFTTQTTSKVLAPSSSNNTMLSFNRNLIKCHNSNPFTSSNSSSSSQSSSEPLTPRSMINNRSFPTTTNIYSNQNHCDLDSIINFQKSSINQYDPSKCNHHITLNSLDVALDSLLSSSKSATSLTSNYLFKNRRNLTNSEIFSSGLENHLSNESKSFVLNDSSNDSEIFRSIDNFKNFISNTDSYNSFGAISPFTKNQSTVSSITSLQSPISSNVLSTEISDLQIKSSASDSTRNSSPFTTLSTLNNNQLMTRFDYQIRIIANEINSTVQLYQNSIQMRKEQLLKQLDHVRNSYSILLGNYNAVKNQQAFQQLPKISFTRPDQNLFKSITSLGFIHTPAFAPNCVVSGEGLSMAIEGEPTCFSISTRNCFNEEILMGGESIEIDIQPKEYINQLQNSFIQTAFHIQKNILDNNNGKYNVTYMIPRGSSLRTIKISISVNGLVINQNSPFIATVKTERLREKWKLISVYGEEGSEPGKFCRPWGIAITRLPLVKDSNHKLIDDNSNFSTIPINSQAFYTWISPNYRKDYLLAVADRSNNRIQLLKLSIFEDSSKQFIQTNVNKVEISVLHVFGSGPGTRPGMFDRPAGIAINNNISQLIVADKDNHRIQVFDLSGNFLFKFGEKGSRPGQFCYPWDVAVCSKTSMILVSDTRNRRIQLFTHYGQFLWHCAHPLDSPRGVVFLTPDRYIISDFNKHRLLIIDRNESSSHSSVNNHQRDDLIAAKYIGFGEGSAWGEFLRPQGLVAQVQNNNNSANKYLQILCADSRNNRIAIYNSLNQNFEYINEETSKSENLANIASSFDRPSGIAISENILAVVDFGNNRLQIFQKNLV
MATPLKINHLELAKLLEKGWPNKKIAAHFGCSPGAVSQAKKRMGVAICHAAAIEKSGGVKCKAHRHHNAAIDAAPVLISNADDAKAQISTLISRCNDELNWIGAEVAREDKKEYRAWQEAAIKHVAEIRKLISALADIEYKLHHVGVVEKALLIMFEEIGRESPECQKRIRNRLEKASIVF
MPKVPSEKRKEKNNWGNGKLFGAEKDENSFSGGGFKDKEKAQETIRLLEGRDPVYQYQVINSMYNRAKVIFKRTTDQEKRKNLSEAVETLEAWVDDYKAHSRSKENYNYLNLEIVEMCKPLAERYGFTDFDFLKCYQDAEGDLKKLRVKKVPGKSITWDVERNNRLKNISKDMNDNHLPLYETSEPLRGLPTKEHTEMIMLGYSSDQSKLKKCLPLLKEKLQ
MANWVDGVAEDSISVKDRGLLLADGHFTTAYVADGAVSLWPAHRQRLADGCRLLGFCAPDWSALEREVASACRGYSQAAIRITLTRGDSGRGYEGEWPARPRRLIQIAPFPKRYRAWQQAGIRVACARQRLASGGALAGIKTLARTEQVLLKREVQVRGVEDLLVLDPNGYVVEATAANLFFLIDGVVVTPSLSHSGIAGVMRNHVISLLAEQGRPVLARPMHGNEVASCTAAFMTNCLMGIVPIRQLDQRILKDRSLADTLLDVGVLWR
MTNKTRLKSALFVDFDNIYLGLRQLDEDAAERFASNPSHWLKWLEDGMPGMPEGKGQAGAQRDILIRRCYLNPRDFQRYRPFFTRTAFSVVDCPSLTSQGKNSADIYMVMDILDTLNHPTHFDEFIILSGDSDFMPVLLRLRAHDRRTAILSAGPASAAFIAAADLVISEDVFIEHALGLGGEESRKPANGVTPPITATPELLDAMAEKLYAEASANGEILATDLPKLYRNFPEFRRDSNWLGFYSLRALTTHLVRRHPNLRITEGDPWRVTVELPRKAAKAKRQPTERPAPLSNEEKLRKRILDLVRKLVNRSQEPILMGKAAQEVIDQLGKQVTESHWAGAGTFKNLLQSEENLGFEIATTPSPGYLYDPQRHTLPREEATKPADEMPPKLAEFAKRISQITGAPYLTPRQYALIFTALAEDLKEHRYHLTSTSKAVRDRLIEQGESISRTNINNVLKGIAYAKHRFTKRDTPQSLARVYRSYILSRCDDAELELSREEKRMLKEWIEGGLRELQQTKKTEKAEKKNGKKNGKSTALVKAETT
MKKFPWACVALTTLALYSSSLFAANFSASFKNTDIREFIDTVGRNLNKTILVDPSVQGTVSVRTYNVLTEDEYYQFFLSVLDLYGLSVIPMDNGMVKVVRSSVARTAGTPIADSENPGKGDEIITRVVRMENVPVRELAPLLRQLNDATGIGNVVHFEPSNVLLLTGKASVVNRLVDLVQRVDRSGVQRREIVPLHYASAKELSDMLNNLNNEEQKGQNAPQLATKVVADEETNSLVISGSEEARARTRTLIAQLDREQNNEGNTRVFYLKYANAAKVVPVLTGIGEQMKDKPGTAKSKSPVAATDLNITADESTNSLVITAQPNVMNSLEKVIDKLDIRRPRYWWKPLSLKCRMVPEPISACSGRVNTAVYSLAQPVCRSARLRTGR
MANWAEKVLDDLEKKNHWEQVNDFLGVVVSSGMGPVFSVFRPKPRVRLMNGPKEIRYFLTPKSGEGPAPREHVGGATFPTSGSRNPTLTTVAPGRRPADHLIESEEVAA
MTVASDDIIASWHARSVAEIGSNDPERVDRGAQRLFWLSRGLFSGALGSGLTPTALEWLLLSATAGSIDAKASVYNVFCALEQVFPIDSLADWLLDACYAGQESAERDLKELFPDLYPGALECLRTTYCGYGQDCFGERWRNEYPLDSIDDTLEAWLEDGRSLDELHNYPGLACGMAWLHYASSYGRLDVVEFLVRKGADPKIINDYGETPLFMACQSGHFDVVRFLCPLTRNGSDKHEALAADELQFLGRFDPTKTKEAANLLVDWGANINQPNSDKQQTPLAYILNQHGPNCMDAVSALLASGADPLIKDYHGLDSLAHAACQLSFHLVQVILRYIPVESMAQCKAEALWFVLEMDNYDVFVNGGKHHVDKLEGTIKLLLNNDTCRLFRVITGHSVFVFSCAKAPLAVVRCLFNLIPECSLNEWSPSAEEWYTPLMAAVVRRRVDVVLYLLELNTDPGLTHPTTLWTPLFYAVSGSPKIVSALVRSVEMTQSWEAACHYINQRDMGGFTAFEVAVAGEFFEAADILANYQPDFLTFAIPYEDDSTCLLNFLGLAVDKADQLLYLLDLIGPAADLPRVDNEEVTVLHAVAGLPIGE
MSRGGNRIGVLRYYDVIPAELKRFLIKAFMLFVLWRVAYFFILQPTDFPDKQLIDLVLAGTRQLLLPFYGQVLCIGDTIVINGRSALTVYKQCNGLDMMATYLGFLLSLPVNKSKLLLFAVGAPVIIYLLNLLRCFLLAILFYKGFQPHSFTHHQLFELFIYGFTFLMWGWYCKGNTSSHS
MYDQPLIHLLRLRNTCRAPNDPSVFLDQRTPFIVDNAIYGEIQRQRGILRIDQNLGLDRSTRGIVSSFASSNALFRQRFAQAMFKMGTIRVLTGRSGEIRRNCRVFNNGR
MELEQGEHSILSFFPSSDKAQEAAQAIEEAGLVPEPGAIQVDRITRYSSADEDEYNNPISNAATLSGITLYSASEGEEGTNPLLAAMDSASGIGNPNAGVPGRHGFMLTLVTAKENVEAALEIIRAYDGDT
MTKIRTRDASRIQDRRGEGGRGGGMGLPGGLPIPGRMGGGLVGIIVILASIFLPKLLGGGGTPALSPGDGDTAGRANPDACQTEAEQILCGATDDVQDYWTGAFPSQFQQEYE
MWERILDIVNYAFRFFLVIIGILILTDVVFPYYHDKTLKVIFGSILILLGVYRIIIYFFKKKRINNEKDNQEL
MAGSRVGAIISGWWHKAGLLDWRAWLVFLVTGVACAVFLTGIASDYLGVADPRNTTGVGFLLGSFGGALISAVNRALWAADIWKFLLELIKGKLGG
MTAAAPSFDTLAASRPEWRPWLRVFAEARRACADERWRDFVPDVADTQPADAPRLAGAAITLDAHVAGDLLRAMALAAAEAGGPAATLGTARITKDVALSLLDASINEDTARVVELAQSLGADPGALGAVSALAAMPLLHACREAWRAELFEHWEAGYCPVCGAWPTLAEACGLERARLLRCGRCGSSWTTEWLRCPYCGLRDHERLGALVPDGGESRKVETCSGCRGYVKTLAVLKACAPIDVRLRDLETLELDVAALERGFMRTASPGYQLGVSVSPLPRGGLIAALFGRRA
MLRIAFVFAFVLAACLLVALERYRSDELQARRTAEQVELLRRLEALDRPTVSRLVAQWRMTYPEPSPERLDELRDLVKQLQADPAAIDAPPYSP
MVWTSFHLVRVSLMTAGKKVAATLAAVTAAAVMAAVDENGGIALDDLEATLLRENAKLKKREKKKSFPPPIVACLSACERSLATPFETSSSFWSGDGTNARTGCAGQPVLPTRLSCLGANGGRSIPLRTREQICGPQAVTSRKLKWRKSVHDLKYCPDVRIPTRWVIAGVTFVGFIFNLGLRTSINIALVAMVNFTAVPQKHDHHDNDSHSGNSSVLEKCYIPEKTINNVSIGDTLSISGNVTSRLSGPSSSGEGSFVWDETAQGAILGSFYWGYVFTQLLGGRLSERFGPKRVLMGSLVSATVVTLLIPSVAHLGVGWVIAFRILQGICEGPTFPTMNVIISRWAVANERSMMVSVIYTGGPAGTMLTLYIGGLIIPLLGYQAIFYLTGVGCLFWALAHWFLVYDSPAEHPRITEKELAMYPKSAICNKTAVKTVPWRKILTSTGVWSVIVCTFSKGWAMSISFMIPIYLKAVLGFDLKSRLTFADVFGNAICFFLLSFAGCDYVAAIVALTVGSMLGGAAYSGYNACVMEMSPTYTGTVGGLSAMGFSVGSFLSPMVAGMLLQGRCSLGNRHYPRATKARDTKAPRDNSPKSEAALAGWLASASWRPLRSRTRERAKKRMQNLTQWAIVYQQAGAIFIVGGIFFIIFGTAERLTFDPQPEPEQDPKKSVTQATLVIDAREEEKTQANQTAGHVNEAFSNYREEEVGRNKSSHLGDINLHNPNAHITSSGLETSEDAVFNGKSGYMRSSPSKIYMRSSPSKIQDKNVLHQPGRNHKRSQTSKEGELQGKQV
MGKMLLSGLVLSRWCEYHLMQWSLSRKMTSNRARYLNQDLIVLVRCRKPVIFRVGICVGIGWCCLLLTILNHEVKSHV
MQSHGLRLPLWGSPVSHLRRVQLLASREQHTLQQQQQQQQQPQQQQQQQQQLLLQHKQNIHQSIQRYEQQQQQQQLLLLQQVQQHNLQHRLWLPIASRWPDANTCSSSSSSSNSSRSSRSSSSSIWSSKGIRELSSSRECHPSKESNDAACNSNSSSSSNSNSNSSSNNINASNNVNDSSSSNDSSSSSSSSSSSNSSKGLGGVCRCCGESFQGIKILCNVSSSSSSSSSSSSSSK
MKENRIPLILGSAVCAIILVMAAISMAAFPMSIQAGRSLDKVALDESDLPLGSYIVISSPRSPSDISAESLELGWKQGYRIVFLEDSRLVVQQDISIYPKENITKVLGFPIRLAEWQVEELPPPNIGFEARMYTLTKIENGAVAKAYAIEFIKGDTYSALFSEDKTLLLSLAETAGEKIPPFYISPFVILALAFILMYLLGLMTYSVYVNIHGKIKK
MNQVLNIEVDLGVGLAMPAMPADERLTRISFYTETYSLYVHRDHPLSGRESVSCDDLRGLAFVMYPQGFYGRDLIDRWSKERGLVIETIMETGSATSLLQLVGAGIGATIQPSRLIESFQSLGIRAVAIDDSPIREMVIMYRNDKYISVAAKAFINKLETSFQTSR
MTRSTTKTTTTKATTMTRSATKTTTTKATTMTRSATKTTTTKATTISSTTTSAKATLSTKTTTTKATTISSTTTSAKATSSTKTTAYVISTETATTIQLSK
MAKELDCEVIAEFVSEEKIFMLLKDIGIQYVQGHYLGKPQTLSYYLD
MKLTTASIPLVLLAFTSAVPTLYQPSLVHSETAPSTSRATPTTSTTTPSSSL
MKKWIALLLAIAALLSLTACGGGSDLPSLSGYYECESYAFDISALEFESDGTATLYMDWNYTGTYKAKGDGYVLEITGGQSSVSGLLAKEKNDAYKITVEPNDDGTLTVYLKAKSGYIYYGDPSAVFSPKS
MSESDLRELESLLEDEPQMERYIQLMSMESMLHASLQPNNQEAEQLQNPTLADLHTTNAPPSPTLVALPSLNQTSSNHSNTARFWLGLAACITVGLTGYWLMTPLQNKQPTVVSQPKPTTSSTDIARVSGSIGLTEIEKNIFKTGSFLEDEGLTLETGLLEITTPNGSRLMIEAPADLSINQANKVNLNAGKIAVFSPNQNDHINVDCADLFIVQGSKAFAISLPNNNFSQPEISAIDGALQIENVENQGKPVLTLPEKQSAQYTNNNLKSVPYQGDSFFKEIPNQDPLWSIDYDQSGKGVIELDISALIWRPGKHRAVFKWLSGKDAMHIDQLQLYQGDRLISEDIHPGVTGKHHITQNHIYDLYIPSTDFHTGQWKLIAHVHGAERPDGSKPKHSQGIIYFDHRNSLKADKTDWIGSWEYTNHGKRYTRKINADGTMEIFGGIANNTGRWWVKDDVMFITMDRRKLTEHHILRDKRTLIFIDQPYQPAIQKED
MQNNLNSKFPLLDKFKTEFRFLLVGSWNTLFGYSIFVGSLFIFDELLLIGSYSYLLAITCSHILGIINSFFFHKYFTFGSNEVGLEAFKEFFRFFNSYLITFILNLILIYIFVELFLFDALYAGALSIFICVIFTFFLLSKYSFKAKESRELNE
MSLRNSYRGGFWSLSARYTKTTMIISSEGLYPFRAFTIPDKFKQKAKDELFETDENRDNAIQRLRELVTDDTDLGCRITDDFLLKFLRARKFNIPKAFNLVKKHMSFKKKNQDIFTDMDFEVLSKLIKKKVFSFLPYRCKDGCAVFVVHLDNWNPSQFTIKDICKGVVIFLYHSICDPLTQINGYKIIFDVKSRGIKHIRYCTPAYLYLLYTGTQECFPGRFKEIHILNMSLTFQLAWKLISPFLSEKLKKRMVFHEDYKGLNKYFPTSIIPVELDGELKEYDTIPWLKTATRPENLQKLAH
MKVTHRKLTEKEIEQLKENYCYSDNWSLIEVKEGFSPEYVRSVNFSGIVSLGVFEKTFIREGGVPIHSGILRATLHNCSVGDNVYIADIRNYIANYDIEDNCFIQNVTTIVVSGKTSFGNGIKAAVLDETGAREVTIHDKLSSHQAYVHALYRYKPDVINKLESVIDTYIQCKESDRGFIGRNTSIRDSRTIKNVRISENTIIEGATILENGSICGNKQASVRIGSDVIAEDFIIMSGSNVTNGAKLQRCFVGQGCKISSGFSAVDSLFFANCHMANGEACALFAGPYTVSHHKPSLLIGCMFSFMNAGSGSNQSNHMYKRGPIHYGIGERGLKLASNAYLALPAKIGPFTMVMGKHYKHFDTSMFPFSYLVENRGNTSLIPAVNMPTVGTLRDSRKWPARDTRKDGNKLDNLSFNMLNPYVCGRILEAIRILNELLDESDKDIYEYNDCQITVSAITRALKIYNLVLDKYYGDILIENSGLKAEFMDSGIGEWIDLGGLIAPKLEINEILANISDLDQLNKSLKDLESKTHSLEWSWVKSRVKDLSPNDLMDRWLKATSELNKMIIKDAAKEFDNVFKVSYGVDGTNEEKMLDFEIVKGPDEHNF
MTAYRKLTKNEIFQLKNQSCSADDWDKVMVVEDFTPENVTETCFSGIVRLGVFQSEFTLPGGIKKHSGLCRVTLHNVVLGNNCYIRNIQNYIANYEVGDNTFIENVDIILTDGLTTFGNGVSVAVLNETGGREVFISDKLSAHQAYILAMYRHRSELINRMKQITDYYSNKHASATGKIGNHVTIINTGSIKNVQIGDYCHIEGTCRLNNGSINSNEFAPVHIGYGVICEDFIICSDSHVDNGTILTRCFVGQACQLGHNYSATDSLFFSNCHGENGEACAIFAGPFTVTHHKSTLLIAGMFSFMNAGSGSNQSNHMYKLGPNHQPNMIDSLIEIFRFWSVYDKQS
MTLFAELSSQFAYLQAMHRHDAELSSRLEAMVAARTAAATADRGHIGAGARVAHVDQVIDVCIGPQARVVGAARLCNGTILSEDAAPATVGSGVVAQDFIFAEGASVEDGAVMHTGFVGQGAHLGKQFSAENSLFFANSEAFHGEACAVFAGPYTVTHHKSTLLIAGIYSFYNAGSGTNQSNHMYKLGPVHQGIIQRGTKNGSFSYMRWPSVVGPFCVIIGKHLNNFDLSDLPFSYVTEEGGESLCTPAMNMFTVGLARDGDKWPTRDRRTATVRRDQIHFDIYNPYTVGKMIRGETALNALYEETPRDVDQIRYKGVIIKRLLLRRSARNYTGGIEAYLRGKILARAAAARAAGDADVLGQALGAGDGLYSEDWTDVGGLLMGRTRLQNIQADIVSGALPSTAAVEEAFAGAALAYEADEWAWLHAVWQARHEQAIGELNLQELDELDAAHRKWEATFVKKLLADAEKEYDPVARYGYGVDGDVETQARDFEAVRGTFAGNSFVRQMQDRLAQ
SFRSSSASSSLRLGVDAISGLLAVVLRNYKPQYHGQQPGNLLQDHHNFFIRCPRQSREQSAIECHEVRRETTAQNGNSEETNFLSPKIMHFETYGRVHLLVATIFVTIEAKS
LHNSEGTTMLIIIPIANGGGTGYYQQGFLDIQLLFEVVVGTMVGSYIGAKFTKRVPTPVLKTSLVALPIFSGFLLIV
MSANMVLNDNIKTDNEVEVVNSELSFKEQQALSYAFLDAFYDRQTLGYDVKDYTPDDVTQDIIDIVNEMGRQIVTNTRIVAIAEVFYNIGTAVGLGQTFLRALKNQFEDIDNVLEIISLISVFKDKLIQQKNIIMSNFFARAVLIQILNTRKQEIELKFLGF
MLLEAGPPDNHPFIHVPATFVRIIGPSCTVQSPSRGNRTFNVDNVAPSPVELHWDALVHLTASMMSGQASAVAALARFDSAAQGDPIFHKPAARLSDYNAARLVVMLPRPKFFEKLPNLAYLLGYAATIVARMEDAMLP
MIRCPCCGYLTMKYINANDGFCVDICPVCHWQFDKASSKLGSINAREPMDDEIN
MGGGLHFGVASKNATWHPDPDGRGTYGLVSSCLITMALCVWTAVHLNLPEHNRTKMQTWRKVWWLVLGLFAPELVAWNAFEQYREASALYQKIKDVLGEESPQPFLQRIGIRRKAKPAVDLELNPKTPANPKRHPWTMTHSFYATMGGFAFDSDTMKQDFLPDGRKRVTLTSLGVLHLATVTPHLLPNVSISQIKDRSKANNLAKTIVCLQAFWFVAQFISRLAGGLAVSLLELNTFAHAICALMAYSFWWSKPFDVEEPSIIEGEHVAVVCAGMCTKSYIGDGLIIHTTKRHIRVYDGQIVLGFEFAVFDYSSPSARSRKQVRVREASSFNTVDEDSGGSHRRLFLRLTLEDQLRLRLAKNCYELYPAMEAAKDYKNTDVLAFVVSRVGNWPDSSKNCKSFRFGNVWERNKPIYVTFSVAGLVYGGIHLLAWNPPVSTASQIIMWRISGVTLAISGTVSFLQTGLFQGFGKISPSRKTSEGELLREIVGWAVEIPLCVSVAGTVILYIFARIYLFVECFISIPHLPSSVFESTNWSQYFPHLR
MQRTGEWLLPETHRQEAIHRRRLHCRRRERRRCFSVSDSLSSQSLSPVS
MIIDIIDTEYFKNTKLKQIFRIIMLVFYPCLLLFFIIDWLVFPLDAYHNHRDILIVIFSLVLFLDMLFDTTLFTRIGEVEVQDHILIIKHDEIIDRIDLTTINQVKLKRVNYKFYNLIIAKHNIVIELNEYTKVKIKSIFKNFDIKLS
MRPFVYQFLEKPINILEKGSQLIEYSADLNLSVLKGTNHPAIQLPQLGTTTFTKADDEGTDSDINRSAKTAYDQLTTHTFTRNQLESGDSDFNETINRIRALTDTNTLTLVNAETSDSDAHRLFQMLSTQTFTEAKEATDSDK
MPTPRAVSDRPLLLLSAWGVTLAAAIAATWRLWTPYRATPQIAPFEFLAAVPLRPDFLLLGVMSGCVVRAIARPADWRRSVGVATAALVALMAFDQLRWQPWAYHAALAGAILAGTSTATAYRWLRLVTVAVYAFSAFAKLDAEFAATLGPQMLGVVGLPPRLALLLPIGELGVAVLLAASLRWKHLQLPAGVAAAVMHAATIGVLGPWALDHSLGVLFWNAGFALQTLVLFGLRRPLWEDSADRPRLAAIVALGLAVVAPLQTPLGLWDQWPGWALYAPGGERATLYVHSAAADRLPESLQSYIDDDVNNAPSEGPWRRVRVDDWALAATGAPIYPQNRIVAAIASGLAERYPLTGRLRVVAESEPHRLTRERTRQTLDGEEAIGEASKLLGVTPGVRWSR
MIKKTLSHYKSLKYDRNFYFQCAIGAIFLLGAMLIDFVANSYTTRHVGMSVNDLILDHIPVLNLDDVFLEGAFIFTGFVLLIMFYRPRGIPFITKTIALFFIVRSFFLVLTHLAPPAHEIHIYTGDIVRKLTSGDDLFFSGHTGFPFLMALMFWHDKWLRYAFLVACLIFASAVLFAHLHYSIDVFSAFFITYGIYHIARWAFKRDHEFFKAEV
MIAHNRFNHHIFELIHARAMRGEGVLLSWTDCYRHAPDHQPIAAIKSFIMNPWTDLDAVETVCRQLLEARQQAIAAGGAA
MISIETLAYELWLRDTPANYPPAFDMVRTFLEAHGINIHGGRVPDKVEIRVTRNDDGSFQFACWLNQVDEAGGVIVCETCTSDVLKERIDVPLAAPVPVLPGARFWPAGESLDRVLPEDLGGMRAAAELSYLEQHAMNTRAEVHAFASRRDSLARFVESAQAAEVTA
MRRANPQYGLATTVFTGDPVQARRVGRRIQAGGRWIDTWGLLSEQFEQVGVKGSGYGHLCGLEAVEEFQFLKVYAEMDYAVAHG
MASSGGTGGTACAPVDDDNPCTDDICEGGVPKSTPTAVGSPCSMEGTLCDGLGTCVACLAPTDCSGSDDECKTRTCVARVCGLAFTAANTSVAAQTAGTARARYATATAALHDRRRQRFTLRRRRRLHERGVRCGCADPPAKANGAACSDGDACTQTDTYRPGPAWVVILSSAAAARAASSARA
MSELTTFHTVDEGRFHIHTLQTDQFRTRHLVAKLAVPLHRERITETAMLPYLWLEGTRSHPTALAITRCADDLFGAMLRSGIGKRGNRHVAEVYLSVPDEGRLRGADGIFEQGLGLLLEVVTDPLLEGGGFSNGNVERERGLHRKRIESVLDDKIAWAMERALAESLAGLPEGLPRLGFAEDLEAVTPEGLYAAHQALLQEAEVHVYAIGAFDDSPALASRVLTALREAFPDPRHGTPSPGVPAVAPVPARSGEPHRVTDDQPVQQGKLNLVYRTGVAYASDDYPALLVANGVLGGFPHSKLFRNVREKASLAYYASSRVDALSGLVIIQTGIDPANRDRAEAIILEQVDALRRGDVADEELAFTKRGLANQYRQSLDVPAAMADIHFGGVLAGHTRTVDELLDAIADVTRDQVVAAANRLQLDTVYFLRGEGGAEHA
TQRLLNLSYGVIPIFQKKPESAQYMFTAAVRMLRQKGFVDLDDKIAYLSGVLGKGGGTSFLEINKVRSAYDEYFRFHLPTDHVAKGVK
MQAIMATEEVESLDPTMLIPTYSSKGMAVRNMDVAGSITTVRPCAPALSLVELGKRLLLSAKEGDTEQVRLLMSKGAPFTTDWLGTSPLHLAAQYGHATTAEVLLRAGISRDARTKVERTPLHVAAQEGNTAIVQLLLAHGAEVDSKDMLRMTPLHWAVEREHVGTVEVLLQNGANPHEVSKFDKTAFHIALDNNRHDIVQLLQSAAERLSPLQSLSASATQQAMEEATLAATQSLAVELAQVPTPSHQEEVQTSTTSSFTVETASSQSSPLERRQEFSAQPPKKDKMSFNTGSSYQKKLQGSATLQLLQAHGITMLPADDTTLVASAVESGQTVVLTEAGKLALNLTEGNTSNTGHCKTVSGNTAAVVQHKAMPITLPATAKKKVITIRTGQLIGKSGPNILKRVDPDGLGGPPTKILVARAGVPVSTSSRSTVNNMTVSVPPVNILATIKRQLQEARREAEEYKKLYSRKEREAEEYKQQLQSITQAK
MSENKNTDKFSSFDPDSNDSETESPRVSRNSRRSSGSSAGGNINMGGFFKGLGEIAERLSEVVEKAEELSRKAKEAEASGNESGGELKSEFNSKGFVVKWGFNNSTLEDNPGAARPFASRPPGFASRPPARPAATPGYTIPAKDKAGQRPNPNNANLTPVATVREPYIEIHDETAEGQIVVIVELPGVAEEKVYFEIHDDILIVQGENTDCLYEKEVMLPAMVKAEPVSQKYQNGLLELRLKRV
MVGARGANRHCHVHDPSRRGRFLGHVERSQVRDRWAIAVSASVSPEAGPFGVLECMAAGLPAVATDHGGAAEYLREGIGVLIAPGDPDGLATALSELIADPARRELMAERGRARAAQEHDTEMALPAMAEALTGR
MVTCNHCGQPNADGTHVCRYCGAALGRTRQAAPYAPPQTHWSEPAAGAMPVQPYAPQPQPQHAFRCPFCGSNYPPQVGRRVSSDGWVVFGLLLFFCAPLFWIGLLMKEEYRVCATCGSRFG
MGACIEPPQHAWVVTEYLSTTLKEWLHGPGNRRSERMVPLPPLQERVGRALEIAQAMQYLHEQKPKLVHRDLKPSNIFLDDALHVRVADFGHARFLGDEEMALTGETGKQSYS
MPFAIKPQTAPSILKLLPGSLPKAYIPPNTDVVSIATKILQSFPQLEKHHFAADVLWRDTSCVSRSCICSVVTFEAQFLVLKDHAS
MNNNLRLKELDILRALSFIFVVEQHSMGGHYNIKGISNLYYGIFKFFSIETPKQKGNYKIKVAMSCKNKKKQTYFISNTVNLIVE
MSLPMPQELQVLLTVKVEKPYTNCRTPYGAPILAEDVTWDADAPILIKPSANISQVNYVALPSSQDEFSNSIDRLWKQAARRKHGQADFQLGLYIYVQRRNTHPVIRRATEALVEASAAAIREVLEMAGSSSTYGPAAQRYWAISHSRQPEGTPIEPPTSATSAQLQRIGAMHSDISATLDSNGSSPSHQFVRVACRLNEGIIHLELDVAELRRAVGMPSYDLFPPFRPDLEADPTENVSDTDHAEQAGE
MIQPLLYACVALVATIAIVMIAFELQLYTRAYSLRRSSRLTQKGMADLLVYAALIRPGVVLNKDGALMTGWLLRGEDAATKSDAELAQRVAYINAAIAGRDVGWMLHYDKLRVPAAAIDEQRYFGSATQQVMHESRVAAAAADGARFASRDVLIATFLPPSDAEARVTNMLVVGRRARDLELGPILATFDAGLAQIEDALAPIMPEMRRLHERITRDARGREIVFDELLEHVNFCISGEEGPLAVPALPTQLDALLARDLVGGLKPRIENKHVRTITVAGFPHESWPTILDRVSALGIPHRFSSRIILEDERKAREMLRRTFADWFGKRTSFAAKLLKDAPGRVSRDAERMLDDAEEAQAAHDRGLVKYVWYSASIVLMDADAAKIDGWAREIQKTLRQCGFPSRVETLLAVDAFLGTLPGDGYHNIRKYTLHSLNVGDLLPTTAIWGGRAALTCAMCPPAIGPAAWVKTLGSDYFALDPHADDVMHTFVGGPTGNGKTAFVNFLMANFPKTPDDQVFGIDYQYGQHRTCAMLGGDHYDIAGDAGGLVLCPLKDVDNPEERRWAVDWVETLVELNGIALTPAHHERIARAMELLALSPHRSLTTFVQKLQDPTHELRPALKQYCLGGTLGALLDGDIDALGDGRFQVYEMSHLMPLKEKAIVPVLLLLFYRIEQRLRTNARTLIAIEEAWLYLGHSTFAPRLREWLKTLRKLHAGVVFVTQNLSDVFNSPLCDPILESCKTKILLPNVEAERATTDFYRKVGMTDHQIARLALATPKRHYWFHANDGCGMIDLALTSEELAVVGAGSADDIALTRSLQARYPRTWQAELFRLRGLDAAAERWHSLDGVLEIAPRTRELAIA
MININFFNQILRFLSTMRGCHDHHPYFGQKGIDTAKISNVISEIKAACKGSGTDEKRLIAATASCNAMERDVVCLEYNKQTSKHLVQLLKSELNGNLEKLFVGLYSMRYVFWAQQINAAVMGMGTDEKKLIDLIISCADNEMAEVDRAYTQLYKVSLLETISSEGGAAAWVKLLRSWVLNKNTAQGNPEQIAKRLREAAKGAGTDEAAIIDVLTGVSHNTYRAVDQEFQRLYQKSLRSVLKSEFSGKSEYAFLAAHDYMIDPVRFVANMLYVSMKGIGTDDDKLIYTTVLHCDWCGPWIGVAYEQMGFGDLRKDIKSDLSGKYETAVLGLWHL
MNKERLIELIDAYIDQALAPADKAELEKFIVESDEARRLYWEHIQMHAQVREIMQEAGAFKTAQAETALAPRRQLSAYRVLALAASLMIVAGVWWFTQSGSVKDGCLVETGKGQKSALRFDGEDTLIEMAENTKLKVVGAAVVPGAAVNAEFVRSGPRKVIEIESGSIKVSVAKQTDGRSFIVRSAQAEIRVVGTKFSVGVGVDRKKTRVDVLEGVVNVRQLPDGAEVAVPASVWASVEEGKAVEVSANAFRFVEEFLLPDEIRNAQGGNSIHAGFASDGRLLWVVNPGTTLLYSVDPQTKTVKDRIDLSGSCKSLFALGGGIDCLWAIGEIKGGDCQLLRIYPSNGRCDETGVPVAGSGALNSSLAYGGGYVWIWEMPGKDVAKLRKVDPATQKVLGSVLVPNPHGSWVPTLMWFDGGLCYQAPQHLVRFDPASGNALVRNMMPRGRGNALVTGSFKVAGESGGRCWILNCPSRRVTLFESLSSHRGE
MLLLQLNPLPALKPFPQVQLCCVYIPLTGTQTPACQRSSVLTELSLHSELHNLPILSGMKLQVFDFLI
MRGCSSIVQTTSLVRRTFDNGIWSSIVDLGKCDPTNPVPKEIFVESRENGTGYRQDLVLGWEIMAPKFTITATRPYFTRDTEDVILMVDGDGIAHGNVSICLESISEKNNVMRTKEVKVSSKIGDALKIGIPNEWRNDVSVIRVVAKRKIKNGYSNETMLYIPNLSSTTLQSSLINPEEIKSFYRDDEIMNIRVANTGKEMNFVVSQ
ARVTRCNAGLALFRLDALEKEGLIVMSGDSGGNGGKRTSPKRR
MHENTGSQRDAASTIFNLPNYQVIDVIDLPDGDRRVVVASTFPPGCPSCGVLATKVHSRRDQRIRDVPIAGSSELSVGRGVEPSGDEEHSEAVVL
MMSMNKVPPRTRPELEEFLSSKRRKIQPEDVGLMTGKRRRTPGLRREEVAALAGVGVAWYTWLEQGREINVSTTLLDNISNVLKLNESEKQHLYLLVQQRLPYELVQTTYYVPPLIKKLLDDLPVRPSYILNLRWDVLAWNHAADCIFHFSKHRSQSRNLLWLLFTDNKIKSRIKPYQEQVIQMVNSFRRDYAKALKNKELLCLIDKLKEVSNEFNELWDCYDINGPCSGERNLFIDEIGTLKFEHCSLILDAHQDIRMVYYAVKEDDKNEIFNNWLIEKIKLAV
MNSASNRREQLSAFLRAQRAKVKPDQVGIDVAGMRRTPGLRREEVAHLCHISLSWYTWLEQGKEISVSARLLERLARVLRLSAAERDLLFLLCNVRPPIRQQIHGETIVSEGLKQMVEGVEGPAFIKNSWWDLLYWNKAAMALFGDLSAVDPDKRNVIRLLFLDPEHMRLLVNWQQDARSAIAKFRLDASASKEDPVFRELISDLVAGSKAFARWWEESDVKGRDEEVKEFDHPVAGRLSFWYSLFSVDSEPGLRLNVYTPFDSESRKRMQRLKKITEQKIRGYRVCP
MEISGADLATYIGLIVGVVGLFLGGGFAYKRSSVKNTREQTQNVNHGNAYQSNGDMTVNVNQTESEADKELAEENRAKKSHDLDIITQILEPLPYEDSQVWFEQAGYAGLPRDFCHGLDSLEKFLSEPYKLYNSKVEKVKNELLTSIVSFNETCMGHLGAQENPKGNMYLPPYHWKNQGKESEARYYEQVKAVGNAGQATKECLDVFISVIKEESFIVNKLA
MALVYANSGNLSFLALAKAWRTTCCHEPLLLAGLGLMIVGLGFKLSLVPFHLWTPDVYQGAPAPVSTFLATASKIAIFGVVMRLFLYMPVGNSEAVRVVLGLIAFRLYHLR
MSIQLDVLDGTPAGPDRTPAGPDHGPHPDEGILQAFLDASLPPETAAQVDAHLAACEPCRHRVAALEALEGRVRERLEGVDASRPPLGRPDLDRARWEVRRRRASGRGRGMRRRSAAAAAGLILVAGGVAAAIPGSPLRSLFTGQTSPAGHEPAMVTSAAVDGLGEALPGMTGVAVGFRDARVDVVLEGVQPGTEVEMVIGTGDRVEVLAPEGAQFRAGTGSVVVELDRAGDVLVRIPPGPGTVVVRSGFRQLAQWSGGAFQFGEGVSAEPRSDGVRIQVPADAGGEEP
MSSIVSNHVRIAPQFLDSILNQIHSTKEVGKFAQINKKYNQVVCTKNKLQKLDDPSPLIFGGASVFNGQFKNLVKYINDYRMSIATILEVSEEEDFSLIKKYPTCRMLLKHCVDLTIRCLEEMDLSYFNGLSIKKLSIDYSLLSFNQELKYPLNDLIPFFSKSLEQITLDNVMLDDKYLPSILALLKDNNPKAEVKVIVVQKSNYLYDIEKINKVNDNVVIILKYDILNPFSEQICEKIVTEELYLHPSIFQKYLVTPANKYVAASVAQLVDKCAIQYLCLERAVPASEVPDVEIDKLKITGRVPRQFYQSQQLKSIRSLEITEKTIQQKTEEHYDLTQFTHLTELKVGDDLEFKSKKRIVNLPNTIKVLYWRQNFAHIGKDVSVNGQIGINGLNQLEFVSFEPIETSFENVIFPQSLKDLRFNRAPLLTDMQQIGTLNNCTQLILADCESLKNPIFPKNLQILKMSYCTQVTSLNLVSLTNLTNLELGQFAGTTMQLPLSLKEFTLMQSQKLIHLDLTTTLIENFSIDRCEHIKQVTLPTSVKQVSYKDCDVLEEIDLSQMTKCKRFDVEMLPELRVLKLSTSIVHLNIVDVDSIEAIQDLRPFTSLVDAQFINCSKIKQLQLPKQLQILSLDDNSSLSQINRSELTQCKVIVSNCEQLKSY
ARPPSATRAAGTATSEAVEIDSALTPQGFDAEKLGAMIADAKLSPQRKAELAALLDQAARNPAARAAALARIKASLP
MGADLEPATLLEAYCHGIFPWFAKDEPVCWWSPEPRCIIYPKQFKPSKSLIRQLKKPRYHLTLSHAFEQVVQACAEPRAYTHETWISQDIVAGYTGLHQAGFAHSVEVWDGAQLVGGLYGVQ
KHGRFCEGFEEFEQSVKDAIIELGGVVVPKLTWSCPIDALFVSCEGNLKCKSAEEIFLLLQCSDVIVHDLYHAFDCVGEIFPGNGEEEKEEEEEEKKNDEITPDVEHSLVLKKWANLRSGREMRCYIFKNELKGICQRDP
MAGKEQRRSGPESSPGTRSSESAERALTPQEPAARPWALVPEEGEASAVAQMRHEIRTLLSGIVGFSSLLLDSELDPEQRAWVRRIRSSGDAVLSMVDDLISLGQPDGRGPHVDHAGFDLRRAIEDAAELLGDRAHDEGTELVLDVEPDVPAAVRGDAARLRQILLNVVATAIGAAPRGEVLVRAALDAARNDEARGRLDGAPERARARVRFDVRLVGGAGRADGAEAPGAAGAPGGRARLASGRDLGVASGMAVAKRLVVAMGGELCEPADIDARRHGISFALPFERRARGAERALIPRIDLPGKRVLVVDDSGSVRQVVRKLSEELGLACRTSGSGAAALAALREAADAGAAYDMVLIDLELADLDGLRLLQRIKADPAIAPVRVGLMTYAGREAPSRAEVDSVLIKPIRRAQLHSSLRLLAGLPPEHVSAGARAHPPRSPRGTASSKPPRQDEPDRGRRPPGAASRSGAYEAAHPDGAPPSVDLAPPTLVTPSPPPTSKRGQPASSRPRGGLRAVEPDVVTEMIQLFLTEAPTRVASMREAAAQGALGELERLAWALYESADALAASSLKELCARLDREARAGTLESATEAVRAIGREVERVQSSLAAELKKRGFR
MWQTRIDEQERSGQKQRAWCKDNNINYHNFQYWNKRLKQISETEFGDPVQFISLTPVKQQSLGNMSLTIGQVKLEWYEGIKPSELDQILQVISRYA
MKTETKKMRELYDQWQTQGISKQAFCNRNGIGYHKFNYWVKKFRGKNVAPVEPTRGFSQIPVQQPDLIEQNQQVLAAITFPSGARIELFGSLDASFIKKLIL
MGMLCAHSMKILPHQVYDLPSEYYLSRWTIDAKTGVGIEEPKKSIQGSNGSSTLMYSDLAHMALSLAMKGTSTEISSAFTKKLLTGVQGELDNFLKSSQDDQTEMISKTANTNDGNMDETSIQLPLGANITLRAPPWKKKSSKKADRLKSSVEKGKKRQRKSATKKVKAAKKPTKTKLAVKKSTNVKRVTGKRKRNDQHEPETKIERGEKRTAQTKVIPYYCPQLHSEVYIQTFLCKISFMLLTYI
MYDYKKIDFFGVKFNAFNAKLKDIYYAIGDGNYLFGNVCDVNPILRTFSIMYVYFDEYNWGDNDTYFFKSNKNPDSPFTAVIETAAFKKFESEGLAKPFLTFFVDTETYFY
MLKKRGKHVHVVESFPSNVKPTVETTTTTTTTTQPKLVANNAPAAVSSIPTQSSYYPMSYTTYVDPNTGLTYAYDPNWQYNPSATGVTYDYSSYYTNPAYIQNNPQYEAYNTTVPAVTVASNPTTQQQEHIQTTTTVGPNPLIDKGTYTYMPTNEKKHKSTKKTVVVHTSRNPTPPAPKIIIEQPHRSRLPRKLQSTTVLKERTTQTPHTKTTTYVRA
MPEEPRDLTLDELDERISSAFQDAYPDLSQEERKHMSRNFTQVVNGIVDIGRKVGSEANHSHMDVANSLIYWCVASTRLEDFCNGEWDPALEDPGIARISPRETSMLMGEFVARVADWLLGMEVLREFPELYNTFINGAVALGTEGWEKNRGKLEY
MQGNFRFIFHPSENSVHCAMADVRVGSNETSIVIADSAFEHSDTRLESAADLLRAEGRKISIITPEIQKSTQDCIMYALNFAIKSYKTGFTGEIHGKNNAQIISERSAEKSTHRFS
MVDSQPPESLLAVQEAVSRGGESDAGSDTAEDAVADVSGNTWEVSLFERRPPDVSADGLYVYHNMFHLVPREIGRLGRLKTLKFFANEIEVLPPEAGDLVELERLQVKVSTPGIAGLPFQKLKSLRELELCKVPPRLAAFSILSDIAGLKCLKKLSICHFSIRYLPPEIGNLKKLEELDLSFNKLKSLPDDIAMLGCLKSLKLANNKLVDVPSRISSMSSLEKLDLSNNRLTSLTPLRLDSMVALRDLDLQSGHHGASSCLHSEAPPSFRCHATKMKRKGWKRYTYLQQRIRQERLNHSRKWKGDHNMTVKMVEEDENSSLLEKSGLQISVEGTSVLDDSSQPDVLHNDLSSVVDSDGCCLAKDSAPQILHDSGGRNIVDSNKNVAEDLSSSVTSNSSSLNKDYDFESEVEDNGCSLNPVTAIDVPDERSSCEVSKFILQSKRHSDKDLDNPKPSKFRKPVEDFSDLSCKYSFQSHCSVDDHIPDGFYDAGRHQPFRSLQDFEQNLCLDSREVILLDR
MKTTSEEIRLFDHDEYGEGFLAMAYHVKDMSQLDRMIFEDCMVVDGAVLAPHVNAKSRCSLHLRPEIISRNLGCSFQQAVALADAWSIIEASPKMVDVFTGWIKAKGIEKGLAYFEGLARQLAEVEVCWDHEPVGNDKDEAFDDHVAEYDESVPDLYGYHAIGSDDIAFIEEKTWEQKQPDWYLSILFKVRDVSDLKSLKVIAGYAFQFDLSRGQAGVFWYEYRKAKDRIMKEVRCALSLSAKGLLKQIKNANGHLPAVGALLYKIQQGKVKMNNPPSDPEWSILWEAYHEQKQASCLARSAQK
RLFRQHDTRPTVAEVEAAGAQHEFRAFCKQVAVMFYGLHVLHQAAEGPDMTRCRHGSMETRYLVLAPRRSAHRFSLRRQTCVSGPVAAPASMAQEDDGPTSSDVCTEMRKVDFPSGQVQKPPP
MVQIPAGWIIQYHLENGERFYIDHNQRPPRLIWPEEHSRLDNYYAQQLAVPSTASNPRYLSRSANSLQAVPPTYDYGPTSTIDTRQHHYTAQQHGQPGVSTHAPFPAHPLNTTKFTANSSATQHAAPIRPAQPTPWVGIQVSNYQNGYGGLASSSNAPSLVSSAGSSYQNAWSAYSQRTSQVEYNERSATSLPRNPSVRPSEYIGGAMAHSSERGEYDVGDGMPSTATYPARMRD
MADPTGARLRDFDIPVILPRIAFQELQYAAEPATGDPATPAPGPVTTVTCAAPTPTAAAGPPPASVGVPSPKVLIGLPGASRRTTSRLRRSGLPFAVSSDKAISDVEVRLLQRLAKGRLRPLGVTLAIDPGRRTVRMTVLPTPFAKGKLALPARSRAVRAVVTVTARDGSVGRTQVDFTLKG
MNGLVCAAGPGGQWSETTKALETLIELCKCSACNQIMKNVHSTFTCEHFFCEACIKDEINDHSKCPECQSPAWIKDIRANPQIQGIVSCCESLVSIFRKRVPTLPDLTRALSSMHVADNGQQQLPTLLPTPAASDYQHEQADIETQMSEPEAESPPHDTRANARAAPILPAAMSLRRQNAPMIIFDETTRTTDSDASSETPRRSAAASRLAGATNQSTTPASSKRLFSNLTNQAEAQTTSTAKGKDSAKDATLHTSKRGRLDSDSDVSSSNSRPASPAPRHSSTEGWSCASCTLSNAATADACTLCAAPRRASTARAKSAVANRQSRLLQGAISTARGGGHAGATHERPLATKPAAGASAAATSRPERARASSTRPTAPAAPVASQTATPTSQVFTSPSLGRKTKRNPKGETSLHVAAKKGAMDRLAELLEAGENLDDTDNAGWTPLHEACTHGHVEAVRMLLQYGANVNFPGFEKLTPLHEVLNSGDVNLELVRVLLEHGANPDAVDMRSQTPRQLAAGNEEILALLNTKLTPAAPKQRRVPAAAAANTNSSSMLATARANPGPFVVLSTGLQKEQRSALEKLVTRLGGKVVTTFDATVTHVVTESDANRVCPRTLKYVCAVLAGLWIVSFDWITECSRRGAWVAEEAFEIQGAAHGAGAPTKGRLNREAGRPRLFEGCTVYLVGEFKPPALSVSDLELLVRIGGGQAKKQATAPSTRDHPAQRHVHAAAGADVYDRFVITEKPTSKDGVHRQVCFGSVPWLLDCVTRFELFEPVPV
MKRLGSVQRKMPCVFVTEVKEEPSSKREHQVSTFWGNPLISHLKFWQLKL
MSYVLSGWHKKGTEVEVDVRNKLRVAVVTPMPFITPNYWRGRQLMRKSEHFSCPRQAVTSFYLIACFHRSCNITDVIPPF
MGNPHILAVACVSQPQVVRRSWLPGRHVQAGCLVFTPPSRPIFASAALIASHPLQSTTTTMAQTMRPPSHLSTRDAATDPKTPTPTAEADSDSDDGYVVPAAPSTSTVATQVDRAAPRVFSASIPAIKITETPRAQQSKKRKLAAPSASSSSAAAALHRHHNSNNNNNTNNNHRQDPEPDMRFPHLPAPLPVSVLAPPPPAGPSTKDPLAALVASHRASHSRRAADITIALKRRGQSQEMIASYTNWKGFCKSEESARLDLLRAMDDAAAADREVLRILVAELKELGDVRCRVGELVDGAVEEVIATAATEKRRRVVGRPRPRWGSACAPVLRHALVLLVVVLAVLGAARWGLVL
MLELRRILSDDGCIYITIQDKNSLKELKTSVYYKELWLKRYVEENPFFIAAGNDFDKLVGMRGIRSQVFYDTEYFQK
MMERELREWFAGCRKAMVMGIGNPLRKDDAVGLEVVRKMEGRVGKGVELLECETVAENFLEEVERIRPSHLLLVDAALLGLRPGESRFLTSEELPVETVSTHLLPLRIFCEYVREVVGCKVALLCVQPGDTDFGEGMTRELEEAAERLADTLSLVLRDLCGEGEGR
MTSDVQARGRTVLVTGVGRREGIGFAITRRLLQDERARVFAQSCDAYDVSEPWGVGTPGAVQVLAELSTAPSRLGHLDIDLSDVEAPAALFDAVESRFGAVDSLVINHARSQLGELGSLTAENLDLTWAVNVRASLLLVQEFAQRYVDHPDGGRVVLFTSGQGEGPMPTEIPYAVTKGAIAAITPTVADSLIERGITVNTINPGPTDTGWASADLNEFVSRHMPRGRWNSPEEAAAVVAMLLSPDSGSITGQVIGAEGGFRRFTP
MNNLQGRKIIITGAAGAIGGAVARMAALEGAHLLLVDLDGIRLDTIARDLYGGPHRTVASSLQSPEDCATIAKLAESAVYGLVHMAGVFMHHDLSAAARPVYDLTIAANMTNAFDLVCAIEPLLVRDVPARLVFASSQAYRRGSVGHVAYSMAKGGVTGLTRALARNLRERALVNAVAPGVIETPMTHDMLTARRQDLINEIPLGRLGTADEVAGVVLFLLGPQSTFITGQVINVDGGTNNG
MSEALSDDPATAVPGGPVLSFGPDGLAAVRHVTREGAAAAGLAADRVEDLVLAVNEAATNAIEHGGGSGTVRCWTDGASFVVEVRSPAGPPLHEDAGRTPPDPRQPRGRGLWLMRHLTDRLEIEPGPVGSTVRMRVRT
MKKVLKVELIKNRHIFKNVDIDGYIYDEIRNVRDLDYMKNKVKKSIDWLMERYEINDYMDLELNLYVTGLTVALIEAINFCNLYGVGLVLYHYDKVLDIYYRQDVYLDN
MIKEYLAVKLVNKDSQIGLSLSCGDYFAIKDQNTIFSEADFLRAYHDTDFVRSLPTAKLVEKLESQPAEDVAQYMQNLLDSIGSTHMNERGRLLYLANELHTPALLSFWTNVLERKPKGLENEATLLDAKEPGVDLLELRNEIYSSLRNLAVFSYKDESALDALTRYAKAPDPNFNNTLFRKEAYYRIREVNATAAARTISELSKSDPLRAAPSHD
MIWGLLTVLSSVPNSEPAYTWWRANTSFLDVLAGVASVATLAALWFAAVSVRHNTQARNLAVVVDLYKSLTEQREKAFHSNLYQMTEEQFYVLQMFTLMEHCCFLVNSKAVSGAARDFLVDWLDQEIPEIEDQQQFRDRLDVATGQQLAEYRTYRAGFETREAQRLEKRLRGKIGSPEHFDRVLDARRRRFQR
MGYDYTEDDVKHAKAKRSTGEDAPVDDYLPVNIHADFQSAEDQSQSDLKKRSHDGPTDDSFPDITERSSEEESEDDDLSDIEKRSSNILADDSFPDITERSSEEESEDDDLSDIEKRSSNILADDSFPDITERSSEEESEDDDLSDIEKRSSNIFADDSFPDITD
MRVELLFLFLTFTIIINLPLIIFAQIPDSISNRPPQLLTTPLIQSSPDLLKKGLFGNCTVQATIDSTGHVNYCNITSSRNASFDSLVKTSVLAAIFSPAIENGEPVTSDVEFEVHMPLDTLLSQLFRLSPILHGSIIDTVLNKPIPHARVLLHYSDTTYDTSITTGFNHYLSLIGRNEKQRISRNLLITTSDSSGYFAFRLLPAGKFTVSVQSEGYELCQYSGNIQPDKPLLSTYVLSQLESAFEDSVNEIKIYGKKPLSPQNIDIDEVEKHIGISPYLSNIIQSQSNIRRVPEGPSMMLVRSGCPYDNQYIIAGVSMLSPFHFGSYPYADIDGIMISTLPGVKVIVDDIGGKRIDVSGSIIEATPGKIKYDNGIENRGLYIKGDVGWQGIDLLTAYTSKKKSSDYLQAGYSFCNNYSLKFNTYRNTSIARGNWGIGIPLTYGNATLTGSKTLGPFQCTMFGWFAWDKYNTAKSFSIEPKLQTREQIKKDRGAPGDITFLPWGMGSIRLSGNRSNRSITIGGSHQYFGTGKQHVNSILTLHSFINTGELTADFDTIISRPFTGKLSTRISYNEWNGELTRQSIDTSQEHLQRHDNETGFHLNGSLSKKTGRITSKADLLCSIIHYNDSTQLTGDAGISVVYGTDNIHTGLYIGRVTSRPDIRGLPDSLFRMQLNRTYITSFPFTLGLSNIPKLSFEPYLRYSTSTPQLDPISGVWNPDETTPVFAY
MRLKMAWPCTEYEIQQGARGKTVVQAEIDAAAELIDFFRFNAKHAIELEEQQPLDSDGSTNTMIYRGLEGFVAAVAPFNLTAIGGNLAGTPAIMGNVVLWKPSDTALSASYAVYKILRESGLPPNIIQFVPADGPVFGDTVTSSEHLAGVNFTGSVPYVSLSLCLYWMLYIRRTFKRLWKQVAQNLDIYRNFPRVAGECGGKNFHFVHSSADVNSVVMGTVRSAFEYGGQKCSACSRMYVPDSLWPQIRQGLLDVHKQISLGDPVEDFGTFFSAVIDDKSFSRIKGWLEHARSSPHLKIIAGGNCDDSKGYFVEPTIIETTDPREKIMNEEIFGPILTVYVYPENDYKQVLHLIDNTSPYALTGAVFSQDQAVIEEAGKALRNAAGNYYVNDKSTGSIVAQQPFGGARASGTNDKPGGPHYVLRWTSPQVVKQTHVPLTDWKYPYMS
MLALRGRLANKLFRVAGTRCLSMPPNEPLTNIDGENRTKLLNAIKDVESQTVEVPVICGGEKIFTGKVKYQVAPYNHATKIAKFHIADGELIKETIKRAMAARKAWERTPFDERAAIFERFLDLLCGKYRYEFLATTMVGQGKTAYEADIDCVLELADFYRFDIYNGKRLLEGPELHQPQGVKNSFIYRGLEGFVAAVAPFNFTAIGGNLSGTPVIMGNVVLWKPASTAILSSYRVMEMFEEAGLPDGVMNFIPSSGPAFGESISTSPHLAAVNFTGSVGTFKTIWQNVAKNLDVYRTYPRLIGECGGKNYHFVHNSANVDIVVQSTIRAAYGYQGQKCSACSRMYVPESKWPQIKEGLIEEHKKIKMGSPDDLENTFVTAVIDENSFDNIKSYIDYCKESSNITILAGGKCDKSVGYYIEPTIVETKDPLDKMMKEEIFGPLVCIYVYPDNKYKETLEVVDTTNEFGLTGSIFGEDKEFIKEASDMLRDSAGNFYINDKCTGSIVQQQPFGGARMSGTNDKAGGLMYLLRWTSGMSIKEALSNPGPWKYPHMK
MSLHQARPSASQEENITPSARVARLIEVTKSLSVLIDEETAALKERKIRDAAKLHDKKIKLSNAYAADLSVIKANPLLVDRVPAEEVKRLKSTIGALSTRLEENRRLLAAARSVSEGLIRSVAKISAKKSAPVTGYGRNAAMTQPRQIGSNAIALDSKV
MQEQEQSFILIKKSWKQLMKSTNDRIAITENTLSDNSAPTSDQPEKESHLEVVSVMMTDITAEAVMGIEEAN
MSLTDAGACLGMPPSWTGVWGRFRPLEERLHGHRADLPALFQHLTDYVARQSPTDYYDRRQRYTNRILPALDMQEIIESYAGRTTHRRRLAEGRTSPHLLLRHDPVPSHRQRVAAGPGMEPPTGPADEPAATCASVVFVLEHEHPDHPFSVHLHTLLSVHVSKVIARAGG
MRKAALVATGLVLAAEALLMSLLLGAVVVVALTIDPEPDRPSPPWTDNLWLGLAVCWVAVCIWGAVRTFGLVRRPRTDGWAVELVLVAVGHALAALPIARTELWPYAVLLAAVAGLTTLLAVPATA
MLLWLSLHQQIRTTVDASTAENKATLQRTALRRHNQQFKHPVDEPLPSEHQLHARTQMWSLVHSIEEQLDSPYIIEVANGKQISVCTVYRNCPLTLNGHTFTIDLIPMELGRFDIIVGIAH
MSFKYREKIRYHYRLRCKAWAEHHQSAEFQATPLMKPLCLLARTLLFVCALFAVRTTLAGGCLCNYTPATLLEVIDGDTMVMEISGQRETVHLAKVTAPRLKPDPQSKESWCEGEGEKAMKAKDYANMLLLEASEITLDEQQRDQAGEISAVVYIDNINLGQELLYKYLAVENDENPNWCG
MTGGALELQRVLVEADRAVRARPFFARALRGRLSGAEYAELIAQTLELLDSLGAPSVEALSRAGRRDLVRLREASTAPEAPCPSARLLACARAGLDARDTPADLGQAGLAVVGTSWCADLAGALETPYPGRTGFLRRLREEALRCAAALCAGLRAGTLDPRWTCASAELGRAALLGLAAHLELRWPEPLAHVQLTAKARSPRRRSS
MRNYLYAGVAATAMILGAQAASAADLYTPPPPEVVIAPAPVGGWYVGVFGGAAFPLSTKTKAGAAFYDSATDTFDAAGFNGKTEYDTGWLVGGNVGYEMGNGLRGEVELSYLQANNDRLKGNAFLDIDDSDFIIENNGGFKANAKDHTDVLFVLANMWYDFNMGMPFKPYLGGGVGVGFVNQHLKVGNDSLIDDSDTNFAFQAGAGFKWALSDAVDLDVGYRFKGVLDVKLEDKGGVGINGDAYAYKAQTKDDLYYHTVQAGISFKFGGY
MAEITERTLETTPTWAVAIVCLVLIVVSIFIEHLLHLLAKYFNKKRRKSLIQALDKVKSELMLLGFISLLLTVGEKPIANICISHSVAESFLPCESLISDEDEEETKCTEQGKVSLLSRKGVQELQYLIFVLAVFHVFSIMLTFGLGMAKMRRWESWEAETRTLEYQFSNDPRRFQLTHQTSFGKRHLKCWSDHRFLRWPVSFIRQFYKSVPKSDYLTLRHGFITAHFAEGSDFDFQKYIKRALEKDFGVVVGVSWWIWICTVFFLFFNAHAFYNYLWLPFIPLVMLLLVGTKLQGIITRMCVDSHDKSHVVRGTLLVRPSDHFFWFGSPKLLLHLINYILFQNSFQLAFFTWTWYKFGFRSCFHRKTEDIVIRLAMGVVVQILGGYVTLPIYALVTQMGTSMSKAVFTEGVVEGLKRWRAKARKNVAIRSPNRPARPSLDTSLETSVDTSPSFNTLDASFSAEHYAAVDVNYLLLCLIN
MSLYSDRPSDTMTGLFSDKGKQSYTVIARFSNGTSVSLHDLNPDVKGMAIKIFGVVDQQTGESKTVDFTMTNSPTPFGTDQAKFVEFMKANLWHLKPHPSSPIEYGYKVGSLPWFLITHPKVAKRLIPASVPVASVTTQRYWAGHAYLLHPDQAMKLNMTPVKTAYKNAKLEETIRLAKSEFPLNAPLEDQVRNFAAKDNFNNQHGRLAQLANTLNKDYLANDLADRASTYPIKFMLNAQLEHGGDETPIEDNLVEWTETHSPSFPVANITFDPQDARNPELTAMCERLSFSPGHYVSQHRPLSNMGRGRIFTYLASAMGRQAQQDISEEEVLQIKRKIALERK
MVRRMGKETAMSRSFVITALLVALAGPALADYDAKMEAEEARQRKAAAAAEAKRKADYEKQRSAIEAKGMRKELGKEAEGKSDAEVKQIYNAKVKAQVDMAKQVQSGSAPPGSDAAKSDAQVKAMTGKSTKELQNMTPAEQAAFAKQMEKQYGGAK
MKRNRSLLNASPVQYYETGDGVLKRQNSRRKSKNNNNRANSIKIEVEAQMDQRIPLLTMVPMPPIPFKPMPPAWTKIRPSQVDLFSRVAFPSFFLVFHVLYWGFYLNVTF
MLAASLATTGLLMVGSGVFVVIYSSSMLWTALLSRCLTDKQFSTLQWAGIGVLTCGLLLNGFDSQPHTPHTPTGRTVEELSDMQQLETDRNGNIGGAVIGDGYSQVNVGVLVVLLGSALHSYFFVLSERIMYQRILSDAQLCAGVGLAETVLFSAYIAFVCGCFGTHEKLFSSMDDANTSLGYATMLWLSAAVVNSVHAGSFFIILGELGAVESALLKIIQTVCVFAFSAVFFCQYESSQCASPMKFMSMLLVACGLVVYAMGARAGRPSNTKKSPKLPRRNTRTGIELGVSADSLH
MRIIIVALAIAVLAGCQSEPSVQMGTDAEITHDGLHKVDNTRMQMVWVKPGLDLKGYNKIRLVGSGIEYRSVKAVGNANRIPGNRTDFPIDADKRQKLEDVTREVFLEELAKSEHFTIVTEEGPDVLEVNGALIDVVSNVPPEAIGRSDYYLSKLGEATLILEVRDSESNEIILRAADRRAVQPTFVTRSSQAFTLNEVKKELRTWARLLKDGLDGLHADLSK
MFDHLADGSEVLRALLLTMLSSDQHPVVPIVLSLALLWAALRALRLLIARIRRYISTLRPEQSRIELQWRRLGINFGTPPV
FIGYGQAFVTEGDPAGFGITADRVWKMYEGTYQITAIRPVVQSRNTDVNAWCTILEAVKIKDIKALNSGKNLLS
MCEAILGMIEAGRVEGLSEGETRGKIKGEAKIVAIIRKKYIKKKNLQIISDELELDYSYVKEVVDLIHEHPDWTDLQIGETLIMHNNF
MGKNLLSRSSRKKSKGGGEDNSSSSSSSRSEPSEPSSVSESLAGALHNREELEDVFKRFDANGDGKISSSELGDILRSMGCRVGPRELGLMMKEADADGDGFISLEEFIDLNTKGHGRRQLPGGLPEHDHRRQQERRRAH
MGSTSPRRIRRRPRASRARRAAARKSAPPRGRAPRRKSLGCVRPLSERRGRGDAVGVRRLRALGVVAAVAWTSAPLGGTGAAAGQAGGAARTAPLLATPFEASGGGALPSSAELSAFLARLDAAAPEARRLALGRSAGGRPLEALVVSERAAFRAEARPDPARLRVLLVGSQHGTEPSGAEALQAIARDLALGPLRPLLGVLDVVVVANANPDGRDLRRRTNAAGVNLSTDFVLLSQPESRALAGLLRRFAPHAVLDLHESALWKRRTLGAQGWLTDFEAQLEHANHPNVDAALAAFAEGRFLPALRARIEGDGLRASRYAGEILDAAQPVTGGGLTLRNLRNYAGLAGALSVLVENRLDPPGRDYATPRNLAERVRKQALCARAFLALAAREREAIRARADAARAAWRRPAPVFLRAAFAPDPARPAVEIPLRRAAGGALVPLRFPYRGRVERGEPLPLPAAYLLPRASATLRALLAGHGIATEVLAAPRAARVVVQRVTALERRPRLVGGREMGAEILLETRAGEERRELPAGTLRVDLAQPAGRLAALLLEPRSSTGVFRAPRLAGALAPGDELPVLREP
MHDPHEVPVTVVPDRTLRVKIIDACGMACTFCHNEGTPVTADNADRPSDAFTGTPGRSGRVSIYLATNRAGFLSQRIPADADFALALAAVRGSLPINEVHFTGGEPTLHPDLPGLVRIARRLDLAVGLTSNGENGASVLPACAEAGLDRINLSVFGTTPEELAAVQAPRLASPRLAARKLDALARTIETACRHGVKVSANIVVPDHGHVERVLRIVEEYGHDVVVRILVNLEDDGASLAAMHEVLARLGAVPELRIITAGASDQRTRYRLPDGRTLYAKSIRPVRLPHTCTGCRFNNDRDCQEGYYGVRMYRAKDGPFMIGVCIQRMDLCLPLGEFVMSQRCNEVRNFRDDEAARLTALHRAPGREPGRR
MWDFEQCDPKRCSGKRLERLGMVRSLKVTQGFRGIVLSPTGKQAVSPEDKDIILEHGIAVVECSWAKLDEVPLNRLRAKHNRLLPFLVAANPVNYGKPLRLNCAEAYAACFYICGLDEYGDEIMSKFKWGHGFKEINEEILKKYVACKDSADIVRVQNEWLASIEEDHQRKREEDDDDDLLFENPNHNARHVEEETRRRRRGRGGRRRRKRKR
MSEAQLRNSDPDFDAWLGQQLQFSEPYLDDDGFCDGVMERLPAPTRRSEKRVTHTQYAAVVLASAIVAWQFPFAEVVSQAASQSISLYSLLGLGVLSSVAAMFGGIVAARR
MDNLEITVKKRTAELPHANVLLKAEIKERKIMEEIIRDNVKRLNLALESANMGELDLDLVNDTAIRILEQWN
MAKVIKTFRDKVTTNAYYVGDDYKGDRIEELTANGYLAGNTPKLDTVEEVDLDKLKSDEIKAKLDELGIEHDSKLKKAELLELLKANI
MNDVDAAVSEVTDLWQHGQTVGDQHPTLLPSDVFYVVPAGPFFNQSSVKSRVGSMDAHESSFIVTKNTPL
MENAKSNTQSALKPRPTHYGYRRTAVADWRHLIPGDKLILEPAKTNEDRISGTVDAVSENGAFLWVVQDDCGERRLFCRTDGYKTLMNARIKSSC
MRQMAGGPPRHRVRGLSTAPSTKKEQKEPDAQRAPQAEEEDPRVKCRDCGAFGHTARSRRCPMKCWAGALAPQPLGPSTKENLGPKKPLNAHDAAPFNKAEREKEQRQRQEEEKRKALLQKFPKMLPGRQQKGWKEVTESCDYVRVSHLPAPTSFSLLSQPDVLSFLRWPFSGGSENPCGLMGPGHALFQSRFTACQGIRFSHFGQPVPVVKLADNGPAGGSLEGPQAASQTLGLGHTFHPQAEAKRPAVNTQADPKPATQRLSQVSNLRSKAPGKRPAVSSMRGCHNLPKKPRLDSSQTPRKTTETPELEAVHILPPPSNPTGLTEAVSPRVTKDTLSPLPSSDLQPRHDRPRLNTDHASTVSHHPPPSHVPGQPLRVVFRRLDNGWWSSRLMTSPSPCPPERSTPPAQSSHVPEKSEGHCTRVPLSVLYEDLRLSSSSEESDWD
MSAEDRGAYERVLNRALNTTEITTALRRTSETTGAERLRSQASAAAEDIAATARAEYDTYRRLSAAATGRAGPRGLRSVAAAPVRPGSSGWPAVLGVLVPSLAAGAAAVLLLLGYGLRLGGALLPLAGQLLTAGWTAVVIAAVSGLGGLVALLVLASRNRSVTGEGRLPERDPEVARAREAWEEALLERGLMPFLRARIQEDQAHDVSSEQRSRPGFTAPDYSAPEYGSADFASPDYASPDFSSPDFEGPNRE
MTILINSQWVGSGCGHRYPIGAERIRQMLASEELLIPDISYVAGRDQVTQGLRNLDQICNSLDVVEQALSDTKLTEPLFNLGSDCGGELIPVALLNAKYQGNLRVIWFDAHPDLNTVETSGSHTFHGMVLRMLLGDIPQPLSKYLQVPLKPENVVLAGVRDIDSGEQECIDANKMTLLHPDALAKAPTQSVMEQLADAPVFVHVDYDVLDPERHSNTAFGAKNGVALDDLLSWLSFIRSTFNVVGYGLTEFAPSSALSDDTDLQHILRDGFGLNLSSTYR
MEVTERVQEHVNPLTPYPGNSLSASCHGPAAKEGSQPSARGLHAARPGCRVAAMALVTATQRVNPARAWWAYAARHPGMKVGVTRARNVNMTSTTRDGSLGLFFPQWQGAGEVPALETGARRLRARLDGTGPWLEVEVPSLHPLRREDGLWGRGELLGQLGAARRLLETARPARVLTIGGDCGVEVAPVTYLNARLGGDLAVVWFDAHADLNTPDSSPSGMFHGMPLRVLLGEGDAAFVEGARPHLQPRQVFLAGVRELDPPEADFIRAHALRRFTPAELVSRPEALAQALREEGFHHVYVHMDLDVTDPGELPDVACPTPHGLALATLVSQVRALRETLTLVGASIVEYAPAEAGASREAVLDGLVDEVRTLLG
MYIKQVLFSVCLVAGATGSAVFAFDDSMMDNVVNYEVEIIHTPSAQQDKSNDDSIVIGSSTYKENSSSEKNTAKQTAQGTGVQTSGGDDEWETDAGTSVTAKTPAKQETSGSESSSESRDLGLGCSKPEPLPDVTVDTPKIQRIDLTAVSSTYTIVKGDTVGRLVTSLMPQGASVTSNQLLAAIMRANPKSFNKGNIQVGGRLDIPSVERIALESDSVGRDIMSRISSGKMQEYTLPPLKLPWEEEEARITRQKSDKAKRDQLEKKQQDDYTACLEAVKRKKEEAEQKKAREIEEARLAAERKAREEWEMENTEADLGSDDFMIQDPEEAKPKKEEEKVVLNEQGKRVIVLKQNKENDDKAASESSSGGRVSGANIVFNGRDLYARDSTGHTVRNQSGDGAGSAELEKVRKELAEAQNVNQALLDEKIRQTEKISRLEEQFEGLSSKLDNIAQMQSIQISGAAEAREEREQQLNREKEESGSSWLWWVLGILGATGVYGVLIVPKIRSNRALREKIEASVYGRMIIDNPLVRKIQELKVKLRSALKSFLEASEQREQEIGERIAREEKEKAIQEEIEARKGSVLAMGKAGAEEASAAQTEVDAPKPEAPEAVPESVPEKEEYQPTSMVIEIESEPVVPMEFTEEEQLEIPPSTSHSDDIVESTENPEPKTVD
MKNLNEKLAKFSIEKLEERKEFTFYCCPKPCTTTPTTKPSGNTGGGTAGGGGTTGGGGSKS
MKPALIFIAVTFLLIFTVGVPAQKIKAVKLGTADKIEIIKQVLSDGIGKLIENKNFDQCLTPLVKDKKVLFLMTDIDKSLIPRSLKDYRLLVMSYRQISREVLKNNGECYFKLGGFQVAGAKVSIWLDRIIDEIYRFENTSKYTRWIAGEGFIYEFKKIGRWKMISSDRIIISS
MILRHDQICILHLPWLVLDLGMLEFIFVMECHTPFLEMSKNFNQKTIEGFCLITSSDEVPDTTVLLVSQFVLARTPGHEPAVHP
MENKLDEFYERIFENVNVGNIPFNNLKINEIVEKKQLWLEEINKDIQNLVWIVRNQIMNLRIKKVIEKNKDSKILCTFGMEHNYFIYKELKKMNDVILLYPIR
MVRQSTYLAGDSVITYLPADKPTRILQLFIKFKPLSNTGVLMYRFNRSCSEVACNHEILMELKDGYLRLQANIGAASLSPINIADQLTPARWHTVLLTIAGQAAIVRLNDLQGFVMNFTGVVPDDVDYDQPMMIGSKGEPEVTKSDHPGFKGFISALHVNFEAYTLQHTEHWQGHGSPEYGIDIQTDNS
MAASKRIALVVGRRGFGYQRAWRGFTLVRGSDSTHWAPPGLARDDCSTASLPWCGGGANSTGERLQSEGARDGGDTTENKRWWVEGFTLWQPEGGSTSREAVAGLKHSWKWSCVVVSSWLYASGWCRVSAATPSLLQRGPEVLVAQQRSE
SKSEDTPKKSQNDQSKSEDTSSKSDPPHPDDPTNSSESTSNKSILRKYILPVAFGVGAGCGAIAAAPLVIAGVGFGAGGIAAGSLAASMMSSAATTGVGMGVVSVLQSAGAAGLALGTQGLIGTAGASAGAGLTKFAFWAFGGKKKEETRAQDTGVNTDSDVGETRAHDMGVNTDISDVGDTTDTNFSSDVVPSKD
MILLMYLSTAVITCPLWSPLSSEAERATGISIENAPSKIINGIKSLFLTSSNIGRASIDCGDEENSEGSNDLEGEHFKT
LQKRQIGLPRRLVQFKLLNPENLLYHNEPVWRDGVMVGYLSSGAYGHTLGAAIGMGYVGDPTGGIVDAAFIEGGNYEIEIACERVPATASLRPLYDPANSRIRC
MTNHITSWPLVLLRKTPLLPSLPTIADVSFTGMHTLGSGRFGLSLQTSVGLWCVIGWWWCRSK
MTLRRRPRLSAAGLCSGFRPTGGEERRYGPCPGPRASLRGSTTIGLTGGLGTGKSTVLKFFGSLGAKTWNADEVVRRELGANAALKRKIRNAFGEVCLRDGRLDKKKLAAEVFSSPGKVARLERLIHPIVKKKLAAFLRRNKKSGVAVAEVPLLFETDFYKLFDVTACVVASPAVRRKRLLRSHRFTPQDIARRVRHQMPLSRKSARCDMIIHNNGTKSQTYMQVKKIMEEETWKS
MKVIGITGGTGSGKTSVLRMMERLGCFAIDADEVYHRLLRENEEMLAELKVHFPEAFRNGRVDRSILGRIVYNDPVKMEILTSVTHPYVVKEVNRILQIGQKHNAPMAVIDAIFLIESGLDKICDLVIGVVAPRKVRMERIMERDNITEEQALARINAQPDEQFYYDHCDYIINNPTNDGSIHTKTVSFYDKLTSGLIPKKRK
MAITTEALAKIKAGIARRKAMPKFGESVEGNIQRLRTICETQESFSGETVEMLVNALEEALAARDAVPEVKP
CNSVYGFTGVAGGMLPFLPVAASVTKIGREMLMATAAYVEKRFMGIPEFLKAEGR
GFTGVSGGMFPCVKIAETVTLQGRTMLDKSKMFIESLSPERLESLLGHPIIHEPTASFKVIYGDTDSVFV
MMCKSKQFFRDTEYFIYQKRTLFLQEKYTQIKIIRMHNKQNTQYDQNCQLQFHGYMETEIALLDSNLDGIKR
MTERESNTNTAKFNNFSRWFLIFLVVAALAATLYVFKPFLVDIAIAAVLVTIFYNYYLKLTKFLHGHRRTASFLMCLILLVIIILPTINLVIYTANKSVLAYNEAVVYFENNSFSQALNHPVAERFNLGYLFSDIKDNNLINNTMLDFLKGFSNTLIAAAKSLVVGTTGFIVSLVVIIFTMYFFFIDGKKLLNYLTYLLPLKEKYTEEIFKKFHEISSTTIISTFVAALAQGAVGAIGFGIVGFPAFLAGIVIAFLSLMPVLGSALFYAPVGFYYLMMGQVWQGIFVLLWGFLIIGTVDNIVRALMIKDKAQINPIFVILSILGGIAVFGFWGVVLGPLVVSLLVTILHIYSLEFSNELKAENKNQDFPPQESMRLDNPILSDLLENILKTSKPERWGWLAKKSEEKDSVKSKKDPNGN
MRVLTLAEAATPTPATATNTATTGMTGTTKTARADCFPTVTLDTERVTHDLAARLATTFLAHVLFLKNQIPFPLAQLVRMPDKDTRSRAATRRQQLLNLFDTFTSHLYTTFVALSTSLALRRKACHVDTAEIARKTDVDKVFLTIVLGPTIGTPTSCLIMGVKRRRRPPPYVSKDSLVLSHGARRRVRGIRQP
MTALLCHVGPDDAFSPAFQRKLSDAAGLAVESLVEIRHLAARVLATEPPALSLEPGTEYWIGCRRPRTVRALLAHAGIALDGVTVHWLADETAMPLSPSGIQPGHPWFPVIDRDHCQNCDQCRQFCLFGVYARDDAGRVVVAHPLHCKPGCPACARLCP
MKILGIMGSPRIKGNTDLLLEEALRGAQKRQAEVEKLVVDKMKITPFLSTPP
MLRSLAALLIFQCLGESVSYVFSLPVPGPVIGMLLLFAFAMFRPNAAEAIEPTALELLRHLSLLFIPAGVGIMVSAQAVRGEAIAVIASLVVSTTLGIAVTALVTRALLRSQGGRANAGEGA
MSRAALLPPVLLGLVVLLLVQMVGLAVSELFGLPVPGVVLGIVLLIVLGLLRPTRAVLRVAEPAATPLLQHLQLLFVPPGVGIVLEMHALARNALPVALAVGGSFVVTLLVAGWVLQALLRRQDRRRNPGRAGA
MGALDAFNAIWSQSRATFGEGVPVDGTEYDKSSAQFRDLQSKTQSAAPGPQWTGSASDAYADANDAHARKFGRMAELDQKMGVEITRSAEAVLAGRRQLEAVRQWVNDVASGLPKTAAGDAQLFSAVSKGTSTTAVDRVY
MIYRQLGLDKSVWLLYGLLTMYSAFCVWDIYKCFFLTYIVEAILYEEEIVLLYHKGESRRIPYTEIGFVDFQLHEGMGSRVRFSPHFSLYKKNSTSKILDSVFKNEYLVFIGEVELLEDYLRIKEILAEKGKHCVEPRETEECFRRGRLTIQARRDIG
MKKFLVRVLNSMIAYKFVLAKHDAIASGSPLPPQAQVRNNISIKESEKQDLSTPKPAEATVVQNAPPSENNKRSAPVLPEKQKNVAPEEDDEEEDDFALLARRHSKVRADVALTESNALVPVDVPTSSVRTKEQDMIDLLSITLSTPTLTEQTPQQPPSPSMQQTQGNIPSNYPVNNGLAFNNYIAPCDSPSHCYLSISLSINSLNLNHKSSLSRISFNILNMQHNNNKHLIMLHINSLSFISTHLCTLLHLGLLLLVIIPITRVPFIHIRHLGPRLSRPIMECHMSLLV
ADDDFFALGGDSILSIQLVSRVRQAGLAVTPRDVFVHRTPEAIAAVATVLTADSAEPAEAGTGEMPPTPIAAWFLERPGPTDGYNQSAVLRTPADADEETLVHTLQLLIDHHDMLRMRVTRSTEEEPFLYAQPPGSVQARKRLTRIDIAGLDAAAATAALTEAGEGARARLRPA
MPGQKSSCNSSRNFQERAPTGSHSCQEDQNGAKSTLGAPCYSCEDTFDPTFIFRFSYPYHNIVFRRSRYILILMNRHIRLLPLSGSSMYTPHNIVRHVKVYCPVALLPSSQKESVFFTVLNADLVHVSFGR
MPSADEGAVAPGLGNFKGVMLCNRPDLAATKTKDPTELPPFYSHTHPGSLEPMGMLHPTDMDPRKAKVEAPVAMVKHRKWLAHLAEELKVSKADLATKDKKEEAKFYENRERCADQRAAVREALRNAEDTQQSKNDPGLIIGLRDAIAVSEKPRSLSPMKKTEKKADTATSPTSKSAPVKEGDHEATAMTTGSTVMAKAASDVATSTVSAGKKKPGKSSLKKSKKPLWAMSEAEKEQSEEKELAELVDFAHNLNFEEYVEDLEFRQALSVLKGRAHDLIARTEAKFKREMAEKKEDLITEVTAENLSELEDRSGGNKVKVDVVSGVSQSVSQRGARPLPAEEDEASSYYSTEKSSEETASSVSSLSSYHELRRKKAELIKQAQELEAAERELAAHDKDDDAVSAVSSSREQAKAILKKEPEIRAVHSKESLARILEKAEALAKGLEEMSKMPANRQPLIVVSDDHHPVGKDLLDPKSLAYLYRSPAI
MKSESVKRLLFPLIPSRAFLALAYGTSAVLLPYLLEEFKITYVYYGASVMASRTLSLVVTMLLVIGYHRIGILYLLLGILSIG
MKVIETQATSQTVWDGKFDAVILATGGVLNVPDVPGIERPSVVSALNVLRGTGTGGNVVVVGGGLAGCDVALLLAEQGKRVVIVEMKDSIAQDLNTSLRVALFERLARRDVQIYTGVHLAEVTDSGIVVHDKYSVKPG
EIEESDINLIKKEAQLSDLEGKNYDGIIMATGAVPAVPLIKGLKKFYWTEFLEDSQLPKDKKVVVVGGGLIGLEVASKLVDANNSVVIVEMLDEIARGMEMIEKAMTVKKLKAKNVEIFINHKVVEINDKKVTIESEDGIIEIDNVDDIVIATGMNSYIPFEYIGDIPVYSIGDAKKVGKAQEAIHDAYELAIGL
MGKEKLKSNYWFDAEYDGIKLIESGISNPEELIENTIREKTELIPIEAVLGGKMHFGNIQVLSSEWLIAEFDDGHVQGRGIYEYTMNNNGELEFKLLNSIVPE
MSFSSALSVACQTSYSYAVFAVNSWPPSQRKDRLQHVSSHAMIARRPNLTTVAELLCVAPQYTL
MNRYLNQVHTGKNDRWRWLGFLVVSIILWIVLLLGLYMLYQFIKDIVDYFSPTIVNGAIRKGTQTYFDIFSVFINLGLYVPVFIVCFPLFFERPFKTAVTSHQYFNRSKVLRAFFIWLFLLLLPIVLSYAVDSKGSGLSIQFDSVKLLLSVIGALCFVPFQCFAEELFFRAYLMQGFYLFFKKQIFIVFVSSLLFLLAHVPNLKYSASGIQISGLLQYEILGLLFGLLVLLDEGLEGALGVHIANNVLGMILIEPAAGVEYYGQLFRNIGPNTGDGMFSILL
MKKLIISLFIFCFIATGIVTNAAAEADKLLMTAEVIIPNYVSSKCSDNCIQNTF
MKKGLLALLLISGAAQAKNLGTWGEMYPIAEQDMLTTIQTRLKAMEASGEMAREQEAXL
MASGIPRSIQVPPNWAEMEAKYKQDIEALTESAEMATIEKEIAEEKLDNCERELKELRDKLAEASLQIDMMKKDQQSEPSVGAGANESTVTVLQLQKVEEQNEILKQALIKLRDMSAKDKKALEDLQIEHEELELKLLDLEEKEQKYMEQIKIYEEQIDVSQSAQEMVEKLTQQKTELEDKLKEMIDDFDAMEKLRDLNEQLLESARENEIELTGEIDKLRVQCSELTTRRRDMEDYLSDQEKTFAKLKDENRNLKEELVRLKDHFKEGESIEQQKHQIENVAYKLTFSESKMAEKEAEISRYKRNLSEMEEQMNNLSLITKEQSARLDELKLQYDAKVSENSELQRALKKKLEEVSELEIRRDMAEKKLQSIQKDKEAKIANLTRQIETMKGKEIQHEEELKRLLEDNDTIERERRELRDQLNRSARSLERTMQTSNISMVTAQDTSLASLGISLQSSASLQASPVQAHQQSFGAQTSFIASPITTTTTATTTTMGNLREVGGGGGKGPTSFGGAGSAAGGGGPATASAVAAPIASPLGPSSHRRLFASPATSDADDSVLLRRIKDLSVAFDLVARKNYDLELELAYNKLKPGCLPAYMTTGSKLADVYDIDRAKVLRAEVNKLKREIRASMINQQICTRSRQIHAQARDGHFNLSKLAMKCHTLELEAGSLMSTSNLVLSHSGRPMLQSTPVK
MCLIFFAFLSIQGCDRTVDDSQFYLLNGEQKRLSDYSDGWLLINFWAEWCKPCLEEVPELNEVYHAEAELGASLLAFSYDPVDNEQLAAAKDKFNIQYPIVATDPSPVVPFKRPAKLPAMLFISPQGEVFGPLYGKQDIHTIRKAIKQIKQTE
MAVFFFTGFPKWVNIQFTRLNLESPDEIVKIEPSQPSLYDHQILIENHEGEQIQLSDFEGGAVFISLWASWCVPCIAEFSSLEVLAKEIPDISIVALNIEDQETFNSFLDKNDYNLPFYLLRTPLPSQLNAPAIPATFILNEEGKVIFKHFGAVDWSSESTIAKLNKILI
MDGNKIRKRSESFGDHYSQATLFWNSIADWEKEHIVAAFRFELGKVDHVHIREGVVRNLNQVDHDLAVMVAEGVGVEPPAEAAVPNHGHGSPALSQGHDPVRSVATRKIAILAADGVDAAQVEGVRAALTPEGAVCEVLAARDGAVQGEGGEQVPVTAGAPRRRSRRPVTQVRRRRDGAGDGHGDAALPGGSHPTGRGHGGTAGSEKDPRRHGLVDGQVPAGVGLVSRRRVHPRRPPGLLRVAVADRRGGRHLLPPAGAAHRGGLA
MSRPPATGWAEIVRFWLRVLGPVGSMAALAGIGWQARGEEPPVAPPLELAFLEFLAEEAGADEDLSDALMTADLDRAIEEADRRKEGRQDDNG
MPHPVVWHSPSPLWRDALADADRRRFRRPEVLRFATDAFVDDLQQRLATDPAGLRDLVLRAESWRAPDAGWLPGTAVNGTPAFDPDAIPTLFQPAHQRFYLVGATLACQARGLPDKAIDPKREERVTFVVRRLVSTRADGALRPSDPATFDEYGWDGAAWVRIADPAQVDAREERQPLFPMVFGENGHRRRLLAGLIPAARREAYEAAPRENT
MLGEGAWPLFFKGPVTHRGSRFQLRCYRATRAAPRVALRAKHTHNSLLQEQRDQIVVTGDRDHLRSAFAHLANPRQ
MNTTEQQYQSPETTSPEPITKIDVTPVSGALTPVSTADQSTDEWREWGKQVSQILSELPEYLGQFFDDNKRAIISLGLLFAGIVSLKLTLAILAAINDIPLLAPTFELVGIGYTGWFVYRYLLQASTRQELSEEVKNFKSGILGGNSQAS
MVKGISRQVIVVHPPEQRLFEQAIFILKDGVVGQGITDEALLKEAQCAIRSPEKPARKRRMYLSGAVWALGGAAVTGLVWLATVFL
MLSQITDKKTQQELMERFFWFIGDGYFLDALHAYKKGEGFGIESIACLFHDEFQPWEETYFGNSGVAYIEEQDPYEESLMFVMNYQEFYRHLQQNSDKYLIKFPQKKIEVEECLNAYREKFNINDLSKE
MLPILEGFLSKAPNVAELDMVRQSVVILLGSLAKYLTPEDQRVGAIFSRLMAIINFPADVVQTAVEDCLAPLAPKLPPDQLEKVCPRLLSTLMSNSGYAERRGAAYALAGIVRGCGLVALKQYSIVDKLMAGLSDKSPKHREIVSDSPKEGGKKKLKVLLLINYVAAAVTSQAVMSKLSAHGIKLILPILLKVIDEDSAWRTKCQAVNVLATMTNCAPKQLSSCLPQIVPRLLAVLVDSQESVKKAGANALAKIGTVIRNPEVQALVPHLIATLQDSLADKMTCLLMLRDTCFTHIIDAACLALIMPVLQRAFDDRSTDIRKIAAQIFGTLYSLSRKEDLEPYIPRILPGLRSCLLDPVPEVRSVTARALGAMVRGVGESCSKELLPWLMSTLTSDQSSVDRSGAAQGLAEVLGAMGVQRLQSILPEFIKTAEATNIQPFVRDGYLMLFVYLPEALADECEYLRDTALHAGQRIIDLFSEEAVELLLPELEVGLTDADWRIRHSSLQLTGDLLYKLAGASGKGTTTTADEDDTFGTNMASRRIQEALGTERRNRVLARLHIARSDPTYVVRQAASHIWKIVVDNTPRTLRELMPTLIQLLISSLGSPIREQQQVAGRALGDLVRKLGERVLPEIVPYLEEGLNVEDGDRRRGVCNGLIEIMRNSVPDQIASFADRLTSPIRRSLCDSSPEVRKAGARAFDLLYAAIGLRAL
IASAAAALAAAAAAPVPGVRSVYSAPTAPQTAVGPR
MVIINWVSTGLIVIIFLVIFYCLFQILRVSYIRADRTASQTFQKKLALFRMRTHQKIVHYLVFINTVMGIVLLLVLYSFFHLNDQRQALQKKVADYEETTVALKQKSDVLYDELMFEDYPYAGVSLADYPWEELMVEEKQVESKAKISGMLCRDLEPYLGKISALLSVNQKKGEVSLSVIENSGDEFWQGKKVSQNIEQFLNEITDVKLVSQVEMIAKQTDKKNQTSSNTYVRNDETSQLEQVH
MAWNPHVGIEKLVESLQKRQGQQGEGGQTSVWMIYIAVSIDLFSDGLLIGAGSAVSPSVAIILAAGQVLADVPEGFATIATMKDKGIPRSKRILLSASFAIPVLSAAVFAYFVLRNQPEAFKLAALTFTAGLLTVAAIEDMVSEAHESGDDTHISPLAFIGGFVLFVLVSAGLEGVVSQS
MSLRLYPYRLPFARPLRTARGVLRTRRGWLLEWPLPGYVGWGDACWWQGCGGSSRGVRRALADFAARADRLPSPAQAGSDPLDLEPWTRGLEGPARHAVACAALDLRAQVAGVPLARLLNPSARARCASHVLVGGAAEARAAAALGARAFKLKVGARRLAIDLQRVRELRDAVGPAATLRLDANGAWSRVEAAAVWPVLRELGVDFVEQPLPATDLAGLRALRGQGVGVAADESLAQCGVEAVLAAEAADVWILKPQALGGPDLAYLAGRRALQVGLQPVVTHALDSALGRRAAVHVACALGQDHPHGLGDPFAEDVARVAAGGPRATGPGLGVAPTHTRLRFPRTPVEVGS
MNELDCLETASIKIDPFTLELSRSLSTAVGDIEQRRGHVVCVDINGTVGIGEATPLPGWTERYPDCQDALRSVSTDSTSTSTSTSTSTSASEITPDTRSAAHHGIELACVDAMARHHDSSLARFLSTDTPATSIPVNATIGDCDSEQTYKKAESAVEDGYTTIKLKVGARDIESDCNRVIAARSAVGEDITLRVDANGAWNRTAAERFLETARTVDIAYIEQPLPASDLDGHALLRGRGVDIAVDESMNRTSPEQIFAADAADIIVCKPMALGGPKQTLAVARKAEAVDIDTVVTTTIDGVIARMGAVHIAAALPGSGSNARACGLATGSMLADDLTANPVSISDGEISVPTGPGLAGGALRSCCNR
MRITAVSTAVRSVILQETQFRRGRPVAGTQDILFVRVETESGHVGFGEASSWAIFTGLTANASKVVVDEIIGPEITGLDVSRTHEIARALDRYPHLPAHPRSAVDMALADVNARILGVPTYRLLGGSDASWALSYSISEAEPDAVRSIAETRIGEGYRIFKLKAGSHPELDGARISALRHAAPKAAIRVDFNGSATEAGLRELSPVLQTCDVDFVEQPYPAGQWGRMRSTRAWFESRIALDESIGGEDDVRRVGEDGLADIIALKLGRFGSSSRLIEAGTLAARLGMSIYAGAMNESRLGMSASAATFSTLDNIVEGCDFYYPYTVLGEPGFTGGAEVVDAELRLGSDAGHGIDLPMGWFV
MSWLFGRKKVKDSPPDSGEDTSPSTNHADDYILVERQTNSPSSIVGAHENDSSIKSFGLYPFVPQDQSANISAFPSHVAQMDILCDASKYLHSIKFQLNKTFENYLEIDSVQAHEIFSFILRIKKEDYNYDFSLETSVINEMATGTS
MNKIKVTVAVSGLNATDNPGPGVPVIRALKESKELDCKIIGLAYENLEPGIYMEQLADKIYQVPY
RKDYVANRLVSGALQLASSTSLFLDETQLEPGQLDATGVRNVTALGNLITWQKVDYDFNFHQMEFPCNINVLIASEGRSLLPSDCHIHLQPQVAPPHLEEYLSSIHIHPQASSQLNKFRVFVTNKTMKLKMLFCCY
MATATTEFNFVQELEKTLLQNIATSFHLDFMLLNDKKGGDVDTINNVAQGVYATEEERSRYENRGQYNSHEYHTHQNYIAKNRESHNLQQQGKLVDKYTDKKN
MAGVPKPLTDGEIEARLAKLPEWTRDGDERGGLVRCPSQPAVGGRPPVPAT
MPLTPLDAAGVAFYQTNVTALAELHRGIAALAEAADVDTLLAQGEKLKARLRELCPHERSDGWPVQQWITEHTKLTFLAQLREMTDTRQRLFDNPS
LYMLRIAQLAPRPDMLVFIDEAMRNLKTSLQKYGQAKRRHCCVQWRQFVQGARASILPAITIDGIVAYNVIPGSVTSCQFLKFLKHHVMPLTNPYPGP
MASIRDFEEIAKVTPSCYRMNLTEGRIEIIPVHHNTGRREAILSNQITSWCLNNLALVEEFGGPNTCWTLPLPDVTVRCPDYSVVLITRWNTLSNADKAKAFPALAPNFVVEI
MDSIRRAREEAGPKMLEFQPEHHALNTLRRIRLEEFKARLDTLDIPESKRYRIMRDLYRGRESRLLARYLPSDTSAAFREPE
MDTKIHLTQLAFILFVMLKASPVTSSPSITVKTTKDGTKINKDVAWDPKWDKDFIYDYESLRYAGLSIAAVLFIVGIMVIGCGRACRLCRCLKRSPKSY
MIGIVVISFMPYFVEMGVTRKNYFYGNTIASLCLSILIPVFAYVLTIFEKLILGNFKEPNFNIKSAADGNFIGDLLQSILITPYVDVETNILLSLAVFSLNIFAFYLIGSLIGAAFYRLGVIGGILVIAIGIVLITAKDSMQRIEMDLPLFETYAFFDAVPSNIAYILLVVVMLIPLILIRTLIKRAPIKI
MYFAPGLFRNFWHSLLLVPALFFAAYVMVGLVFSPVTYLPISLLMLGIVVLALLCIRNASGPVRYWSLFLWLGLFLMAAATFCLGSASKHQLFSLLASALLFVFLWHLGRINRRMIIPLLLFSGVISGVVLYLTLDSLGLSLLMAFKLPLLIAFVCLAGSLAFYCLCVVLALDAKELPGFRWLVAGFSLIVLQITMSNLFLAHFVKYLDVWTLVYVGCIHLLSLLCIAVGAALFTLKMDPEQLEERLRKATPAKEVDSGI
MNDFVEHIAFAWRRAVKNPAISALIVLTFAIGLGANSAMFSMAYNILLSPLPYADSDRLVRLEQHEPNAGRQNFPSSVQSYFDFREQNESFEHVLEYHAMQFTLLGHGLPIRVQTGVTSWNYFDVLGIQPLHGRLFVAGEDDIGAEPLILLSHRFWTSQFDSDPDVVGSSLEMNNAIHRVIGVLPPIPAFPDDNDIWITSASCPFRSSPPVIDNRNLPMIASIFAKLRDDVSIENGASDVNGIAQRLLTAYPDSYSAARGYSADLTALKEVMMGDSSRVFFLLIAVSALVLLIACANIANLNLARLASRHQELAVREAVGARPSAISRQLLTESVLYAMVGGLLGLIVAYFSLGVLSEFAAGYTSLASEIRMDSRTLLFSVVLAVVTGIASGSAAAFQRRNISRALKEGGDKVTTTASGKLQRSALLAVQLALSFVILTVTALIVLSMYRLTSTDPGYDPENVLNVSLDLNFTNYSSPQEIRDFGQRLLRETSQIAGVESVGVSAIAPGQGGVLGVVAFEIEGRISADDDVRPSATNSTVSSEYFRTLGIPLMKGETFDDSDDENAIRVAVINQRFEETWFPGGNAIGNRISTDQGESWVEIIGVVGDVRFGSPDVPQGPAFYEHFPQRPGTSMEFVLRSAGDLSELGSIVAETIYAIDSQQAVDEIATMNQIRSQWLEAPRLVAILMGLFGVLSLLVTVSGVVGVVSYNVSQRIREIGVHIAVGANPAKIRGIFVRQTVRVLVAGLAIGALAMAFVAPGLSEFLFETSAVEIDVYLFTAFLLAVVAWIATTVPARKASGLNPAAALRNE
MMKAAEATDSLRAPAKLAFTLPCSARELPISDKKAERTGESFTSCPSGTASRLPNSHALADANSKGPLAGGTPADYNAAPRNQVLANLMQTGGAKSRGAPLASAASMERRRRCSCGELNYLSSNNAAPNGSSFHSNYGSCNCNVENCAWEEGLSAPHLKAQPWRSSSDQSNSNAALHRALAAMKKVSGEPDAVKKAQTKPIPLRNATDLRALDTLDINARSITNSDARTLSMRERGRWGNSKMQQHLFTQPTVAGVGGGGGQNATKANLSSECQHQQHHHHHHQQPLQHVQCLHCGSFSTTPVSGIAQGARSQSFGRKVRDYTWQQELLQEQKRPEPQGTAKEMPEQQAVLPQSGPQGSQNHGIQHHRCEYARLADMSPEYEQQGPAALMKVQHMHGGNVMSWQERYMLLCQAIAAGAFVDGCADDISGPILPSNRGYSWAVCECAACEMGCATAAAAPGAGFRQGPLLSSYCNTSSGERMMELEAFSGPLGYVSESKAGVELSSIMEKRGARRRWWARLPSFGKSKSHTTEAAWRAASGQNTAQCSSCGQRVWRRGEEDEELGGRLCPDCLVRAGSDKCESGPIPGTTAAARPTPPRATRRQRPPQPPFSGQQRQLPRRWRLMKLCRYLMGFPLQR
MDEPLRIWGKLLLRLGLVLLALGLVPVLAVGTILPEVDPLIPVLLSLTVAPLGALALVAALILFLAALARRPPKGPS
MKLKNVNIKRLSLYIIGLFFLSLGVSFSIQVDLGVSPVSSLAYAFALASGLSVGMMTIVTIQSLGAVGIGTVVTAYFIGKILGWFMKYFQQPLSQWMNKNKINEAVKKKNTSLSS
MGLPHYVEHRKFAKPRLNSSDPSVLQYLDQDEREVAVDKVIKSALRKTDLSRANIAALEWAVNEITDNVLTHSQSHVGGFLICHKLSHHDILEFTVADAGIGVARSLGILDECEAVERAIQEGVTRNKSTNQGNGLYGTYQLALASSGIFALNSAHANLYVTKAGEMHIRDESIPYKGTYVVCQIDCANPQLIEHALTIGGREHSPAYDYIERKHEQAGGVLRVEAKDLCQTFGSRQSGIEARRYISNLLRDDDGLRLEVDFANVFVISSSFADEVFGKLFVEMGPLRYMRRISLRNVAPAIEGLIDRAITLRSQTGL
MSFVRELFEVSIEAVSSFSTNSISRNDLIELTIKGSGFLWHMIRYIVMVLHEIGLGNEQPELILELLDVKKTPCRPHYNLAAATPLCLYECR
MEDPSSSQPSSSTDPKSGKKDFSTAILERKKSPNRLVVDEAINDDNSVVALHPATMEKLQFFRGDTVLIKGKKRRDTVCIVLADEQCEEPKIRMNKVVRANLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGNLFDAYLKRKFAPPCLVLQETLQCYNLS
SPGGEKDDEGKTVPRTLRHLPFKDADGKVDLPHLRNALARLPQSKLSVADKAKARKRLTDAARAAGVGEPANKGMTDVAILKQNGQQQVALGVVMEPDVADTQSDGTQAAEIETAANQFMEDYRGGRTILRLGHEMDLQDSDAVLTQHYIAPVDMTVNGQAVRAGSWLQAWKYADHLWPRILSGEFTGFSIGGFARKEPA
MNRNLKILLWFARNYLLKKYDWPAYITFKTTTFCNLRCSYCNPAYWNGSVPPLDTQSTLRIIDNMAKSSAFVLSFEGGEPTMREDLLELLKRAKQNSFYTFVTTNGTRLDKIPLEKLAEYLDYLHISIDEYHNNMHLYERLGEFRKAGLKVNIQVVVTRRTLSRLEQKVKKAREHRFKLLAMPAIDYPGNIRLSPDPHEYKREMERLKKLYPETLNNSFDYIELWERGYTCKSMAIQVEPNGDVIYPCDFKGKVLGNLVNTPLGSLLSSKRAKTLRALCNLGKPCGQYLHMQTSAMASFQGLVDYALPMVKWTLTGRA
YINFYNKVIPNVSKNSIFCIKCIKQPSI
MFNCRKLRSCLRCTSISQCVWTQDVQFPCRNGYDDTDELAFESCQSARNFSVSLGEDESIKLADVVSRDESTLWGATICVLVVAAGVLLLLYFGYKQRREFSRRRRALLFLERSREVFSEPYAMQMVAMSTAGLLNRTGDV
MIDNPYNLSVDDAEDFAELKDALDLVKSTGKNTNIAGLFITQGLDETFEYAKNAKHLDGMRIVKEWFNGGGILK
MPALRVEDDTLVLPAVRIPDPVGGARHELVVHLDQLVAVEVLEVLLGAALEERAAQDWVHLLGEDAELATLHHNAVGFIHLLHDGGNAFSLGLRTKGSVVDTAAVLGGVLPDVEVVLRVGADNLAARFLHNLVEELDCDGTTALARGGMQRIPATTDNPGVILVLRILRRPLDELLTAFTIRGMVARHHQVAPRVMILEVFGVELLQGSGKHLWCELAEARSVTVRPGWTTAETQERVRHLGHVVQSGS
MNGETNRPPALGFSSDNIAGASPEVVQALVKHSTGQASPYGTDELTAQVRRKFCEIFERDVEVFLVPTGTAANALCLSAMTPPWGNIYCHPASHINNDECGAPEFFSNGAKLMTVDGPAAKLDIVRLRERTRDKVGDVHTTQPACVSITQATEVGSIYTLDEIAAIGDVCKSSSLGLHMDGSRFANALVSLGCSPAEMTWKAGVDALSFGTTKNGVLAAEAIVLFNTSLAAEMSYRRKRAGHLSSKMRFLSAQIDAYLTDDLWLRNACKANAAAQRLAQGLAGLSGVEVLGGTEANILFCRLDSAMIDALLKAGFGFYHDRWGPNVVRFVTSFATTAEDVDHLLNQVRQTIDRERE
MLTDLQIARLKPAEKRRVVAVGDGLSIRIEPSGRKNWIARRAGRSRGLGTYPEISLADARAQAGLVSEPHLFSALWQDWFETDASKRLKRPGNATALRPLWKPLDGRRVDTLKRAELVKLLRDLKTRAPSRARSATSQLRAVLDHAVALGLIEINPLYGVSMKVTAGPVQRRDRVLSDDEIRGLWKMRADGGAGGAHARFCLFALATGCRRGEVASARRSWLSGDWLNLLPEAMKSGRAFGVFLSPFAWEQVPASNDDALFSLKAGTVEAYVRRQIGQGWSLHDLRRTAATRLTGLGVAPHVVARCLDHAVGSQSDAHYLAGDAYRSDRPAALTALGEHLAGVVSS
MKRLFLLSLALFAITLAYAQELKITGTLVDRDTREGVMLATVQLLKADSTYITGVLSDDNGRFAVKAPAAGQYILKVSSVGYVTLMKNVKVSGKSTLALGNIEFGADAIMLKGATVVGQAARVTVKEDTFVYNASAYRTPEGSVVEELVKRLPGAQVSDDGTITINGKEVKKILVDGKEFMTGDTKTAMKNLPTSIIEKVKAYDEKSDLARVTGIDDGEEQTVLDFGIKKGMNKGLFGNADVSVGTHSRYSERLMGAMFNDKTRVMLLGNANNVNDRGFPGGGGGGRFGAGRQGLNTSKMVGANFNIDTGDKFKLDGSVRWNHSNSDTRTEQSSENFVSTVGSFSNSLNQSYTRSDGWDARLRLEWKPDTMTNIMFRPTFSYSESDSRSTGASASYNEDPYQYTDDPLDADAISSMADDGIMVNTRNNTSISYTDSKSVGAMLQVNRKLNSKGRNVTARADVSYNENDGRSLSTSAVHLYQVLDETGRDSTYQTNRYNLTPTKSLNYSLQFTYSEPIFRATFLQFSYKFSYKYNKSDRSTFDFSNLGEDFFSGIPNVYRGWDNYFERLDNPLDTYLDSDLSRFSEYKNYIHDIRVMLRLIREKYNFNVGVLVQPQRTRFVQRYQGVSTDTVRNVVNVTPTLDFRYRFSKLSNLRINYRGTTSQPSMSDLLDIVDDSDPLNVTMGNPGLKPSFTNTLRMFYNNYIQKHQRAIMVNLNYSNTRNSISNMVTYDEQTGGRTTRPENINGNWNVSGAFMFNTALDSIGHWTVNTFTTVRYNNYVGYLALDSNSDSQKNTTKTMQIGEQLTASFRNSWLEVSLDGSLDYTHTRNLLQSNSNLDTWQFSYGGYVNVYLPWGTSLSTDLHQNSRRGYNDNSMNTNELIWNLQVSQSFLKGNALTVSLQFYDILHEQSNLSRTINAMQRSDTRYNSINSYAMLHAVYRLNLFGGKGSSARSGRGDRGNREERSGGRPHGFGHGGPRGGGFGGH
MGSGLQNQLGRRLGSLRAIHRFDGLVPQLVALPTNKVRENFAGDHHSFALTADGSVWACGRNNNGQTGIPVTDAGRRLADKISVPIPTRVSALQGYKVRTLTGGPYHSIACTEEGQVLAWGRYDSGQVRLDLDNVPGEHFAKDPRGRRVELSVPTVVPELEAIHVAAGIDWCVAITAKGQDYSWGFSSDYRTGLGMLGEVVKPRPMTGIRDSIRWAGSGRTFRLVIGRVEGR
MLRNSIDQELDGYVSGVSTESDSELTNDGIKALARLISTPLEEIEALPGGVSFKDVLKTGEEIVQVTATDHGSFALSNTGTVYGWGVFLNDEGALGISKSRIQQKYYRSSDVIPANQLIAQSPERIDCPENVQKISGGANHLVFVDNTGDLWAVGAGGQGQLGRRLITRFQAEALVARQVGSKMRIERVRTGSHHSYAIDKKGRVFSWGLNNYGQTGNRTDHLEHDDAFQILQPTLIQGFEKSHVVDIDGGMHHGIACTEAGVVMTWGRCDDAQIGLERSELEKLDEADFVLDADKRRCVSLEKVAVPNLHGAVAVSAGRDNNFVIDGENHLWAWGYGGEYQTGLDTCESQAAPQRVKGELSDADKVSWVESRGSFTFIGVEVVQDIRGCKGGVSRGERAG
MVFSNPLSFSFAIPDISGKHKDKTIITNSHYTILNICLISDILQKYHHFLAEMLGDSIFFRTFAPLSKTI
MNVTRVELAEIFRPVMDGTVRSADELVSVAVAAGAHPDAVEVVRSLPPGEYRRMTDLWRHLPGVPVGV
MAWPEVTAADIVGRWRPLTTAEVPVAEARIEDAGAQLRTALRLRGIHQPPSLPTEEESADWERRYVSTIADAVARFLKNTDGWAEEREQLDDWSLTRRRARESEEGVLFISDAEADALVPLVKRRTGAFTIRLGRS
MPFSAVLLAGGALALGGMPPFNIFLSEFMTVTAGLAAGHITLTIFLLLLLTVVLAGLVRMVASVLFGAKPEEVNKGELGILTTLPMLILLVIDAGDGHPYPTTGQPTAGKRGPHRDEQQAWHSCPAIELAMGRSESILCGRTSGRRSKVSYETHVLTLNNDEKLGKGYLAQSTREVPLRPAGCRVANRQSGHHHGQAELVTRDCGIPLLPARRLATGAVW
MHSSNKPGCSEHGELVSSTPRCGEHNSASSTSLDADDEADAGQPADTAELASTPPSALVNSSGHRWLMEPHVGESPLRRLRSAHEAEAEDTRDTSTPGPHVPLPHSSSAEQPQANGSLAPSASSAAAPPRSPVLRSRRSLKCAGRSNSRRTHMEASSSDATLPSSLLASLAARVSLSQPPSAFALSVVDAEATQSGCVAAEDSITSSLLRAARGASTEGEAGMHVSSSPPVSPPFPTTTAAAAAASDFSDGSPGPRNSDVSCSPLRTHLRLTPRINAELQQFGCCVFFFPAPLISWLFPLVGHVAISNAEGSRLFTFESSYYVREEKLVTVLDRVLREEMTHDNSVLSSPARRNRPGQRSDTSDRANSPSPAQSPLLCRGSAMNDASASDAGRNSPSDTSAVLPPPRSASMPVFPPRPTARPPRRTRRSRPAGGGSATGHTHTRCVRIWDLKPLLMESRGRRTRRAPYIITGEGGKQAAVALWERLQGLLQPTEGSAGSGPSTRSAPSSLPASHIRGRDKAELSDNAEGSPSAPGVSSSSSADEENEFELLDAETAHFYNRNLNVTIRLFRGSADGSNNSPDVVLQHHSSFSFVGFVLEACGIGSQAKLSAATPVPAAAPVGGGGDGKSNVDEHAQGKRNDASGTPNVSNETTAASATAVSAAESTEANDETHWGAVKLLFHVCVFGKWHRGEGRLRRALHGGSITSAAILWAIILVAFFHYLSPMFSW
MHQMNHKGNNITTLSKIELLRTS
MHVFDVVKRKNEAGFGIVFARRVGLDGAPDALVVDGLGDAAELDSQLQVGDQLVALNGRDCREMPVIAVVELLRAAPCGDNVLRFERQSGSDGEQDDARPKAVASSLMDALLKVKSRIKAEIEGDEEELRREQEENARFEKQWLGEFDLLKAEYASRWETCTYTAEEFCGLIYHASDAQAQQRLLARYPTLMEAWRDADATTSSLRQRLEWPAACVTYDGPLQYEDRGGERSLHAGSENPIQCSPSLQGALSVLRAEFLWSRAQLEALARRLEREQIYSCRELRDALAQRNGARFEREFQCTAYPRLTRAACQALERSAAVIERRDESLRDASMAPLRVNP
MPVLYPNLSFCEEGAYIIYEDSLRIPILVVSPDGSLRYTKDGVPDLDRDIPASVEIKCPISIKEGCKVYQSVPKRYIPQCLMEQLAMKSKSQLLLCWSPESSTLFRVPFDKEIADKILSEAVRDYYASTPKRQTKLSPEVKQLSKSVGDYVKQCEFLGEFPSAKTLLMDNQRHLKSIQQCFPYYPKDNGATKSYSKTESSISV
MAQRRSLAEVAGLVEGDEKLELLDVHEEAWGWLWQTLTKSAIDVIDRPVRHIDWTPWRSASSVAA
MDFLMVKKEQNRLMVVMMDISMKMNGFFYRNTIFTMAFLCLNLVGFNLFCQEDNLVKEVQVVRPYEPSISDAFKINQMPKVEDTLFVAPQFSYNLALRPTTIDFPVKPIPSAKMVAEPLTKIYKGYVKAGFGNYSSPLAEVYFGSSRSKGYSYGAYLMHNSSFGKVRLDNNDKVEAPFSSTVLSVYGKKIFQQSSLAGSIGFKHNGYNFYGYDTTANLATANITHPDQMQRLITLSALFRSTHSDSLHLNYNTRAGFTNFADKFGMAQNSVNVKAHADKFFRVERVGGEMELIHHAHSPELDSVGNTLFNLSPWIGFFGKQWRVKAGVGFTLDANRHGNEAHFYPIGQLSYDIISHYVIPYVEFGGYLENNSYSKIIAENPWIEPGLRVWNTSHKYIMLGGVKGNLSAKMAYNLSASYSLVDSMFFFVNKFNTGEDYLQNTFGVVYDNVQHKQVMGELTFAPTARIKLFAQAEYHAYTLQDIEKPWHKPNFVGRISASYNMQDKLMFKASFFAEGKRFVQTAEGSPLEIDGLLDFNLSAEYRYNSRVSAFLDLNNISGNRYHVWYLYPVQQFNMRLGLTYSF
MSGLDSEKPIRDTYTRNKILGRQAQQLANIDNIKASKHIGSITFSDEDGKTVIGPTTGDGTRHMPLLGPFDNARDYYVAWCEEHLKLIADRQLCVRFPINAYLIYKYLKKTAEEGRWDNFEGKYENGPFSTKQVEDDDWEPIYSAELTNGIVGPTGWKYARVVPRYEAFGPSLFAVDMKKMQNGEIYENEDDGDLTRYLKKERKSLVKFHGQPDKIRRLMFGLNCGRDIKWEQALQLLRAMNFHLQRKLELRTDWNARWDWEDLRKEWMEEHKYDERLQSLRADVKKKPGLESWNQRDRSILD
MAREKQRLWLTFSEELCQRPIIWELGRSFELIFNIRSAQVTQETGIVAIELEGEREVLKQAIQWLEKEGVTVEPVELSTIEG
MKTIKATLSCIIDEKNQKILMIYKKRGFGEGKFNFPGGKVNEKESFYDACIRETKEETGITIIDPKLLGKLEFMPPDNSYYIRGGVFLSTKYEGELVKDCEECSCFWQDIDKIPYDKMWSSDKTWVPRLLNKEKFYYKFFTE
MQKKATRPLTGPPVPKILEEYLCPVLPFTQLSTRLQVESRQSVSQPVSKKQHQLWRSQQRGEMVTTKLLTLVMVIKQHQILLGMKKRGFGAGRWNGFGGKVQPGETIEEGAKRELFEESSLTVHSLEKIGRILFEFVGETELMDVHIFRTESYQGEPEESEEMRPQWFDLDKLPFNEMWPDDSLWFPLMIQKKMFRGYFKFEGHNNILDYTLKEVDDLATDKGS
MTQLPTLTVFNGQVPDKTTMDKDTFANSVHIYLNYFNDSFVPETNTLVNNMNTLSGEVQTAANNAEASAQNAATSEANAANSELKAYKWAEEAEDVEVETGKYSAKHWAIKAQAAVATLPEGTIDDLLVATDKTWSSQKISDEFFARGEVAKPSIIVPADGAIDFSGTIESSAFATKENFIGTQDFVEWQLATDSGFTTLVDSYAGSSNLTSWTPNYGSNPSTTMYVRVRHGSDNHISEWSDVVSFMTPANTAPTINSVTWSNSTIYDNNTYDVTIDATDPDGDVLTYSVTADDANVTITQDATIPNVFHVTFPDYTADTIVNFTYTVDDGNGGSDSLTEGVTVTNNYNIMATIDSGDNDYLYDISTDANGNVFVCGYSNDGTTYNHYIAKLDNDLNLISQYTIDGGNDDYSFRMSIDGNGNVFVCGHSYDGTTYNAYIAKFDNDLNLISQYTIDSGTGTDTFISIDINSNGNVFVAGYSDDGTTYNAYIVKFDNDLNLISQYTIDSGDADYLYGISTDANGNVFVCGKSNDGTTYNHYVSKFINDLNIPSGTYSITVGSITFTLTDVAFTPTAQTWTPTAQTWSPTAQTWSPTAQTWSPTAQTWTVSTGTF
QLVVAIALQKGGKVPKIRMVGNALTIAWEAAAAATTLNSAEGGEGGYTFKLNLGCKLDARKTSVRAIRGQSLVYVKIPLERNAMLPSPMRVPYSWELDPSQVSSLYCRTCGNRLSRNKPREILPLPSPHWMELTDLWYCAGRESSSLLAKVAEAPLKATPGKWLVGSIDILIHSEDINSDSIIRHMPKRGRDEDEVWAPVTCRRCRALIGSQQIVEEPSKRKKQPSPSSSQLPSSSWPTGRISDDDAWTSSNLRIEKSCISTVGPSPPVSSYSLKKA
MVNSQYQTIATPAMGALFAGLFVSIAASYLYEGFSKNDDWRQYAGLCSFFIGLVILAVILKPVLKGVDDPESLARDPHTIQAAAEEYIATPRVAVLDPDVLVRQMKQWHKDISVRSTNISADAQSQRLDDAFHLASRARGFIKLTNASLRIYYAALKLFPFRFLWPILSALVYLVGNYWFAIGSGTLKEAGPVGKLLVLVIPIVCVSLVVMFYSATRGYRAIRWHKVNRLASAKAKRALREAKTVHEGILGEDEFSLQLFSRVDDFLRKSGRGARKEILSLKIGKFSF
MNIALRRITDEKELKHIYENDFCCNGINIKVALLNEGYMRNTSFSLMIPINEYLKTLHYS
MPYSEPDELDWSIPEDSPFADPDFIRPVEGRDFTPMPDVSLSHSEDDDYDDCY
MGGRLCADHSGTHQSDLDLFAIELTRKVPLHLARLVAVLQPQIMSFCLAVDSQRSALGVHHFPRFGAK
MEQALKIFEEEKFLEHTQKEVFNLKYKTPCRDAILEEILKIEQEKNAKCISVREYKIEETFKNGKVQTTSQVQLDFDRPVKYTLDEPKVTKRQAEEESQTIQTKKLRRQ
MAQSHHEDGIDRLFEFLRIASVSSQKERAHDCMEAAVWLLEELISIGFKGSLMETTGFPIVLAKWPSTTLHAPHVLFYCHYDVQPAGPLEQWTSPPFDPHLERGSTGKEQIVARGASDDKGQLMTFIEACRSIIHATGNLPVNLTIVAEGEEEVGSPSLSAFLSKHASLLRSDVAFVCDGEMWDPVTPAIATSLRGILSEEVTITGAEADLHSGLYGGAVANPLNILSKLLASMHDGDLRVTIPGFYEQVAEPGKDRLETWNKLEFDEDDFFAEAGRPVKFGESQRTTLERIWARPTADIVSISGGYTAENFRTSIPSQAKAIVSFRLVGDQSPATIRQAFQNFCCSHLPVGMQVSYKSLGEAMPYATTSGTPLFDKVERALQDEWLKKPALIGSGGSIPAVVTFKEILGIDALLIGFGLVDDGAHSPNEKLACENYKRGIRSWIRIIMALGETA
MYAPPSLYAQPVCAGDEPPPHPHAAHAQLRRRPARPSNGYNRDYDIASSVGQ
MIPLRKLLVPLSSIAVLCSCSVEFDTRGAERLQFSYTNPPNIKTDYEVLLGNLEAKKYQDIHGPVKHISQKNYVIAKDADGNLLEPHFSGTVEIHHLPTGEMADFASYDSTGSLTSLAQVIHKANRREIYVHVFDSNRDYVYFLGNDNRIVEETGTPFYRQSPVDHSWNSADGHLSWEENSKHQIIAASGNTPSVQFRHLYEYGENDSLVRIVAMDANGKKIGSIKREFQDSLLKKVEFRFDERLFYPDLLNYTETYQYDSKGRLTHLITQHSKAWSPKNDTVLYVYRDSADISERTVYRHGEKESRTVYDSHGNAVLIETHGSFVYEGADGTAESYDVERLVRKIEYYESPAKKDSTATDSVAQVPQEKQDFISLSADEFFRETKDSSVYYCIPSKGRIFCRTLNKVPGTLFLNFIESITYKNTSEVFLKKNAAKPTSPRLVSGGKCALVLNTSVEDNGILFTNSLVKMKRNPYSQFFGDTLSFSTQKFPCKLK
MVEEILINDPLELALTRAETEHNVMSVDADGYDKMLDSARSMEKMVPYLSLGEKDKSNQSRKRKLKFPGRTIHRLFSLENSCSLSHLSQLIKMPPRTKQKSVKTPKITSENYVPPSNHNALASYPWPREGQEGQPINIDDPILLDFNYADMEDVEDITPDEDVAYDLSPLDDDADDVTYRRWIVDFQRKNNSLMKGILKAIMGGCFGGQDDRTSVQEQTPHQSH
MTSTFLFYLLIALIYSNHLMLGCMDLLIKMILELRQELEEAWKQTKEAQIKGYNKLHKPMQYTVGEKVWLSAKNIRTTQSSRKLGHRWLGPYEVIQRIGKQAYQLRLPPRYKAIHDVFHVSLLERYWKNTEEPDPRPSPEIVDGEEEYRVEAVLDHRTVKRGRGSREEYLIRWAGYTPADDQWVPKHDVGLPLIEAYHREHAKGKDGTT
MAAIRRPFKDPNHLALQELGWQFHSGLFQGPFSEVINSFNQLTRHQVFQYSLDNSIVPCRHQSINLFESIHISQYTPAGKLSTKLQSVQQVFKEELVTAIKSFKKYADTKIAILPDLQPGDKVWLAFKSIKTTRPTKKLSEIYLGPFEVLKNICSHAYHLKLPQQWNSVHPVFHVSLLEPVNQSTIPNQHQFPPLPVIVEEQEEWEVAWLLD
MTTRKRFSLNEYYSDFNLKWLVYFGMWNRHDDDSRKWLYYCCYSSVSIFVIFVVRTMGCVHRVWLTKEDFMACTMSSSFAAGYLCTIMKNINLIMRRSDIVFILETFNWERNISCTREIATHRNRCVTGAIKTTKKFTFIWAIIVTLYNVFFFYSSLVENGWSIDALPISDTPLKRIARGHNFWIVLTLDFITWSAFTYTILAHDSLFLSLMIQTSAQLNILNHRLKNCANDRAVRYGEDTPDADVIQFDCCEINIKTESLDPNEELIKCIQHYQQIFQIVKTLQSVFGSILLPQLISSLTMMSLIGFHVFVAKTIDLSKPPKAIFITVFFESLLCQLFAFCWSGDCIIEESDKTSTSLYQSCWYRGNRSFRTNVKVFSSLVENPMVVSAVGLFDLSVITYKNIIIKSYSAMTVLKKAYK
MLSFLFLFISSKNITISKRGTTSSYTIYYPKTERGEQPWWRFAARELQAGIKNITGETIPIETDDQNIKDKAILIGPTKFSKELTTLKFTDLGLDAYEIRFVKGDHLIINGGSRGTIYGVYELLETYAKFRFYTSWMEFYPEADEFNVDSDVNINDVAAFQWRRIWATDTILNFRHNVRKRANAGDQGLGYEAGGYKWSTFGVHTFWTQLPKSEYPPSKYPEMWALLDNGQRSSSAPCLTNQKAFDVILSKIIAEVKKDDTSTYIDVGHNDDNDYCRCPNCKALFEKYGQ
MPSHLSRTSNCSSSVGPPPSSLYQPPSSQETTQATNSTPTSQAPAEPSSPPHHRQMHQARRIPPAASKQTHEAWNVGAQPQPQSNPPKRAPGPQPRGPVYVGIRGLAAPAGCAGTRSAGSWATTRTTGCTRRGARASAGSGGLRPGAAMARARAVSRRTDSRTRTDSVAMWWWARSRELGASAETRGAWAREGARGCRVRSRRAVGGAGRRCPERC
MAKYSLKQNIQTHFNSQIIKDINDSLESSYNDEEIKQFIIKSVNTLLSEIGNQSYFPVYSRCDIQISQWLSAEHITPENNFDISINWRSGATEHQLGVNIYCHYAWFTWIVWCLIFTSSFIVCFLFLPRPYSARQQDWLKNGENQLSSKQLKRSAFLIEQLSDTQYKWFLSAISAKTWQQADLLACLGSSDFTSLGSEQLNWFAFSYFHYNKQLKPALSAANAKPSLIFDLKQKTITVHGITIALPITPFLYYFWYAQRRVKDIDNGWLLNPANNKSSPQLSESLAQLMQTYQGNTRAINDLLQNGLTPKKLDQNRNRIKDEMLAIIPETLVSHYLFESKRDPKTARFTCRLILSEQNIEFKNLKELI
LCIPPAGNAFSIFDSRLSTWRNTGPFCSGNTNASSNSACARLDHFVDDSSDQVNFSKKSKCDIDKCEHDDDMKLNCPSNGKQCIKSESKPTRSSSPSEDIELIMDRAVDRIGRPFRYRIKQLCDPRLLARRDVLAAVAQAYASIPYWVYRQYDLNYLVAAIQAELYNWNDTWQVDKWNPELAVPFSYWLIQNLPMSGSLKSHILGIDHVVQRLRALLEVIRRSSACVCASCGANITSSQYIVCLAQEGSSQTYVNPSGVLHDM
MLQFNGSFFDQATAIALPKEQLQVLYAAAAKDWSQVEPAIFGTLLERALEKKERSRLGAHYTPRSYVERLVRPVVMEPLRQAWDEIELELKRLLEPAAGAEEPTANQRKKAEQEIRAFLDQLRTIKILDPACGTGNFLYVSLDLLKGLEQEVITRLVDVAGNVQLGLEQINPSQFWGLS
MFESEELDEKSVCREFRHTANDGKTYNTKYYNLDAIISVGYRVNSKKATQFRQWATSVLKEFSIKGFVLDKKRLENGSFLGQNYFDRLLEEIREIRVSERVFYQKLTDIYSTSVDYNKDDETTKNFFAKVQNKLHFAIHGQTAAELIFNRANSQKEKMAYALI
MRLIRSPRHPGLRIAPSEAGAGLCLTAVGESLAGLLAPGERLLAVDGQPLTDVLDFHYYSAFSAEPELSILGVDGAERRVRVPGERLEGEALTFAPLEFKTCSNDCVFCFIHQMPAGLREDLYFMDEDYRLGFLYGNYVTLALAREHELERIVRQHLSPVYLSVHAIDMALRNRLLGLKRSRDIRGLIARLLAGGIAIHTQIVLVPGWNDGAALDETIAALAGYHPGIESLGIVPVGLSAHRARLTPLRAFDAAGAAAVIAQVETWQRRLQESLGTRFAHLADEFYLQAGQPLPQMADYEAFFLYDNGIGMSRDFIDTVLAEAERWRAPARPLRVGLLTGKLGEQLLREQLLPQLGRLPGLALELRGLENRLFGSGITVSGLLPGEELLAGRALLPPALDALVLPPNTLNAAGRFLDDLSLADFRSRAGLPVVVPETGLLGALAEFARGRS
MFLVPNPRLQPIGAPGDFLKGVEFDQAKVQARQVGRRGQPRGGVVATIDPGSPCEHAGIRPGDVVLAINGRKLRDAVDYQFFTADEETPQFEVSSHVEPLRTHVVVVDRDLEQSLGLTFTEPTFSPIRECNNHCPFCFIDQLPASMRNSLYIRDDDYRYSFLFGNFVTLTNLNERDWERLAEQRLSPLYVSVHATDLEMRRLLLGNDRVPDIMEQFERLFSLGIRVHTQVVTCPGMNDGAYLDRTIEDLGEHFPNVLSIGVVPVGLTRTPAEILSGPDPSCSRILPSAADLPLRTFFPGEAREVVRQVRVWQRQFRRDYDCSLVHASDEFYLLCQEPVPSAASYDDYPQFENGIGMVRDLIDDWKRLRRRLLRQPHTQTSVSATLVCADMIGDQLGTLAGEWHDITGAEARLEVLDNGFFGPRVRVSGLLTGQDILANAHRYSGDVIVLPQVMLDKTGSRLLDGLSPVDLTEKLGKPVCFAAYLSEVDDIVFSDRPVEVSRKLLAQKKLA
MLCPGYNDGAALKRTIRDLYSLYPSVMSVAVVPVGLTDLHRAGLRPVEKADAIKALDIVDSFSGRFMKKHGDAFVYSADEMYLKANRAFPPLVHYGDLPQFENGVGMVPLFRAEAKRVKPVSGILQSSPRFAEGNSKLKHLTFTGTSFYPSLKRFMDKLTRGGVSIKAVPVENFFFGSQVTVTGLLVGQDVLRALAPHAAGHDVLLVPDVVLKEGHGVFLDDMEVGALGQELGIRVKVIESCPEGLMEEIV
MSFYMGNYITLTNISDAEFKRILKRRISPLYISVHATDSEIRKRIMGNADAGKLMYMLTQLRKANLQFHSQIVCCPGINDGGVLQKTLSDLFSLFPFAASVAVVPVGLTKYREGLFPLRIFTTGEAKALLALVNSFAATCKKVADTSFVYAADELILLAGAALPEYDEYEDFVQLENGVGLLRQFERGFIDAINKMNPLDRHFSFDAAGGTLAHLFMKELLLAFEPYKIHPTLHAIENIYFGSSVTVGGLITGKDLISQLKGKLSSNILFLPHSMLKAQEDVFLDGMTVQELSNELEAAVIPVRGEGDKWVETVFAEAMRRHTQQKEVVF
MRKEILKDFPVEQIIPYERNCQKHDRNVQDIANSLRDFGYLKISILIDENNNGDKITW
MAINVRIDSADLVKFMQNTEKAPQNIKGIAARALNKLGDQLLDDVVEVVAAQTGMDDRVLRRDIVVTRASPGNLYYSIDASAALIEAPATRPMPGARRFNRRPDDYFHAEELVNIVTMGDEEVCKICEALEEGGPYTIEEARTLLPAHPHCRCVVQPYRSRRELPVAFRKGQSVELASATLERLRERLRNEIKFSLRVL
MRSPKDQEKRDKNTIACRMSRRLKKLEEVAIEEQYKTFKDQYMANLLETQRIVHYMKELIKLSYPFMSSLPKYVGNITLDT
NKELDALPKIQSPTGDVNLSQDLARLLNQADRLAQQKGDQFISSELVLLAAMDENSKLGKLLLGQGVSKKALENAINNLRGGDAVNDPNHEESRQA
MRWLSEASQQFELLNW
MRIYSKLVLFVFLVLFIYFLFYFNDNLITKSETEQKLQQKSQDMFINVAVVVCGTERVDESLVMMKSALLFSTKNTSINFVIVTEPKLKELFEAKIENLKDFAKFSFEIFDLKFPDDDKETWLKLFKPCASQRLFLPSLLPHLDSLIYVDCDVIFLSSPDLAYLHFKSFEHSQISAMASESENFNTGWYTRFARHPFYQPFGVNSGVMLMNLTRMRNVNFEGKIQPIYQKYKNKLAWGDQDILNIYFNEHPHEMHLMKCEYNYRPDHCMYTSQCDVSSGVKIMHGNRGYFHKEGKQRIFREFYKAIEEYKPPDNPCSHIVKAIEEQVIVSDTSNCNELIDEFLYNPKNLFCKNEIENQNEIFLREAE
MAANAGILHSICTSEQIIDSKKIDEIIIDDQHKCKSAVLSKVLFSISEIERTKLAQDIHDSIIQELIFVCRELEYHVEKYKDIYYIREILLDNIQYIREKCFDLRPPFLMEMGLLRSIQVLIDKHKSNYQLDIEFFVDVKDDSIFTEDITINIYRIVQELLTNAVKHSKASYIVLTMIQKKTSLMFVYEDNGIGIDWNKVNSKTNSFGLTGIKERINCMNGIHNISSSVNEGLLLRFEISTKNE
MPVKDQDLTPVFNPAFANHRRVTYTELKQHVTGSDMQKLTLRMKPEQVQKAKAYAAHHGKSLSQLVADYFDSLTAAESDNEALPPLTQKLKGALRSPDTTDDDNAYKKHLEDKYL
MSPGEHEELRRQVEELLAKGHIRESLTINKITVRYIFPIPRLDDLLDQVSDAMVFTKLDLKSGYHQIRIRPGDEWKTAFKTHEGL
MNIKTILYTIIIFCAIGITGTYAFGESDIRYYDPAPDSPIINPDETPTLMRSFGKLEVYRLMRDSTCTGLIIADNGARVYQRLTDIACEQPKVMSVSIHPLSVGNYTAVDFFHNAELIGRITLNRSPE
MRTIYEKECIQSKYREEIQKKANEERHTKKALVVAIIVITIILLDHRYNPQPPIGEETPITIQKVE
MPNLATTELMGSQDAIVFDGECVLCSGFFQFMAARNKSQRFKFATAQSPVGQRLYQELGLPTQDFETNLVIINGKVHQRLDAFAAAMNALPGIWPVLSICRFLPGWIKDPLYHAIARNRYKMFGRNPTCLIPDAALRNRFLPEGF
MYLPTSWTDDRERCRQAGIDDTVGFETKVVIAKKMVRRAIADKIPFRRPATAEVGTPIVRERRPWAAGLRLGACRSPSLAPQDRRHRVVARRSVSRPEEISYYIAYCPAGATLDELIHIAGIRWAVEACLQTAKQECGLDDYQVRRYPGWHPGHGCPPFSDRQSESSHARAGIARSARPGRSGPDAGQPSARPTGISLLRPGCGARPDAAGAGGSDAGWGDVGE
SYGYCEETSEPIGIKRLDARPIATLSLEAQERHERMEKIHIDD
MDKKINNALKKIDSGQYGYCEGTGEEIGIERLEIDPLALYCIEEQERQEQLSDK
MELPNLNARCGFLLLPELQHRAHLLAAIPKTLGTGSEVSQVAVAKKPGDRKLVLGAGLAPTVAWHNTDFLQSLPLNILHEGVLEIFARLLGTFIAPQDDTHHPEDAVVRGYVHCMAPALMDGDRLKDSRWQENLLRIGARSHQSGVTYKRPPFGVKWWPIVNEICALTGERKIPVLSLILPQILLRILEGDDRWGSAVRLRSIWSSFAENAGRDVPEDPVAGVASLLADNVQHSDHQSLCIPMLVQRIMRAWGEGLPMFRGFEGNELENLLEAALVPSHAVSVASQGNN
MRYFKPQDDDQQAEAVITRLYELARTGEPDAAELRRLDAQIYAGLIASYGDDAYDKATTPALLLS
MESGALASPNDSRSVRGIKRPKRFDDFQCPDGTPVRAGVLESAANPLAGCDAQTGVTTPGPPVVAAGDMTELANKQPAALKAIIRILREENQHLRGMLETERGRAQQLAAGVERLVRGYRDGTSP
MLLSLDFFKNANEIDSSLRNSIEWLNNAGERLKAKMKEYEGFFNSFNTSRE
HDDFHVEMTYLHLPYSELLLLVSCSEKELSKRGFGTVKNDQCVKNVDVKPFSSIKKMSLQYGQKRPESLKSLYDALSRGFS
MTRSMRRTQQSTLAAATTLSVVSVLSACSLPSVLGGNASCSDPVKVNVAVSPEMRDIVHAQAERIAGQVCAAFTVNAEESAATANRTPGKDAPDLWIPDSPLWSLTANQKTTDAYEVEDKPVATSPLVLGVPKSLVDSKKIPTGSVPSAEILSKAAPAFMMAKRDISSPTMMTLLNTWQNAGGRRPDELRAAKVMLPVAASTATDDALYSRAMPGGSGAPVVFPASEVGIAAFNKAHTDTPISALAAKEGVSSLSYLPARASASDERAKKASEELIKALHSEDSVKALKDGGFRVDGQTSVTVPEMPAEVKVTMDAPTANQLRQFGALMQKLSQRVRMLVAIDSSGSMMSPSGYGNSRIELTINALKDALTYIPPNTDVTLWQFANNAKFGAHDWRQLMPSTQVTAADGSLLPGALKMKQSFNSLIDSVGGGTGLYDTIWDAYTTARGTASLDTNTIVVLLTDGRNEDDPNSIGLDNLLSKLKSSRSELQPVKLVLAGLGPQSDMDALRKIAQAANGSATLVDDKTTFLDVIVGSLV
MDIAMKADVATGKVKIMVERNQALSDMNKVPRINVASSSKKVTYVFQYVPKAKKVESQSSELQHNVVGGLTFPIKRIDAIKSSPKEVISGDDDLPKRDDIGERRRKHEMRVLAGAGVEPFDDVKDEHGDHASDDVAATSDDGEMDSDLEYYREVEKQHSAKVAAKEKMYSRSLAPLLAPETLVDGKRQINYQMEKNRGLTRNRKKQDKNPRKKYRGKHEKAQKRREGQVQKVKKPSGPYGGETTGINAGTSRSIRFKGLDKYLFNIGHQRDLENSPKYESKKIKDLS
MIETRREAAFTLPPSAVATGAAVVPAGAFVCGLRALVSASATSFARTTPH
MARTKIIADADGGTEVPLTQAEEAAADQLIVDRAASQPARNAREKNRQKEKLYDAHGSTVMAIIEAMVEKEEGRPDKMDAIKAARTKAKADPDWPQ
MDKTSPNSQSKKGDTFKRKRNVESDVAQLLKEESKRQKHLSKLKALGERDESEKLLEDLVFGAEDELLEKLTCKDEGHVGSSLLDEESSDSEVENEARLQVPAARKAAWEDDEDDEAEVDMTHRFRRDFMKGSTEGTLPKQKLQHRLQEQFQKAMGGTPSWAEEWKENKKKDKHSEDEDDDDDNLLRRTGNFVGSSESLPHGIIQIKKCLDANNARLSDGRLTTVQFHPSAQVVMTAGEDQSISLFQSDHVLSLFSLGLNEKNVKEFEVSPDGMYLLLSGRSGYLHLVTMKTKELVRSFKINGEVTAATFSQDSGRIYVSSGESTPAQVRVYTCVDEGEVYIWDTKTNKCVNRFSDDGSLKGTSIAVSRNGQYIACGPKMVVVFAESNPKPLKAIMNLLTSVTSLRFNPSTEMLAIASSAAEEAIRLVHIPSFSVFSNYPVSKRSSVFRPQCLDFSPNSGFFSVANNKGKALLYRYGCS
MAQLRIQRFVDSPKTPRARTSPTFEQLLQGDTSRWPSSTLPTLGNYHDQEEEHGHHNKKSVLTKVKERAKKLRHSLSKKKHGHDDNVSPSWGVSLEDDEDDDEDPEYLGAPMYESEKAPEGYRERARQHPRAIPVVSEKHVLLSSVKNEAEHENCDKSAISSKTITETVSEKLAPAYAAVSDATQTIASKIAGLTVATPAAPDTGDQQTWDKGVSVKHKLEPGDNERALSRVISPKKTSADLGVVEKVKEAVTSLLRNEDSSQSTTRTTNSSSHIPISTNSHQVVEEENHGRILQAN
MKNLNEVVSENLDYELVVVGHSLGASIATLAAADIRGRGHPSAKLYAFASPRVANSALAKYITAQGNNYRFTHTDDPVPKLPLLGMGYVHVSPEYYITSGNNVTVSTGDIDVLDGEVNWKGNTGTGIPTVEAFSAHHWYFERADGCIGAGTPWKRSD
MAIIDNIKDAVTRFIPQKRESLPEPGSAGHGMHRGEDLILSKTFIVASSFQIFLSFIAMCCFAGVASFQAKHKVGPSFLSILAIFDSLVLFFLAAFLLAVPVIYDRYDRLAGLFRALRVTRVALICNGIGIFFSLITAFVTTISVFTQPGCKDPKKDPSAKSAGKAFVAGLPDWCQTKRAGAIFFWLTLLAWLVTTYLAFQEWRTGKTVYRPEDPPFKLPPQPVQPHDEDDTDPYSAPPRRQRTEEEDELHDSRSPFGDNRYAGYNSPAGRPSMDAYGAFSDPNPSGYGHSQYDQPAVSRTMQYADPYAAVRQSIHGGASSPAPGIPQAAPYSGGYQR
MTQYQLVNIVYLDNEDGVFLRYELGADEQGVYCLALVFVPQTLEVNGVNYTNWLELGWLDLNQYTKDKAGVMQACRDHRANWRV
MKRFIDFSIVQAAIMPFLMLPSQQVKTYAPLEDWWLEFSIIGLSALTLFLGVIALAVSLKKEHRSSGNRTQCRKRNKQ
MSFQISNSTEFNRQIGQTAQGSQKLALKWLGIGIKAFFEFMVQAVRSIIGR
MGRIYFNERTYSAAADGCITAASGLGSSSFSIFNDSRKTVEVFRGFTCDNGAPVATVGPHGATNGVVTRTVHGGLFGDDGAVGSFRVIHDHEW
MKKQAPIKVLVFSISQNLYGTSECEDSLYMMEMVYRKFAMVLVQLEKVAETIGLQMKKMNVAKVFFELYFLIENTTITHISP
MNCANTLKIFSFLIFGTVIFLSSCERKGKSFSKITMRWHPVKHAHPELPAGIKIMTGRNDELPINAWVAIIDPKDPDVDLDVIVSEDQDRRETLTQFSENKKARVVVNGGYFLMDKNPTEHVGLLYVNNFTVAPATRSVLRNNKRYYTARGALGFWMMVVLICLGNQPK
MSPVKAQQLCPVAGDERVAVASVDERLELALTDGRVLRVAGVEPVRPTPDNPDFDMASREALRSAVNDGLDIVTLGRPDRWGRIPRFCLSAANVRRHS
YDLNTAFWGRYENIPSMALASEKQDILQITENFALIHRKHIYLFQRLKNTVLRTLDLWSAPDFAALCQTPGDEASLLLWCCCTQQQQQQQQHQQQQQQEQQQQ
MFIYSSLFLGIFLVIWPLMNLLAWALTPTKNVHLLSGLDIFPKGFDTSVFELMLSNPNVLMSFLNSIYITTVGLSLNIFFTAICAYALSKDYLPGR
MAASNLGGDVYISTALSGLIEIPACITAAAVIDSYAVGRNSLCFNSFIPVKYVTVITGLALCGKLSISASFSVAYVHSAEIFPTIIRNSAVGIVSVAARVGGIVAPFILMLGDFIPNMQFTVFGLMTFLAGVLNLKLPETLGQPMPENIADVIAFRNNEKVVKSGKKYQKLNMVDEEVGAETVRPRTPTKKGKLEKTKNPFWKSNSLM
MNAIIYNGLTLNVSNLPVDDYWSFIINGAVELPAYFIVWPLLQTIGRRWTLASTMIVCGIGCVSAMFMPTDYPWLVASASFIGKFGVGSGFAVIYIFAGELYPTVVRAIGMGMSSMVAGSGLLLAPHIVKLGDYMKILPLLIMGLMALSAGICTFFLPETLGAPLPMTLEDAENFGKRQGLFTGASEKRKKRESAPLIASQFRNKTNSLIL
MPTDDLAVPASHDSKGFLELFRGRKMALMTLVQCYAWFINGMVYYGVSLSSGELGGSIYLNFVMVSLVGIPGNYLVIDNSNRFGRKKTVIGHFIAGAICCIAVSFIPSGTDNTGFIVGRVTLGSLGKLFIIVSFNTIYIWSAEIFPTVVRNTGMGLMIITSRIGAAASPFVVQMSRISAILPFTLMGAGAFLAAFSCIILPEXRGMPTREVVGDEVMMNEMAIKDDAKIKNDTKHDINLFSK
MFQEERLSKIEEMVKQKNFCSISELCTIFKISRATARRDLKILEGRNLLSLTHGGAKAFAGGTTQEPPYLVKKNINHEEKIRIAQMACSLIKTGETIIIDSGTTAFEMANIIQTMKNNITIATNDIQVACRLANTQSVDLTVLGGSIRKNYYSTHGFLAQFALEHIYADKAFIGVDAVELKKGFMVTNMEEIIIKKLIMKASKEKIVVCDHSKFESVAFVHLCSINEVNMIITGKELDKEIYSHFIDAGINIVLV
MGVMGGDVDFKDYEVGEIKAATHRKPGVVVAAASYLSEKNLQDLRKKRQPCLVAVRGWKRTKWPRGSETQGDRPFAEIEQTMRFRRFATRGRADFRGEWGLVCAAANTL
SLETQGVIPPKHIDYPDCLHPYLHRRIKKTEMRYASPDSWVKPANGKVFEPGLVKDVMDTPWSYQYEPVWESEAVEWLCEYRYYICEGMVTMVERYDPDGADDAPKPDEAVVGRMVADYAVSRQAPAGFSMDIGVLSTGQTALVEVNDGYALGLYGTITTAKSKIYLDLLEKRYLQIARLSRRLAMGIK
MQQTIKPERHKAAAGRENLGAYVTRICRKMAQIVEKTCRKRKYCVKSAEYYKI
MHTCMAEHTDEKDIKCEELDESFNSDYDVTQHVTVDEKDIKCEELDESFDSNYDVTQHVTVDEINIKCLKSVKSYDKKEQQKQHMAVHSGDEEDFKCEECGYDDDDGTTYDDIEVLGDLLVEELPQDLEGFDAEVRGVEEGAVTSPPCLRTHQPAPSSISLTPSR
MASDYLGKWDTGRRAPILDAVRRHYRLMRAAQHWSEDELHELQLRELRRLVRHAWRNVPLYADLWANEPRIEDWDDFRALPILERASVTEDPDRLVARSLPPGLEIGPATSTSGSTGHVVRIRVST
MVYCKLRDIQFSSCGSRQPPYSARYQLPWHSSGTFTGSYSTGQQVACVVYKGVDHGICASPQKLYKDEKISGAAVQKLFQDIINHGCKGCGSVPLRPGNNVNDGKSTVNYSNYTCQEGIYPSALRK
MELKQSVGDKDEDEECQVVVQEIEKLPSDAIDEILIRVNLGNLLRQCQWVCKDWHKLIVCDKKFNKSILRELPHRYIHIGCSSQYGSLICCIDKATDVRRIPVYYVCKPATREWRKIPIPKTRLTTNKIGIVVTQSYPILHYKILRISVAEAERYGRMHCEVFDSSNWTWKRQKCIKLHWLTSYNQVFVYHVDQDKWAIIKITEEHSSFAVDCNGELGILYSTKKSMEIWTLENYSTTDPIWKRKYYNDVPMMHQNGRGGYPVHMWSGDTVTFLSVRELMWFNCNTNTFTATKFPWNGCMADQPYAFHPYFSYF
MEAQLISNALQATLDPVPEARKQAEQQLAEFEKQPGFTVYCLDLAVDPSSSNTVKSSAAVYFKNRISKYWKDESDRAIKPEEQETIKTKLIESLVKCYDDSHIRPQLGLAVRNVLLRGAWSLNEPIHQLLNSKSDIAHVYTGLLLLFEATRSQRWAFTDRTLIDTYIEQTFPILEVLASEILNNTDYRSGDMLYLILKIFRYSTISALPKYFYDVNKLSTWISLHLAVVQRDLPKEILDLEPADRSFDKRVKAFKWGFGNLHKFYTRYGLPTSKNTSPEFINFFNASIVPEVLKVYFGIIEKWSGGLWLSDNSLYHLISFLEKCVMTTSWSLIEPHFEIILRHLVYPALCQDNLELFEDDPEEYIRRYFDIYRESSTADVAATDFLFVTSHHRTEKLSVVLNLLNEIFSSFSQDQSEVNALKAEGGLRILSSVSMQLSNEKSPVKDQVDQVIDGFVVPLLTNKHQFLRARACETISIVTCSYKNTSILSRVFEGVYNNFKDDSSLAIQVEAAAALKVLIVEPPVVEQISQDVPTIMQKLLHLSRSYELDMIGEVMESFVEEFSTQLEPFAHDLGSTLCDQFIRTATELLELQSTHSNGGGSDGETEKEYQAVGYINTMTTMTISMGKVDLEEVFAPAIKFVLHNAAISFLGEALELAESLAMARKTISPVLWDLYKEAID
YSVCLFSYGQTGAGKTFTMHGIGNSEMRGIIPRAIEYIYSHHGAQNGQWRLGVTASYLEIYNEELRDLLLSCDTNEPQSNGNTNMDGGKALVNQLTSLQRAVSRDSRDSNSPKAPNSPKRGDRTPIAANKSPSKTSSNRTGTRSSGIQRPSVSPTKISTPSPKRLTTSAPKTPSPHSSSIRGRLSNGSNSTTPRKRSSSKSSVRSYGTARSGGTNYTNQSVTSAHSNASNSTRGNKLHIKRNSKGKTYVEGLTKIKVDGENLTSGLDHLQKLMEEASKTRAITCTKYNALSSRSHGIFMLETSLTNDATGETINGRIHFCDLAGNEKFDHDSQDTKLMKEMQSINRSLSCLGDVFHALAKGSSHVPFRNSKLTYLLQDCLSGDGKALMIGNVCPSVDSSYESITSLRFVQR
MSTVLRMVLAGVVLGATAGKPASPEPLWRRALAPAPEANAVRESDRLIALGDWEGALRALAETQGSATELLRARIARRSGRL
MYVNVSDEVGSYKQPLGTVLAGADLQSANPRYQKLGLVGKVTSVLNLGVLSSTNDVTQALICPARIVQYDVAQDIQHIPYGYALLIVLLSGCYILPPFLNMACFFPAAVGTLAASAIVFATLQIMFSNGMCSWTSANEKGQVLVAVILLTETICMIVWNDPWKCVSTAQAKMPQFRMTRHSLLDKPDDEDANKATMIERTRGAMSRLSIRRRILKASEHMHAVTFPEIGCATKRSEIACLLLDYTLDVYGTSGKPSWAKSAQIRKNRNISKLTKALMEFTVVTWKQKIGGGYLEAEGGGFGGLLRDGSGDRWWLLGSRRKFRQNGVATDHAELDAFELLDLDGMHDKDSN
MKKYAFILLLVGLSGCKSLSGLSPVVDLNKLVKQVKNDPTNVVAIHKQAQKTQRSIKAQVG
MKELEAYGFIEHLPTNNPHMNSNVTMIDFSESGKAGIKFIQVNFSKFEQDDTNNTSKNSYATEHVDELANIIYNNKTKINNLNKIDCYNGTQANFNSDLNLNFKNKNGLNAVPKKEEKSSAKKEEKYISNAEIPALEAVVSYFIFKLSTETEGNRFFNYYASIDWLIGGKTKMRDWKALARNWIMNQGKYNFKNANTQKASGNPSVENNKNYNEPL
MMNESKLLSPNGIVKASALCLLMSAFSVNAAFAVPVLDREVMVIQQGRTLTGTVVDNFGDPVIGANVIVKGTTIGNIYC
MANAQRKREILAAALELFAERGYRATGMEDIASAVGMRASSLYNHVRSKDQVPTELCVSWLRELLETFDAAMAHAPAIAPAGRLVCAMRVHVSFHAENSLAVRVVNNEVNSLTPANRAMVTELRRDYARRFAKIVGAGVESGDFQVADLTIAVYALIDMGHGVARWFDPAGSYSAAQLGEIYSGFALGIVGYPATGRAR
RPARRQLADSVRAACRRARRAPDRRCARLRADRAGPGPAVCGDDRHPPLEPAGERDHRRAETRAGVLAGSAQVEPRKSYDIDSLTDVALRVFAERGYDGASMDDVARAAGITKASIYHHVSGKEALLERGLGRALDALFAILDEPAAREGRAIERLRHIVERVAEVTLRLLPELTVLFRIHGASKSEREAVERRRSFDRYVTEIIVQAQREGDVRRDLDARLAARLIFGMSNSVVEWYRAGSLTREAIAHAVVSLAFEGMTPR
MKPAAARADSWTWRTWGTVVLVLLLLHGAGLWKFGRGDLPAPRPIEAAPEWSWADRGAEDWVAWFDPTFFVHGHPQSFSGPGWMLQPRVGYSAPLPLEPPRFLEPAQLVREDPLRLLVRAAAWTPSVMSLRASASPQFGSLAPREPLTLRSSRLRIVPAGPARRLRQSPEPLPGWPSAELLTNSVVRLLVDGAGRVLTAALLVSSGLPAADQKALEVARQLEFEPDSGMAIAPKETPNLTPVLAVFEWQTLPPDRLDSTAR
MSVATPTTPSGKLHPGSNCRLVYRGALALTDSHLLLDGLSFVVEASGASGNLMENPLALSLETMRGRPSLQLLGTENLKDVWLDATSDIGVYVHPYSTLSQLYFENILCLDPITSAEKRTSLGIRCGLGDGSDPAMTDFLIYGQLVPVPDHENSIPSSSQLPPTMIRMFASRILPGPPQPAARVPRPDDPTPRKPPALAKRKRETSISASFSVVTGSKRSKASVEEEEQIRRAREVMFNMPKAAPSDSTKPKRVRSAKDTFKVPSLPARGGSLDMIGDESPVDVFGVVEDTVKGKAKDIVEKPGSSELEKANKTVSSPAQVIKQATIACLSRYGINKAHDDFNELYQATYRGVCLAMRNIIRLQAATMRTVDRLVQDHL
MRHERMPRSRLTDLWLREYLVHDPRDDLAAIDRPVPAITGAKDVQVDPDDVERIGGLVTGTFDSEVPGDLTHLLRRDPAPPGLWRYRAQLARPVDGWIVQRIAEWARCRIGC
MKIVLSPAPISTTVAGRVMRISAEIRLALFSVPIPGLIMCTGVPSRNQSQHACFNKVDDVRVQ
MSGMTGAAVVVLLLVQAACVVQSLRSGSDPAESLPYDFGAALAVGGVVLLAGFSGAIDEPGMRWGLGAAAAVTMATIAMKLVRLRRQQPRAVTVTKSGEGRG
MGEIPLHPAIVHLPIALGLITPLLLVVVLIGQKKLTWHKTTWLLVILSNLLIATTAFFAERSGEEDYDRVEIVVGDEPVHEHEEWGEKVLVSALTALTLSVVAYFVSGFGLVYVTLAANLVVMFLVLQAGRTGGELIYIYGGAAAHAPSPAGLQLIPEEMPTLDLENIEKELDALPEIDTLETDQ
MQTVLKNLEGFYIIKKEIDNAKFPKLTNRVHYLKHEEGGVNAVCEIMRKYSEEVAEKAYQQGEEAGKEIGQRQAAIAELQSESR
MNPTRERLIDAAEELFASRGFAATSIAALERQAGLAPRTGGFYRHFESKQALAIAVARERIVERDEDFDPTRFLPLPDLRSELVLYARLYLEAAHRQRERHRLIEELRKIPELREGEIAANERLFAMLCDWVATKPRAAQLEQSELANLTMLAFGPILFLATKIREGITIESLDPDHFVDSWAEHARALLEESP
MPILAGMQQDIVIDEKLKQVNINLSAVCTNLHFNEIQLNQLNSNKKILEKLKIDLDKLVETFAENSSLFSRAAMFWGKLSWWQRLSLGAIIFVPLFIATLVSHLMPLLIVSLSVLFIFTISGFFLNNHYKHCEKNKKNLKQGFASFATILSEVIIALSKLHDQLWLTLAKVHQELKVLSEQLIKLDDKMSIMGDELINLSQANAELHIIQNQLESLVAELSLKIQEQTNFIDQSNLNFKQIMLDAEARQSELSLQIIQLNETNESLILELKKAKQIGDILKNALQVVSRAITTDNEQRNYFQHRLQKILNNEELTLENFAQSLVKVEEDLSKISMHFDLLNHGHSSLLDQQEIQIERLEHFVNNRLNVSSALNDIGIFSNSKSENLNGDKNTFCPIPSSASN
MSAGGRRHVQDCGSGNPVAPAPPEESHTRRQVPKHAAPHLDNDAQATRAEEVPPRPSRQRCRPPPFEKRAASEPRPRMTATPLRQSHLSLGSLTPAEETPRERPVPPPMVKKPLRSGRRHTPAPRSASVDDRHIGIKMVLPPHHWNSVTERREALMSYGIDAYEPRDVLHRNQSNWSIGYGSSTPKTKTLVNSTEGNGNGSEEKLKLVTSPNKLIQRSRRNSSTPRRREYDIISWMPL
FSLTGLYWQYGLVLYWVTTNLWTLGQQYIMFRNWNVEPAQPAGAAAGAGGAVQAQGSARALSGGSGKGGSGKGGSGKAKAVPGRQAPAANRAGTASTPGAGGPAEPAANGQNGAGKRGLLRLGRPKQAAQPEPEPETPAAKVVRQQPVRQSKSKRSGKR
MANDDKVWRWDDAKAQASSGAEAQARMDALLMKAADTDDPDDAVSVLLGRPRVAEEREETVKIQVRVPRSWQEQIDRKADGERLSRSEYLRRIIGRDALQPA
MKIEIQHAKLLIGAILCAAVALVITFWRLTPHVDDQQAMILPPVATHQADNMPTQIVGNIFKSSREFGKTDAEESPVEPVKQEKTATSYKLLGIFLQDDQNKAIFVSGAEKRVLTVGEELPDVGQITHIERNKVTILAQDGLAQEWLLFPTGNHDKLKDSEKQ
MERRWAWKDRENIQVLTFLNDESPVENDVCLLDDDEDDDELLDGGVLYESEEDKIRAGIVQKRLFSDRLCEQLEEQIEMVEEKANRGEYKKNTVDRSPLRVKYFFGEGYTYGDQLERKGPGMERLYPKTGPDAVDPIPEWIKKLVIAPCFRAGLIPSMEWANSAVINDYLPGGCIVSHVDPIQLFDRPIVSINLLSDSALCFGCKFRFKPIRVSPPVLRLPMKRGAVTLLSNYAADGITHCVRPEDVIHRRQVIIIRRVFPDAPRLPPPAVEPWNDLTRTVRLTPIYKSAIDERRGGTASRVSKGANSGRTLTGQYRKRQHSGDSEVASAEESSPPTPLTRKKHPRIVF
MKILVLGGAGAMGMVTVRDLADSPGVSEVIIGDANIKKAQEIAKWANKGKVSIQKVDISNFESLTSAMKEADAVANAAPYHLNLTVTKAAIETGKNLTDLGGVYYMTLKQLELDNEAKNANVTVVLGCGLAPGVADVLAKHGAD
MSIRAIWVSTLPDSGSDNVLYSRKFITVEKRAKIFAESADNYVKLPEDSVFYSALVSALGLKSESSQFSKSDVIQSTPVFELSTREGKLWPVIVIEQHPLILCCLPLVENGSIARPPLIEIPGISIGFSLLLHIAELLGPSQQKIDQNSSKLHDLRTYLHYALPFGTPINLNVSTVMAAVSSKASHQTLTQKQPAWKPVLLRGVKSHIYFHIHEFIRAVQYDRSTVPDVWDVYGRVSCKAELEGVAPDITVNLSVLPNHPPISNLIVHPCVMVADTHSTDGVFNNGSDQPITRRIRFSPPVETHLLCHYSSLSLTKLPIQGFYQMRGDQKSVKILVQLKLHEKVKNSFDYCEVQFPFYNRGSILNYDAASSVGTIVVGPDKRRLVWNVGQRFPSRNLEAELKATVNFDEYSPSQTPGVYEDPFCVELNAYAQILFKISDYTHSGCIIDQKSMQIHPSVKARITTVRELMSADYKIWNSHGDCQMAFQPPAYL
MVFDLIESKELDDFQETRNDSYNKLESKLRAHKASMKSGTSRVFIYPVTFLVPTTRALLITPMFRNNADLAQGANRDYSQFGPRISRRLGTLVFRCRKWQEA
MASNAVDDDWKVEGSDDEKYLSQCDDHGGWEPRPEDIIRLYTTLAEKGTLELEWRCMGRRSPSVHSNESDGAERRAVEEDLTKSSEPNEFDFDDDEGPDTSCSPKITPRRRAAPPSSVQKRVAKFDKVVFDVRRQRELDAIDKIEQRQQPQQAESSSSPNVKR
MKLRVGIAVTVTAACVAVEFLHSTCWITHCSGRGEHQHGGTPPCGGNDSSEDSFLVLHTRSTLDHMDHLEQRGPQKNAALDTICQDEVSSDTASDCFEASTAVRGCAGGRGVSV
MAGLQSLWTDNKSNNRITSGVAGVLGDMLADAGVAKKLYNDGWLPRMVSILQYEECRDCALEALSRFVGHNFPDICKDVSTNHFQKISQVFFDVDTDTEDSAQAVRIMAKALMPTLGSIETPKLITIFDRNKIKIKKILDRLMEKLENPLPPHSPTSTCHEIDLAIGLAYLSPDLVLSTLRYLQCFVACLRSSCMKVRAKGTRIIYDLCVGRAGRPKPNNMQQIANAWMKGYPPEIDTLIRDYGEDRCHASEGINGLTAFQEVVADRTIDLDFYKFGLAIGQAMLETDYAVFKLPFERRSSKYPFNTWLDALPHTANVLRSNAEFDKADIIEAKYLMVTGKWMAAKDLAEKASKRSPKIGFWYYAMCIPMEDADSLRTAQKGLRCPGLSLYVRHGLLYQASTRAWELALKALTGPSPSDQLWSQGLAYLGLCYQNLKTILTISPPDSVGIASLANLFVLAHILLHGPELSPNLEESKPIVEKARLITKLNDLIWAEELASAPIASQMAREIILKHLVSVSESRSAFIQHTDSCAWAEQERGDDAKQPTTEEVSKLFEGASISSSSEDPKRSKYKFFGTERQEIHLYQCSWCHNPSAVLRKCGVCGQACYCDQQCQKLHWKEHKTVCKSPEISK
MGATAGQRDRRTLLKLSTAVGASAVLAVSGSDSAAAANAFPSERLDGIRDSTEYPGATAEDRLRAALAALSGLGSEGTLTVSELVVLTSAVTIDVSLVSVEFVCGVVDATAVVGAALVLTASTASDDAPNTRASLRGLRLRGPGRSTVGSVGIDITSPAGVRGIGVFGLEVMGFETGIRIGAGAEHLRFFSPGVEDCGTGIQISSAGAGTNVAIVGGSVRGCATALSSLASTGELHTTSLAISGCDRAAMAADGVITLHSATVRLAPLSTSSPFSTGPVSTARISVNGGTVSSTGPLSAPTVFDTAHTKWGGGIVVTGTSLRSVASSSGYLVGGSGAARLAEVAVLDDASSPSTSLLVHRAANRLVDGLFDLASVVDAYLDGLDATNRTSSPSATLITASGRLVIRRSGGGAMSVSFDVPCRPARILATDIVIGQITSAGAATIRESFVVAPGKDTRGIPVTRRSVEVSEQRVDLPTAAAAIPFRVPPVAGVDRRAPSWASHFRVTIVLDETGLGTLGLTEVVITEL
LNCPITQSEVIKCVQKMKSGTSPGPDGIVTDFYKACSDIFAPSLTKIFNTIFDYGSFPDSWLKAIISPLHK
MYVLPYGQMSLWGATVITNLISAVPWIGQDIVESTNTIINLCLGIITLFLMYGAMRTYLLYLKVYTKETSLPTIGTIHKNALKKSNKTLRLDKQEYISIPSSFLAFLAGLIDGDGYIQISKTPKGFITMKLVISLHLEDISTLEYIHSVLKLGKINIYKDLKSPTCKLVINKTDLQEVLFPLFIYNNIFFLTNTRIDQFNLAMYILRNDIKLQSEISEVKNVPFVFEIPKSPVDYTLLPFFKNWIVGFKHPWRYPGLIEFVRENPLTYKRVKKEYKRLCSNRKSYYNLLKYCRDLEHVNKK
MRMIEVYPKIIDKILELAKHYERAAVIVGNVCEEMRKQDEELHNKLQPQE
MTSSEKTCLEQLLKNQLTEFDQRFERHIKAISESFDLKIGLIAEGQENLRREMSDFKVNIQQDISLLDFKIETVNQHLGKKIDTVETNLNQKIDTVETNLSQRIDTVETNLSQRIDTVETNLSQRIDTVEVNLNQRIDTVETNLNQKIDGVEANLTEHIQSVAVDLKAHRTDTEAHGGIYRVKEG
MFERPLTRREYQLIRQIEAFVRDKHAHALGHDYSHVLAVVHYALRIAQAIPEEVDPFVLICGALFHDIGRIGTHTGVLHGLRGASIASEYLASVGVPGAVCQRICNIVARHTPTSMIPPETTEEKVVYDADALDRLGLMGMLRGIMGKRGSTAEILADRMGKRAEDYDRLYFEESARIGEALQEETVEVIARFRAALDERFRAIAEIPLPVAEGCEITALRYAPEERETGVPAFIAWPTAEALDKLTPLPGEESQARMRALLSRRSEQTARRAQELRRPEEPRDV
MSITVEEQVTLTRERRELLTEASTAFPCIAYDACYGQVGGRSVPWHWHEELELAYVTEGSARFSLPDQTLDLHACEGIFINSNVLHAASGTPQCRIQTIVFHPSLVAGPTTSVFASKYLKPLLAASHLAGYRLAHTEHPSALAAFQAAFMALEEEPYGFEFAAREGLSQVCLAMAKLAAAPASSHPNEPHRTRDSTRMHAMLEHIRDHLSERLSVADIAASASVGERECLRCFKRTIGMSPMQYVMQSRIEEGARRLIEYPADSASSVAKRCGFSSPAYFSNMFKRLFGCTPRTFRQQALKKKPASVPSLARTPVENVASRYRGSAQADC
MEQLLDNIQIIGRGRLMSVIYNLCKENFISFVTQ
MHHSTGCCQQLLHCVHEAGLAPPRCTPIHLCTWLLLVRDNELLPANAAVGRGVHVPVLQGL
PKKHVKAKRSTAATVGASIGLGAVATALIAIWQAWDGQRTEAATLAKAAAEDAGKVTAQIRIVREDFKKTTIDVDSASDDVTDLIRLSSATPLAGSNRDDMATLETRVRARCGAGIDLFTCTNLADNLKRALVPSPGTWETNLAEYRIWDNPVPIEGSTNDQGTTTLASHATTGATDLGPEVLTGATAAPARDAAVAAPAPPPAPAGPARAQTAPVATSTKVPTVEVTYTADDVRTACGGTDRPTTVYTQVYNDTSRERAMAYNAALKTLGLRAPGVENVTATAARRGTRIPFQWNQPTLLYTTSDGAGCATALAGWATTAHHITPQVVPLPQGQGRPGVLELWLPAH
MLMHFFTLQKVLPRRIQHKYFNLIRQKLLERIDLIKSRASNESLKNTSTKTFFNFFYKRYRFYFGIYNPCGHFYAPGLSRITSRCRGPSSFVMSNNRRACGAHQREFFHNHINNNLKSRPSEESTFINSKSSHANHLFDLWQCGYHQKVFSNRLGLTYTACFTANGTNFIRKHPKCVMYRKHFYDFKLTPSDNPRTRRKQETRFKRKCNRGFRSGKSDPLDNINDKLAAGRRYRFLFLGSQHIHKPIHHLKYKNFRYKSFPDHKEYPYKKKMSIRCT
MKTLNISIKLDWVYVIHSSKIPSCAPSQQQIKLASDKISLSKLWVNPYSGLKTRRCEEVLPALQNMQEAVLITRAKAQKSVA
MPETSHAGYGQTFDPFPRLPVEIQIFILELATGNSSFLWAEWSGPGLTMLAPMVTIPELSEGDLPGLIPYSLPDGMPKTLPAFPLPGGLPDPSAPADAWVDWDTYEAMMQPKQSSLSRLTSSLRKSFGQTTLTEESRQNTLEYNPLLEYLKAFSLSRKIAFSRAIIKTNQILPANTTSHSSRPVFHTLNHGALSSNVFTDMKTGRNCFAFVLGNDRSTQAPESLMLQKVTDPSKQYTFVSAWLAEIERCIFGHRLSFSAGQQKLDKSRFKQVDWAMWWRPDLGARARRPEANHPFFIPPETILSIYCAILHRLIGDYAHTTGNCPAEHEYGDAMYSSGGWYFRLLETGKCSRCGKPLLDDLLHYFPQLIDEDGHIDVIILLQRGAFERPELTIDTRSHELYV
MKAYVITIRGNINSVQSANKCIDSGKRHGLDIEKWSAITPADNPVELAKIKGIDAVQFQERYSRMENCIAAFMSHYSLWEECAKGDENFVIFEHDAVMIDSIPPAFPNYVMNLGHPSYGQWNKPQQLGINPLTTKRYFPGAHAYMVTPAGAKLLVENAPMYARPTDVYLNLDTFPWLQEWYPFIAEARDEFTTIQVEAGCQAKHNWKKGYEIIDA
MARVKKYSPEVRDRAIRMVTDHRGEYPPQWAAIQSVAQKL
MSKTTKKFSPEVRDRAIRMVLDHEAEHPSRWAAVSSIAAKIGYSRATLHEWVKKTEVAEPAMAA
MRGYPDSGDETPLPLDAILRPGRPPLGEGGRRGGGGQGSLPRPAVRGRGQGGLRRPPPPLRRVSELAGGDRLVPGRRAGLSQGPAQEARPGRAEPAPGRVPPADPAHPAPVSRSG
MSGWTLFLVVAPLASPLPGPGGTVIATFGLILISAQFTLGAASIRAIESALAAGRGVHRPDIGAR
MIQLQKEYATKLLCHVNPYTGLALIDDPAVMTIQINNEDSVFKGTEDIKDTKGIVPYGQELQRRFNRYLLAKYDTRKNLSDAWTFNGVCALGTEEDPEEGTVLFPEGDFYQVQNNPMGEWTGIESPARYADFTEFGIYINRRYYGTMIDHVRSLGAKVPIVTSNLLNGAADVYSHADGDLMENNTYFNHPIPPFDEAKPKVADMREYVTSNPLTVHKDGLFLRTNMLPMASTAVVAGNPFILSEWNEYGVNPFHSTAFISMAAYACLNEWDGLIVYCYHTSESWNGQPADEITNIFDVYNDPSLICQFGFMAALFLGDLVRPAEHRVDLVFTQNDLKTLPPAFSMPNAYLPYITSLRNVFLDQGDAYTGDADVAVTAGFVNNGDLSQAKRAVYYAWSPYRDALRHANGEGRLERLAAPGR
MNASPVVLTKPFRTVLRWQVIATAMLVLAGGIFAGPHGALSSALGGTVSVFAFGASALVASNAKARSAAGVLSWALLAEAIKIGLIVVLLWLVLATYERVVMLAFFGSFFATVLLFFKALLVRDYD
MDLADPEELHEVLLQLAEYPYFPDGLPMTPDNLSEEEDTSEDEEEDDLEEVEESEDEGILQDAQEEIQDDNLVEDEDGLTDEEPAPPTPPPSPLRPYYQPYRRHEKILLLMRSPRMSVPLVYHLDTSTHISRSISGQKRKLTLPHELA
RIFERFYRVDPARSRESGGTGLGLSIVKHVATKHGGEVSVWSVEDVGSTFSLKLPIFSKSEEAM
VIIRITDNGEGIPETHIPRIFERFYRVDKSGSREEGGSGLGLSIVKHIIEAHGEKIFVESQEDVGSEFSFTLEKAAITKIMAQ
MDVLDDGPGIPPGLLPRVFERFARGDSARTRATGSTGLGLAIVQAVAAAHGGAVTVDSVPGRTAFTLHLPSMTPELAPEPHSQSRHSLTTWARQGG
TGIGIPQKELKNIFQEFHQVDGSSTRRFGGTGLGLSIVKKLTKLMGGNIKVKSEIGKGSTFKVYLPVKVEK
VKDFGLGIAKADQKHIFDKFYRVSSGNLAKSQGTGLGLSIVKQLIEQQNGKIIVSSELGKGSTFTLYFPLT
MGSRLLDLRMADGSRHFGELPETSDWERLRDAVAELPGARLTRCLTDGVTEVWLDFDLGGASFSVNNQHGSWWFFVSEPACPDPVLLQVLDHFEESLWPHAARARAAGPLSAGSLRVVVYEASGRVSTRDFDSPDDARRYADDAASEAEDGVVLSFVFDASFRQIWTGKHY
MKNYWMFVITGVLLIVTTTGFGRMAYGVILPFMQEGMNFTTTQSGYLGTMLFLGYLLTVPEYLQHTLVQKMFC
MLPTPYRVRTSNVSNDSDESDSHHSNDIRADDPNSLEPNAVAFHRPRNQVAPHYKLKVSCSTPKTQKRADLESGIVDGLSALLQRTQGTRDAKAGVVMDLYRTRGKEGFLRVQSSGVMKGAASLFASCLRQIPAMIEAEQKSFEDEDDEDNEVDVASEIYSELESLGPGGAGYMPLRAVVRAHGLQLVFACMREGILSYTMQSEIYNRLLDGGTRLELSQLVEILLYTKGAENASTCNGMQGSVLLIVSLYNKVLLDAQTLSPRLIGQSLWNDRMDLTQLTASPLDRWLYKLCGRTAREQSNESLEGLEMILAVTCGVPELLVDERTIWDTADFQAVMSVRKATSTIDGVLTTLIAMAVVGTIDGNDEGKAATATAITTMFKTIAMRIMSALKDRAFFGRSSGNDGNWKMLATKLLTFTLVLQSMGNTTTRTMLSPDYLIDGMQQVWFPSSRAKKASLQHAIDILLDVTLCVGGDDKTRAADTLHACVRPLMDVAYDCEKPAADFLRELCVQSTRRFAKSALTPKARRIARDVEEECEALGETFERLQWEEIRSGNTNELDKSFEWDEDLREWIALPTRTAQDAPKLKRPSAEPENVDRSKSPRLSHEIDDSGYGRMIAGLETPRREIRVAVAASPDELGPNWTPARPSVAIAASPHVEQLPLVVAPESSRIGPSPLPVFGAQSPLPVNVERDELALSVKKPRPVAVLPSGSSARRSLPASAPLDEDEDLADELS
MRSFVNNSQGRPYKYKSDLPELDVLAERALPYDELTVPAGGLLLTLSASRRLALISRRNSATPTATSELECPARYASAADRASFWPISPVNRAVLISTSDMPSHRPSSRTTL
MNILFFVGEFPKLSQTFILNQITGMIDAGHEVTILAKKSGTGEKIHPDVRNYELLDHVIYYGNSEQADSRLRKGLSFARALCAHTTARLFNKNYKGNVPFRDLLRNPNLILLIQKLNKVDLSDRAIIMAHFGPNGILAQKCIDIGLLKGELFTAFHGYDMLRYVKQKGAGAYKSLFRSSAVILPISECWQRRCMELGADPARICVHHMGIDLLRFDSHPSEPGKPIKIVSAARLVEKKGLEYGIEAVGKLIKKGYSLNYLIAGDGPLKDRLQGMIEKNKLTRHVQLLGWKTQDEWIELMKDAQIVLAPSVTAVDGDMEGIPVQLMEAMAMQKLVVSTYHSGIPELIQDQQNGYLVGEKDAVVLADTLEQAILSPEKWRMMTQNARRTIREAFNIQKLNKQLIQLFEEKGKK
MFILTEPLSWTCDNTQYVQFGHGATVSCTFPVDFRGVYWYDSPADSANLIIRRELQENGEYTTSGVGFTNESYHDVFQNGTLMIANVSSAHDRVFLVTLVDSGRISKNFEVNVVTKFNAGQLHPNLSACPNTSLCLENSLDADTLSCSYSNVRPAVTLSWEFSPRKKEMEIDGFSTSSGGFTYSSYSMLNITNHLQKPLTAVSCSASGPALGFKSTGSTVVFDISNPNEMVWQEMEAMENIAAAHTRLDIKCAHDIETMALLWKVGDITSSEILMFLSDGQMRQNQRVKSFIKFSVDGTMTFTDVTIHAEGIYTCVYTDGETYYSQTAKVIQARIQDFLTRGVRITI
MAASASIASTVQRQVAALGDGQILTIKVEGQTEVAYFRALSRMVKAGKLARLEKGKYYKPKKLRFGALRPSETEIIKTFTQRGGKTVGYLTGNALYNQWGLTTQVPNKITIARHSRLPEKEISGYKIKFTVRPFPFKEFDIPMLQLLDALADIQQIPDTSPLTVIPLLVAKMKELQPSQLNRLTQLALEYPPSTRALLGALLETHFPKQKIELLQTSLNPLTRYQFAGIEALVLNKSKWHFK
MKPLQNMNNIEKGRLFLGLFPERLPEMLRSVQDGYKDLTLNEQALRPLWDGSLFRFDLWYSLAASAADTAARFGSTTGTQQLTEKLFAGHTAAYTIDCISRQAHALPDLAENTAYKAAVKMLFGCYTAIPCQKDSTDVEPKN
MPSCAARAASSCAPALASASCVCSFSFARAGCGARLAVAASSAAASLQSPVR
MAEDLIEKHRKHFDEFDFAYSRGLDAWLIAGSNANSSFAVHVAIDPADSKAAVVNATSTSASESATLRARFIGQGYKQAMFGSWICIEIYQVCGQADVWGDGVVTEVAAHLEGALNMSFNGVYHSPVGSDDASRPWYGSPAIVEQWIQHLLNFQVNPFSFYIMRGGEKGWELVIGSGGKAFGIGFRLWVLALVK
MKLIEKGFFKRNKKIIIIALAIMLLSAVAGCAISSIYVGDDIKGKLTEGMFNVSQNNTGDVRSEDVNVNSIELFVHNITVDLIVIVMGALFSIFSVLITIFNGISIGSIFGVDPTFACVTVLPHAVIEYFAGALALAAAFLITKLEIKAIKNRSIRGTLEESKVELNDLLTFVVIVVVLLAIAAFIEGNVTPLIARSFFGL
MPLHRLLQRQLKRLAIAEATPPADAAQWREFIERVSRAYVEADQERYLLERSQDVSSHEMQELYAQVQEAQRIAGLGNWSYDRVANHARCSVECLRLLGYAADAPAPGWRQVLAIVHPRDRRRLGLAVRRAFREGVEFDIEFRLVPPPFNRTR
MIWNVTLKSTEGQAIRMRYQANLAADGGLKVSGSFVNVGASNKPYGTGRCKAVK
QDMVYLRASKLMIKKKEGTTPEGIAIPFLAMSAFFACDTMTGSFFRAWTDEKEKHYADSPRRDIPAVSDTT
MNILEDKYALARTGLKGSVARYRCETVESSGNQRIDTASYDRTGRLQEGTHHLAGPVIRRTFGYDGHLLVEEKIYCDDRLTSVLAHSYNGDYLSVSRFYDEVGTLASEHRHEFHHLEGVGTAVSLDYGLGSNSALAFHMATSSVYLRLHGVTVERRLVSPLGLTLARYFLDHKGFVRGFGSAIYDEKSQIIRERLFAGCSAFTNVLTMPEGMNEIALVRHEYTVSNRYKTDTLEQQGRVTVTTTEFDSYGNPVRKSQTSDDSNPYDNQDLVTTYMYDYDEHKNWVKQVITGLPSKSGSIPYVVTSTREIEYY
MELNSALDKPKLLREISVVLKPDEQAKAATARKKLALRRETERSGRQVLIPPETLPNRYMARHSDRRPSFMPPDWRMGMPVPDCTTKVSYVSVNTTQIPNRYYFARSDSPFPHPGLKCVTKTLQCTLSKDKKTFNDHLVAEDVLTGFLWDNNNQDGVALPPPTKAYNVKVQEAERVYNKMARSFHVFGGHMKDIHDKASTLVSAHGFQFRVPIIVAACVEELYRRGMKTPDLFKTKPDPVRVVALVDR
MQLGTEKEQCLLVALALKSTPVPRDALAEWVWEDPPDNAAAEIDRYMTRLRKCLVEAGAGDLLDNRNRLCRLNVEDAQVDVRRFEALIARSHSVAEGQAATLLAEALDLADGVPLAGLRSRRIEAHRTVLVEQRLAAQIRLAEIEIRLGRYRDCIPGLTQLFLEHRDNADVTRLTMSSLHFAGRTQDALDTFATYRKQIVEIGGLEVDTELEKLQRMIIDNAVPYPAQVIPIPLASAQEVRRELVVVLRPDGQDLSALRTAISQSLRWDGIDISTADDRVVCVVPADIPHAEVLTSWLDRIASEVRFPVQVGVSAEDEELATSLAGSDYARRVLAAARTKNLVVVVSDDVHESVVRRRGVWNEERSYRQVDEHLGGWVRVPGYSVPPRPVRAEERHRGDRSPDVINGPTVYVQGRARIGEQFNAAVINMNARRRDER
MSMNLPVFAAACVLAVSAVAAAQERSPDPQQPQATLAQAEAGAMDESQVQSAIEAHGYTNVRDIERNGEQYIAIAERDGEDVVVVVDARTGAITEATN
MQTTYADEMIHVLKRLDGDEFDMVEQPDSSAFPHARRKLEPTAFVELNSLVLELSAQRFGGHLGVWRGCRIVGLDGSMLQLPYTPEIRAAFDPKCGEQAIPHARISASADVLNGIVIGSVIARMQVARRLPVGRQSRSPCSEGPQHGCADPGGGPSQAELTARKPSTVPHRSQ
MAPYVFRMLWITVLTLLIPAVSTKNRKPNIVFLLTDDQDVKLGGQYVSAPLCCPSRSSILTGKYVHSNGAVNNSLTGNCSSPYWQRTQEVKAFPTYLKGQGYSTFFAGKYLNQYGTPMAGGVEHVPPGWDWWIGLVGNSKYYNYHLSVNGTLEVHKDDPKTDYLTDIINRRATSFLSLQNSDSAPFFMMLSTPACHGPFTPAPKYQNNFPNKTAPRDGSYNKHGHDKHWLIQQAITPLPNDTVKSEDQVFRNRWRTLLSVDDIMENIVNILQTKNLLENTYIVFSSDNGFHLGQFSMPSDKRQLYEFDVRVPLMIRGPNVTAGQVVKEQVMNIDLAPTFLEMAGLPSTPGQMDGLSLAPFFSSASNQTWGRSTILVEHQGEYHENTPGCPQFRRQNMANCNNHCVCEDSWNNTYSCVIKRESAVSPSQPGRRVKYCLLKDNQDHESSQKKDSRWAQTISDMAVNNASNGEITVDNLLTYSSISGDASSCDEQFSRLKAYINKGSEPYRSQLLPLLYPLFVHLYLDQLCNGHKTPAHRFYSKHLKLFENEKSFTHTLESIHKLYNQSDVSGCLEATDFRLSNDTLSLLWFSRENKFKIKLSEEALEYLLRHLKHEDNSTIYQIFNQHIKLENTVDNEAKGKLDLTEGLSTTKEESFEIKEDPEHLEAMSALQLSIRQVREGPPCLPSICFYTFINAYQGLCTVDISPDKTLISAGFEDASIKLWSTTPSLLQSTPQTVDPCKLYIAADYWNVDVLEESKPRYTETVTLRAHSGSVYKTCFSTDSKHLLSASEDATVRLWDMSTHTNKVCYKGHNAPIWDLDVGSIGGYFASSSQDRTAKLWVSDRIYPVRTFAGHNLDVDCVKFHPNCNYLATGSSDRSVRLWTLQDGKSVRLMHGHRGTIMTLTFSPNGNYLASAGEDKRIRVWDLSSGQLYKELKGHTDTIHSLSFSGDSTLLASGGMDCTIKVWDIRKGVASSSSHSDGISSPELLGSFSAKSAVVTYLQYSEHNVLRGAGAV
MDPSLSNSVYKIESEFNRFKGEVERMFNDIAVKSLNIQKKAFNCCASCIEDKEKIKDYSNISIESIQKCIQNCQKIPESLENKINNEMGTLNESVNACQKSCYHRLEDLLKIIIFFRFKMNEFVLIGNCLLSSELFNICNGKYITKFPFIISIDIKQYESDTSLLKNFFIPECIINSKISPNSFKDSTQNFQKLLKSPILLTISMFTFIKNNEICNQVNSPLLFMLVNNSMNKNTW
MPQEPARAVAASASVAAQASLPQRESPPPHTQQMSQTATHSSGEPELQDVEERKGPFTFGGQTFTVVAHNKRVPGKQGEFALALASLDIADAAGAVLHHEEFPHPVENGEFTETCSVSVNPIAGSNGAGLLLDTGCLPSAPLSGGPWQIFGIVNGKLAPIGKPLYAEGEMGDFVPGKVNHMGNLTQILPDELRIRLFTGYFFVSVPVRLNWMEGKLALAQHCFYQTGRGMAEGGCEMPVEGVERHPGEQELTFVRMFPESNEQIGPPAHVVVKKDSRVEILAGKVLVTWEEGKDGIGLGVAGDIWVKVRIDGKEGWIHSDEDLQAIGLYRAG
MDSAIRRFGPGRGRVTASQPLNKSADKRPCTESNELSTARGSSTHFRMRVASACTMGSINKPDDIAASILQDDDFMEELVHYERKLELKFNVSSDVLSVMCQRQHGTQNADARSKVKMMCERFQFICRATVKFVEQHSLKVGRIDLTADKVIKKLLGFTQHDPFVGNVPGVEIGDEFVYRVELALVGLHRPYRGGIGTTRDGNRVLVAVSIVASGGYPDKRSSSGELIYTGSGGKLAGKKGDENQKLKMGNLGLKNCIRTMTPVRVIYGLKRSREEGSRPRAKGASAFTYDGLYCVVDCWREGQAGSKVFKYKLQRIPGQPQLPYCSKTACSSKTGIMC
MPTNKRRNAVNIDSDPVKTDIKQLQNPKSKKRRINAGSPSRKNTSRGRPSKESGPSFSLRKITVYDLVVGDIACLSDDFSDNSSTRGSGRFLDKDTAIREFRRRVLDDIETSDDSGPEDSSSEGSSSEDSSSLAEDLNSENSNSNPEDSSSEDTSSEGSEDSWLRTPGLSFDSEGLPRYESDPGCSSCDESSFLPDPKPSYVKKTEIEVVVIKESGKTRFAVLTQLEPFVDIEDWDGSDFVDKS
MQATAEAIEKCLPEGDEAARLAGDEFIVLHAMEEMEAEELAENIRKAIEGIDCASFAPGSSLESAIGVCKYSEAGNVRSLFKLADERMYALKKQRQDNGR
MIDNWIESQEKKIKTETKKVPYTAKELSEVIDFIKTKKTISMKDLEEKFNKEYIWVASILETLEQLYMIDIFSGERQRDVFIYSNQADA
MNYILKQFDEPLVKFSATTDTSEPEIQILWTNEEKAAFLPLDLTLTPDGLSRC
MVNEQFDRVPVPIRRKLIWMITFTAN
HRAALQDPDVVPIVVEASTLLSEEDLHLATLSVGLLTPIVAAASVFPKATQETAKSTMPLALKLTRSPLVQRQTLKSLQELYKNLILAGVVNFESLFEALSDTKDIQSVDSQFVAHSLAKCVAAACVAAAAIKQTMTTLLAKLQGVSGIEAVYTLLCIGEIGRLTDLSADKELETILFSAFDSYGDEVKGASALTLGRVAVGNRAKYLPLIVSKLESDSMEHQYSLLQALREVIVVGNLTEDEASKVLTILYGTASSEEEGVRNVVAECLGRLAASNPSKLIQDVHKRFAVSASPLEKATLISAIKFAVLASEKGELTKIRSELRLPEFMGAISDEDVNVRTS
MAPTAIITINLCDCSGHGDCLFGELADGQTSGSNFRIVGCKCNTGYSGSNCADDFDGCQDNPCRKHTNCTDLTPAEHVAQKKAYTCSECPKGFYADDGETCIDINECDSTPCDSHGTCVNTPGTFRCDCEDGYTQTSPTTCKDIDECTEKSSGCEQICNNNDGSFACSCNGGYTLNDDHVTCKQG
VDECAYSNSSVSVCPPYSTCMNLHGSYRCPCADGFTPNGPACLDVDECGPLGGAPCMEHSVCKNTIGSFLCSCLPGYQPRAQGNETACEDIDECLFNSTCRGDHMCVNLLGTYRCECPDGYHEEEGACVDTDECGNGNGERACHPSAGCWNTPGSFACRCPQGHEGDGTWCRDVDEFAVMLDALHL
MALIIADSTCDLTLAQANELNIEMLSLSVNFGEESYLDKREITNEEFYEKLAAAPELPTTSLLSPGDFAAVFDAHPNEELVVLTLSSILSGTYQSAVLAKEASGRDDIYLVDTRTVCAALGLLVRRAAWMAREGSRAADITAAIEALAARSRLIGVVDTLHNLVKGGRLGKVSGYVGGMLNIKPVLMIEDGAVKPILKARGERDALSKMRMLVFEQFPIDARQPVAFACSAGGQERLALFMDTLGLTGPVSDVGSVVGTHAGPGTVMIAYFEK
MLRLIEGSSDQSDDKALLARRLVGAKDTIRLLEEEVDRLGLMVSPDLGALTAQLTCPQCLGTMRSVMSTCTKPIVHRPVIARTVESLGRTLINPVSQSDSIGGKAADYGYREGALRKEPASTMTSFESFGVNPSHPVTTDCVASHFFFIIQSDVAGSLRLQLDGVSRAVGGALRGYVAAETHDHPATGRTIVPSLRLQLVTQDLRIVDLLTMLVEGGVDDAPLNQNQVGCRCWCYRAMKLMEE
MSSIEERRRQLRQRKLAAGSAENRMSKLNDAVTKTVEEEKHPNSQKKDKEAEKKIERASHRSEATASSARAGSAQAKRSEEASETPKGVFRLNLKTASVDELATAVVTSQISLKDFGREMGRRTAHAAPFFLNSIFYLIALPLAVCVSFFIAFDTSQCVHFAPFQRHPIPSLSDARGSTGLVAFMATSGIIAVLLETLLLRTGAMKTRTTDIVVDGWSSLQWVLFSTLAYTFGRTRTLAHIFWKVVVFVVGGMFLHFVAVAGGCIANA
MQDKVLLRLPFGFNLNPLYGADIERTEFLSHFFGFYVVLIVLFVFSGCVGMFIGFFSLLARIVVSSPVLIQMLPEG
MPVAEPKTGLDEFFAMLDGVRKVALSAAAGLIALPLAAGLAGFAPPWPPGLVVITSLMELVVLLVVFQTLAKAQRSAASKVIIWSAILVFVTSAVYLVLNAAFVYQIPNDDTRVVMGCGLSDNAQLILKSQGLNPTDVCPGEFSLLMSSAQYETDKVWTRLSITAIKSALAISWFGSFGALSFLVGVFVAFQRRQVVRASRTTVKRPTTSEGA
MNGAAPGPAAAAPAPVPDWRHFCELHAQAAAVDFAHKFCRFLRDNPAYDTPDAGASFSRHFAANFLDVFGEEVRRVLVAGPAPRGAAEHPDAMEPEPAALKAAAYGHSRSSEDVSTQAAAKARVRKGFSLRNMSLCVVDGPPAEPRDKWTRRLWLSRTLAAKVELVDIQREGALRFMVADDAAAGPGGTAQWQKCRLLLRRAVAGERFRLEFFVPPKASRPKVSIPLSAIIEVRTTMPLEMPEKDNTFVLKVENGAEYILETVDSLQKHSWVADIQGCADPGDSEEDAELSCARGGCLASRVASCSCELLTDAGDLPRPPETVAAVVTAPHSRARDGIGESLVHVPLETFLETLESPGGSGRDSNNTGVHNSLLSLDFLPANTHTPGHRGPQSPSEALPEPQKP
MASADGEGEGINWVDFCEKHAKAAAAEFAKSLFSYVESHNPDSTTVEHKEFLTKFVDSFVENFERELAREQEKRPVANGSAGGSSGVNGYHESHNDENSDYFEQAPEHKSPKPFHRPFFRRLSFKALKKGKGFFYRQVSDENDPNASKGAKTEKTSKTKLAKIVVECRKEGNVNYLIGENPDGTQKWEKCRLALVKTVGGYMLEFYSPPKSTKPKSGLFCFLITEARETTALEMPDHENTFVLKADNNMEYVIEAHDIDDMRSWLATVKYCTRNTNDEAGETQSLIGSASEGRIPKPHCSGDTDDPPELPPRLQEGASNSNFELCSYNAEETQETEVDLSVVLQEYPWFHGTLPRAEAASLVLHTGSSGHGVFLVRQSETRKGEFVLTFNFHGRAKHLRMTINDTSQCRVQHLWFASIFDMLEHFRQHPIPLESGGTADVTLTHYVVATVQSHAHNSRQHAGTHPDRRAPPTPEQREIFIHGGSIRTRTESMERVQETGSGGSVASVVGGRAIENAYSFV
MASEFGDVHVNLHDFCDRHAKAAAVDLVTAFCSYKAFRSCDGVKSACASYTEFAKKFADRFVVHFENEFLKRNPAPSNNTSDCAVSRAASNSNEELSDLSENEVENQSPRFNQKPFFRRLSFKGLRKGKNFFRKQDSDEIELSMNEKKNDKQTKSKLAKITVECRRNGLASYLVAENMDGQPKWEKCRLSLSTSAAGGYILVFYCPPKANKPKCGVFCHLITEVRETTALEMPDKENTFVVRAAHNAEYVIEAQSSEDRRDWVATLKYCMRESRAIVDGADRVSLGTVNEEPGLLRSIAMCNKSSTVDSDIPDLPPRPTGTKLENVPGYHNETLHSSRMDMDNGFEAAVDVDLSTELRDYPWFHGTLVRSEATNLVQHSGENGHGVFLVRQSETRKGEFVLTFNFHGRAKHLRLLLNETKQCCVQHLWFPSIFDALEHFRQNSIPLENGGVGDVKLTEYIINTPSPAQPIVHNQRNNGPVEPRHPIAVPQPREIIKINGSLRVKTEYLELNENLLSSSPTTSTSNASASNTGTNNNRAIANTYSFI
MEPYYAVRGAQWRVCRLEVRSMRGVNFKQYCRLDRFGFKGKPQSESGERNAKSELKDIKGVTPVWLSPHQRIQPLHKYKASRIRQRLQTASKSSGASRRGHMPWDRAGYLRRRPAAPVYSRLIGTSGQAMSGD
MEVQVDRLRQGLEEAVREASEPLLDLKDQLDPLGPLDRRVRTLIPSWHHPIVGQMVQALRRGRFPLADIRVLVSTLYLLNEVGVDG
MSLQPNSEPSSHAPTIMTEATLDALRDALGQIISSHRKQWTRERELMEAQGRVTLAELRAEIVELKSMMEKMVGEKLASLRDGAPGMKGDRGERGERGLQGIPGGVGKQGDPGTPGKDGERGEPGPAGEPGHIGDPGIPGEPGIPGEPGAAGEPGAVGEKGDAGERGEQGLQGLPGERGESGAAGEHGEKGEPGLSIKGDPGERGEPGLPGKDGEPGPIGLKGDRGERGERGLQGIPGQIGKTGDRGERGEQGIPGLSVKGDAGDRGERGERGEKGDRGEPGLSIKGEPGERGAPGERGVTGERGEKGDQGIPGIGLKGDRGERGERGLQGEPGKMGLRGEAGTPGDRGESGPAGERGERGLTGALPRVKVWEPGVHYEDDVVTADGGTYQAVRDTAQEPGIGKDWICLARAGADGDDGRSIEVRGLFDPQIAYRALDLVALNGSSFIAKQDDPGPCPGAGWQLLVSQGKRGDKGERGERGLQGLSGASVSIIDWKIDEENYSATPIMSDRSEGEPLPLRRIFERFHLEAR
DAALELFVEKGFAATRLDDVAARAGVSKGTLYLYYASKEDLFKAVVRQNIVPLIEAFERDVAASSASSAELLDGFFRQWWTCFGAT
MSAITDPSFSRSAYSSRRGSHVMGLVRHSRVTPCYLAGSMITTPMGDRAVESLTIGDQVMIWDDATGATISDVIVWTGSRTVTICPDLADDLAGYPVRILRDAIADGVPYQDLLVTAEHRLFFDGKLVPARMLVNGRSIFYDHSMPVFDAYHVETTRHSIL
MKFIEIEWRTDTRGFWLDPRPYLGELPKMRSTLPQGAWEFATDPGHYDFSSARCVKDLGFDTLVTPANSRQGLEILFSPNPWKHEEALRIRYTGIESLSVDWESQAAGGSVRDSLLLDEILPTDSGCRHEIALTGATILITCADLTASWEPRNR
MYLIFLGGEADGVGGKAERDMTPCCLVKNNTSPSNMPREREKGLFFH
MPSVGAGLLEMGYNAGEKAWQLSLFTGDPELTVFFQNYVNDVLTHVNPYTGLAYKDDPALVLVELVNENYLTRRWAGGVMPLGSLPDHYEALFD
MEGIQKNHRVVVTLKSEAIHDHRFETQAEVRSALFDYLETLYSTRRRHASHGFLVLVAHDDRHHQRQALRLAA
MFSFIFCLVYFLYFLLLLNLLFISIVIPSPFLSFFLSFFLSFFLKTFFYLFSLHFYFLFFSFFHFLSFFLSFFLSAISNYLFCLSLFLLTFVSILLSFLLFSFFLFASFFAFFFFFIFFFFLSFNNSLLFLLSLITWCVSFFFSFFLSFFHSTISHYFHFILFFLFSFHFFLLSFFPSFFLIQFSFIFVLFPLSFFLIFVLYFILSVFLSFNNFLLFIFRFIFFFLFSFYFLFLFFYFFFFSFFPSFLVFSLFFFLFSIISYCLFPSNTHISFCFRSISFFLSFFLSFFLSFYLFPLSFFIFIFVPFLLSFFLSFFLSFFSFFSTFFLSAISYCLIPFNRHFFVFVPFLLFLFSFYFLSFFLSLFISFFQQFLTISFPFHFLISFPFLLSFLLFSSFFLSFFLSKFLNIYFPLQFFFLFSFHSSILFSPFVLSVNIFFSLISLTHLFLIIFPNLFFLFLNLHLFSTLIPFLSVILSFGFPFFILSFFPPVFLLFHLFDRFFSFLSSFSIHFHFKAFFLSPCYILRLLTRYPHRPPFLCQLTSTQTSEIGSSITNHHQTLRQTHTSIYLSIYLSIYV
MTQRSASRLGVFHCTLTGAVALGLLFLLCWFGVAVADIPASRRMLGFFTSQALEAPGAIGLGLVSAVVFGAVIGGLIAISFNALGMMLGATRKRPPQA
MRYLFVPIDEDSFLPVHHALELLTDKEEVTAKFLMDYIHSKPKHMTNFTGFWVPVHVMESSQLGIDATRKGLRQIKTLCDWPDFIINKCFVLCDSERVLNGKTDLGNLVHLFVTCARIEPKDGGETHIVTHTATHARKKAQNAAKNANLLTIQDVRRPDAIWNGRPHTLTGPPIGVYHPIFPLFRQRLLEKCDLSPRDIRTASLLCQRSSLYYPDEKARQGTINFAFRHFLGVTALTTIELVIGGKLVKSDGSRQAGCGIYSTDDQGFYVLYDYLAKLEQTNRACNPQDECEREYALMSTQSELQALRDISCIPAFLLSISGVKLSISGAIFLDGVVSELLTDPISFVPISTYHAHAAHSSPLNLRACRIAHTLAALRECLIELDRYYHELRPSTPPPGQLSPGPCFSSFTSKSGQEFKLTYVE
MERLVMIFVSIMLVVGMLGCSQVDRYTLVFENPKDGEIITNESLRIVIRVLGELKTEDDVKIVMNDSTIYVSKLKEQIDFETQITEKTNKLEVIISRDGAEITRSSIMFSFRKPLIQVKAKKKEKYRAVRVSEIKKYEEIKPEVGVSLIKEPKEEREYIHSVSLDILNEKPVGYFKDVIVITYRVNVFKDIADKVLDYVKGVYVEISDEVDNKVFKEFFREPGMTLELDISKVPTGSYSLTVRAEDKEGRTYESSRWIRVDKTPPKVIIENLTNNTVVRGSYSFSIILEDPSGILSFSAEIDQQKLTYRTISNKITFTFDSTKIKNGISEVKITVSDKLTNVFVTNIKVFIDNWFEKVVDNTPGAGFNVSAFVDEDEAVYIAYYNILSKVLMFAYKTKSMDNWFIETVDKTQDSGKYPSIFVDRFGRIHIAYTYINEKWDDEDLKYAIKEGKDWDTMVLDSQDKAGRYTSIVVDSKGIPHISYYNYTVGSLRYMT
MITPEVPRAATGDHLRERHPHPHPGVTTSGNKVVKSSFLQNQKEQGLGTMKGLVPGSKMKGVDICGVRYNCYIIRADLGYYLKTTDLRQPSDLVLYDLHDSCMGDHYVGHINEYIYIIKDSSYRKVKNLSTDSRSECKEIHPKYHFGDYYFSFRGKFYIIFKDKNIYKTTSKLTSPDCQEYPLSEDFSDGLYYWGRALHFYIMRMSSSWVVQCIKGFNLSSGNRANSASVHKDVINFLPGGLSITKGEAFGRWEMIKSVKDESDSTKNLDILIKRMVGYNKVKMREITHNWRVDISCPVNPTKLAALIAKVQFSLSAEYGGLYVNTEKESWNEGSNEVEKIKLELQPYSNVYMWQYRLGLGEDQVFFCRDIKINYDSNPPTEIPLPCAEE
MSLEPQSPSNPDSRSNPTVNPSLSNRFQHFCTGFILTGIPIGVFVATSVYMTHGSLSQLGLGTLGVAIALPLIGGILATRYPQTIVNSLEKILEAFPF
MLCGGGIIKEKILAIIAVSLVLSVSLGMCIPGESEATQSADYSVDLSSGNMYILSPISLDSTEFSVECTLNANDDASAVSAPSGTNFKKYTPAFSTSGGATVSNMTADITSRDAGNNKVTISFTVNGSLSADERYQAKVGSKSFYFVTAGSVSQIVDAADLASPINIDLRGGDIQYIWVKGLSVGTDYTPNSNLDWVSAMGTINTKWSGLYYVKLDLQNVETGAYSFTLNDGETPYTVTLNVTDSSQPQALPDYSVDLSSGNMYILSPISLDSTEFSVECTLNANDDASAVSAPSGTNFKKYTPAFSTSGGATVSNMTADITSRDAGNNKVTISFTVNGSLSADERYQAKVGSKSFYFVTAGSVSQIVDAADLASPINIDLRGGDIQYIWVKGLSVGTDYTPNSNLDWVSAMGTINTKWSGLYYVKLDLQNVETGAYSFTLNDGETPYTVTLNVTDSSQPQVVKHHVTYVLNEGSGIAPTQADVAEGGTFTVASYDGTKDGFMFGGWNDGTNTYNAGVAYTMGTSDVTLTAVWVAVPVSFNYEVIGNSAAIAYEIPISVNSYTVKWSNTMGVNTTGGTVDASSITVKINGTISSDVDVLIYRATGTIGYATVYLIVNEQLPVASVITISVDNQTGHLFSMGSVASLTVYVAGYSEGIVDLSGEATNPLELDATICSTGVHYITIRGINTVPTEMTCAGIVFNIPEDDTVSKTVNNGFVQIPITTDNGTLTNGLHEVTVVVGNATYRFNVNIVNGVSPTASHDQDYVLSVQYNGTVSDSANAVLDIGIQKSANAANLEDMRLLVIAKYSGQYYVFNFYSKPVMDGNTGTDRIVLSKQDLSCVIVEVVDGIQTLDVTFYGVGTYMV
MDKERLAQWGIPMILVQNVNKWMYEVLKGLARLDAKLVEEDKWVARLPEHSQRTVEDYDRLNDHFTMSQLWVMGAYELIRTFRQQLDKNEHPQLAMYRALVANFAKVRVPLAKLEAAKKFKGGHSIARPGFAVGKGVGWVVSDDEFIRREELADELIHCLTEYNHWGPALDEWRNRLST
MLLSSTAFVRGASLLAGESTHSTVFHHRNSLKTNIANSRTAVLLNASETDEQELEQQELAQEDFCPQFLAQFYLFQLLNKGSSSNPFVKSQHLELLSYTPVYILFHSIRLYSC
MLSEPVRIMSFQFSFNLPSNPDAQIMPSPKAGSQFWRNEREMNTQEQPTMQEESIAIFVHPDEYARNPNQVASVTTRKIVFVKRKELAMKPFELVEFPLGQCSRITYETRHAFLPMMLGAFLVLLVGFILSSDIPAGTRVPVGALAIAFMFGGGLMFSPKRHRFTFVMNSRKLKWQSKAGDYKYKMGSTQKLIAFAREKGLMVELPPI
MSEDKELIDVDVGLDQEQSTEERLEAARRRFEELKKKKLKKHKNKAKVLGTTGRVSLDSKDSGSISIEGTPDLEGRSSVDYQLSNYESRSSVSKEGSVGTPVPVPAAGQLPISSSSPDVVEQVADITLTPPQQAVEQTPGIDVAYLNFLLISDLEIDNKNLSALTKSTTTAATPAAPITQIPVLYQPPSAPTVVKPAKPTVRSLLIRRQSVVSESFKGATEDFREKLMIWKGWQVDMTNWEGSGAQKVAI
EVAHPEDNPDDGDGESEERENGYPLVADLSHLRIQNHVMRSVDEVIEQQAFNQLDLPGLDRFSTIILPSVIGNSFRSEINGYSEI
MVRVSNPHSSVDQLSSYATKQRREESPPNILLCVTLFSMDPNCIFCKIVAGEIPAHIVYEDEHTLAFLDNEPIREGHTLIVPKTHVDYAHHLNDEMYMHLMTTTKKIMLTVEKALSPSRVGVIIEGFGVNHTHVKVFPLNSPDDLSTHHEKISSDEEFVEMATLLSQSINN
MVHSPHGGCGIGLERLILKLLDKNNIREAILFPHFLEILIVLHCNNMVSCIFCKIAHHREPAHIILENNIIIAFLDIDPISDGHMIIIPKEDIKDIHELSPITCKHLLYTAKILADVLKETFDFDGINIQATSGYFQDVPHFHLHVFGRHKNNDIEVKYPENISIDERDLVDNKNKILKNLDKL
MGCSGALQQEKERDKSWMAWNRFSLAPIRKAPASVKLVLLLASVPRLAITAGSISALQVAEGRLPSVIFGLCLLMFWAGVFILFFLRKYIAATTGLSERRRYMANAILTLTMIIGSIALVYSHTKADEDAFTNIVVVLMGVVSVSQFIVQFLSYFGHFCTCGGFALDGNNDFSDFFYQVNDFVVAMFVFTLIFTFSILPVASFQSLMLFNRAFSRDLRKERRRRTILGSILSDPMARNSCLPN
HSGYSWFYSGFLPGQSSSPADYLRGCFPGVSTVERADLRGCSPGVSTVERADLRGCFPGVSTVERADLRGCFPGVSTVERADLRGCFPGVSTVERADLRGCFPGVSTVERADLRGCFPGVSTVERADLRGCFPGVSTVERADLRGCFPGVSTVERADLRGCFPGVSTVERADLRGCFPADLRGCFPGVSTVERADLRGCFPGVSTVERADLRGCSPGVSAVEEPMSGNHSSQSI
MGKCKHCGSETGEGELFCANCGARLEEEKPEAVVTKATEELPGGAAEEAAEAAAETKEAEHEEVTEPVVTEATAPKEPAQEEAAAEKKNAAEHKETAGHKGTAEPTAEDKTSGAEAAAEEDSAGKKKKIGSAALIALAVLGLAIGFGARTVSKNLGSPGKRFLAGQFRALSKEAAVLNSAEQVFENGFSSDFQLTTELSDRQVTALTRRGLFSGPYERILRDGSEARIFIGKKFEKAVLNLELGRGNDPVLQADFFIDPDRLGFRLPKKSDKVYVTRYPEVLQELGSESRLSTSMFADLQFPKELRAATVKRYGKIVAAAINRNNLKTEKRSYRSRVLKPELKHSLEKDYTIYSWEPNAEELERLFRNIADEMEKDRALAAWYDRRQEIGEQFAKASGQHPGRSVRFEDLADRLRDNAETYANRLEDRKFTWKLVTEGSEVRAILLQLDGGDTQIFCYERDTDKGKLYRGVKVFDSVLTEKRDLEKSGYYGTVACRFSWWFYTESFALKYSNVDFKKKSVLGLPCGKYRLLPGGDEDGDGIKFEVRNEGANSLYELKNELGSFTLKATKTGTAALPTGEEENIDDELLDGMTPQQGISKFIGAL
MTISNQIDICTYPTISGNISPATRRQWDQFTESRHKGWALSIHEGWLSPNRSRVVLIQSVFMLVFEYKTGDMAGRAQAVADAIAYAEANPFRRVMVGDYATYSFPGAVGFTAPLHSAGWVTDDVATLLNALIDKDDEAALAKVLNAITTYPPEDHTARVREILQEQKTSLDSLATTH
MLWLYLHFPSLQLDTLFNSNELSSSEDSREQPIIIVDEKDHHVLQANPAALESGITLGMGLGSAAALCHNLHVHPYIIELEKNKLKEIAQWAYLVTSDMALLPPNGLLIKASNMLSLYDGLDNYWHELKSHLETLNIQFSFATGYSPLSAILLGKQSINQATNNVEQMKAWVSQQALSSSELPAKQVERLNRVGINVVEDLLKLPLQDVARRFDIDLVNYVGRLNGQFKHPIDFYHPPESFQQYLELLFDIENILFIEKPLLKLLNQLECFLRLRDRVAFELTLTLHLRNKDDHPVSFYSAQGDYLATKWANLTHLTLESLKLTAPVQGLTLSLVRHGEPQMAYHDLFDGNTGTLATLDLLSLLQAKLGQACIQTPKIQYDPRPEKANQYSLPTLSKPVSKRQTPSGSEQQTIALSVNQQRLRPSILLPEPEALTESVTLSQGPERIVSGWWDGEKIIRDYFIAHSENGRWLWIFRTPDKQWFLHGLFS
MKILLNKSQDALILNTSRKSNRNQLMLLRELFKNKALVDREFIHDCFNNFLKALPSDSCGTMKYNKVHCEEDHSTIITFCKRVGPLLDSWNNSGGATEKGEKTTEEHFKCIWELKESYDLTPKIRKIYEYLFSLRTNRWQPRKIVYHDLTEEEEKELEEENERIMEEEKHLVRGLT
EEKAEGKKPSSKQLEEEKKEEKEEIDAEKQEEKKLAGESSTVSEEKKEEEKLKAEYAGEAEKVGADAEKKEEEAQKEEVKDEAKELALEHESELENKEVTGENAAVKKMEKELEEESKVGAHKEDEAGMANEAEIMDKGDLVHSVLGFEQLRLAKEMAKLTKGEDQD
MDQRARSLRQYAARDNLAARQGVYRWQEDPVDLPAVAADLLRDVRGAVLDIGCGPGRHTAHLRAHRPDLRVIPMDLSASMAGEARADVCALPLRTASVDAALAMHMLYHAPDIPAAIAELRRVIRPGGVLVAATNGHDDKPELDALWTDSVRELTGAPPPPLFREPRRFTVDDGPVLRAAFAEVEVVRYERPVLIPDADAVIAYVDSIRDGMDLPAGLDWSAFIEVAAARVRHTIARDGVFRLTGRFGVFACR
MTERPAFSGGDQAYLRDVQYGTGAKLDVRSHLHRAYSTSPVPLATFEARLVDWNGRSDVLECGCGTGYFWVASELPRSLAVTLTDLSPGMVDEAVAWAQVNGFHRVTGRAVDMQSLPFADGSFLVVIANHMLYHVPDPDRGVTELARVLRTDGVALVATNGYGHMREINEALAEVFGSHAEGLYEVFGIDTGEARLRE
MLLLTLLIKYDAAFSGRDGLDHLADGLRHSSLTGMCLALWVKLMKSGLGRFGFAGLRSIRLGRYIAGNNGALFF
MWSSLTPATSVVPTVTPVVSGCNCRLVTGASLCGVDVVVDAGQTMRETISREHPWEVMPDLLFYRDPEEEEQQRAAGEAG
MDKKKEKMTPERRYELACLILGGVVLGGALGCILRPNEWTFAVIPLLGVCLTALLWCAAARLWLCRDKQRLLAKSFTRPVRFALAVPAWAGATWLLYLLPGNVTGLDDVLAESVIILTLLAGTVFVPAAALGLGVASVLAAVVHLRRTPAAETRAYREGPLALALVLCVGVAALCVCFFLYLTI
MASSVLEEFMQTVVIGNVKKADINALHVKLDEVLTGLRGSGDEGDALRRDEPSVNVTHSDWDPSSDATMSDEDQPFASQEHCASRSSSVISAVLTPKMSSMNDPSSGKAKPPLPPGAKVLDVDDLATYLSFHVPGYLHVADVIQWTSSNPGIEDGSSLREAWKAFYFDMLDLQHRALKLQFPTTSLPTATQSDHEQMMNLTLNDFEPEINHAVLAMGRASHQAHSASSEIRRYADELEVSKEKCDRLRSSLVEARLEIDQLKAGEVKVTSCKAWSG
MLNTSPDLPQNKTLEIKQDDKFFSRLLSKETSVTNPSFRVYYGGLPGAVPFTWESQPGTPKYTFSDTSIPPLTPPPSYYSKSTTKPIKIWSFAGIVPKDNQLEESQQSCAFFTNVCCCDSEKRPEKEPIFEPRG
MFWVAKTDNKRNNRNESFCQLIDWFTQDGTLSLEFGIIMYKKLQYYMAIYEKGQYDLAFKKRVLETAAIYGASLPSRIRRSRSHNPTNNNNPRKINTNYNGASTSANTNRYNNDRNEIEEGSVVAIVPYEEAILETAQHINYWLHKLHTDEEPNIPQYCIENFRYTDKPLIGCRIGMVTHRTGDIKNSILSVIRDRQKEIEMAIQMGDHYVDDGLYILDVDQVKTNFAEKVKRFYGSHVYNSGGNDFHEDYIKNEQLALEYIHYDANPLGASRAGEFYRKERLKKLIEWVMEEAVRRLTPFTKD
MVIRSKNEWESSDISKAVNIGTHKLHVSTSGPPRRLAEPVIIFFSGGGVPCYTYIRLQRLLSEHYRVYFHDRAGYEQSERGPYMATTAQINAEELEKLLSQIQVVPPYILVGHSYGGIVARAFLQRYQDRPGAIRGLVLADAATELMYQVFTRTPDPALETIAKGVDFAELTDLRRESKLTDDEWRAALEAIERTQPGARLEDTHGGGLELARSVQGQRHVLEPWPLSVVRCNMARDFQLIFEEGVKRGNGSREEKEQARSYIDRVIMFLDDIAAAQLRLSSCHRFVTLDDRGHDDILRRPEVYVNEVQWIMNMQHLVAGL
MEMIEKRVRDGSVLRLIRKWVQVGVIEEGRLLVSETGTGQGQTISPLLANIYLHYVLDEWYENEVKPRLRGEAHEIRFADDAVLCFQYKEDAEKVMEVLPKRFAKYGLTLHPEKTRLV
MKVLVFDSDPFVCKLLDVSVRKNGHECRCVHSKDEVISALKKEDYCLVFISLAMSHSDGFDVAKLLRDGTAGFLASKVPIIAMTHEEVNFEHFRAKGFTNCLRKPFLCQDIDLTLRTICTNSTPGSDGSRTTCSNKCYLL
MDEKERSLTKRELVIDVADKLGFTQNEVASVVQTTLDCIVEALAHGDRLEIRNFGVFETKRRNARTGRNPRTGEAVPIGQKRVVSFKPGKAIKEMVDTSDDPSRAEEGDGD
MQSELTKPQASLAVDTVLEIIQQALQNGENVQLIGSGTFEVRDRAARKGRNPHTGEALTIPTSKVPVFRAGKINNLPVA
MRRQDAVRYISRQTGLEAAKVRAVLEHLLEFIQEHVARGERVDFRGFGSFFLRWRRPRMARNPRTGDPVPLGERYVPDFKPSPKFVKRVRKP
MNRHEMIVRLAELAGLSLPEARRAVRALFSVAEGEGLIADALDQGERVVVTGFGTFQARWRGPRLFRDPGSGRLSRLEPVRVVRFRPGAGLRERLR
MTYKELKIQVSKNVGLDEEIISKVLDETFFSIKQALRKDERIQIPTFGVFHVKERKERKGINPRTRKKITISAKKIPIFTPSYSLRKEIEKGHFET
MNKKELVAEIAGRTGVTKKRAAEILDCFIEIVGEKLSKGEEVKLVGFGTFEVATRKPRKGVNPRTKKPIKIPGGKVPKFRAGKELKEKVK
MNKTELINAMAEKAGLSKVDAKKALDAFVEAVSESLVKGDKVALIGFGTFGVTEKAARTGINPATKQKITIAAKKVVKFKAGAELADKVK
MSLTKHDLVLRVSEKTGFQQQMVQKVLELSLEAIAASLAEGIKVELRNFGVFDIRVRDPRVGRNPRSPTKTVTIPKRAVVKFRAGKQMRLGVLGLLSKPSSLKQPPPA
MKKILFSCLLASASGLFFGQSVFTDNFEAATLGNLGTDITGVTAGQGGWYITAAAAATNSAASNFQVENNTAVHGKVLSILGSAGPTGTKQINQNNKLVSVWGTRTPGNDILTYEFDVFSGATTTSQNTGRVYLWDGSAANKCVIGFALNMATKQHTLVAYIDPAEVNPSETGEVGNWGFTFNTALEANTWYKVGLAWDSVTGEIAYKIINETTGAVVIDQFYNGAGVGAVPNLANIQVLAVPNTTVIANTVAANLLFDNIQLKATDSIDLLAVGDVVDTKSKLSVYPNPFTDVLKISDVKGVKSVSVNDISGRQVKTLAPSAELNLSSLKSGLYIVNLQMEDGSVKSFKAIKK
MLLLMNSAVTNSGAAYVMSLSLHSSTGALTSSKRGSENDNDIDPEKSSIGDSS
MSTDSNKSSTSNITLHHLHLKFNLHIIKITIIMALMKRKQMDSISWNSVASGGAYRYSNKDGSYDYYQNSDGSKYLYRWKRKFLLRRILSIIFFDNEVSAVTTTFAHTIIGGTFKILIVENLIVHNSARASGLETHRGDTPVSGGSYHYSNLDNSCYYQNPDDENGFSSYKKYKSTRIMEFFFPN
MKTKNVDDPPNLNKAEIQGLFMEIKRLGEVIKTLQQQLNATKAKNDNSSSNNNNTPGEDDNLCKVNYNNNNSTFMDNYTYSEDTSFPPLNSTRNKQKRTSKLATKKTSNADGTQDSYDSIYLADD
MSQPYLSLEAELHDAFWEAEDGGSEVELMASFLEKFPGPSLEIGAGSGRLMYPLLQKGFEVEGLELSRDMLDLGARRAEPLGIRPIMHQGDMTKWRGPRKYAALLAPAFTLQLAPDPAVALRHWHSLLENQGGLYLTVFMPYAELLGDLPENEWYDDHFVTLPDGREGLLETRHRLDHERQIVEREHRYSLSGEPPLTHLSRQSVRW
MKETCKAIIIKRISYSDTSVIISCFTKSSGLKSFIYKGGKKKNAATLLPLRIVEINYYQHNEDALASINSINSTAALSNLYQHPVKTSILFFMNEFLGQLLGKIQYAEPHLFEEIEQELIWLNESEEITNYPVFWMIRWIEKLGLKPSTSSGKFFDIESARFSDHKPDGVLYHFGDEMETLSFLFRQDRLTILSYPLKKNDRKKLLNVLMDYCRFHIPEFKTFKSVEILQTVFE
MKENSNKVLKFLGTASTVMSIGIAMTLFQDNETFASENAKENTTINNAKNNVLSNQHSTSNNDFNKDQNHINEPSRTTPQKNDNSLPTNSKQNEIKPTQSESNSNQSTSQNAEYEYAKQDTVNKETFNSKNINASKMNEESTQTNKVYTINTQNEKINKTQDVNVNTKDNNITTTNNVAVPKIKTMKLNAYSSTDYENEKIINNQKKINDARLKSIKGNSTYKNTNMDLKYEGILSIETDRINYKPGDTVKIYTEYNKTRKKPIYSEAMLHSLREEGRWSSMDPPYHFDSTALVSRTTTFTKKVNGNWESLMQIKLPEKMVDDAFALTVYSWNDVEDEYTRSFGNSNNDIIIKVINDSSNYPLLGGYDETAFDESSRSVERDIPEIIKYKIDKKRFCCKVKKYS
MIGAHALPPEMELIRAKALRALRDVRSVHHNTRTSSDFWADAQRTDAGRRLPEYYLVYFLLVELLGFPHKGPEEKVAWTIPLDYRGKLYTIEHRKMGLGLFIPDPDAHVTEANEIVNRIGKATKEAQPFFNWLADQQVVQSAVNVANHSQPLHQRYKYLRESAQAHFDAAEQRQAESRVATEGMTDLSGWLKLHLLGTRFEPEGDWLALSAVEAFFSWTEHALIHLAILQGRVTTASQVATLAAGDWVGKFNAALDRTEKGAATLLDRILAVRQDLRNHVAHGAFGKQGEALSFHSSAGAVPVLLPHRTGPRHFRFGNGLDFDARQVFGVLDEFEAFMFAGARAPARVFLLEERYSTVLTMVATYAIAMQSTNEMRDFLRHWGDQVDRSANMDW
MLHVRMLSGEEVASIPVDELQDVKALKRRMSQRHGLPVRFRQRLFRCDGPSDALEDATMLDSPMDLVLVLLPYADVCEADELAAAATEGSVTEVERLLQEPQHPDLVDSNGRSSMMLAADHGHVEVLELLLEACADVDLEDFDGVTSLMVACGNGHVEVLRLLLEAGADKDMNDLVGDTGLTIACLEGQAGVVRLLLDAGAQLNSSNDAGLTALMHAARAGHVDVLLQLVEAGADKDSVDLDGSSALILASGRSHPEVLRVLLEAGADSNAVNHRGQSALMEASRRGHVEVARLLLEAGVEKDLVDNRGNSALMIAVRYRHIEVVRLLLEASADHDLNDDRRGTALRIAAKRGHVDIARLLLAAGADKDSRDDDGITGLMLASKEGHTGVLQVLLAAGAQTNLCNKDGRTGLMVASQYCQVAVLRLLLQTRAETDLFNSRGYTALMHGSKHGLSDIVQLLLEASADKDLTRSDGSTALMLASREGHADVLQLLLEAGADKNLCNKNGYTGLMLASSSGHVGVMRLLLAASADRDLHNIDGFTAIMIASQHGHVEALHLLIEAGADKDLTDNRGFTALMLAAKHGQIRIARLLLDAGAATDLGDLDGITGLMLAAREGNAEVARLLLEASAEKDLIDNRGFSALMIAVKHRHIEVVRLLLEAGASRCSAALRVAAKHGHVDITRMLLAAGADKDSSDNDGMTGLMLAAKYGRVKVVDLLLAKGAEKNLSSKNGSTALAKASHKGHAETVRLLLDVAAARDLRNSDGLSAMMAASQQGHIEIVRMLLEAGAEKDLADNRGSTALMLAAKHGQMGSTCVLLEAGADPDLIDKHGRTALMIASQKGHVEVQRLLMESTATTSRPTKRMRCCRDDGS
MMVMSVRNKYSIAVFELMAASLIWGASFPAVKVGLEYLDPYTLVFLRFLLAAVLLIPVVRFRGTEGFSLAMRKREVLVLGSLNAAAFLMQFVGMEHTAAGLASLLVNVNVIVVAVLSFFFLGEKIGKRMGVAIALSLIGVALLTIVPHIGKPFWIGELLGNLAVLSAGVIWAFYIVLSKKVLTMNSPERFSQLDLTFSVIIYTALVLGAAAIPKLVTLRNAVLIATLPVIVVVFFMAVFCTIIAFILYFRGIEFVPASAAAVIFLLEVVFAFIVSVLFLGEEMTVPTLIGGVLIGVAVYLASVTDAEG
MLIQYTGHTRKTNHRFTQYTGHARNTTDSCSTPTQKKQITGSHSTLGIQGTLHRLIQYTGHTRNKPQAHTVHWACKKQFTDSNSTPDTQETNHRLTQYTGNARNNSQTHTVHRTHEKQITGSHITLEMQETIHRLIQYTGHRRNKSQAHTVLWECKKQFTDSYSTPDTQETNHRLTQYTGHARNNSQTHKVHRTHKKQITGSHSTLGMQETIHRLIQYTGYTRNKSQAHTIHWACKKRFTDS
MPTQSSTPIKLQTAKSKAKLLDIGTLNSVSKFTKHVIMYGHNSVGVSSSSKPDLVFSVEYNDGSSGIVRCGPWVYGYPESIKELTLLQIKVCKAKELVNWTYVGNKFGLKKEDMMRLSWPKRAQVSCSD
MKRIEVLVVVAIIVILASNLTVRAQETTNPAVASAMPDLETPQGAVRGVLPPGNAPIIPSVSSATAEAYRNKATAQAAAETPASSSTATATAVANPQIVVRPIVQGGGARTIVKSTPPMAAPKPPVVNITNVIPPAPQAPQPAVAPVKKGGSAMPTWATTLLVVFGVLGAAGVTLGIIALATGGGNRLEDLADALAEGCNRAQGHERMRISGTSRRFTALVEPANATPLLPLAQAATPALPIGQALVPVQLPPVTVQLGPVQPWTPPPAPQAVAVAAQQPATPPAQAAGNQQQAAAPPVQGGGGQQQQAPQAGAAQGQRPQQRGPAQVGGQGGQQAAGGANP
AQDSQPKTIDPKSKAAKAGAVAALGTGGVQVGLLASNSRKFGVGKTLEIFSSMHAAGIAPVAYVQETKIEASNTLRGELNNIRNEHGRMSGENDILSENIDHLKEETSRMNECADALTEIANTQNADLGRLMELIEENK
MELVDVIKISEMQFYPSVKTALAILLAQPCTTCTIERSFSTLRRASKRGFAQP
MTGTPPTAIGLYSIGIRHIELEDLLALATAHQLPFLHLRGGPRGFDVARRDIATLSRWARRCRMGVPITMVTADLDLADFVRPGTETYQQASAELDRLGRAAAVLGARAVRLLARRVLDEWQWSDLAVPDLAAPYGLTTLVELHDPAWFTADALTSAAAHLKRTSGLEVLMDTDQVHRAWLCSAIPDALAGNLSQLVPHTGAVHVSDNGDGLTGAGHRIVARTFGALSHDRSVEIAFEWTGADRDQQSCLVRYRRAAAWWRSGLEDRP
MANTPAPPYEGATNARGEPHGEGRRVFSSGHIYEGQWVDGKTEGFGRFTYPDGQVFEGQWMGGRRNGPGKLMMVGGETITGKWVDDALSGPVRRYATPEEAPALAPNGRQERAPIEGSPGAPRAAAAPLAMPGGSGMMAQQQQAAAAQADVQWLRESHDVVWQLNVELQMENEKLVAENRRLRLKLRTMLQEQQNNASSQSSASGVSIATAQNKPSNCGSSSQSSASEPPKVVEGRLRRKKKTTDEKSASASASASAQDLSLLSKLLEMSKPRPNETAAAAAAPPKVAPESDADARERRRKIVHELCERAQAELRDRASARAWTALHRLDSASEVERFARSLLAMLLEPVRKLPVESDRALQEAAERVREALDAVLGWPEALERIVRHTGRELSQLLELSELHLEGLPLGAAGAGVAALLVRASRQLKSLDLGRTGLGDVGASVLADALRTGGGRLSLLRLHENRIGNTGGSALAALLASQQLTVGAVVSARLEKVDLRANTFDLVTEQALRQAGGSRIILNERELQDSAACFSGGGAASAGGCVASRGSCDVDSFLRFVSTSAPAPPAPVPPPRPSLGISDYGQGQSRTDADAFLNFGRGSCSGATASAAASAAPARGRTSLQPPAAQPAAAAGGGSCSDAATFLCSSCGGSSASSSGGKGVSFSAAAQDSLSAADFLSSIGS
MSNGIDNSNRSFLEDNTKTTFNNGKSKSVPEWLTLWAIGSVHLYSAMCISLQAPFYPREAESKGATATEYGLVFGIFEFVAMISSPFLGKYISIVGARTMLCCGMTLAALSAIMFGLLHYINDHGIFMALSFILRMLEAIGTTSALIAAFSITATMYPDTVATVFSTLETFYGLGYVLGPSLGGLLFSIPKIGGFVLPFFVMGIAMILGVVHIYTVLPSVNPKIDDNVSMLDVLKIPAILVDSACTIGIAITMGYLAATLEPHIREFGLTAVQNGFVFVTSGLTYAVAAPLVGRICDRFDGEEKLDKLKRTLSLGTIFIITSYVLIGPCPFTIIPKSLILCILGLLIHGLGISCLMTPTFIDSISSAVSAGMPNNLSTYGVVSGIWSTSFAIGAFIGPSVSGWLFDLVGFQYGMLFVITLHFSLFLGITLFLALKKKNHIEVIRNNSAKRSMDNSNCEKQFEEPLVSKMNSTDAEMGKLKKTNMEPSYAAYQWAQGGMGNMNMGGMGMGNRSVVDSVLPEMRHLIDPHWYQFPPMNPLWHGILGFVMVCLGLISVTGNFMVVYIFTSTKSLRTPSNLLVVNLAFSDLCMMFSMSPAMVINCYYETWTFGPFMCELYAMLGSLFGCTSIWTMTFIALDRYNVIVKGIAAKPMTIKTCLLRIVFIYLFSLIWTLAPMFGWNRYVPEGNMTACGTDYITQDWISKSYILVYSIFVYFVPLLTIIYSYYFILSAVSAHEKTMREQAKKMNVASLRSADQANTSAEAKLAKVALTTISLWFLAWTPYLVINYAGIFQTATITPLFTIWGSVFAKANAVYNPIVYAISHPKYKAALNQKFPSLSCGGGPADDSTSTASVATAVTVGDETAPSA
MGKWRPSYSRLAKRGKLSGVFLAQKANQVKSWTKSALFSPTWWALPINPAFLSRMFLWRSIAKAANSVHGALLDVGCGVKPYRDVFTQVTQYCGLELDSANNRQLKQADIYYDGHFFPLSDASFDAVLCNQVLEHVFNQENFVAELRRVLRPGGILILTVPFLWPEHEQPNDCLRYTSFGLRNLLEQRGFCIEHQEKLTRGGGAICALWADRINTLLRCTPLMIRILGRACLITPISLVGWLLALVAANDSELYLDNFVICRKPEA
MYFENWLYRLSKRKSRHNLYAWIGKAVTAYHLDKADSVLNIGAGGEVAELLQRLGVRNVSIDIDPRRNPDCVASIENLTAFPDSSIDVVFCIDVLEHVQLPAKAVAEIRRVLKPNGILVGSTPFLLEIHDAPADYWRFTRHGLEALFVDMETVALRERNGYFASVAVLLTRRFAIGTRQQRRKALLLSPLILMLAGATELLDRWLPGSEATTGYFFVLRKAAT
MSATLTGSRQTLSETIATPKAGQGAAGVGSGRRLPRWLHSFTRFNRYNRDRWVQQQASQLAPGTRILDVGAGCGPYRHLFQHCEYSSQDFGQEPATIGSYTPLTYTSDITAIPAADESFDAILCTEVLEHVPEPIAAIREMSRLLRPGGKLILSAPQGSELHQEPYHFYGGYTPHWYQKFLPEVGVQVASIERNRGFFGLLAQEGERCSAYLRPKFARQHTRYWPLLGLGWLLTLPLFRILLPLCARPLDALQLEQTATVGYHVLGVKHS
MKLFIKQNSPFSDLVELSSPQVRRLLDLQFQSRCLTEKKIFKNLDLTQLRVLDFGAGMQDFRQRHQVVKYTSLDPYLPADWKSLSDIPEGEKFDLIVASEVFEHLENPTVTLRELFKILQPGGRIYLTTPFMAREHGAPADFQRWTQSGLEKLLTASGFKIEQSHRRGNLVTVLSSFLNFSLFKLLRSPAFILGLVLLPLVLILLLFAQASFLIKTSSSVYLGLSVLASKVPSKDL
MSSHLHPPPTVILPDAVADLRRHLAEQYRGVFDQRMIEAHLRDYVDTGPAEAFAVRLAADGLVGQSLLDIGAGYGANVLAARRQGIDAIGLELEPFEVAFARRRLADERPGDDPEQVYRQGDAQRLPFPDASFEAVTLMNVLEHVPDHAATLREAVRVLKPGGKLYAVCPNYAAFRREAHYHVPWLPLLPRPLAVRYLRLLGRNPGFFETHIHYCTNWGILLCLWRLPVIVSSADARWLDAPERIQSPRLRHLLLTAARFRLLWLPRMLLKLRALSPFVPSVSVYAEKKASL
MHPELERLQREYGWRPTLVANETIPTLFHAASVIQVATAYSDSHTADRADLDGTSWWYQTRNRIIIQALRTVPSGTAIWDIGCGTGVVSAALRSTGHQIVGIEPSRAGAEITARTGIPTFHTTLEKLELPSNSLAALSLFDVLEHVQDRQGLLHELHRVLQPDGFLVLTVPALPLLWSQFDDDEAHQLRYTRLTLRNELVKAGFSVETIGYMFALTVLPLLLLRALPYRLGMRKSLSDSVGVAVQGGLLGRLASQIEQSLALRTPFGSSVLAVVRKSEVRTYT
MDIFTLAAVLLIITGGVSAQTVTPSAATVLVNNSVKFNCSASRPGYTAMTWLLNGRLAVTISESAGVLDHIDHYSVVNYSTLGTAIFEFIIKNVTRTDTGTVACQVQGGVPMTASLNIQQSGTLDILGTDRTVTEGDQVTFQCFATDWFPAPDITWALNGTAVNKTLYNTTTVANGNLSNSNSTFKLTAMNNASVECLASIAALSSPLSKKVNLTVRKPKVRDYRVLLGVVLGFGLAALLFLLIILILFCCKKRRWRDPAPLDLVLSLKKRPETRYEERVRVYSQRERDNRTYSRDNPGYAADRSRDDSSGLYSVSALRPNYNHNFQLPDYNQVAHGSQYGNDSLDYYSANNKHRHATIV
MTTDVFSAVQPWPMPDKSDCIFYHTMEFADEPVIPGGWDIRGHFPRYIGGYDIAGKTLLDVGTASGFLSFEAEKAGARVTSLEARSGADFTQVPHINSGYLNNRTTFVAETDEILRKLKNSYWYGWRKTGSRADVVYAPINRVGAWERRFDVVLAGAITEHLSDPVTAIGNLSVLAREAVIIAFDPVVDSEEMTLTAGPNWLHLAPRQDHTWYFLSRGMYRRVFENLGFDVRFEDAWAVPLFSGDTRPTQRTTVIATRR
MMPSTSDSHPSTSESGPGITTQSPPPALTPEERREQEARACAEDRTAAERELRRYEEDNIKTDGSSERSSDLVRFWELHEHVYPLLFRVAMDVLPAQASSVPCERAFSSSKETCALRRNNLSPHLLEALQVLKFKYKQEGLSFTSDLIAKPQDYSISGELSEHAALELIAAGSLGELNDLFNNSSDS
MDKFSVILAAYNTEELLEECILSVLHQTRSVDEFIIIDDGSTDRSPELIDKYAAEYPNIIVFHQRNAGQAAARNVGLAHASGNYIGFIDSDDWYDPNFIEELYNCCQKNGASIACASMSDYYSKQNVVRHDYAANTDPFKITPSVCNKLFRRNLIGDLRFQEGRWYEDFGFFHALLSRNPVIAYTTSTTYNCHCRPVSTMFNDNALQNLDILFICNYIESLYPKPLPTAIREKYEYIYLDTLLISTINRLAIMHNRAKNNVIATIRLYVKNKFPDWATSQTFRNLPFKRRIIAYLNGHGLHKISRLIIGFNGVIKQLRSPKLFKAEK
MKRYEVNPRVSFVIPTYNDEPAHLQEAIASATAQTSPPQEIIVVDDGSTRADLLEFLRATDEGVQVIHQKNAGPSAARNAGITRATGDCIITLDGDDWLDPQFTAEAVHILQDATVEIAFASTRHFGQGEVLRHVSADYVLKDFMEMNKVPSAAMFRRSRWAEVGGYLESMRWGMEDYEFWVRVLRDGGIARPVPTAYYHYRSRENSRLSTLGTHAARDTHQAIVEANPEHHAILLQAAFLRLEEMHDLHLEYRSYVHKWQDRFRPLIRARDFAKRAVRKMS
MCHPRSVRWPHVSPSLNGAFVSQLLSFVRACPPIRGVDPCVSSHDDITSALIGAVAAKVYDCLEVETLPLSMLRLAWATCSSYRDLNLSVAMELGHWKHFDFMFLHASACLLAVIPHGILKFCSLKNIPPSSVSKCAALPTLALWS
MTSAASYGRPSRRTNADHLAAEISRFRIFQRTSGLEVDAVGPLIACPGGASAVFAIGTILDRQEAPVGPINDIIEAIFRCLHQHLVRLAAYFQIGQDDVLGCGRIPGIAGRSLIMPGILSGLAVKRDNRCEKPIVALPLRTNLMIPRRAIADADIDMVKFRIISDEIPDRSATTLAPPFAELCLCGFRHNGIRGFAEILGLAERRLAAREAALSADGLEQRFEKTKLFGDNDDHAYRQPYGYY
MSPGDMPMPVSSTSMRARTECSSCRSHSTRIVTWPLEANLIPLPARFITIWRMRTGSPRSFDGMRGEYTAASSTCWRRAGWLRISATASISSSRSKSMVCRVILPASILEKSSTSSMMANKALELSTMALANSRCSPEISVSIRSAAMPTTPFIGVRISWLMFARKALLAMLAASARTAISLARSIACSSCRLACASSRLAFSSSASACLRSVMSRHTPKMCFSPPIW
VELPPQIRSRDNLSGRPRMNFTSFTLAVLAAVGVSANPFVATTKNTAKSAYVSKLMRGATPTKNSQIRRLNDAEEYEIDISGYSVKFDKCQFVKTYDDELAEDEDTPTVLATKRFVVFKLCPTGSCSTCNYNFGEYLVDLETYLEATVEYQQELQEEMCNACEECGQDDEQEDDAEEEAEEDNGERRRRRKLEVDCDSCADECDKIENMEENGYIDATEFLECQMIYDPEDDGKDALYAGPMC
MILKNILIKFRIYTLLIILFSFAAFFAGKVSGLPGYVFEVVICLGLGSALFLLYLLTGCIVQFDSYIRKSMASASEDGATGKRGLFIFEELAQTIKEFIDRVKGIKRELKIVSEEAVYLSEQLSRSVKDADSSYNQIVESIQNVAGGAEHQATLSVESKERLSDLLDKSNSTLARAQRTGKGFKALLDVLNNSSDTVKLLMENITASSRQSEAFARDMGELTEKSRKISDITVSVENVAEQTNLLALNAAIEAAQAGEHGRGFAVVAQEIRKLAEESKVLAKNIGEILGEMVDGVNKNLENTEKNLERSKKDVAQAQQTRVALENVFNTAYELEKEIAEIEKNASGQAQEVTKIVDSFNDIVRVTEETSAASQEVAAASEQQKATLEELSRMAAYLKDTQARLNEIIRSFGNDMVLAEDKQKAVENLLDVLRKTAASKEIRIMDRNEHRKAFEHLQADHPEFQILYSAAKGKLFYITRQVDIEDISFRPWYSEAIKGKCYISEPYIPVGTDDTCITLSVPILNESKETIGVLASDIKVRDI
MNYYNNQRVPAPESGSRKFWRIVFGSMVGFLLSSIVMFILSIIFMISIIASVATSSTPAVPSNSILRIELSGLIAERGEKNPFEDTGFAEFTKANLGLDDILKSIDKAATDPKIKAISIEGGVANTGLASVQEIYSALERFKESGKKVYAYSDYYSQKGYLLASVADQIYLNPLGMIDFKGIAMRTVFFKGLLDKMEIDVQVIRHGTFKSAVEPFILDKMSEANAEQMSLIANQLWSVITEKIAEKRGISVDSLNQIANKLLCFEADQCQSLGMIDNL
MRTLWRFIAGFFKWTWRLLNFVREMVLNLFFIFLVLVGVGIWMQVSGGDSKETASRGALLLDISGVIVDKPDSSQRFSKLSRQLLGASSDRLQENSLFDIVNTIRQAKDDRNITGIVMDLKNFAGGDQPSMQYIGKALKEFRDSGKPVYAVGENYSQGQYYLASFANKIWLSPQGVVDLHGFATNGLYYKSLLDKLKVSTHVFRVGTYKSAVEPFIRDDMSPAAREADSRWIGELWQNYLNTVAANRQIPAQQVFPGAQGLLEGLTKTGGDTAKYALENKLVDALASSAEIEKALTKEFGWSKTDKNYRAISYYDYALKTPADTGDSIGVVFANGAIMDGEETQGNVGGDTTAAQIRDRSP
MSGFNMDSRQLESSSSESELDLHDVEEKIRDILPNIKRRRLASFLEYLQKMGVESVNDLVYINERDISQTLTPIEARRLLDHLNCRPPVESGSQNRPVKATLELDDSSSSRSSSSSATDESSQSSVEIAVGNPFPSRSSAETGSTRVPWQKMPRLLMAALEAKRRPAPSLRKEMIRIIVDDLDKPSTSLPRTKVLRALSSEIVQRFPDSFIDELGGEKVGSGYDSLLSQLVNRVDNISRPSMKRGRFSSGDEISSGPAKKKRDSYGCINWQPISLPKGETVASQVLLKDELKALHASEPHTDKTQIMEKMSTTYATQRAMLNKANCALLDVIEDWPYLFDEKFMLMHFKELVGTDIMHKVQESFKDKIPKILRFLKQHSSCLRNKQLQDTLQQLEAAVDVVGNKSPQVIGLITVLCAYFGEDRGCHILSTEELEKPVFNKEDLPGNPCLLVNGKLLEANKWSLAIGQLTVADVDNPITALCMLFGSYYIFNLQYPATSAGVMEFIQRCLVGINPQEGTKGGQKKTAVHAGLLKLTNALKSFEWNV
MSRDTDAQEIDANDLWEITYAASQLTAYGFSVSARHLKDLRTRMQFNREMAYFGKRVVEDVIQRRLTLEEGLGEIKKEQQSLLQQAKSVAFKVLGIAGGAGQVISGAGMCYGSAGTLCAVGAPMMAHGGNNIYENGRNLYEGRDDVEGPVRKAYHSAARALGYTEKEGNEAYLAGDLALSVAGMA
MAREHLRAFEGLSGVRLAGIVSRTHERAAQLGKEFNIPAYPSIQTLYEATKADLVVVTVPELSTAAVASQCFAHPWSVLLEKPAGYNLADATSIRDAARGRNVYVAFNRRAYSSTREALKALENVDAPRFIKVVDQQDQEAAMRNHGQPELVAENYMFANSIHVIDYFRVFGRGKIIDVTPVIAWNPKEPGVVIAKVTFDSGDVGLYEGIWNGPGPWSLTITTPHKRLELRPLEQGSLQLRETRTQIPLEISQDDTMFKPGLRWQAMQAAAAVRGQMHSLATIDDSWESMKLVADIFGMR
FHFLHFFLVTTNPTTASHRDYSPLTGFPRTQYHRPLFYRPQLANTQLPYPQHTHPQSNLLPSNFNYSPISSATGSIYLLTTVELRLFTFHLQAGFFCFFG
MYGHPEIHRRILWMAFSGRDWVGYRRSQNGSLGGAIIGAIRGYAPVSTGDQDVALQTMRLEQVGAIKVFTDGKSRKFMDRHGLATGCLRSCWRQTGDRPARPPWPVVD
CVGVWKNDRVQIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVATNPQNTVFDAKLLIRRRFSDPSTKEIAEAYLGQALKNAVVTVPAYFNDS
MIHAQKPFWPYLLAAPMALSFSAWSQSTAAHDVDFTVDEAAMIRIVDANASPAGMMNFHIQAPAIPGQAFPGVAAQTAYMQFTSVKGASPDNVRQVDVDLIGGSMPSGVQLTVSPTLFGTGTVGSAAPITFSANNGTGTLISGIQSAYTGEGPGDGVLLQYALNFATQNLDAASSGMITLRYTISNS
MKSTSKSYFHIAIAVASWSTVASAFKIGLRYYSYYELLLVAAITAMLVFALVVSFQKKWHLLKAINRKEWMLFAITGLLSPAGYYLILFKAYELLPAQIAQSINYSWAIVLTLLLALFMRQRIPALKFLGMAISLGGVALISLGSESFAGVQLSLMGLLLAFFSAFVWASFWILNKMNGHIDNVLALFVSFLFGSLFLLMGLLFVDVQLQS
MNKGQQQSIFLATIAILFWVTVATAFKLGLRSFTPYELVFYASITSFVVLFFLAAFKHRGELFQIYNNPKTVLVSLGQGALNPFLYYIVLFAAYDLLPAQVAQPINFTWPIFLSIFAVVFLGEQFTSKTFPALLLSFIGVVVVSTQGNFSEETLLVSKAGIFLGLLSAVIWAAFWIINIKDTRPPLVKLFWSFFFGNIYIIVFGLLTDKINTPLNLSISVYPIYIGLFEMSITFYLWLGALRKAKNTPFISNLVYLVPILSLFIINIVLKEKLHLTTFIGLFLIISGVALQSAISKKQ
MNNQQRAYMFAAATVLMWSTVATVFKLTLRSLGVYPMLLGAALTSTGFLLAAMAFKGRLGELRRISKRDWLRAATLGFLNPFLYYAVVLKAYELLPAQEAQPLNQTWTITIVILSVPLLGQRLRWSSLGAILVSYLGVTIIATHGEWRLVRVSEPFGVFLALASTLVWALYWIYNLRDSRDELIKLAANFICGTGYCLAAVLLFPQPWNGSWTGWAGAIYLGLFEMGVAFVCWMKALQLSENTARVSNLVLLCPFISLVLIHYVVGEPILGSTVVGLVFIMAGVLWQRSLASALPA
MEISVSDAKAKLTNLIARAEAGEEIVVTRHGQAAARIVPAAKPKLTVAERRAILQEIVASAQRNMTPGPSYRELMDDMYDENGLPK
MLDLGLTLFPTVNGAASAPVQTRTFYVPSTNAWFQIPAVANVGDNQATRDAQDTFSRWMKIPFRRPVPSAPSNQFRGQWYFLNSGTTNSGNTGPALNNGSACAYSETSFQASLAEIIERSEVRISPLAAGLTSLAFETVIFGSSATWGNSNNYVAIDRLDEDGDPDVENDWTEFDQIYGTLYSASEFANDDLILSDGSTRTVVAAGGWWREEFEVPVQSRLRVNATSGSTHQYDWAMRRMRTFGAALNPLVWSDASYGDTTNLTLIGTPTVHLMNFGGGVGTQTVGSDSISLTRPAAQYFPADYAVGREIIVERLPGPMQIGTITAIDSTGFNDVLTITGIDLQTAYDAWIADGGIIGQSIADAGIVVAADGNPRLTFNGDAPVGWVETQTEADTHFVTITYDSAGNAISATPLTPVPPLSGEGAGGAGGAVDPVEYVPILDVDGVAHVPTLITRGIRNVPTLAI
MRMQKSVAGRQTAAAGDTRPSYEGPVAFVGARWPPLTWQGRLRLGGFLYHHHPPPPRLVPKRLSANGLARSLFKRHVESPTFALICSLTRPSAHTGGVLNKFTYAAGTVFQYASSRFTRVPPANPISTA
MLALQTSIIVILSGLISTITCAQQFLVLCTAGLEPFKPASDHNLCKAFSWGDRGEYSRWSCPKVYLKRASGSGCWTIPDHPREQPVSVGKVTCRDTYTFWTDKDKTINGIACRDTQYRRVKCNNMDQAASIDKCDPL
MSTAKSLCISLIPCLLIALGPLQCSNINFWRISDFLTDWLSIVVTIVTRSLYLRKAILVAKEPLIKWRTINRWSQHPSGGRLFHQDARLLSLPKRSSKQSEN
MVALAMAIAMHFACVTVTALSDTTLASLMLLGCPCKCFSQNYVSIGVLPQKEQTKRESDSNKTTRTERILRTLDHQGYFVR
MYSFVKKDSIQHLATEFNVIGISGVFLILLAYFLLHIDKLSQRDVSYSLLNFLGSGFILISLYFSWNLSSGIIETAWFLISFLGLTRSTSSRGKIGD
MNIEFESTFKPIQHQELITFEETYSLVLPDDYKNFLMYRNGGKTVRRRFETRDQKITSSIMLFLPLSNETECNLEDYYKKYNGGAIIPPNFIPIGIDPADSLICLSVNEEDQGKVYFCDMDYFEEDNELRPEFIKLVSSSFGEFVNSLYQPE
MPCQHEVISASTLRDLHLDSRLELTPPFAAVLFRIVSTYQHPSGGSFPAANQQSLSITKRATFASVRGPAGWALAASGSVRPPRRRNLEPVYPPGREKARLPQERYADSTRPTQEEGALHCTTAGRDWRRPTATIPSLT
MTALESSDGELGGIVAWYSIIHTPPEVLPAVFEEFHRVLSPGRHLLLGFRAGDERRRKEQGHGHETALDFHRLPPDRIAELVVRAGLVSCDPHSANGTARELRTPPTCL
MDSNFVTQTLQSFQKALDVSGNNLKIIQQLEERFKELERAFNRSGLKNAVRVSGAMEVPKINRLEISAPELIETCNNVPQVFAPSVIPVTVTSETYRGLSDSAVLEINKHGSYWIIANTEETYWLVPNINIRLNPHRMKTLAMLFTCQDESKANIDKFTLLEPAQLKMMPNQKEWHLVKTGVLQFGTASQLGSDQDIKNLDYNLQKLQSNYETLTANIELLLKANEQVQKDLNHAVLARQQINREISDLHQAKHSLKKNILQLEENIDIKLQQVLDQNAESQLVTENTAPSQEVTVTSDDSTSLDEPFSENNIEPSLEEELYFEEEESEDVEDNFPDEVAEDEDPSFQNVQSLQIDEQSSDEVPTLVENHDPQQPVRILQGHSSTVKSLVVTPLKKDPGHFSLLSASFDNTIRIWNPLVAQEIGCLKNDAFLNSIALSPDARMIVGGGQSEVIKLWNLNNSQKDYFEGHSQSILDVALSPDGNHLVSGSRDTTIIIRDFPDGTIKHHLKPDDDLVLSVTIDPMNSLLATGHSTGVINLWELQEGTLIDQYQQHYQSVWSLAFSQDSDFLASVSSDKTLKVWDIANCKLLYQVGNEFSILAVAISGDGQYIATGDSGNQVKIFNFMTGEELTTLMGHTKEVYALVFSPDNQYLLSGGRDTTIAIWQVPDIS
MNMNSEDEVTALGLLIGPRLLKGGTEVKTSTLRGIVGVYFSAHWCGPCRSFTPQFRKVYERAKSKGRAFEVVFMSSDHDEQSFKEYFATMPWHAIPYEQRARYQHLNALFQIRGIPALVLLDAHTGGVLDTNARSRVMAPNFVSTLPRSIDLDAACLPEVGSGIPILVRYRGKEFELECEPSEGWEMLRMQIYSMTEVPSEQMKLFGLGLDTGLLDDSIPLPRALARGLFAQKGSGLRSAGVPGNARKASSLHSDESLGYTSGAIDSTRAWCSKKDDETPWYQMDLGEVNEIAGVIVASRADAPQWITRFKVSLADSEDGPWKLADDGREFVGPTFLCPCRAVFHSSNQARFVRVEPLSFHNHCSLRADVLLASDADRDKLPTIVVLGNFSAGDVFEFASPETKSDAMMEQQHLAMLQAKLSRLPPKLQNQASSLQNVLRYESRQLQHQALNEIPVCSIDTCTSSESYELSFMKQFGPENSMDTALHASHGRVKALLCAAVVTVVACNCFVLVGDVSPSVRQSFAGIRSKRTTSTWRTRLQDLGRQDLSCGLVGQEIRIQSAVLGALLLCGGLWLAKVLTGHVETIASLLGSLRAGAAGTALAAAWGAGAGAFHSLSGPDHLAALAPLALRTSGPGRAFRQGAFWGFGHVLGQVLIGTSFLLLSQSQLLQSLGHAKNFAQLAEQFASVAVGIILMIIGGMGLKESRDWEEEEEVCQASPCQCFRWKTFGTGMLSGMHPDSLLLCLPALTMPTKMAGLIFLTSFGAGSMLAMGGFTAALRAACKSLGQQAVRRTSIVASLLALLLGATICATSLTVL
MIISVVVVSYNSQTTIHETLNSILNQSYDLSKIEIIFADDFSTDRTRDIIYKWIEIHSDAFHSVILSFLTENRGVAINCNKAWRLCTGAWIKSIAADDVLHMDCIKNNIDYAISNDCSVLFSKMQIMKNGILTNSVLPNKYDIEVLCSNFDNQLKSLYESNISGAPTTFINRQALIQIGFVDERYAMLEDYPLWIKFLQNGVKLDFVDNVTVYYRVDDSISRSKKNIVNLKYFNDLMKFEEEVVFPKLKKRKLVRIRKQLWYRLMFFIIKLAKNRRNKLVDLIYPVILLIKPAIIKTIIKKVEL
MYMNTISNKQIVTVAVITYHSAATVLETLDSIVGQSYGPKNIELIISDDGSKDNTVQIINEWLALHRAKFHTAKFFANEVNGGISKNCNVAWKAATSEWIKTIAGDDILLPNCLTDNIDFISVTNVSHVAAIFSLMKVFHVASNEKLFQEQTSPSSNYFDFFELSAAKQNKYLKIHGLPIAPSSFIRRVALADVGYADERFKLMEDYPLWFKFTLNNYSLKLMKVPTVCYRKAESMSNTKQKLINEVFLYEVIKVDKLLILPTISKYNSLLKLRKKYWPYFLLFVSGLFNNRPTNTSRLVVNVIYLFKPGALSFQMKKIKHKLGF
MELLEKIITVCVVTYNSFTTVIKTLESIKKQTYDTNFIELIIADDCSSDDTVIIVKKWIEENKCIFNNVVLIEQKQNIGLTKNINSAWKLAKGSWVKSIAGDDILLENCIANNITYVNKHNIQSVVFSLMRSFTEDNNKITFHEIYPNSYQQKVLKGERDEQIKYLTHAGGFACAPSAFINRRLLEEVGFADERFFMLEDTPLWFRILKKGEKIYFMNIFTVKYRIGNSISQSNKSLFNVRHLSQKMEVDFLLFKNEVGLIANIRKFIFYFICFFLVFTTGANKNFISKTVFRLALLVKPFWLREKIKNAKII
MKTLIVGTGIIGVIYGWALAQAGVDVTHFVRKGRKDQFKDGVDLDVLDERKGHPKNNLTKYALKCVEEISPSDGYELIIVPTNMHQTEAALRTLVPVSGKATFLILSGNWEGPECIDQLLPRDHYLLGYADGGGTIRDGVYWTNLGAEVHIGEVDGKPSEKLEKVKALFVRA
MKGKFEKKIILWSILTALIPLLLSYGIFIYDKFTSIQDRVDGNLYRMSVNISKTPFIIDRLSKHQQDMSIQNYTSFFVDQLEDVDIIVIADMNGIKYSHLDETQIGEVFVNEDKWKILHEGVPYFSLKKGSQGLTQRRFEPVFKDGKQIGFVMVGKYYNDLKLLTVRTAIMYLFLFLGGVILIWILAGIFAKNIKDKILGLEPEEISRLYKEKTIILNNVNEGIIALDKSNKIMEVNSAYLKLFPENSPALFIEEIKNIIFEEKPFDMKEIIIFQKKIFISFHPIINSNEYIGSIITITSRSQIKDVVEEITGVDQIIKSMRANIHEFKNRLHVITGLLELKKYDILKNYVLELQEVEKLDQVNFNNIDDYYIKGILIGKLGIAKEQRINLKLKEGSLLYEKHGIISYNDLIVIIGNLIENAFESFHLSNIEEKNVILSLMEDKEFIEISVFDNGSPVDPKIKDKIFQRGISSKGNKRGSGLYLVKNRTKLYNGNFSFREDTNGKTFTIRLFKEVNYNV
MDKETGWNNFDFRMYDAQIGRWMSTDPMGEFWSPYTGMGNNPVSKVDPDGGQTKDNINFNTKTGKTEITKTNDNFNNYYIDGKFQGFAMKGLGGMSYENGVFKINGVFNFSVMLNEAIVKPKVETVDPRVGLFIGSFENTIFGEKHWFDFKQFKFYGRNFYGNGYTLPQKNIGKIANTMKWLGRGLAVKNYFDINEQYANGEISKTTRNLEQGSNIIGLAPGTIGLGWSIGWESGRWISQRPWYRENIRPHLQDLLHQRRD
MAPAITHFLVGATLLVFAFVPIAIRYDLGREHAVWLIPLGGVWGLLPDVHHITPVFQAELYAIHNTAWMDLFALHYTLDRPIVRARYTASVFGSIAAFIVAVIALWVTPRVRATGQWWRSTRAIVTAGSSLLATAYATVVLWVVVSVQQAFGAVSTLVGSESLLVGGLLLVPIGGGLGLVYTIGLEIYGLDRRLEPTTAAAWAVLTGGLCWLVGVVCLAPLWLGAIGVESVAPPLLHGGSLVALVAYGTVFGAVYAMVREGVRSGSERPLGIDETSGSTHLRSFR
MFQIVKVSSQLTFSNTGSSDANYVEVLLSKEEDEHLSYISAQEGNSKGKLKVSKQKEEKNGFHSYKIELLKQVGKGGEVKLKVEYRLIELLEPLPAKITQKENQYVVYNGSAHYAAAYPVGQEKTTVKLGPGKTLSVSQVSPTTQENERVVYGPYKDQPAFNKKPIKIHYENNAPFVVATVVERTIEVSHWGNIAVEEYVELVHKGAELKGPFSRIDHQLDRRGRRQPALLHFTATSAKDIYYRDEIGNISTSAVRLRADSVDVELKPRFPLFGGWRTSYIIGYNVPSFEYLYNKGNNYALKMRVLDHIFDNAVVEKLTTKIILPEMIR
MRAYIYEGLWFDIGGMNKYLEANWGFLEEYWRRGEIRSPANAQINFGAKVQPPTLIGEGTKVEEDAVLGPMAIVGENATIRGLAEIQGSVIMKDVLVDGEAKIVDSVVARGSSIGRRVVIERGTAVGEWVEIDDDLTIGEGAKICPGKHVTRPVEKGEIVL
MTPPAIMATNDDGSAADGQVQFRGLVLQGVLQYAPQEPYEGQPEDTALGGSSAPTRFFANTKEIDSLYDGWSGFTREWDECSSTPFLRSGAAEQVVTYDDPLSLGMKANFAQGVGMLGVNMFDVTGDTDQWDLTDAVRRGLGRD
MKIVLLSGGAGKRLWPLSNKNTPKQFLKLLEDDGVNVSMLQRLWRQLSKGGLIEDVFITTNVSQLMTLKDQIGENVPIIIEPSQRDTFPAIALSASYFTPCWKSVYMKLL
ALLAQVCRAPSESDWPVQAAYDAIAALAGAAPEAVAVLREALADPNANGAAGWQWATASFDAGAHGMEVELRERRGDTPAAERATQTYLLRMAQTNKRQQLSRFIESCHDWLQASDVLWGAAGHAITCVRNWKYSVQWHAGWEARTGARPWMLVNAAEALRSLGRDEEAVACSRHALEMPPDNGTRLHRLLLIADAACAGDLAYVDAHLAEVDDRESLDLDYKFLLQLVEAVREVAAKDAPRGAFGRAAKMLAQAQTQYAAHLPHEPNRQRFLNAARRQIASLVGTWWASMWCYGKRRGWF
MEEIQILISSFKGFSKHTGKSKLTDKLLEIGSYTNGRIIEKIRALVRDGKKEEAALVKKQLKGSTLSATYKERRVPEMIDTYNDLQMMDLDGLSEEEMTNCRKLITGSPNTLFCFTSPSGNGLKVGVYMQDELSCRLRMELLRRSEISYEALETYHKQMFAYAKEYYETLCSVEVDASGSDIGRLFFTSYDPDIYICEEALRQVRQPQLTILPPPAKGKKKTVRQLMKEEMPGDESIDHTHIDPWIQMEFQSCVRSVERQEKYQPGNRNNFIFTLGNKCYRKNLPEEVAAVLAQKQFGAPDLDAASIVRNAYHYTTRTDHQEEEKKKPVAVRIIEFMTQQYEVWKIQCKLPPKTKRFCPPLKHSTMPP
MGVDAVVFYRIKQDEISVKASQYTVASFATQIDTLARTMLRDIMGGMDMAIANTSSPIINASLKNAIDEQTEKWGIELVRADIKDLEPPRELDVSIASVL
MRVGTTSYILPDDILPNVHFLKDKVDDIELVLFESGDMSNLPTKETIKALKDIGSESDLSYTIHFPLDIFPGSDSRRERTAFVDTLHRIIDLCMDLDPFGYVLHLTPQKYGQLPAKNVDRWLDNLESSLQHFFETTSLDSRMLCAETLSYPFALVQPLVDQFDLSVTLDIGHVWLMGYDAEQAVHSLLDRTRICHLHGVANNHDHLSLSEGDDTQID